diff --git a/Arcade_MiST/Nova2001_Hardware/Penguin-Kun Wars_MiST/PKWARS_MiST.qsf b/Arcade_MiST/Nova2001_Hardware/Penguin-Kun Wars_MiST/PKWARS_MiST.qsf index 7f99554f..4297ed26 100644 --- a/Arcade_MiST/Nova2001_Hardware/Penguin-Kun Wars_MiST/PKWARS_MiST.qsf +++ b/Arcade_MiST/Nova2001_Hardware/Penguin-Kun Wars_MiST/PKWARS_MiST.qsf @@ -44,24 +44,6 @@ set_global_assignment -name LAST_QUARTUS_VERSION 13.1 set_global_assignment -name PROJECT_CREATION_TIME_DATE "19:48:06 MAY 24,2017" set_global_assignment -name PRE_FLOW_SCRIPT_FILE "quartus_sh:rtl/build_id.tcl" set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files -set_global_assignment -name SYSTEMVERILOG_FILE rtl/PKWARS_MiST.sv -set_global_assignment -name VERILOG_FILE rtl/FPGA_PKWARS.v -set_global_assignment -name VERILOG_FILE rtl/PKWARS_VIDEO.v -set_global_assignment -name VERILOG_FILE rtl/PKWARS_SPRITE.v -set_global_assignment -name VERILOG_FILE rtl/PKWARS_SND.v -set_global_assignment -name VERILOG_FILE rtl/PKWARS_ROMARB.v -set_global_assignment -name VERILOG_FILE rtl/PKWARS_CLKGEN.v -set_global_assignment -name VERILOG_FILE rtl/PKWARS_HVGEN.v -set_global_assignment -name VHDL_FILE rtl/YM2149_linmix_sep_mod.vhd -set_global_assignment -name VHDL_FILE rtl/col.vhd -set_global_assignment -name VERILOG_FILE rtl/mems.v -set_global_assignment -name VERILOG_FILE rtl/z80ip.v -set_global_assignment -name VHDL_FILE rtl/dpram.vhd -set_global_assignment -name VERILOG_FILE rtl/pll.v -set_global_assignment -name SYSTEMVERILOG_FILE rtl/sdram.sv -set_global_assignment -name VERILOG_FILE rtl/DPRAM1024.v -set_global_assignment -name QIP_FILE ../../../common/CPU/T80/T80.qip -set_global_assignment -name QIP_FILE ../../../common/mist/mist.qip # Pin & Location Assignments # ========================== @@ -242,4 +224,28 @@ set_global_assignment -name OUTPUT_IO_TIMING_FAR_END_VMEAS "HALF SIGNAL SWING" - # end ENTITY(PKWARS_MiST) # ----------------------- +set_global_assignment -name SYSTEMVERILOG_FILE rtl/PKWARS_MiST.sv +set_global_assignment -name VERILOG_FILE rtl/FPGA_PKWARS.v +set_global_assignment -name VERILOG_FILE rtl/PKWARS_VIDEO.v +set_global_assignment -name VERILOG_FILE rtl/PKWARS_SPRITE.v +set_global_assignment -name VERILOG_FILE rtl/PKWARS_SND.v +set_global_assignment -name VERILOG_FILE rtl/PKWARS_ROMARB.v +set_global_assignment -name VERILOG_FILE rtl/PKWARS_CLKGEN.v +set_global_assignment -name VERILOG_FILE rtl/PKWARS_HVGEN.v +set_global_assignment -name VHDL_FILE rtl/col.vhd +set_global_assignment -name VERILOG_FILE rtl/mems.v +set_global_assignment -name VERILOG_FILE rtl/z80ip.v +set_global_assignment -name VHDL_FILE rtl/dpram.vhd +set_global_assignment -name VERILOG_FILE rtl/pll.v +set_global_assignment -name SYSTEMVERILOG_FILE rtl/sdram.sv +set_global_assignment -name VERILOG_FILE rtl/DPRAM1024.v +set_global_assignment -name QIP_FILE ../../../common/CPU/T80/T80.qip +set_global_assignment -name QIP_FILE ../../../common/mist/mist.qip +set_global_assignment -name VHDL_FILE ../../../common/Sound/ym2149/YM2149.vhd +set_global_assignment -name VHDL_FILE ../../../common/Sound/ym2149/vol_table_array.vhd +set_global_assignment -name VHDL_FILE rtl/YM2149_linmix_sep_mod.vhd +set_global_assignment -name VHDL_FILE meta/gfx1.vhd +set_global_assignment -name VHDL_FILE meta/gfx2.vhd +set_global_assignment -name VHDL_FILE meta/gfx3.vhd +set_global_assignment -name VHDL_FILE meta/gfx4.vhd set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file diff --git a/Arcade_MiST/Nova2001_Hardware/Penguin-Kun Wars_MiST/meta/gfx1.vhd b/Arcade_MiST/Nova2001_Hardware/Penguin-Kun Wars_MiST/meta/gfx1.vhd new file mode 100644 index 00000000..0d88692f --- /dev/null +++ b/Arcade_MiST/Nova2001_Hardware/Penguin-Kun Wars_MiST/meta/gfx1.vhd @@ -0,0 +1,1046 @@ +library ieee; +use ieee.std_logic_1164.all,ieee.numeric_std.all; + +entity gfx1 is +port ( + clk : in std_logic; + addr : in std_logic_vector(13 downto 0); + data : out std_logic_vector(7 downto 0) +); +end entity; + +architecture prom of gfx1 is + type rom is array(0 to 16383) of std_logic_vector(7 downto 0); + signal rom_data: rom := ( + X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22", + X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22", + X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22", + X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22", + X"11",X"11",X"1B",X"BA",X"BB",X"2B",X"FF",X"FF",X"44",X"44",X"44",X"44",X"FF",X"FF",X"33",X"33", + X"12",X"22",X"22",X"22",X"FF",X"FF",X"F2",X"22",X"F2",X"B2",X"4F",X"FF",X"FF",X"FF",X"33",X"F2", + X"33",X"33",X"FF",X"FF",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11", + X"33",X"FC",X"33",X"FC",X"33",X"CC",X"33",X"CF",X"33",X"C2",X"33",X"AC",X"33",X"BB",X"33",X"CC", + X"33",X"DD",X"FF",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11", + X"11",X"77",X"88",X"77",X"18",X"FF",X"18",X"F2",X"1A",X"77",X"88",X"AA",X"77",X"7F",X"14",X"4F", + X"DD",X"DF",X"11",X"1F",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11", + X"88",X"7F",X"87",X"4F",X"14",X"4F",X"17",X"4F",X"14",X"4F",X"11",X"4F",X"44",X"CF",X"14",X"CF", + X"00",X"00",X"00",X"01",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"01",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"10",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"01",X"00",X"00",X"00",X"00", + X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"FA",X"FF",X"12",X"11",X"11",X"12",X"A2",X"A2",X"AA",X"AA", + X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"FA",X"AF",X"12",X"AF",X"11",X"AF",X"A2",X"AA",X"AA",X"AA", + X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AF",X"AF",X"2F",X"2F",X"AF",X"2F",X"2A",X"2A",X"AA",X"AA", + X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AF",X"AA",X"2F",X"2F",X"2F",X"2F",X"2A",X"AA",X"AA",X"AA", + X"22",X"11",X"21",X"21",X"21",X"21",X"21",X"21",X"21",X"21",X"21",X"21",X"22",X"11",X"22",X"22", + X"22",X"12",X"22",X"12",X"22",X"12",X"22",X"12",X"22",X"12",X"22",X"12",X"22",X"12",X"22",X"22", + X"22",X"11",X"21",X"21",X"22",X"21",X"22",X"11",X"21",X"22",X"21",X"22",X"21",X"11",X"22",X"22", + X"22",X"11",X"21",X"21",X"22",X"21",X"22",X"11",X"22",X"21",X"21",X"21",X"22",X"11",X"22",X"22", + X"22",X"11",X"22",X"11",X"22",X"11",X"21",X"11",X"21",X"11",X"21",X"11",X"22",X"11",X"22",X"22", + X"21",X"11",X"21",X"22",X"21",X"11",X"22",X"21",X"22",X"21",X"21",X"21",X"22",X"11",X"22",X"22", + X"22",X"11",X"21",X"21",X"21",X"22",X"21",X"11",X"21",X"21",X"21",X"21",X"22",X"11",X"22",X"22", + X"21",X"11",X"22",X"21",X"22",X"11",X"22",X"12",X"22",X"12",X"22",X"12",X"22",X"12",X"22",X"22", + X"22",X"11",X"21",X"21",X"21",X"21",X"22",X"11",X"21",X"21",X"21",X"21",X"22",X"11",X"22",X"22", + X"22",X"11",X"21",X"21",X"21",X"21",X"22",X"11",X"22",X"21",X"21",X"21",X"22",X"11",X"22",X"22", + X"33",X"33",X"30",X"00",X"30",X"00",X"30",X"00",X"30",X"00",X"30",X"00",X"30",X"00",X"30",X"00", + X"33",X"33",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"10",X"00",X"1A",X"00",X"1A",X"00",X"AA",X"00",X"A0",X"01",X"00",X"01",X"00",X"00",X"00", + X"2D",X"DD",X"21",X"11",X"21",X"11",X"21",X"11",X"21",X"11",X"21",X"11",X"21",X"11",X"21",X"11", + X"DD",X"DD",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11", + X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AF",X"AF",X"AF",X"AF",X"2F",X"2A",X"2A",X"2A",X"AA",X"AA", + X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"2A",X"AA",X"2A",X"FA",X"AA",X"22",X"AA",X"AA", + X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"FF",X"FA",X"FF",X"F2",X"F2",X"F2",X"A2",X"A2",X"AA",X"AA", + X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"FA",X"FA",X"22",X"22",X"2A",X"FA",X"2A",X"22",X"AA",X"AA", + X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"FA",X"AA",X"F2",X"AA",X"22",X"AA",X"AA",X"AA", + X"30",X"00",X"30",X"00",X"30",X"00",X"30",X"00",X"30",X"00",X"30",X"00",X"30",X"00",X"33",X"33", + X"01",X"01",X"00",X"10",X"00",X"0A",X"00",X"10",X"01",X"01",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"33",X"33", + X"FF",X"FF",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"FF",X"FF",X"AA",X"AA",X"AA",X"A2",X"AA",X"A2", + X"FF",X"FF",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"FF",X"FF",X"22",X"AA",X"22",X"AA",X"22",X"AA", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"A0",X"00",X"A0", + X"22",X"AA",X"22",X"2A",X"22",X"2A",X"22",X"22",X"22",X"22",X"FF",X"FF",X"EE",X"EE",X"EE",X"EE", + X"01",X"11",X"11",X"33",X"11",X"00",X"11",X"00",X"11",X"00",X"11",X"00",X"01",X"11",X"00",X"33", + X"01",X"10",X"00",X"13",X"00",X"13",X"00",X"13",X"00",X"13",X"00",X"13",X"00",X"13",X"00",X"33", + X"01",X"11",X"10",X"11",X"03",X"11",X"01",X"11",X"11",X"33",X"11",X"00",X"11",X"11",X"03",X"33", + X"01",X"11",X"10",X"11",X"03",X"11",X"00",X"11",X"00",X"11",X"10",X"11",X"01",X"11",X"00",X"33", + X"00",X"11",X"00",X"11",X"01",X"11",X"10",X"11",X"13",X"11",X"11",X"11",X"03",X"11",X"00",X"33", + X"11",X"11",X"11",X"33",X"11",X"11",X"11",X"11",X"03",X"11",X"10",X"11",X"01",X"11",X"00",X"33", + X"01",X"11",X"11",X"33",X"11",X"00",X"11",X"11",X"11",X"33",X"11",X"00",X"01",X"11",X"00",X"33", + X"11",X"11",X"03",X"11",X"00",X"11",X"00",X"11",X"00",X"13",X"00",X"13",X"00",X"13",X"00",X"33", + X"01",X"11",X"11",X"33",X"11",X"00",X"01",X"11",X"11",X"33",X"11",X"00",X"01",X"11",X"00",X"33", + X"01",X"11",X"10",X"11",X"13",X"11",X"01",X"11",X"00",X"11",X"10",X"11",X"01",X"11",X"00",X"33", + X"AA",X"22",X"AA",X"22",X"AA",X"22",X"AA",X"22",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA", + X"22",X"AA",X"22",X"AA",X"22",X"2A",X"22",X"22",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA", + X"00",X"00",X"00",X"00",X"01",X"11",X"01",X"11",X"01",X"11",X"00",X"AA",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"01",X"11",X"00",X"AA",X"01",X"11",X"00",X"AA",X"00",X"00",X"00",X"00", + X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA", + X"01",X"11",X"11",X"11",X"0A",X"11",X"00",X"11",X"00",X"AA",X"00",X"A0",X"00",X"00",X"00",X"A0", + X"AA",X"22",X"AA",X"22",X"AA",X"22",X"AA",X"22",X"A2",X"22",X"FF",X"FF",X"EE",X"EE",X"EE",X"EE", + X"01",X"11",X"10",X"11",X"1A",X"11",X"1A",X"11",X"11",X"11",X"1A",X"11",X"1A",X"11",X"0A",X"0A", + X"11",X"11",X"11",X"AA",X"11",X"00",X"11",X"11",X"11",X"AA",X"11",X"00",X"11",X"11",X"0A",X"AA", + X"01",X"11",X"11",X"AA",X"11",X"00",X"11",X"00",X"11",X"00",X"11",X"00",X"01",X"11",X"00",X"AA", + X"11",X"11",X"11",X"AA",X"11",X"00",X"11",X"00",X"11",X"00",X"11",X"00",X"11",X"11",X"0A",X"AA", + X"11",X"11",X"11",X"AA",X"11",X"00",X"11",X"11",X"11",X"AA",X"11",X"00",X"11",X"11",X"0A",X"AA", + X"11",X"11",X"11",X"AA",X"11",X"00",X"11",X"11",X"11",X"AA",X"11",X"00",X"11",X"00",X"0A",X"00", + X"01",X"11",X"11",X"AA",X"11",X"00",X"11",X"11",X"11",X"0A",X"11",X"00",X"01",X"11",X"00",X"AA", + X"11",X"00",X"11",X"00",X"11",X"00",X"11",X"11",X"11",X"AA",X"11",X"00",X"11",X"00",X"0A",X"00", + X"00",X"10",X"00",X"1A",X"00",X"1A",X"00",X"1A",X"00",X"1A",X"00",X"1A",X"00",X"1A",X"00",X"AA", + X"00",X"11",X"00",X"11",X"00",X"11",X"00",X"11",X"00",X"11",X"00",X"11",X"01",X"1A",X"00",X"AA", + X"11",X"00",X"11",X"01",X"11",X"10",X"11",X"1A",X"11",X"11",X"11",X"01",X"11",X"01",X"0A",X"00", + X"11",X"00",X"11",X"00",X"11",X"00",X"11",X"00",X"11",X"00",X"11",X"00",X"11",X"11",X"0A",X"AA", + X"11",X"01",X"11",X"11",X"11",X"11",X"11",X"1A",X"11",X"0A",X"11",X"00",X"11",X"00",X"0A",X"00", + X"11",X"00",X"11",X"00",X"11",X"10",X"11",X"11",X"1A",X"11",X"1A",X"11",X"1A",X"11",X"0A",X"0A", + X"01",X"11",X"11",X"AA",X"11",X"00",X"11",X"00",X"11",X"00",X"11",X"00",X"01",X"11",X"00",X"AA", + X"11",X"11",X"11",X"AA",X"11",X"00",X"11",X"00",X"11",X"11",X"11",X"0A",X"11",X"00",X"0A",X"00", + X"01",X"11",X"11",X"AA",X"11",X"00",X"11",X"00",X"11",X"10",X"11",X"1A",X"01",X"11",X"00",X"AA", + X"11",X"11",X"11",X"AA",X"11",X"00",X"11",X"00",X"11",X"11",X"11",X"AA",X"11",X"00",X"0A",X"00", + X"01",X"11",X"11",X"AA",X"11",X"00",X"01",X"11",X"00",X"11",X"10",X"11",X"01",X"11",X"00",X"AA", + X"11",X"11",X"0A",X"1A",X"00",X"1A",X"00",X"1A",X"00",X"1A",X"00",X"1A",X"00",X"1A",X"00",X"AA", + X"11",X"00",X"11",X"00",X"11",X"00",X"11",X"00",X"11",X"00",X"11",X"00",X"01",X"11",X"00",X"AA", + X"11",X"00",X"11",X"00",X"11",X"00",X"11",X"00",X"11",X"00",X"01",X"01",X"00",X"10",X"00",X"AA", + X"11",X"10",X"11",X"1A",X"11",X"1A",X"11",X"1A",X"11",X"1A",X"11",X"1A",X"01",X"01",X"00",X"A0", + X"11",X"00",X"11",X"00",X"11",X"00",X"01",X"11",X"10",X"11",X"1A",X"11",X"1A",X"11",X"0A",X"0A", + X"11",X"00",X"11",X"00",X"11",X"00",X"01",X"11",X"00",X"1A",X"00",X"1A",X"00",X"1A",X"00",X"AA", + X"11",X"11",X"0A",X"11",X"00",X"11",X"00",X"1A",X"01",X"AA",X"11",X"A0",X"11",X"11",X"0A",X"AA", + X"07",X"77",X"7F",X"77",X"79",X"77",X"77",X"77",X"07",X"77",X"00",X"70",X"00",X"00",X"00",X"00", + X"00",X"33",X"08",X"22",X"8F",X"24",X"77",X"87",X"27",X"F7",X"02",X"77",X"00",X"77",X"00",X"22", + X"00",X"00",X"00",X"03",X"0C",X"32",X"CF",X"20",X"CC",X"CC",X"2C",X"FC",X"02",X"CC",X"00",X"CB", + X"00",X"00",X"00",X"C0",X"0C",X"CC",X"CF",X"CC",X"CC",X"CB",X"0B",X"BB",X"00",X"B0",X"00",X"00", + X"00",X"04",X"08",X"47",X"8F",X"87",X"8F",X"87",X"88",X"77",X"07",X"77",X"00",X"70",X"00",X"00", + X"22",X"22",X"2C",X"2C",X"2F",X"22",X"FF",X"FF",X"FF",X"EF",X"EE",X"21",X"FF",X"22",X"FF",X"F2", + X"AA",X"BB",X"AA",X"BB",X"EA",X"AA",X"1E",X"BB",X"1E",X"B2",X"1A",X"2B",X"1A",X"BB",X"44",X"BB", + X"FF",X"FF",X"CF",X"C4",X"CF",X"C4",X"CC",X"C4",X"CC",X"CC",X"FF",X"FC",X"FF",X"FC",X"F2",X"2C", + X"44",X"4B",X"94",X"44",X"77",X"44",X"77",X"44",X"C4",X"44",X"CC",X"44",X"4C",X"44",X"44",X"11", + X"BB",X"B1",X"BB",X"17",X"2F",X"17",X"F2",X"B7",X"FF",X"BB",X"FC",X"BB",X"CC",X"1B",X"FC",X"CC", + X"77",X"7F",X"72",X"FF",X"27",X"22",X"77",X"22",X"77",X"18",X"77",X"89",X"C1",X"89",X"C1",X"99", + X"CC",X"CC",X"CC",X"FC",X"CC",X"2C",X"AC",X"CC",X"AA",X"AC",X"1A",X"CC",X"AA",X"CC",X"2A",X"CC", + X"C8",X"F9",X"C8",X"29",X"C8",X"29",X"B8",X"92",X"11",X"99",X"CC",X"99",X"B8",X"99",X"BB",X"88", + X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"2F",X"22",X"2F",X"22",X"F1",X"22",X"F1", + X"F2",X"22",X"F2",X"22",X"1F",X"22",X"1F",X"22",X"11",X"22",X"11",X"22",X"11",X"22",X"11",X"22", + X"22",X"11",X"22",X"11",X"22",X"11",X"22",X"11",X"2F",X"11",X"2F",X"11",X"F1",X"11",X"F1",X"11", + X"11",X"F2",X"11",X"F2",X"11",X"1F",X"11",X"1F",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11", + X"22",X"22",X"22",X"22",X"22",X"2D",X"22",X"2E",X"22",X"DE",X"22",X"EE",X"22",X"EE",X"22",X"EE", + X"ED",X"22",X"EE",X"22",X"EE",X"22",X"EE",X"22",X"EE",X"22",X"EE",X"22",X"EE",X"D2",X"EE",X"E2", + X"22",X"EE",X"22",X"EE",X"2D",X"EE",X"2E",X"EE",X"2F",X"FF",X"2F",X"DD",X"2F",X"DD",X"2F",X"FF", + X"EE",X"ED",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"FF",X"FF",X"DD",X"DD",X"DD",X"DD",X"FF",X"FF", + X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AF",X"AA",X"AF",X"AA",X"F1",X"AA",X"F1", + X"FA",X"AA",X"FA",X"AA",X"1F",X"AA",X"1F",X"AA",X"11",X"AA",X"11",X"AA",X"11",X"AA",X"11",X"AA", + X"AA",X"11",X"AA",X"11",X"AA",X"11",X"AA",X"11",X"AF",X"11",X"AF",X"11",X"F1",X"11",X"F1",X"11", + X"11",X"FA",X"11",X"FA",X"11",X"1F",X"11",X"1F",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11", + X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AD",X"AA",X"AE",X"AA",X"DE",X"AA",X"EE", + X"DA",X"AA",X"EA",X"AA",X"ED",X"AA",X"EE",X"AA",X"EE",X"AA",X"EE",X"AA",X"EE",X"AA",X"EE",X"AA", + X"AA",X"EE",X"AA",X"EE",X"AA",X"EE",X"AA",X"EE",X"AA",X"FF",X"AA",X"DD",X"AA",X"DD",X"AA",X"FF", + X"EE",X"DA",X"EE",X"EA",X"EE",X"ED",X"EE",X"EE",X"FF",X"FF",X"DD",X"DF",X"DD",X"DF",X"FF",X"FF", + X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"FF",X"FF",X"EE",X"EE",X"EE",X"EE", + X"FF",X"FF",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"FF",X"FF",X"22",X"22",X"22",X"22",X"22",X"22", + X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22", + X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"D2",X"22",X"E2",X"22", + X"01",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"01",X"11",X"01", + X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"01",X"11",X"01",X"11", + X"11",X"01",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"01",X"11",X"00",X"00", + X"11",X"01",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"00",X"00", + X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AF", + X"AA",X"11",X"AF",X"11",X"EF",X"11",X"F1",X"11",X"E1",X"11",X"11",X"11",X"11",X"11",X"11",X"11", + X"AA",X"EF",X"AA",X"F1",X"AA",X"E1",X"AA",X"11",X"AA",X"11",X"AF",X"11",X"EF",X"11",X"F1",X"11", + X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AF",X"AA",X"EF",X"AA",X"F1",X"AA",X"E1",X"AA",X"11", + X"11",X"1F",X"11",X"1E",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11", + X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"FA",X"AA",X"FE",X"AA",X"1F",X"AA",X"1E",X"AA", + X"FA",X"AA",X"FE",X"AA",X"1F",X"AA",X"1E",X"AA",X"11",X"AA",X"11",X"AA",X"11",X"FA",X"11",X"FE", + X"11",X"AA",X"11",X"AA",X"11",X"FA",X"11",X"FE",X"11",X"1F",X"11",X"1E",X"11",X"11",X"11",X"11", + X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11", + X"FF",X"FF",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"FF",X"FF",X"22",X"22",X"22",X"22",X"22",X"22", + X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"FF",X"FF",X"EE",X"EE",X"EE",X"EE", + X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE", + X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AE",X"AA",X"DE",X"AA",X"EE", + X"AA",X"AA",X"AA",X"AA",X"EA",X"AA",X"ED",X"AA",X"EE",X"AA",X"EE",X"AA",X"EE",X"AA",X"EE",X"AA", + X"AA",X"EE",X"AA",X"EE",X"AA",X"EE",X"AE",X"EE",X"AF",X"FF",X"AF",X"DD",X"AF",X"DD",X"AF",X"FF", + X"EE",X"EA",X"EE",X"ED",X"EE",X"EE",X"EE",X"EE",X"FF",X"FF",X"DD",X"DD",X"DD",X"DD",X"FF",X"FF", + X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"FF",X"AA",X"EE",X"AE",X"EE", + X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"FF",X"FF",X"EE",X"EE",X"EE",X"EE", + X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"FF",X"FF",X"DD",X"DD",X"DD",X"DD",X"FF",X"FF", + X"11",X"11",X"11",X"11",X"11",X"12",X"11",X"2A",X"11",X"AA",X"11",X"AA",X"12",X"AA",X"2A",X"AA", + X"21",X"11",X"A2",X"11",X"AA",X"11",X"AA",X"11",X"AA",X"21",X"AA",X"A2",X"AA",X"AA",X"AA",X"AA", + X"DD",X"DD",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"0D",X"00",X"D1",X"00",X"11",X"DD",X"11", + X"00",X"11",X"0D",X"11",X"D1",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"DD", + X"00",X"00",X"00",X"0D",X"00",X"D1",X"00",X"11",X"0D",X"11",X"D1",X"11",X"11",X"11",X"11",X"11", + X"11",X"D0",X"11",X"1D",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11", + X"00",X"00",X"00",X"00",X"00",X"00",X"DD",X"00",X"11",X"00",X"11",X"D0",X"11",X"1D",X"11",X"11", + X"D0",X"00",X"1D",X"00",X"11",X"00",X"11",X"DD",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"D0",X"00",X"1D",X"00",X"11",X"00", + X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11", + X"FF",X"FF",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11", + X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA", + X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"22",X"22", + X"21",X"11",X"21",X"11",X"21",X"11",X"21",X"11",X"21",X"11",X"21",X"11",X"21",X"11",X"22",X"22", + X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"22",X"22", + X"DD",X"DD",X"EE",X"EE",X"EE",X"EE",X"DD",X"DD",X"EE",X"EE",X"EE",X"EF",X"DD",X"DF",X"EE",X"EA", + X"DD",X"88",X"E8",X"C8",X"28",X"CC",X"2A",X"AC",X"2A",X"AA",X"FF",X"CA",X"CA",X"CF",X"AA",X"FF", + X"EE",X"A2",X"DD",X"DA",X"EE",X"22",X"EE",X"2A",X"DD",X"55",X"EE",X"5F",X"EE",X"C5",X"DD",X"CC", + X"AB",X"FC",X"BB",X"FB",X"BB",X"CF",X"AA",X"FE",X"AC",X"FC",X"AB",X"FF",X"CC",X"EF",X"EE",X"EE", + X"2A",X"AA",X"52",X"BA",X"5F",X"FF",X"5C",X"CF",X"5A",X"AA",X"52",X"AB",X"CA",X"AA",X"FF",X"BB", + X"22",X"55",X"22",X"99",X"B2",X"99",X"BF",X"9F",X"2C",X"CF",X"B9",X"99",X"C2",X"99",X"28",X"98", + X"FE",X"BB",X"FB",X"BC",X"EB",X"FB",X"2B",X"CB",X"CB",X"FB",X"C2",X"FF",X"BB",X"BB",X"CB",X"FB", + X"48",X"9E",X"C2",X"9C",X"44",X"EE",X"4E",X"EE",X"4C",X"CE",X"2E",X"EE",X"44",X"EE",X"48",X"EE", + X"22",X"FB",X"CC",X"FB",X"CC",X"BB",X"FC",X"B4",X"CF",X"AA",X"CF",X"BB",X"FF",X"BB",X"EE",X"FA", + X"EE",X"EE",X"CC",X"EC",X"BE",X"EE",X"EE",X"EE",X"EE",X"EE",X"AA",X"EE",X"AB",X"EE",X"AA",X"E2", + X"FF",X"FA",X"CC",X"FA",X"FF",X"CA",X"FF",X"AA",X"F1",X"2B",X"22",X"AA",X"22",X"1A",X"22",X"21", + X"FA",X"B2",X"FA",X"B9",X"FA",X"99",X"AA",X"FF",X"AB",X"FC",X"BB",X"FF",X"BA",X"95",X"BA",X"29", + X"12",X"12",X"22",X"12",X"1F",X"FF",X"FF",X"F2",X"FF",X"FB",X"11",X"2F",X"22",X"FF",X"12",X"2F", + X"1F",X"BB",X"1B",X"BB",X"BB",X"B2",X"BB",X"2B",X"BB",X"F2",X"1B",X"AA",X"BA",X"FF",X"BA",X"FF", + X"1C",X"FC",X"CA",X"FC",X"1C",X"CC",X"CC",X"FF",X"CC",X"F2",X"11",X"CB",X"CC",X"C1",X"11",X"CB", + X"BE",X"FE",X"E2",X"FE",X"1E",X"EE",X"EE",X"EE",X"EE",X"2E",X"1E",X"2E",X"E1",X"EE",X"EE",X"EE", + X"12",X"12",X"22",X"12",X"12",X"FF",X"FF",X"F2",X"FF",X"F2",X"FF",X"2F",X"22",X"FF",X"1C",X"2C", + X"1F",X"BB",X"1B",X"B2",X"BB",X"2B",X"BB",X"F2",X"BB",X"AB",X"1B",X"AA",X"BA",X"FF",X"BE",X"FE", + X"CA",X"FC",X"1C",X"CC",X"CC",X"FF",X"CC",X"F2",X"1C",X"CC",X"C1",X"2A",X"1C",X"CA",X"11",X"CA", + X"E2",X"FE",X"1E",X"EE",X"1E",X"EE",X"EE",X"2E",X"EE",X"2E",X"1E",X"EE",X"11",X"EE",X"1E",X"2E", + X"DE",X"AE",X"DE",X"EE",X"EF",X"EE",X"EE",X"E2",X"EE",X"2E",X"DE",X"2E",X"EE",X"EE",X"DE",X"EE", + X"D4",X"55",X"43",X"FF",X"35",X"F2",X"35",X"75",X"DD",X"55",X"D3",X"55",X"35",X"55",X"32",X"22", + X"DC",X"CC",X"CC",X"CC",X"C1",X"C1",X"1F",X"FF",X"12",X"2F",X"21",X"81",X"B2",X"11",X"11",X"11", + X"32",X"22",X"DF",X"FF",X"FF",X"FF",X"F2",X"22",X"F2",X"A2",X"DF",X"FF",X"2D",X"F2",X"22",X"F2", + X"AE",X"AE",X"AE",X"EE",X"EF",X"E2",X"EE",X"2E",X"EE",X"2E",X"DE",X"ED",X"EE",X"EE",X"EC",X"CC", + X"DD",X"55",X"D3",X"FF",X"35",X"2F",X"35",X"75",X"DD",X"55",X"D3",X"55",X"35",X"55",X"D2",X"92", + X"CC",X"CC",X"CC",X"1C",X"11",X"1F",X"11",X"1F",X"C1",X"77",X"C1",X"11",X"D1",X"11",X"B1",X"BB", + X"D2",X"92",X"DF",X"FF",X"FF",X"FF",X"FF",X"F2",X"FF",X"FA",X"2D",X"2F",X"22",X"FF",X"D2",X"FF", + X"DD",X"DD",X"EE",X"EE",X"EE",X"EE",X"DD",X"DD",X"EE",X"EE",X"EE",X"EE",X"DD",X"DF",X"EE",X"EF", + X"DD",X"86",X"E2",X"85",X"22",X"CC",X"22",X"AA",X"2A",X"AA",X"2A",X"CA",X"FA",X"FF",X"CA",X"CF", + X"EE",X"EA",X"DD",X"D2",X"EE",X"AA",X"EE",X"22",X"DD",X"55",X"EE",X"55",X"EE",X"5F",X"DD",X"CC", + X"AA",X"CF",X"AB",X"FC",X"BB",X"EF",X"BB",X"CF",X"AB",X"FF",X"AB",X"FF",X"CC",X"EF",X"EE",X"EE", + X"22",X"2A",X"82",X"BA",X"8F",X"AF",X"8F",X"AC",X"2A",X"CA",X"22",X"AB",X"CA",X"AA",X"FF",X"AA", + X"22",X"BB",X"22",X"99",X"B2",X"99",X"BF",X"FF",X"2C",X"CF",X"29",X"99",X"B2",X"99",X"28",X"98", + X"FE",X"BB",X"FE",X"BB",X"EB",X"BC",X"2B",X"FB",X"CB",X"CB",X"C2",X"FB",X"CC",X"FF",X"BB",X"BB", + X"28",X"E9",X"42",X"E9",X"C4",X"EE",X"4E",X"EE",X"4E",X"EC",X"2E",X"EE",X"44",X"EE",X"28",X"EE", + X"FF",X"77",X"FF",X"77",X"FF",X"17",X"2F",X"77",X"19",X"77",X"11",X"19",X"11",X"18",X"19",X"98", + X"77",X"2B",X"77",X"BB",X"77",X"1F",X"27",X"B2",X"77",X"FF",X"CC",X"FC",X"CC",X"CC",X"CC",X"CC", + X"9F",X"99",X"92",X"99",X"92",X"99",X"19",X"98",X"E9",X"88",X"E9",X"99",X"E9",X"98",X"18",X"88", + X"FF",X"CC",X"FF",X"FF",X"2F",X"FF",X"AC",X"FF",X"C2",X"AA",X"CC",X"CC",X"CC",X"CC",X"1C",X"AA", + X"2B",X"BB",X"BB",X"BA",X"BB",X"AA",X"BB",X"BA",X"FB",X"AA",X"FF",X"BA",X"FF",X"BA",X"FF",X"B4", + X"1F",X"2F",X"F2",X"2F",X"F2",X"FF",X"1F",X"22",X"11",X"FE",X"11",X"FF",X"11",X"FF",X"44",X"FF", + X"FF",X"44",X"FB",X"44",X"AB",X"44",X"A4",X"44",X"A4",X"44",X"14",X"44",X"C1",X"4C",X"1C",X"CC", + X"44",X"FF",X"94",X"CC",X"94",X"AA",X"44",X"AC",X"44",X"CC",X"4C",X"FC",X"4C",X"FF",X"4C",X"FF", + X"25",X"5E",X"11",X"5E",X"22",X"5C",X"22",X"15",X"22",X"21",X"22",X"22",X"22",X"22",X"22",X"22", + X"EC",X"EE",X"EE",X"EC",X"EE",X"EC",X"EE",X"FF",X"EE",X"FC",X"EE",X"FC",X"1E",X"2F",X"21",X"CC", + X"FF",X"FF",X"FD",X"DD",X"FD",X"DD",X"FD",X"DD",X"FF",X"FF",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA", + X"22",X"2C",X"22",X"11",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22", + X"22",X"FF",X"CC",X"F4",X"CC",X"F4",X"FF",X"44",X"CC",X"EA",X"BC",X"AB",X"FF",X"AB",X"EE",X"FF", + X"4E",X"EE",X"EE",X"EE",X"CC",X"EC",X"4E",X"EE",X"42",X"EE",X"2A",X"EE",X"2A",X"AE",X"AA",X"AE", + X"FF",X"FF",X"FC",X"CF",X"FC",X"AC",X"CC",X"AA",X"11",X"2B",X"22",X"BA",X"22",X"1A",X"22",X"21", + X"FF",X"BD",X"FF",X"B9",X"FF",X"99",X"AA",X"FF",X"AA",X"FF",X"BB",X"FC",X"AA",X"99",X"AA",X"25", + X"22",X"BB",X"EB",X"BB",X"EC",X"CB",X"EF",X"BB",X"EC",X"BB",X"E2",X"44",X"BF",X"BB",X"2F",X"BC", + X"2A",X"AA",X"4A",X"AA",X"CC",X"FF",X"4C",X"FF",X"FF",X"FF",X"FC",X"CC",X"FB",X"BC",X"FC",X"FC", + X"2F",X"FB",X"99",X"FB",X"99",X"FB",X"FF",X"84",X"FF",X"E8",X"FF",X"CE",X"9E",X"EE",X"5E",X"EE", + X"4F",X"FF",X"2C",X"FF",X"CC",X"FF",X"2C",X"FC",X"EE",X"FF",X"CE",X"FF",X"CC",X"FF",X"CC",X"AA", + X"22",X"88",X"CC",X"AA",X"CC",X"A8",X"CC",X"88",X"CC",X"88",X"FF",X"FB",X"FF",X"BB",X"FF",X"BB", + X"8A",X"CA",X"8C",X"AB",X"8C",X"AA",X"8A",X"AA",X"8A",X"AA",X"44",X"AA",X"B4",X"AA",X"BB",X"4B", + X"F2",X"BB",X"FB",X"BB",X"2B",X"BB",X"2B",X"FB",X"2B",X"FC",X"22",X"CC",X"22",X"FF",X"2B",X"FF", + X"BB",X"4B",X"BB",X"44",X"BB",X"44",X"BB",X"44",X"BB",X"44",X"BB",X"44",X"44",X"22",X"BB",X"42", + X"22",X"BB",X"EC",X"BC",X"EF",X"BB",X"EC",X"BB",X"E2",X"BB",X"EF",X"4C",X"BF",X"BC",X"2F",X"FB", + X"2A",X"AA",X"4A",X"AA",X"CC",X"FF",X"4C",X"FF",X"FF",X"FF",X"CC",X"CC",X"BC",X"CC",X"CF",X"CC", + X"2F",X"FB",X"99",X"FB",X"99",X"FB",X"FF",X"84",X"FF",X"98",X"FF",X"EE",X"99",X"CE",X"59",X"EE", + X"4F",X"FF",X"CC",X"FF",X"2C",X"EE",X"2C",X"FC",X"CF",X"FF",X"EE",X"FF",X"CC",X"FF",X"CE",X"BB", + X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22", + X"DC",X"C3",X"1D",X"33",X"11",X"32",X"11",X"DD",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11", + X"33",X"CC",X"33",X"CD",X"33",X"D1",X"32",X"11",X"3D",X"11",X"D1",X"11",X"11",X"11",X"11",X"11", + X"1D",X"22",X"12",X"22",X"12",X"22",X"12",X"22",X"12",X"22",X"12",X"22",X"12",X"22",X"12",X"22", + X"EE",X"EE",X"EE",X"EE",X"DE",X"CE",X"ED",X"CE",X"EE",X"EE",X"DE",X"EE",X"1D",X"EE",X"21",X"EE", + X"EE",X"CB",X"EE",X"CC",X"EE",X"BB",X"EE",X"BA",X"ED",X"FF",X"DD",X"FF",X"ED",X"CA",X"DD",X"AC", + X"22",X"EE",X"22",X"1E",X"22",X"21",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22", + X"D2",X"AA",X"ED",X"AB",X"DB",X"BA",X"DD",X"AA",X"11",X"AA",X"22",X"AA",X"22",X"1A",X"22",X"21", + X"F2",X"AC",X"BB",X"C4",X"AA",X"C4",X"AA",X"BC",X"FF",X"AB",X"FF",X"AB",X"FF",X"AA",X"FF",X"AA", + X"BB",X"B4",X"AB",X"44",X"A2",X"44",X"A2",X"44",X"2C",X"C2",X"CC",X"CF",X"CC",X"FF",X"CC",X"FF", + X"FF",X"AA",X"AA",X"AB",X"AA",X"B2",X"BB",X"BB",X"AA",X"BB",X"AA",X"AB",X"CA",X"CB",X"CA",X"AB", + X"FF",X"FF",X"FC",X"FC",X"CC",X"FC",X"CC",X"FC",X"FC",X"FF",X"FF",X"CF",X"2F",X"FF",X"A2",X"FE", + X"AA",X"A8",X"C2",X"8A",X"C2",X"A8",X"CC",X"88",X"CC",X"88",X"FF",X"FB",X"FF",X"BB",X"FF",X"BB", + X"8A",X"CC",X"8B",X"AA",X"8C",X"AA",X"88",X"AA",X"88",X"AA",X"44",X"AA",X"B4",X"AA",X"BB",X"4B", + X"F2",X"BB",X"FB",X"BB",X"2B",X"FB",X"2B",X"FC",X"2B",X"CC",X"22",X"BB",X"22",X"FF",X"2B",X"FF", + X"BB",X"4B",X"BB",X"C4",X"BB",X"44",X"BB",X"44",X"BB",X"44",X"BB",X"44",X"44",X"42",X"BB",X"42", + X"EE",X"EE",X"EC",X"EC",X"EE",X"EE",X"EE",X"EE",X"DE",X"EE",X"ED",X"ED",X"1E",X"EE",X"21",X"EE", + X"E2",X"CB",X"ED",X"CB",X"DD",X"BA",X"DD",X"AA",X"DE",X"FF",X"EE",X"FF",X"EE",X"FF",X"DD",X"CF", + X"22",X"EE",X"22",X"1E",X"22",X"21",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22", + X"D2",X"AC",X"BA",X"AB",X"DB",X"BA",X"D2",X"AA",X"11",X"AA",X"22",X"AA",X"22",X"1A",X"22",X"21", + X"22",X"CA",X"BB",X"44",X"AA",X"44",X"AA",X"B4",X"FF",X"BB",X"FF",X"AB",X"FF",X"AA",X"FF",X"AA", + X"BB",X"B4",X"BB",X"44",X"22",X"C4",X"2C",X"CC",X"2C",X"CC",X"2C",X"FF",X"2C",X"FF",X"FF",X"FF", + X"FA",X"AA",X"AA",X"AB",X"AA",X"B2",X"BB",X"BB",X"AA",X"BC",X"AA",X"CB",X"AB",X"AB",X"AA",X"AB", + X"FC",X"FC",X"CC",X"FC",X"CB",X"FC",X"CC",X"FF",X"FF",X"CF",X"FF",X"FC",X"AF",X"FF",X"B2",X"FE", + X"AC",X"AB",X"1A",X"AC",X"21",X"AB",X"22",X"AB",X"22",X"11",X"22",X"22",X"22",X"22",X"22",X"22", + X"CC",X"FF",X"CC",X"FF",X"CC",X"FF",X"CC",X"FF",X"2F",X"FF",X"CC",X"FF",X"1C",X"FF",X"21",X"FF", + X"FF",X"FF",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"FF",X"FF",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA", + X"22",X"FF",X"22",X"1F",X"22",X"21",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"03",X"00",X"33",X"00",X"33",X"03",X"33",X"03",X"33",X"3F",X"33", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"03", + X"3F",X"33",X"3F",X"33",X"3F",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"00",X"00",X"00",X"00",X"33",X"00",X"33",X"2C",X"33",X"32",X"33",X"33",X"33",X"33",X"33",X"33", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"C0",X"00", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"C0",X"00",X"C0",X"00",X"2C",X"00",X"2C",X"00",X"2C",X"00",X"22",X"00",X"22",X"00",X"22",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"33",X"03",X"33",X"3F",X"33",X"FF",X"33",X"FF",X"33",X"F3",X"33",X"F3",X"33", + X"00",X"00",X"00",X"03",X"00",X"03",X"00",X"03",X"00",X"33",X"00",X"33",X"30",X"33",X"03",X"33", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"00",X"00",X"2C",X"00",X"33",X"00",X"33",X"C0",X"33",X"2C",X"33",X"2C",X"33",X"22",X"33",X"22", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"33",X"32",X"33",X"32",X"33",X"32",X"33",X"32",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"C0",X"00",X"C0",X"00",X"C0",X"00",X"2C",X"00", + X"00",X"33",X"00",X"33",X"00",X"3C",X"03",X"C0",X"0C",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"00",X"00",X"00",X"0B",X"00",X"0C",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"33",X"33",X"33",X"33",X"33",X"33",X"B3",X"33",X"BB",X"33",X"CC",X"33",X"00",X"33",X"00",X"CC", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"22",X"C0",X"22",X"2C",X"2C",X"22",X"22",X"C2",X"22",X"0C",X"22",X"00",X"22",X"00",X"2C",X"00", + X"33",X"33",X"33",X"33",X"33",X"32",X"33",X"32",X"33",X"22",X"33",X"2C",X"23",X"C0",X"22",X"00", + X"2B",X"00",X"2B",X"00",X"BB",X"00",X"BC",X"00",X"C0",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"0C",X"3C",X"00",X"C3",X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"B3",X"00",X"B3", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"00",X"B3",X"00",X"BB",X"00",X"CB",X"00",X"0C",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"C3",X"33",X"0C",X"33",X"00",X"22",X"00",X"CC", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"32",X"33",X"32",X"33",X"32",X"33",X"22", + X"22",X"00",X"22",X"00",X"C2",X"00",X"CC",X"00",X"C0",X"00",X"C0",X"00",X"C0",X"00",X"C0",X"00", + X"33",X"22",X"33",X"22",X"33",X"22",X"33",X"22",X"23",X"2B",X"22",X"CC",X"22",X"00",X"CC",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"BC",X"00",X"BB",X"00",X"CC",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"03",X"00",X"33",X"00",X"F3",X"03",X"33",X"03",X"33",X"3F",X"33",X"3F",X"33",X"3F",X"33", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"03",X"30",X"33", + X"3F",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"33",X"00",X"33",X"2C",X"33",X"32",X"33",X"32",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"C0",X"00",X"C0",X"00",X"C0",X"00", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"2C",X"00",X"2C",X"00",X"2C",X"00",X"22",X"00",X"22",X"00",X"22",X"00",X"22",X"00",X"22",X"20", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"33",X"00",X"3F",X"00",X"F3",X"00",X"33",X"03",X"33",X"03",X"33", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"03",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"00",X"00",X"33",X"C0",X"33",X"32",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"C0",X"00",X"C0",X"00",X"2C",X"00",X"2C",X"00", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"2C",X"00",X"22",X"00",X"22",X"00",X"32",X"00",X"32",X"00",X"32",X"00",X"32",X"00",X"32",X"C0", + X"C3",X"33",X"0C",X"33",X"00",X"CC",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"00",X"0B",X"00",X"0B",X"00",X"0C",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"33",X"33",X"B3",X"33",X"BB",X"33",X"CC",X"33",X"00",X"CC",X"00",X"00",X"00",X"00",X"00",X"00", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"22",X"22",X"2C",X"2C",X"22",X"C0",X"22",X"00",X"22",X"00",X"22",X"00",X"2C",X"00",X"2C",X"00", + X"33",X"32",X"33",X"32",X"33",X"22",X"22",X"2C",X"22",X"C0",X"CC",X"00",X"00",X"00",X"00",X"00", + X"BB",X"00",X"BB",X"00",X"BB",X"00",X"CC",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"03",X"00",X"33",X"00",X"33",X"00",X"3C",X"00",X"C0",X"00",X"00",X"00",X"00",X"00",X"00", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"BB",X"00",X"CC",X"00",X"00",X"00",X"00", + X"33",X"33",X"33",X"33",X"B3",X"33",X"B3",X"33",X"BB",X"33",X"CC",X"22",X"00",X"CC",X"00",X"00", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"32",X"22",X"3C",X"22",X"32",X"CC",X"32",X"00",X"32",X"00",X"22",X"00",X"22",X"C0",X"22",X"BC", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"32",X"23",X"2C",X"22",X"C0",X"CC",X"00", + X"22",X"C0",X"2B",X"00",X"BB",X"00",X"CC",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"03",X"00",X"33",X"00",X"F3",X"03",X"33", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"30", + X"33",X"33",X"3F",X"33",X"3F",X"33",X"3F",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"33",X"00",X"33",X"2C",X"33",X"22",X"33",X"32", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"C0",X"00",X"C0",X"00",X"2C",X"00",X"2C",X"00",X"2C",X"00",X"22",X"00",X"22",X"00",X"22",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"03",X"00",X"33",X"00",X"F3",X"03",X"33",X"03",X"33",X"33",X"33", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"3F",X"33",X"3F",X"33",X"3F",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"00",X"00",X"00",X"00",X"33",X"00",X"33",X"2C",X"33",X"32",X"33",X"33",X"33",X"33",X"33",X"33", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"C0",X"00", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"C0",X"00",X"C0",X"00",X"2C",X"00",X"2C",X"00",X"2C",X"02",X"22",X"22",X"22",X"22",X"22",X"2C", + X"00",X"33",X"00",X"33",X"00",X"C3",X"00",X"0C",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"00",X"00",X"00",X"0B",X"00",X"0C",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"BF",X"33",X"CC",X"22",X"00",X"FF",X"00",X"CC", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"2C",X"C0",X"22",X"C0",X"22",X"00",X"22",X"00",X"22",X"00",X"22",X"00",X"22",X"00",X"22",X"00", + X"33",X"33",X"33",X"33",X"33",X"32",X"33",X"22",X"23",X"22",X"C2",X"FC",X"FF",X"C0",X"CC",X"00", + X"2C",X"00",X"2B",X"00",X"2B",X"00",X"BC",X"00",X"C0",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"03",X"00",X"33",X"00",X"33",X"00",X"3C",X"03",X"C0",X"0C",X"00",X"00",X"00",X"00",X"00", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"00",X"00",X"00",X"0B",X"00",X"0C",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"33",X"33",X"33",X"33",X"33",X"33",X"B3",X"33",X"BB",X"33",X"CC",X"33",X"00",X"33",X"00",X"CC", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"22",X"2C",X"22",X"C0",X"22",X"00",X"22",X"00",X"22",X"00",X"22",X"00",X"22",X"00",X"2C",X"00", + X"33",X"33",X"33",X"33",X"33",X"32",X"33",X"32",X"33",X"22",X"33",X"2C",X"23",X"C0",X"22",X"00", + X"2B",X"00",X"2B",X"00",X"BB",X"00",X"BC",X"00",X"C0",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"03",X"00",X"33",X"00",X"F3",X"03",X"33",X"03",X"33", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"33",X"33",X"3F",X"33",X"3F",X"33",X"3F",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"00",X"00",X"00",X"00",X"00",X"00",X"33",X"00",X"33",X"2C",X"33",X"32",X"33",X"33",X"33",X"33", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"C0",X"00",X"C0",X"00",X"2C",X"00",X"2C",X"00",X"2C",X"00",X"22",X"20",X"22",X"2C",X"22",X"2C", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"33",X"00",X"33",X"03",X"F3",X"33",X"33",X"33",X"33", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"3F",X"33",X"3F",X"33",X"3F",X"33",X"3F",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"00",X"00",X"00",X"00",X"00",X"00",X"32",X"00",X"33",X"C0",X"33",X"2C",X"33",X"32",X"33",X"32", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"C0",X"00",X"C0",X"00",X"2C",X"00",X"2C",X"00",X"2C",X"00",X"22",X"00",X"22",X"00",X"2C",X"C0", + X"00",X"00",X"00",X"03",X"00",X"33",X"00",X"33",X"00",X"3C",X"00",X"C0",X"00",X"00",X"00",X"0B", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"00",X"BB",X"00",X"CB",X"00",X"0C",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"33",X"33",X"33",X"33",X"33",X"33",X"B3",X"33",X"CC",X"33",X"00",X"33",X"00",X"33",X"00",X"CC", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"2C",X"C0",X"22",X"C0",X"22",X"00",X"22",X"00",X"22",X"00",X"22",X"00",X"22",X"00",X"2C",X"00", + X"33",X"33",X"33",X"33",X"33",X"32",X"33",X"32",X"33",X"22",X"33",X"2C",X"23",X"C0",X"22",X"00", + X"2B",X"00",X"2B",X"00",X"BB",X"00",X"BC",X"00",X"C0",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"03",X"00",X"03",X"00",X"33",X"00",X"3C",X"00",X"C0",X"00",X"00", + X"33",X"33",X"33",X"33",X"23",X"33",X"C3",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"00",X"00",X"00",X"00",X"00",X"0B",X"00",X"0C",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"33",X"33",X"33",X"33",X"F3",X"33",X"BF",X"33",X"BB",X"33",X"CC",X"F3",X"00",X"CC",X"00",X"00", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"22",X"C0",X"22",X"00",X"22",X"00",X"22",X"00",X"22",X"00",X"22",X"00",X"22",X"00",X"22",X"00", + X"33",X"33",X"33",X"33",X"33",X"32",X"33",X"32",X"33",X"22",X"33",X"22",X"22",X"22",X"C2",X"2C", + X"2B",X"00",X"2B",X"00",X"2B",X"00",X"BC",X"00",X"C0",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"03",X"00",X"33",X"03",X"F3",X"33",X"33",X"3F",X"33",X"33",X"33",X"33",X"F3", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"03",X"00",X"03",X"00",X"03",X"00",X"03",X"00",X"03", + X"33",X"FF",X"3F",X"FF",X"3F",X"CC",X"3F",X"CC",X"3F",X"FB",X"3F",X"BB",X"33",X"CC",X"33",X"FF", + X"00",X"00",X"32",X"00",X"33",X"22",X"33",X"32",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"F3", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"C0",X"00",X"C0",X"00",X"2C",X"00",X"2C",X"00", + X"33",X"FF",X"33",X"FF",X"33",X"FF",X"33",X"FF",X"BB",X"FF",X"BB",X"BF",X"BB",X"FF",X"CC",X"33", + X"22",X"00",X"22",X"00",X"22",X"00",X"22",X"00",X"22",X"00",X"22",X"00",X"22",X"00",X"22",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"03",X"00",X"33",X"03",X"F3",X"33",X"33",X"3F",X"33",X"33",X"33",X"33",X"F3", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"03",X"00",X"03",X"33",X"03",X"C3",X"03",X"0C",X"33", + X"3F",X"FF",X"3F",X"CF",X"FF",X"CC",X"FF",X"FF",X"FF",X"FB",X"FF",X"BC",X"3F",X"BC",X"33",X"FB", + X"00",X"00",X"32",X"00",X"33",X"22",X"33",X"32",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"FF", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"C0",X"00",X"C0",X"00",X"2C",X"00",X"2C",X"00", + X"33",X"FF",X"FF",X"CF",X"FF",X"CC",X"BF",X"FC",X"CB",X"FF",X"CC",X"BF",X"CC",X"CF",X"CC",X"F3", + X"22",X"00",X"22",X"00",X"22",X"00",X"22",X"00",X"22",X"00",X"22",X"00",X"22",X"22",X"22",X"22", + X"00",X"03",X"00",X"33",X"00",X"33",X"00",X"22",X"03",X"CC",X"0C",X"00",X"00",X"00",X"00",X"00", + X"33",X"FF",X"33",X"FF",X"33",X"FF",X"3F",X"FF",X"3F",X"FF",X"3F",X"FF",X"3F",X"FF",X"3F",X"FF", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"3F",X"FF",X"32",X"FF",X"32",X"FF",X"BB",X"FF",X"BB",X"FF",X"BB",X"CC",X"CC",X"C0",X"00",X"00", + X"CF",X"F3",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"22",X"00",X"22",X"00",X"22",X"20",X"2C",X"22",X"22",X"C2",X"22",X"0C",X"22",X"00",X"2C",X"00", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FB",X"FF",X"BB",X"CC",X"BB",X"00",X"CC",X"00",X"00", + X"2C",X"00",X"2C",X"00",X"C0",X"00",X"C0",X"00",X"C0",X"00",X"BC",X"00",X"C0",X"00",X"00",X"00", + X"00",X"33",X"00",X"33",X"00",X"C3",X"00",X"0C",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"33",X"FC",X"33",X"FF",X"3F",X"FF",X"3F",X"FF",X"3F",X"FF",X"3F",X"FF",X"3F",X"FF",X"3F",X"FF", + X"00",X"00",X"00",X"0B",X"00",X"0C",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"BC",X"FF",X"BB",X"FF",X"BB",X"FF",X"BB",X"FF",X"BB",X"FF",X"CC",X"22",X"00",X"CC",X"00",X"00", + X"BB",X"FF",X"CC",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"22",X"2C",X"22",X"C0",X"22",X"00",X"2C",X"00",X"22",X"00",X"22",X"00",X"22",X"00",X"BC",X"00", + X"FF",X"FF",X"FF",X"FC",X"FF",X"CB",X"FF",X"CB",X"FF",X"FC",X"22",X"C0",X"C2",X"00",X"0C",X"00", + X"BB",X"00",X"BB",X"00",X"BB",X"00",X"BC",X"00",X"C0",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"03",X"00",X"33",X"03",X"33", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"03",X"00",X"03",X"00",X"00",X"00", + X"33",X"33",X"33",X"33",X"3F",X"33",X"3F",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"33",X"00",X"33",X"33",X"33",X"33", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"C0",X"00",X"C0",X"00",X"2C",X"00",X"2C",X"00",X"22",X"00",X"22",X"00",X"22",X"03",X"22",X"22", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"0B",X"00",X"0B",X"00",X"0C",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"B0",X"00",X"B0",X"0F",X"B0",X"FF",X"CF",X"FF", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"03",X"00",X"03",X"00",X"0C",X"03",X"00",X"33", + X"3F",X"FF",X"3F",X"FF",X"33",X"FF",X"33",X"FC",X"33",X"BB",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"FF",X"00",X"FF",X"FC",X"FF",X"FF", + X"00",X"00",X"00",X"00",X"00",X"00",X"BB",X"00",X"BB",X"00",X"BB",X"00",X"BB",X"00",X"BC",X"00", + X"FF",X"FF",X"FF",X"FF",X"BB",X"FF",X"CC",X"F3",X"BB",X"F3",X"BB",X"FF",X"3F",X"FF",X"3F",X"FF", + X"C0",X"00",X"C0",X"00",X"3C",X"00",X"32",X"00",X"32",X"00",X"32",X"03",X"33",X"22",X"33",X"22", + X"00",X"33",X"00",X"CC",X"00",X"0B",X"00",X"0B",X"00",X"0B",X"00",X"0C",X"00",X"00",X"00",X"00", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"C3",X"33",X"0C",X"33",X"00",X"33",X"00",X"C2",X"00",X"0C",X"00",X"00",X"00",X"00",X"00",X"00", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"32", + X"22",X"C0",X"22",X"00",X"22",X"00",X"22",X"00",X"2B",X"00",X"2B",X"00",X"2C",X"00",X"C0",X"00", + X"33",X"22",X"23",X"22",X"22",X"CC",X"22",X"00",X"CC",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"33",X"00",X"33",X"00",X"C3",X"00",X"03",X"00",X"03",X"00",X"03",X"00",X"0C",X"00",X"00", + X"FC",X"F3",X"FF",X"F3",X"FF",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"0F",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"33",X"33",X"23",X"33",X"22",X"33",X"C2",X"33",X"0C",X"22",X"00",X"CC",X"00",X"00",X"0F",X"00", + X"33",X"FC",X"33",X"FF",X"33",X"FF",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"32",X"2C",X"32",X"C0",X"32",X"00",X"22",X"00",X"22",X"00",X"22",X"00",X"22",X"00",X"22",X"0F", + X"33",X"32",X"33",X"22",X"33",X"22",X"22",X"22",X"22",X"2C",X"22",X"C0",X"CC",X"00",X"00",X"0F", + X"2C",X"00",X"2C",X"00",X"C0",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"0B",X"00",X"BB",X"00",X"BB",X"00",X"CB",X"00",X"0C",X"00",X"00",X"00",X"00", + X"00",X"00",X"B0",X"00",X"B0",X"00",X"BB",X"0F",X"BB",X"FF",X"BC",X"FF",X"CF",X"FF",X"3F",X"FF", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"03",X"00",X"33", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"3F",X"FB",X"33",X"BC", + X"00",X"00",X"00",X"00",X"00",X"00",X"FF",X"00",X"FF",X"FC",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"B0",X"00",X"BB",X"00",X"BB",X"00",X"BB",X"00",X"BB",X"00",X"BB",X"00",X"CC",X"00",X"C0",X"00", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"BF",X"FF",X"CB",X"FF",X"CC",X"FF",X"CC",X"BF", + X"C0",X"00",X"3C",X"00",X"3C",X"00",X"F3",X"00",X"F3",X"00",X"33",X"00",X"33",X"00",X"33",X"2C", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"0B",X"00",X"0C",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"BB",X"00",X"BB",X"0F",X"BB",X"FF",X"BC",X"FF",X"CF",X"FF",X"3F",X"FF",X"FF",X"FF", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"33",X"00",X"C3",X"03",X"0C",X"33",X"00",X"33", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"3F",X"FB",X"FF",X"FB", + X"00",X"00",X"00",X"00",X"FF",X"00",X"FF",X"FC",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"00",X"00",X"B0",X"00",X"BB",X"00",X"BB",X"00",X"BB",X"00",X"CC",X"00",X"C0",X"00",X"C0",X"00", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"BF",X"FF",X"BB",X"FF",X"CC",X"FF",X"CC",X"FF", + X"3C",X"00",X"3C",X"00",X"F2",X"00",X"F2",X"00",X"F2",X"00",X"33",X"22",X"33",X"22",X"33",X"2C", + X"00",X"33",X"03",X"C3",X"03",X"33",X"33",X"33",X"3C",X"C3",X"C0",X"03",X"00",X"03",X"00",X"0C", + X"3F",X"BC",X"FF",X"FB",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"3F",X"F3",X"33",X"33",X"33",X"33", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"C2",X"33",X"0C",X"22",X"00",X"CC",X"00",X"00", + X"CC",X"FF",X"BB",X"FF",X"BF",X"FF",X"FF",X"FF",X"FF",X"FC",X"FF",X"FC",X"3F",X"FF",X"33",X"FF", + X"33",X"22",X"F3",X"22",X"F3",X"C2",X"F2",X"CC",X"F2",X"00",X"F2",X"00",X"22",X"00",X"22",X"00", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"22",X"33",X"22",X"22",X"2C",X"22",X"C0",X"CC",X"00", + X"22",X"00",X"2C",X"00",X"2C",X"00",X"C0",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"C3",X"00",X"03",X"00",X"03",X"00",X"0C",X"00",X"00", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"CC",X"FF",X"CC",X"FF",X"FF",X"FF",X"FF",X"F3",X"33",X"33", + X"F0",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"0F",X"00",X"00",X"00",X"00",X"0F",X"00",X"00", + X"33",X"33",X"33",X"33",X"33",X"33",X"C2",X"33",X"0C",X"22",X"00",X"CC",X"00",X"00",X"00",X"00", + X"BB",X"FF",X"FF",X"CF",X"FF",X"FF",X"FF",X"FF",X"33",X"FF",X"33",X"33",X"33",X"33",X"33",X"33", + X"33",X"C0",X"33",X"C0",X"32",X"C0",X"32",X"00",X"32",X"00",X"22",X"00",X"22",X"00",X"22",X"00", + X"33",X"33",X"33",X"33",X"33",X"22",X"33",X"22",X"22",X"2C",X"22",X"C0",X"CC",X"00",X"00",X"00", + X"2C",X"00",X"2C",X"00",X"C0",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"03",X"00",X"33",X"00",X"F3",X"03",X"33",X"03",X"33",X"33",X"33", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"03", + X"3F",X"33",X"3F",X"33",X"3F",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"00",X"00",X"00",X"00",X"33",X"00",X"33",X"3C",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"C0",X"00", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"C0",X"00",X"C0",X"00",X"2C",X"00",X"2C",X"00",X"2C",X"00",X"22",X"00",X"22",X"00",X"22",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"03",X"00",X"33",X"00",X"F3",X"03",X"33",X"03",X"33",X"33",X"33",X"3F",X"33", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"03",X"00",X"00",X"33",X"00",X"33", + X"3F",X"33",X"3F",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"00",X"00",X"33",X"00",X"33",X"3C",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"C0",X"00",X"C0",X"00", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"C0",X"00",X"2C",X"00",X"2C",X"00",X"2C",X"00",X"22",X"00",X"22",X"02",X"22",X"22",X"22",X"2C", + X"00",X"33",X"00",X"33",X"00",X"3C",X"00",X"C0",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"00",X"00",X"00",X"0B",X"00",X"0C",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"33",X"33",X"33",X"33",X"33",X"33",X"B3",X"33",X"BB",X"33",X"CC",X"33",X"00",X"CC",X"00",X"00", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"22",X"C0",X"22",X"2C",X"2C",X"2C",X"22",X"22",X"22",X"C2",X"22",X"0C",X"22",X"00",X"2C",X"00", + X"33",X"33",X"33",X"32",X"33",X"22",X"33",X"22",X"23",X"22",X"C2",X"CC",X"C2",X"00",X"0C",X"00", + X"2B",X"00",X"2B",X"00",X"BB",X"00",X"BC",X"00",X"C0",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"C3",X"00",X"0C",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"00",X"00",X"00",X"00",X"00",X"0B",X"00",X"0C",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"33",X"33",X"33",X"33",X"BE",X"33",X"BB",X"33",X"BB",X"FF",X"CC",X"CC",X"00",X"00",X"00",X"00", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"22",X"00",X"2C",X"00",X"22",X"00",X"22",X"00",X"22",X"00",X"22",X"00",X"2C",X"00",X"2C",X"00", + X"33",X"33",X"23",X"32",X"C2",X"22",X"F2",X"FF",X"FF",X"CC",X"CC",X"00",X"00",X"00",X"00",X"00", + X"2C",X"00",X"BB",X"00",X"BB",X"00",X"BB",X"00",X"CC",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22", + X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22", + X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22", + X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22", + X"11",X"11",X"BB",X"11",X"2B",X"A1",X"FF",X"FF",X"44",X"44",X"44",X"44",X"FF",X"FF",X"33",X"33", + X"21",X"11",X"2F",X"21",X"FF",X"F1",X"2F",X"FF",X"BF",X"FF",X"F2",X"F1",X"FF",X"12",X"FF",X"21", + X"33",X"33",X"FF",X"FF",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11", + X"FC",X"CC",X"FC",X"BC",X"FC",X"CC",X"FF",X"FC",X"F2",X"FC",X"FC",X"BB",X"FB",X"11",X"FC",X"CC", + X"FD",X"DD",X"F1",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11", + X"88",X"11",X"87",X"71",X"FF",X"71",X"F2",X"71",X"87",X"AF",X"AA",X"F4",X"77",X"FF",X"44",X"33", + X"DD",X"33",X"11",X"FF",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11", + X"77",X"33",X"44",X"33",X"44",X"33",X"74",X"33",X"94",X"33",X"44",X"33",X"CC",X"33",X"CC",X"33", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"10",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"10", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"10",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"FA",X"FA",X"12",X"12",X"12",X"22",X"22",X"AA",X"AA",X"AA", + X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"FF",X"AA",X"22",X"1A",X"FF",X"22",X"22",X"AA",X"AA", + X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"FF",X"FF",X"2F",X"FF",X"FF",X"2F",X"22",X"2A",X"AA",X"AA", + X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"FF",X"FA",X"FF",X"FF",X"22",X"2F",X"2A",X"2A",X"AA",X"AA", + X"11",X"22",X"12",X"12",X"12",X"12",X"12",X"12",X"12",X"12",X"12",X"12",X"11",X"22",X"22",X"22", + X"21",X"22",X"11",X"22",X"21",X"22",X"21",X"22",X"21",X"22",X"21",X"22",X"21",X"22",X"22",X"22", + X"11",X"22",X"22",X"12",X"22",X"12",X"11",X"22",X"12",X"22",X"12",X"22",X"11",X"12",X"22",X"22", + X"11",X"22",X"12",X"12",X"22",X"12",X"22",X"22",X"22",X"12",X"12",X"12",X"11",X"22",X"22",X"22", + X"22",X"22",X"21",X"22",X"12",X"22",X"22",X"22",X"22",X"22",X"11",X"12",X"22",X"22",X"22",X"22", + X"11",X"12",X"12",X"22",X"11",X"22",X"22",X"12",X"22",X"12",X"12",X"12",X"11",X"22",X"22",X"22", + X"11",X"22",X"12",X"12",X"12",X"22",X"11",X"22",X"12",X"12",X"12",X"12",X"11",X"22",X"22",X"22", + X"11",X"12",X"22",X"12",X"22",X"22",X"21",X"22",X"21",X"22",X"21",X"22",X"21",X"22",X"22",X"22", + X"11",X"22",X"12",X"12",X"12",X"12",X"11",X"22",X"12",X"12",X"12",X"12",X"11",X"22",X"22",X"22", + X"11",X"22",X"12",X"12",X"12",X"12",X"11",X"12",X"22",X"12",X"12",X"12",X"11",X"22",X"22",X"22", + X"33",X"33",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"33",X"33",X"00",X"03",X"00",X"03",X"00",X"03",X"00",X"03",X"00",X"03",X"00",X"03",X"00",X"03", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"01",X"00",X"11",X"00",X"11",X"00",X"11",X"00",X"0A",X"00",X"10",X"00",X"1A",X"00",X"AA",X"00", + X"DD",X"DD",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11", + X"DD",X"D2",X"11",X"12",X"11",X"12",X"11",X"12",X"11",X"12",X"11",X"12",X"11",X"12",X"11",X"12", + X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"FA",X"AF",X"2F",X"FF",X"2F",X"F2",X"2A",X"A2",X"AA",X"AA", + X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"FA",X"FA",X"F2",X"F2",X"FF",X"F2",X"A2",X"A2",X"AA",X"AA", + X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"FA",X"FF",X"F2",X"AF",X"F2",X"AF",X"A2",X"AA",X"AA",X"AA", + X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"FF",X"FF",X"FF",X"F2",X"FF",X"FF",X"A2",X"A2",X"AA",X"AA", + X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"33",X"33", + X"00",X"00",X"10",X"A0",X"01",X"00",X"10",X"00",X"0A",X"00",X"A0",X"A0",X"00",X"00",X"00",X"00", + X"00",X"03",X"00",X"03",X"00",X"03",X"00",X"03",X"00",X"03",X"00",X"03",X"00",X"03",X"33",X"33", + X"FF",X"FF",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"FF",X"FF",X"AA",X"22",X"AA",X"22",X"AA",X"22", + X"FF",X"FF",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"FF",X"FF",X"AA",X"AA",X"2A",X"AA",X"2A",X"AA", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"11",X"00",X"11",X"00",X"0A",X"00", + X"22",X"AA",X"22",X"AA",X"22",X"AA",X"22",X"AA",X"22",X"2A",X"FF",X"FF",X"EE",X"EE",X"EE",X"EE", + X"11",X"00",X"13",X"10",X"13",X"13",X"13",X"13",X"13",X"13",X"13",X"13",X"11",X"03",X"33",X"30", + X"11",X"00",X"11",X"00",X"11",X"00",X"11",X"00",X"11",X"00",X"11",X"00",X"11",X"00",X"03",X"00", + X"11",X"00",X"33",X"10",X"00",X"13",X"11",X"33",X"13",X"30",X"13",X"00",X"11",X"10",X"33",X"33", + X"11",X"00",X"33",X"10",X"00",X"13",X"01",X"33",X"00",X"10",X"00",X"13",X"11",X"33",X"33",X"30", + X"01",X"00",X"11",X"30",X"01",X"30",X"31",X"30",X"01",X"30",X"11",X"10",X"31",X"33",X"00",X"30", + X"11",X"10",X"13",X"33",X"11",X"00",X"33",X"10",X"30",X"13",X"00",X"13",X"11",X"33",X"33",X"30", + X"11",X"00",X"13",X"10",X"13",X"03",X"11",X"00",X"13",X"10",X"13",X"13",X"11",X"03",X"33",X"30", + X"11",X"10",X"33",X"13",X"01",X"33",X"01",X"30",X"11",X"30",X"11",X"00",X"11",X"00",X"03",X"00", + X"11",X"00",X"13",X"10",X"13",X"13",X"11",X"03",X"13",X"10",X"13",X"13",X"11",X"03",X"33",X"30", + X"11",X"00",X"33",X"10",X"00",X"13",X"11",X"13",X"33",X"13",X"00",X"13",X"11",X"33",X"33",X"30", + X"AA",X"22",X"AA",X"22",X"A2",X"22",X"22",X"22",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA", + X"22",X"AA",X"22",X"AA",X"22",X"AA",X"22",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA", + X"00",X"00",X"00",X"00",X"11",X"10",X"11",X"1A",X"11",X"1A",X"AA",X"AA",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"11",X"00",X"AA",X"A0",X"11",X"00",X"AA",X"A0",X"00",X"00",X"00",X"00", + X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA", + X"11",X"00",X"AA",X"10",X"A0",X"1A",X"01",X"AA",X"11",X"A0",X"0A",X"00",X"11",X"00",X"0A",X"00", + X"AA",X"22",X"A2",X"22",X"A2",X"22",X"22",X"22",X"22",X"22",X"FF",X"FF",X"EE",X"EE",X"EE",X"EE", + X"11",X"00",X"AA",X"10",X"00",X"1A",X"00",X"1A",X"11",X"1A",X"AA",X"1A",X"00",X"1A",X"00",X"AA", + X"11",X"00",X"1A",X"10",X"1A",X"1A",X"11",X"0A",X"1A",X"10",X"1A",X"1A",X"11",X"0A",X"AA",X"A0", + X"11",X"00",X"1A",X"10",X"1A",X"0A",X"1A",X"00",X"1A",X"00",X"1A",X"10",X"11",X"0A",X"AA",X"A0", + X"11",X"00",X"1A",X"10",X"1A",X"1A",X"1A",X"1A",X"1A",X"1A",X"1A",X"1A",X"11",X"0A",X"AA",X"A0", + X"11",X"10",X"1A",X"AA",X"1A",X"00",X"11",X"10",X"1A",X"AA",X"1A",X"00",X"11",X"10",X"AA",X"AA", + X"11",X"10",X"1A",X"AA",X"1A",X"00",X"11",X"10",X"1A",X"AA",X"1A",X"00",X"1A",X"00",X"AA",X"00", + X"11",X"00",X"1A",X"10",X"1A",X"0A",X"1A",X"10",X"1A",X"1A",X"1A",X"1A",X"11",X"0A",X"AA",X"A0", + X"10",X"10",X"1A",X"1A",X"1A",X"1A",X"11",X"1A",X"1A",X"1A",X"1A",X"1A",X"1A",X"1A",X"AA",X"0A", + X"11",X"00",X"11",X"00",X"11",X"00",X"11",X"00",X"11",X"00",X"11",X"00",X"11",X"00",X"0A",X"00", + X"01",X"00",X"01",X"A0",X"01",X"A0",X"01",X"A0",X"01",X"A0",X"01",X"A0",X"11",X"A0",X"AA",X"00", + X"10",X"10",X"1A",X"0A",X"1A",X"A0",X"11",X"00",X"1A",X"00",X"1A",X"10",X"1A",X"1A",X"AA",X"AA", + X"10",X"00",X"1A",X"00",X"1A",X"00",X"1A",X"00",X"1A",X"00",X"1A",X"00",X"11",X"10",X"AA",X"AA", + X"11",X"10",X"11",X"1A",X"11",X"1A",X"1A",X"1A",X"1A",X"1A",X"1A",X"1A",X"1A",X"1A",X"AA",X"0A", + X"10",X"10",X"11",X"1A",X"11",X"1A",X"11",X"1A",X"11",X"1A",X"01",X"1A",X"00",X"1A",X"00",X"AA", + X"11",X"00",X"1A",X"10",X"1A",X"1A",X"1A",X"1A",X"1A",X"1A",X"1A",X"1A",X"11",X"0A",X"AA",X"A0", + X"11",X"00",X"1A",X"10",X"1A",X"1A",X"1A",X"1A",X"11",X"0A",X"1A",X"A0",X"1A",X"00",X"AA",X"00", + X"11",X"00",X"1A",X"10",X"1A",X"1A",X"1A",X"1A",X"1A",X"1A",X"1A",X"1A",X"11",X"0A",X"AA",X"A0", + X"11",X"00",X"1A",X"10",X"1A",X"1A",X"1A",X"1A",X"11",X"0A",X"1A",X"10",X"1A",X"1A",X"AA",X"0A", + X"11",X"00",X"1A",X"10",X"1A",X"0A",X"11",X"00",X"AA",X"10",X"00",X"1A",X"11",X"AA",X"AA",X"A0", + X"11",X"10",X"11",X"AA",X"11",X"00",X"11",X"00",X"11",X"00",X"11",X"00",X"11",X"00",X"0A",X"00", + X"10",X"10",X"1A",X"1A",X"1A",X"1A",X"1A",X"1A",X"1A",X"1A",X"1A",X"1A",X"11",X"0A",X"AA",X"A0", + X"10",X"10",X"1A",X"1A",X"1A",X"1A",X"1A",X"1A",X"1A",X"1A",X"1A",X"0A",X"11",X"A0",X"0A",X"00", + X"10",X"10",X"1A",X"1A",X"1A",X"1A",X"1A",X"1A",X"1A",X"1A",X"1A",X"1A",X"11",X"0A",X"AA",X"A0", + X"10",X"10",X"1A",X"1A",X"1A",X"1A",X"11",X"0A",X"AA",X"10",X"00",X"1A",X"00",X"1A",X"00",X"AA", + X"10",X"10",X"1A",X"1A",X"1A",X"1A",X"11",X"0A",X"11",X"A0",X"11",X"00",X"11",X"00",X"0A",X"00", + X"11",X"10",X"AA",X"1A",X"01",X"AA",X"11",X"A0",X"11",X"00",X"1A",X"00",X"11",X"10",X"AA",X"AA", + X"70",X"00",X"77",X"70",X"77",X"70",X"77",X"70",X"77",X"00",X"77",X"00",X"07",X"00",X"00",X"00", + X"00",X"04",X"73",X"42",X"77",X"20",X"72",X"20",X"28",X"72",X"07",X"72",X"02",X"20",X"00",X"00", + X"00",X"00",X"00",X"34",X"C0",X"24",X"CB",X"42",X"C2",X"20",X"2C",X"B0",X"2C",X"B0",X"02",X"20", + X"00",X"00",X"CC",X"00",X"FC",X"00",X"CC",X"30",X"CC",X"B0",X"CC",X"00",X"BB",X"00",X"00",X"00", + X"03",X"00",X"83",X"00",X"88",X"70",X"88",X"70",X"88",X"70",X"77",X"00",X"77",X"00",X"00",X"00", + X"F2",X"F1",X"F2",X"2F",X"FF",X"2F",X"22",X"F1",X"EF",X"11",X"FF",X"11",X"FF",X"11",X"FF",X"44", + X"BB",X"B2",X"AB",X"BB",X"AA",X"BB",X"AB",X"BB",X"AA",X"BF",X"AB",X"FF",X"AB",X"FF",X"4B",X"FF", + X"FF",X"44",X"CC",X"49",X"AA",X"49",X"CA",X"44",X"CC",X"44",X"CF",X"C4",X"FF",X"C4",X"FF",X"C4", + X"44",X"FF",X"44",X"BF",X"44",X"BA",X"44",X"4A",X"44",X"4A",X"44",X"41",X"C4",X"1C",X"CC",X"C1", + X"B2",X"77",X"BB",X"77",X"F1",X"77",X"2B",X"72",X"FF",X"77",X"CF",X"CC",X"CC",X"CC",X"CC",X"CC", + X"77",X"FF",X"77",X"FF",X"71",X"FF",X"77",X"F2",X"77",X"91",X"91",X"11",X"81",X"11",X"89",X"91", + X"CC",X"FF",X"FF",X"FF",X"FF",X"F2",X"FF",X"CA",X"AA",X"2C",X"CC",X"CC",X"CC",X"CC",X"AA",X"C1", + X"99",X"F9",X"99",X"29",X"99",X"29",X"89",X"91",X"88",X"9E",X"99",X"9E",X"89",X"9E",X"88",X"81", + X"22",X"2F",X"22",X"2F",X"22",X"F1",X"22",X"F1",X"22",X"11",X"22",X"11",X"22",X"11",X"22",X"11", + X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"F2",X"22",X"F2",X"22",X"1F",X"22",X"1F",X"22", + X"2F",X"11",X"2F",X"11",X"F1",X"11",X"F1",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11", + X"11",X"22",X"11",X"22",X"11",X"22",X"11",X"22",X"11",X"F2",X"11",X"F2",X"11",X"1F",X"11",X"1F", + X"22",X"DE",X"22",X"EE",X"22",X"EE",X"22",X"EE",X"22",X"EE",X"22",X"EE",X"2D",X"EE",X"2E",X"EE", + X"22",X"22",X"22",X"22",X"D2",X"22",X"E2",X"22",X"ED",X"22",X"EE",X"22",X"EE",X"22",X"EE",X"22", + X"DE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"FF",X"FF",X"DD",X"DD",X"DD",X"DD",X"FF",X"FF", + X"EE",X"22",X"EE",X"22",X"EE",X"D2",X"EE",X"E2",X"FF",X"F2",X"DD",X"F2",X"DD",X"F2",X"FF",X"F2", + X"AA",X"AF",X"AA",X"AF",X"AA",X"F1",X"AA",X"F1",X"AA",X"11",X"AA",X"11",X"AA",X"11",X"AA",X"11", + X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"FA",X"AA",X"FA",X"AA",X"1F",X"AA",X"1F",X"AA", + X"AF",X"11",X"AF",X"11",X"F1",X"11",X"F1",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11", + X"11",X"AA",X"11",X"AA",X"11",X"AA",X"11",X"AA",X"11",X"FA",X"11",X"FA",X"11",X"1F",X"11",X"1F", + X"AA",X"AD",X"AA",X"AE",X"AA",X"DE",X"AA",X"EE",X"AA",X"EE",X"AA",X"EE",X"AA",X"EE",X"AA",X"EE", + X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"DA",X"AA",X"EA",X"AA",X"ED",X"AA",X"EE",X"AA", + X"AD",X"EE",X"AE",X"EE",X"DE",X"EE",X"EE",X"EE",X"FF",X"FF",X"FD",X"DD",X"FD",X"DD",X"FF",X"FF", + X"EE",X"AA",X"EE",X"AA",X"EE",X"AA",X"EE",X"AA",X"FF",X"AA",X"DD",X"AA",X"DD",X"AA",X"FF",X"AA", + X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"FF",X"FF",X"EE",X"EE",X"EE",X"EE", + X"FF",X"FF",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"FF",X"FF",X"22",X"22",X"22",X"22",X"22",X"22", + X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"2D",X"22",X"2E", + X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22", + X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"01",X"00",X"01",X"00", + X"11",X"00",X"11",X"10",X"11",X"10",X"11",X"10",X"11",X"10",X"11",X"10",X"01",X"10",X"01",X"10", + X"00",X"01",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"00",X"00", + X"00",X"10",X"11",X"10",X"11",X"10",X"11",X"10",X"11",X"10",X"11",X"10",X"11",X"00",X"00",X"00", + X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AF",X"AA",X"EF",X"AA",X"F1",X"AA",X"E1", + X"F1",X"11",X"E1",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11", + X"AA",X"11",X"AA",X"11",X"AF",X"11",X"EF",X"11",X"F1",X"11",X"E1",X"11",X"11",X"11",X"11",X"11", + X"AA",X"AF",X"AA",X"EF",X"AA",X"F1",X"AA",X"E1",X"AA",X"11",X"AA",X"11",X"AF",X"11",X"EF",X"11", + X"11",X"AA",X"11",X"FA",X"11",X"FE",X"11",X"1F",X"11",X"1E",X"11",X"11",X"11",X"11",X"11",X"11", + X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"FA",X"AA", + X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"FA",X"AA",X"FE",X"AA",X"1F",X"AA",X"1E",X"AA",X"11",X"AA", + X"FE",X"AA",X"1F",X"AA",X"1E",X"AA",X"11",X"AA",X"11",X"AA",X"11",X"FA",X"11",X"FE",X"11",X"1F", + X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11", + X"FF",X"FF",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"FF",X"FF",X"22",X"22",X"22",X"22",X"22",X"22", + X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"FF",X"FF",X"EE",X"EE",X"EE",X"EE", + X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE", + X"AA",X"AA",X"AA",X"AA",X"AA",X"AE",X"AA",X"DE",X"AA",X"EE",X"AA",X"EE",X"AA",X"EE",X"AA",X"EE", + X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"EA",X"AA",X"ED",X"AA",X"EE",X"AA", + X"AE",X"EE",X"DE",X"EE",X"EE",X"EE",X"EE",X"EE",X"FF",X"FF",X"DD",X"DD",X"DD",X"DD",X"FF",X"FF", + X"EE",X"AA",X"EE",X"AA",X"EE",X"AA",X"EE",X"EA",X"FF",X"FA",X"DD",X"FA",X"DD",X"FA",X"FF",X"FA", + X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"FF",X"FF",X"EE",X"EE",X"EE",X"EE", + X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"FF",X"AA",X"EE",X"AA",X"EE",X"EA", + X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"FF",X"FF",X"DD",X"DD",X"DD",X"DD",X"FF",X"FF", + X"11",X"12",X"11",X"2A",X"11",X"AA",X"11",X"AA",X"12",X"AA",X"2A",X"AA",X"AA",X"AA",X"AA",X"AA", + X"11",X"11",X"11",X"11",X"21",X"11",X"A2",X"11",X"AA",X"11",X"AA",X"11",X"AA",X"21",X"AA",X"A2", + X"DD",X"DD",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"DD",X"00",X"11",X"0D",X"11",X"D1",X"11",X"11",X"11", + X"0D",X"11",X"D1",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"0D",X"00",X"D1",X"00",X"11", + X"00",X"0D",X"00",X"D1",X"00",X"11",X"DD",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11", + X"11",X"00",X"11",X"D0",X"11",X"1D",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"D0",X"00",X"1D",X"00",X"11",X"00",X"11",X"DD", + X"00",X"00",X"D0",X"00",X"1D",X"00",X"11",X"00",X"11",X"D0",X"11",X"1D",X"11",X"11",X"11",X"11", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"DD",X"00", + X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11", + X"FF",X"FF",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11", + X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA", + X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"22",X"22", + X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"22",X"22", + X"11",X"12",X"11",X"12",X"11",X"12",X"11",X"12",X"11",X"12",X"11",X"12",X"11",X"12",X"22",X"22", + X"DD",X"DD",X"EE",X"EE",X"EE",X"EE",X"DD",X"AB",X"EE",X"AB",X"EE",X"FA",X"DD",X"CA",X"EE",X"AC", + X"88",X"56",X"CC",X"56",X"CC",X"88",X"BA",X"88",X"BA",X"88",X"AC",X"CC",X"BC",X"CC",X"FF",X"FF", + X"EE",X"AA",X"DD",X"AA",X"EE",X"AA",X"EE",X"AA",X"D5",X"A2",X"55",X"55",X"EC",X"EE",X"5C",X"EE", + X"CC",X"CF",X"CB",X"CF",X"BF",X"FF",X"AE",X"EE",X"CC",X"CC",X"2C",X"FC",X"EE",X"FC",X"EE",X"CC", + X"B2",X"B2",X"AA",X"AB",X"FA",X"FA",X"FA",X"FA",X"AA",X"AB",X"AC",X"BB",X"AA",X"AB",X"2B",X"44", + X"55",X"52",X"29",X"82",X"99",X"98",X"99",X"99",X"F9",X"99",X"55",X"99",X"55",X"88",X"29",X"82", + X"BB",X"44",X"CB",X"BC",X"BF",X"BB",X"BC",X"BB",X"BF",X"BB",X"FF",X"44",X"FF",X"BB",X"FF",X"BB", + X"EE",X"E2",X"CE",X"E2",X"EE",X"E2",X"EE",X"EE",X"EE",X"EE",X"CE",X"EE",X"CE",X"EE",X"2E",X"E2", + X"BF",X"BB",X"BF",X"44",X"CB",X"4B",X"CF",X"BB",X"FF",X"2E",X"FA",X"AE",X"FA",X"A2",X"EA",X"AA", + X"EE",X"ED",X"EE",X"EC",X"EE",X"CC",X"EE",X"EE",X"EE",X"EE",X"AE",X"BB",X"AE",X"2B",X"BA",X"22", + X"FF",X"FF",X"CC",X"CF",X"CA",X"AF",X"FF",X"AA",X"AA",X"AA",X"1A",X"BB",X"21",X"AA",X"22",X"AB", + X"AA",X"22",X"AA",X"99",X"AB",X"99",X"A9",X"9F",X"BF",X"9C",X"AF",X"9F",X"A9",X"59",X"AA",X"99", + X"21",X"21",X"21",X"22",X"FF",X"F1",X"22",X"2F",X"2B",X"2F",X"FF",X"F1",X"1F",X"12",X"F2",X"21", + X"BB",X"22",X"BB",X"A2",X"2B",X"BA",X"B2",X"BA",X"2F",X"BA",X"BB",X"A2",X"FF",X"BA",X"FF",X"BA", + X"CF",X"C1",X"CF",X"AC",X"CC",X"C1",X"FF",X"CB",X"F2",X"CB",X"CC",X"11",X"1C",X"BB",X"CC",X"11", + X"EF",X"EA",X"EF",X"2E",X"EE",X"E1",X"2E",X"2E",X"EE",X"EE",X"EE",X"EE",X"EE",X"11",X"EE",X"EE", + X"21",X"21",X"21",X"22",X"FF",X"21",X"22",X"2F",X"2B",X"BF",X"FF",X"FF",X"2F",X"22",X"C2",X"C1", + X"BB",X"22",X"2B",X"A2",X"B2",X"BA",X"2F",X"BA",X"BA",X"BA",X"BB",X"A1",X"FF",X"BA",X"EF",X"EA", + X"CF",X"AC",X"CC",X"C1",X"FF",X"CA",X"F2",X"CA",X"CC",X"C1",X"C2",X"1A",X"CC",X"A1",X"CC",X"11", + X"EF",X"2E",X"EE",X"E2",X"2E",X"2E",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"11",X"EE",X"E1", + X"DA",X"DA",X"FE",X"DA",X"EE",X"ED",X"2E",X"ED",X"E2",X"ED",X"E2",X"DD",X"EE",X"EE",X"EE",X"ED", + X"35",X"4D",X"FF",X"54",X"F2",X"54",X"57",X"54",X"35",X"DD",X"55",X"4D",X"55",X"54",X"25",X"24", + X"CC",X"BD",X"CC",X"CB",X"C1",X"CB",X"F1",X"11",X"F1",X"11",X"88",X"12",X"11",X"2B",X"11",X"11", + X"25",X"24",X"FF",X"FD",X"FF",X"FF",X"2F",X"FF",X"AF",X"FF",X"F2",X"FD",X"2F",X"D2",X"2F",X"22", + X"DA",X"DA",X"FE",X"DA",X"2E",X"ED",X"E2",X"ED",X"E2",X"ED",X"EE",X"DD",X"EE",X"EE",X"CC",X"BE", + X"35",X"5D",X"FF",X"55",X"2F",X"55",X"57",X"55",X"35",X"DD",X"55",X"5D",X"55",X"55",X"22",X"25", + X"CC",X"CB",X"1C",X"1B",X"FF",X"F1",X"F2",X"21",X"17",X"1C",X"11",X"1C",X"11",X"1D",X"BB",X"1B", + X"22",X"2D",X"FF",X"FD",X"FF",X"FF",X"22",X"2F",X"2A",X"2F",X"FF",X"FD",X"2F",X"22",X"FF",X"2D", + X"DD",X"DD",X"EE",X"EE",X"EE",X"EE",X"DD",X"DD",X"EE",X"AB",X"EE",X"AB",X"DD",X"FA",X"EE",X"CA", + X"88",X"68",X"CC",X"58",X"AA",X"88",X"2A",X"88",X"B2",X"88",X"AC",X"CC",X"AC",X"FF",X"FC",X"CC", + X"EE",X"AC",X"DD",X"AA",X"EE",X"AB",X"EE",X"AA",X"D5",X"AA",X"55",X"55",X"EC",X"EE",X"55",X"EE", + X"FB",X"BC",X"FF",X"FF",X"BF",X"EF",X"CC",X"CC",X"FC",X"FC",X"2F",X"FF",X"EE",X"FC",X"EE",X"CC", + X"AB",X"AB",X"AA",X"AB",X"FA",X"FA",X"CA",X"FA",X"AC",X"AB",X"2A",X"BB",X"AA",X"AB",X"2A",X"AB", + X"BB",X"BB",X"B9",X"8B",X"99",X"98",X"F9",X"99",X"F9",X"99",X"55",X"99",X"99",X"88",X"29",X"82", + X"2B",X"44",X"BB",X"B4",X"CB",X"BC",X"BF",X"BB",X"BC",X"BB",X"2F",X"44",X"FF",X"BB",X"FF",X"BB", + X"EE",X"EE",X"EC",X"CE",X"EE",X"EE",X"EE",X"EE",X"CE",X"EE",X"EC",X"EE",X"EC",X"EE",X"2E",X"E2", + X"F7",X"77",X"FF",X"27",X"22",X"72",X"22",X"77",X"81",X"77",X"98",X"77",X"98",X"1C",X"99",X"1C", + X"1B",X"BB",X"71",X"BB",X"71",X"F2",X"7B",X"2F",X"BB",X"FF",X"BB",X"CF",X"B1",X"CC",X"CC",X"CF", + X"9F",X"8C",X"92",X"8C",X"92",X"8C",X"29",X"8B",X"99",X"11",X"99",X"CC",X"99",X"8B",X"88",X"BB", + X"CC",X"CC",X"CF",X"CC",X"C2",X"CC",X"CC",X"CA",X"CA",X"AA",X"CC",X"A1",X"CC",X"AA",X"CC",X"A2", + X"BB",X"AA",X"BB",X"AA",X"AA",X"AE",X"BB",X"E1",X"2B",X"E1",X"B2",X"A1",X"BB",X"A1",X"BB",X"44", + X"22",X"22",X"C2",X"C2",X"22",X"F2",X"FF",X"FF",X"FE",X"FF",X"12",X"EE",X"22",X"FF",X"2F",X"FF", + X"B4",X"44",X"44",X"49",X"44",X"77",X"44",X"77",X"44",X"4C",X"44",X"CC",X"44",X"C4",X"11",X"44", + X"FF",X"FF",X"4C",X"FC",X"4C",X"FC",X"4C",X"CC",X"CC",X"CC",X"CF",X"FF",X"CF",X"FF",X"C2",X"2F", + X"55",X"CE",X"55",X"EC",X"15",X"EC",X"21",X"EE",X"22",X"EE",X"22",X"11",X"22",X"22",X"22",X"22", + X"EE",X"C2",X"EE",X"C2",X"EE",X"CF",X"EC",X"FF",X"EE",X"CF",X"EE",X"BF",X"E2",X"FC",X"1C",X"CF", + X"FF",X"FF",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"FF",X"FF",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA", + X"21",X"FF",X"22",X"FF",X"22",X"1F",X"22",X"21",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22", + X"BF",X"BB",X"CF",X"4B",X"CB",X"BB",X"CF",X"B4",X"FF",X"A4",X"FF",X"BA",X"FE",X"BA",X"EA",X"AA", + X"EE",X"ED",X"EE",X"ED",X"EE",X"EC",X"EE",X"CC",X"EE",X"ED",X"AA",X"ED",X"BB",X"BB",X"BB",X"DB", + X"FA",X"AF",X"CA",X"AC",X"CA",X"AA",X"FF",X"AA",X"FF",X"BA",X"1F",X"AB",X"21",X"AA",X"22",X"AA", + X"AA",X"D2",X"AA",X"99",X"AB",X"99",X"A9",X"9F",X"BF",X"9F",X"BF",X"9C",X"A9",X"99",X"AA",X"55", + X"BB",X"B4",X"BB",X"BB",X"BB",X"BC",X"FB",X"BB",X"CB",X"BB",X"B4",X"44",X"FB",X"BB",X"FF",X"BB", + X"AA",X"B2",X"CC",X"B2",X"CC",X"CC",X"CF",X"CC",X"FF",X"FC",X"CF",X"CF",X"CF",X"CF",X"FC",X"CF", + X"FF",X"CB",X"8F",X"B4",X"98",X"4C",X"99",X"42",X"FE",X"22",X"EC",X"2E",X"CC",X"EC",X"EE",X"EE", + X"FF",X"FF",X"CF",X"EE",X"CF",X"FC",X"FF",X"CC",X"FF",X"FC",X"EF",X"CC",X"EF",X"CC",X"EA",X"C2", + X"AA",X"88",X"AA",X"88",X"AA",X"88",X"2A",X"C8",X"2A",X"C8",X"22",X"BB",X"8F",X"BB",X"BB",X"BB", + X"AA",X"AA",X"BB",X"AA",X"CC",X"BB",X"BA",X"CC",X"BA",X"AA",X"4B",X"AA",X"44",X"BB",X"44",X"CC", + X"BB",X"BB",X"CB",X"CB",X"CB",X"CB",X"BF",X"BB",X"BF",X"BB",X"2C",X"BB",X"2F",X"FB",X"BF",X"FF", + X"CC",X"AA",X"BB",X"AA",X"BB",X"4A",X"BB",X"4A",X"BB",X"42",X"B4",X"25",X"44",X"2C",X"44",X"55", + X"BB",X"B4",X"BB",X"BB",X"FB",X"BC",X"CB",X"BB",X"4B",X"BB",X"F4",X"44",X"FF",X"BB",X"FF",X"CB", + X"AA",X"B2",X"CC",X"B2",X"CC",X"CC",X"CF",X"CC",X"FF",X"FC",X"FC",X"FF",X"FB",X"FF",X"FF",X"FF", + X"FF",X"BB",X"8F",X"BC",X"98",X"42",X"99",X"42",X"F9",X"2C",X"FE",X"2E",X"EC",X"2E",X"EC",X"EE", + X"CF",X"FF",X"FF",X"EE",X"CF",X"FC",X"FF",X"CC",X"FF",X"FC",X"FF",X"CC",X"EF",X"CC",X"EB",X"C2", + X"22",X"DD",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22", + X"CC",X"33",X"DC",X"33",X"1D",X"33",X"11",X"23",X"11",X"D3",X"11",X"1D",X"11",X"11",X"11",X"11", + X"3C",X"CD",X"33",X"D1",X"23",X"11",X"DD",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11", + X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22", + X"EE",X"EE",X"CE",X"CE",X"EC",X"EE",X"EC",X"EE",X"DE",X"EE",X"EE",X"DD",X"DE",X"EE",X"EE",X"EE", + X"AB",X"A2",X"AB",X"AB",X"DA",X"AA",X"DA",X"AA",X"4F",X"AF",X"BF",X"AF",X"BF",X"AC",X"BA",X"AC", + X"11",X"ED",X"22",X"EE",X"22",X"1D",X"22",X"21",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22", + X"BB",X"AA",X"2B",X"AA",X"BB",X"BB",X"BA",X"AA",X"BA",X"AA",X"1A",X"AA",X"21",X"AA",X"22",X"AA", + X"2A",X"CA",X"BA",X"44",X"BB",X"44",X"AA",X"44",X"AA",X"BA",X"FA",X"BB",X"FA",X"AB",X"FA",X"AB", + X"BB",X"45",X"BB",X"45",X"BB",X"25",X"CC",X"25",X"CC",X"2C",X"CC",X"FC",X"CF",X"FF",X"FF",X"FF", + X"AA",X"BB",X"AA",X"BF",X"AB",X"2F",X"BB",X"2F",X"AA",X"2F",X"AA",X"22",X"AA",X"22",X"AC",X"2A", + X"FF",X"FF",X"CC",X"CC",X"CC",X"CC",X"BC",X"BC",X"CF",X"CC",X"FC",X"FC",X"FF",X"FF",X"2F",X"FF", + X"AA",X"88",X"AA",X"88",X"AA",X"88",X"AA",X"8C",X"AA",X"C8",X"28",X"BB",X"8F",X"BB",X"BB",X"BB", + X"AA",X"AA",X"AA",X"AA",X"CC",X"AA",X"BA",X"CC",X"BA",X"AA",X"4B",X"AA",X"44",X"BB",X"44",X"AA", + X"CC",X"CC",X"CB",X"CB",X"BF",X"BB",X"BF",X"BB",X"BC",X"BB",X"2B",X"BB",X"2F",X"FB",X"BF",X"FF", + X"BB",X"AA",X"BC",X"AA",X"BB",X"4A",X"BB",X"4A",X"BB",X"45",X"B4",X"2C",X"44",X"2C",X"44",X"55", + X"EE",X"EE",X"EE",X"EE",X"CC",X"EE",X"CC",X"EE",X"EE",X"ED",X"EE",X"DE",X"EE",X"EE",X"EE",X"EE", + X"AB",X"A2",X"AB",X"BB",X"2A",X"AA",X"4A",X"AA",X"4F",X"AF",X"BF",X"AF",X"BF",X"AF",X"BF",X"AC", + X"11",X"DD",X"22",X"EE",X"22",X"1E",X"22",X"21",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22", + X"4B",X"AA",X"A4",X"AA",X"AA",X"BB",X"BA",X"AA",X"BA",X"AA",X"1A",X"AA",X"21",X"AA",X"22",X"AA", + X"AA",X"AF",X"AC",X"4A",X"BC",X"4A",X"AB",X"4A",X"AA",X"A2",X"FA",X"B2",X"FA",X"B2",X"AA",X"B2", + X"BB",X"45",X"BB",X"45",X"CC",X"25",X"CC",X"25",X"CC",X"22",X"CC",X"FF",X"FF",X"FF",X"FF",X"FF", + X"AA",X"B2",X"AA",X"2F",X"BB",X"2F",X"AB",X"2F",X"AB",X"2F",X"BB",X"22",X"BC",X"2A",X"AA",X"AB", + X"CC",X"CC",X"CC",X"CC",X"CC",X"BC",X"CF",X"CC",X"FC",X"FC",X"CF",X"FF",X"FF",X"FF",X"2F",X"FF", + X"AC",X"B2",X"CA",X"CC",X"1A",X"CC",X"21",X"B2",X"22",X"22",X"22",X"12",X"22",X"21",X"22",X"22", + X"CF",X"EE",X"CF",X"FF",X"CF",X"FF",X"FF",X"FF",X"FF",X"FF",X"CF",X"FF",X"CC",X"FF",X"CC",X"FF", + X"FF",X"FF",X"DD",X"DF",X"DD",X"DF",X"DD",X"DF",X"FF",X"FF",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA", + X"11",X"CC",X"22",X"CC",X"22",X"1C",X"22",X"21",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"33",X"03",X"33",X"3F",X"33",X"F3",X"33",X"F3",X"33",X"F3",X"33", + X"00",X"00",X"00",X"00",X"00",X"03",X"00",X"03",X"00",X"03",X"00",X"33",X"00",X"33",X"00",X"33", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"00",X"00",X"00",X"00",X"2C",X"00",X"33",X"00",X"33",X"C0",X"33",X"2C",X"33",X"2C",X"33",X"22", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"33",X"32",X"33",X"32",X"33",X"32",X"33",X"32",X"33",X"32",X"33",X"32",X"33",X"33",X"33",X"33", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"C0",X"00",X"C0",X"00",X"2C",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"03",X"00",X"03",X"00",X"3F",X"00",X"3F", + X"00",X"00",X"03",X"33",X"33",X"33",X"F3",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"00",X"3F",X"00",X"3F",X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"33",X"33",X"33", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"00",X"00",X"00",X"00",X"2C",X"00",X"32",X"00",X"32",X"00",X"33",X"00",X"33",X"C0",X"33",X"C0", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"33",X"C0",X"33",X"2C",X"33",X"2C",X"33",X"2C",X"33",X"22",X"33",X"22",X"33",X"22",X"33",X"22", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"33",X"03",X"33",X"33",X"C3",X"3C",X"33",X"C0",X"33",X"00",X"33",X"00",X"33",X"00",X"C3", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"00",X"B3",X"00",X"B3",X"00",X"BB",X"00",X"CB",X"00",X"0C",X"00",X"00",X"00",X"00",X"00",X"00", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"32",X"C3",X"32",X"0C",X"2C",X"00",X"20", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"32",X"33",X"32",X"33",X"32",X"33",X"32", + X"22",X"00",X"22",X"00",X"C2",X"C0",X"CC",X"20",X"C0",X"C0",X"C0",X"00",X"C0",X"00",X"00",X"00", + X"33",X"22",X"33",X"22",X"33",X"22",X"33",X"2B",X"33",X"BB",X"32",X"CC",X"22",X"00",X"CC",X"00", + X"C0",X"00",X"B0",X"00",X"C0",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"C3",X"33",X"0C",X"33",X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"33",X"0B",X"33",X"BB",X"33", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"CB",X"33",X"0C",X"33",X"00",X"B3",X"00",X"CC",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"32",X"33",X"32",X"C3",X"2C",X"0C",X"2C",X"00",X"C0", + X"33",X"22",X"33",X"22",X"33",X"22",X"33",X"22",X"33",X"22",X"33",X"22",X"33",X"22",X"33",X"22", + X"C0",X"00",X"C0",X"00",X"20",X"00",X"C2",X"00",X"0C",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"33",X"2C",X"33",X"2C",X"32",X"BC",X"22",X"BB",X"22",X"BB",X"22",X"CC",X"CC",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"B0",X"00",X"C0",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"33",X"03",X"33",X"33",X"33",X"3F",X"33",X"FF",X"33",X"F3",X"33",X"F3",X"33",X"33",X"33", + X"00",X"03",X"00",X"03",X"00",X"03",X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"33",X"03",X"33", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"2C",X"00",X"33",X"00",X"33",X"C0",X"33",X"2C",X"33",X"2C",X"33",X"22",X"33",X"32",X"33",X"32", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"33",X"32",X"33",X"32",X"33",X"32",X"33",X"32",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"00",X"00",X"00",X"00",X"00",X"00",X"C0",X"00",X"C0",X"00",X"C0",X"00",X"2C",X"00",X"22",X"02", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"33",X"00",X"33",X"03",X"33",X"33",X"33",X"3F",X"33",X"3F",X"33",X"F3",X"33", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"03",X"00",X"03",X"00",X"03",X"00",X"33", + X"F3",X"33",X"F3",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"00",X"00",X"32",X"00",X"33",X"C0",X"33",X"2C",X"33",X"22",X"33",X"32",X"33",X"32",X"33",X"33", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"00",X"00",X"C0",X"00",X"C0",X"00",X"C0",X"00",X"2C",X"00",X"2C",X"00",X"2C",X"00",X"22",X"00", + X"33",X"33",X"C3",X"C3",X"0C",X"33",X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"C3",X"00",X"03", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"00",X"BB",X"00",X"BB",X"00",X"BB",X"00",X"CC",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"33",X"33",X"33",X"32",X"33",X"32",X"CC",X"2C",X"00",X"2C",X"00",X"C0",X"00",X"00",X"00",X"00", + X"33",X"33",X"33",X"33",X"33",X"32",X"33",X"32",X"33",X"32",X"33",X"32",X"33",X"22",X"33",X"22", + X"22",X"2C",X"22",X"C0",X"CC",X"00",X"C0",X"00",X"C0",X"00",X"C0",X"00",X"00",X"00",X"00",X"00", + X"33",X"22",X"33",X"2B",X"33",X"BB",X"22",X"CC",X"CC",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"BC",X"00",X"BC",X"00",X"C0",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"C3",X"00",X"C3",X"00",X"C3",X"03",X"03",X"0C",X"03",X"00",X"03",X"00",X"03",X"00",X"0C", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"BB",X"00",X"BB",X"00",X"CC",X"00",X"00",X"00",X"00", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"32",X"33",X"32",X"CC",X"2C",X"00",X"2C",X"00",X"CC", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"22",X"C0",X"C2",X"22",X"2C",X"CC",X"2C",X"00",X"2C",X"00",X"2C",X"00",X"BB",X"00",X"BB",X"00", + X"33",X"32",X"33",X"32",X"33",X"22",X"33",X"2C",X"33",X"C0",X"32",X"00",X"2C",X"00",X"C0",X"00", + X"BB",X"00",X"BC",X"00",X"C0",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"33",X"03",X"33",X"3F",X"33",X"FF",X"33", + X"00",X"00",X"00",X"00",X"00",X"03",X"00",X"03",X"00",X"03",X"00",X"33",X"00",X"33",X"00",X"33", + X"F3",X"33",X"F3",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"2C",X"00",X"33",X"00",X"33",X"C0",X"33",X"2C", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"33",X"22",X"33",X"22",X"33",X"22",X"33",X"32",X"33",X"32",X"33",X"32",X"33",X"32",X"33",X"33", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"C0",X"00",X"C0",X"00",X"C2",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"33",X"03",X"33",X"33",X"33",X"3F",X"33",X"F3",X"33",X"F3",X"33", + X"00",X"00",X"00",X"00",X"00",X"03",X"00",X"03",X"00",X"03",X"00",X"33",X"00",X"33",X"00",X"33", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"00",X"00",X"00",X"00",X"2C",X"00",X"33",X"00",X"33",X"C0",X"33",X"2C",X"33",X"2C",X"33",X"22", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"33",X"32",X"33",X"32",X"33",X"32",X"33",X"32",X"33",X"32",X"33",X"32",X"33",X"33",X"33",X"33", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"20",X"00",X"2C",X"C0",X"CC",X"C2",X"C0",X"22",X"00", + X"00",X"C3",X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"33", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"00",X"C3",X"00",X"B3",X"00",X"B3",X"00",X"CB",X"00",X"0C",X"00",X"00",X"00",X"00",X"00",X"00", + X"33",X"33",X"33",X"33",X"33",X"32",X"33",X"32",X"33",X"2C",X"F3",X"CF",X"CC",X"FF",X"00",X"CC", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"32",X"33",X"32",X"33",X"32", + X"22",X"00",X"22",X"00",X"2C",X"00",X"C0",X"00",X"C0",X"00",X"C0",X"00",X"C0",X"00",X"C0",X"00", + X"33",X"22",X"33",X"22",X"33",X"22",X"33",X"22",X"22",X"FB",X"2F",X"CC",X"FC",X"00",X"C0",X"00", + X"00",X"00",X"BC",X"00",X"C0",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"33",X"00",X"33",X"03",X"33",X"33",X"C3",X"3C",X"33",X"C0",X"33",X"00",X"33",X"00",X"C3", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"00",X"B3",X"00",X"B3",X"00",X"BB",X"00",X"CB",X"00",X"0C",X"00",X"00",X"00",X"00",X"00",X"00", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"32",X"C3",X"32",X"0C",X"2C",X"00",X"2C", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"32",X"33",X"32",X"33",X"32",X"33",X"32", + X"22",X"00",X"22",X"00",X"2C",X"00",X"C0",X"00",X"C0",X"00",X"C0",X"00",X"C0",X"00",X"00",X"00", + X"33",X"22",X"33",X"22",X"33",X"22",X"33",X"2B",X"33",X"BB",X"32",X"CC",X"22",X"00",X"CC",X"00", + X"00",X"00",X"B0",X"00",X"C0",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"33",X"03",X"33",X"33",X"33",X"3F",X"33",X"FF",X"33", + X"00",X"00",X"00",X"00",X"00",X"03",X"00",X"03",X"00",X"03",X"00",X"33",X"00",X"33",X"00",X"33", + X"F3",X"33",X"F3",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"00",X"00",X"00",X"00",X"00",X"00",X"2C",X"00",X"33",X"00",X"33",X"C0",X"33",X"2C",X"33",X"2C", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"33",X"22",X"33",X"32",X"33",X"32",X"33",X"32",X"33",X"32",X"33",X"32",X"33",X"32",X"33",X"33", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"C0",X"00",X"C0",X"00",X"C2",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"33",X"33",X"33",X"3F",X"33",X"FF",X"33",X"FF",X"33", + X"00",X"03",X"00",X"03",X"00",X"03",X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"33", + X"F3",X"33",X"F3",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"00",X"00",X"00",X"00",X"00",X"00",X"C0",X"00",X"32",X"00",X"33",X"00",X"33",X"C0",X"33",X"2C", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"33",X"22",X"33",X"22",X"33",X"32",X"33",X"32",X"33",X"32",X"33",X"32",X"33",X"33",X"33",X"33", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"C0",X"00",X"C2",X"00",X"22",X"00", + X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"CC",X"03",X"33",X"03",X"33",X"0C",X"33",X"00",X"C3", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"00",X"B3",X"00",X"B3",X"00",X"BB",X"00",X"CB",X"00",X"0C",X"00",X"00",X"00",X"00",X"00",X"00", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"32",X"C3",X"32",X"0C",X"2C",X"00",X"2C", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"32",X"33",X"32",X"33",X"32",X"33",X"32", + X"22",X"00",X"22",X"00",X"2C",X"00",X"C0",X"00",X"C0",X"00",X"C0",X"00",X"C0",X"00",X"00",X"00", + X"33",X"22",X"33",X"22",X"33",X"22",X"33",X"2B",X"33",X"BB",X"32",X"CC",X"22",X"00",X"CC",X"00", + X"00",X"00",X"B0",X"00",X"C0",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"23",X"00",X"33",X"00",X"33",X"00",X"3C",X"00",X"C3",X"00",X"33",X"00",X"33",X"00",X"C3", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"00",X"0F",X"00",X"BB",X"00",X"BB",X"00",X"BB",X"00",X"CB",X"00",X"0C",X"00",X"00",X"00",X"00", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"F3",X"33",X"CF",X"33",X"0C",X"33",X"00",X"CC", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"32",X"33",X"32",X"33",X"32",X"33",X"32", + X"22",X"00",X"2C",X"00",X"C0",X"00",X"C0",X"00",X"C0",X"00",X"C0",X"00",X"C0",X"00",X"C0",X"00", + X"33",X"22",X"33",X"22",X"33",X"22",X"33",X"22",X"33",X"2B",X"22",X"2C",X"C2",X"C0",X"2C",X"00", + X"C0",X"00",X"B0",X"00",X"C0",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"03",X"00",X"03", + X"00",X"00",X"00",X"33",X"33",X"33",X"3F",X"33",X"F3",X"33",X"33",X"33",X"33",X"33",X"3F",X"33", + X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"33", + X"FF",X"33",X"FF",X"33",X"FF",X"33",X"FF",X"33",X"FF",X"BB",X"FB",X"BB",X"FF",X"BB",X"33",X"CB", + X"00",X"00",X"2C",X"00",X"32",X"C0",X"33",X"2C",X"33",X"22",X"33",X"22",X"33",X"32",X"3F",X"32", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"FF",X"32",X"FF",X"F2",X"CC",X"F2",X"CC",X"F2",X"BF",X"F3",X"BB",X"F3",X"CC",X"33",X"CF",X"33", + X"C0",X"00",X"C0",X"00",X"C0",X"00",X"2C",X"00",X"2C",X"00",X"2C",X"00",X"2C",X"00",X"2C",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"03",X"00",X"03", + X"00",X"00",X"00",X"33",X"33",X"33",X"3F",X"33",X"F3",X"33",X"33",X"33",X"33",X"33",X"FF",X"33", + X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"33",X"33",X"33",X"33",X"33", + X"FF",X"33",X"FC",X"FF",X"CC",X"FF",X"CF",X"FB",X"FF",X"BC",X"FB",X"CC",X"FF",X"CC",X"3F",X"CC", + X"00",X"00",X"2C",X"00",X"32",X"C0",X"33",X"2C",X"33",X"22",X"33",X"22",X"33",X"32",X"3F",X"32", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"FF",X"F2",X"FC",X"F2",X"CC",X"FF",X"FF",X"FF",X"BF",X"FF",X"CB",X"FF",X"CB",X"F3",X"BC",X"33", + X"C0",X"00",X"C0",X"00",X"C0",X"00",X"2C",X"00",X"2C",X"00",X"2C",X"22",X"2C",X"2C",X"22",X"C0", + X"00",X"33",X"00",X"33",X"03",X"23",X"33",X"C3",X"32",X"33",X"CC",X"33",X"00",X"33",X"00",X"C3", + X"3F",X"FC",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"00",X"03",X"00",X"03",X"00",X"03",X"00",X"0C",X"00",X"0B",X"00",X"BB",X"00",X"0C",X"00",X"00", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"BF",X"FF",X"BB",X"FF",X"BB",X"CC",X"CC",X"00",X"00",X"00", + X"FF",X"33",X"FF",X"33",X"FF",X"33",X"FF",X"F3",X"FF",X"F3",X"FF",X"F3",X"FF",X"F3",X"FF",X"F2", + X"20",X"00",X"22",X"00",X"22",X"00",X"22",X"00",X"CC",X"20",X"C0",X"C0",X"C0",X"00",X"00",X"00", + X"FF",X"F2",X"FF",X"22",X"FF",X"22",X"FF",X"BC",X"FF",X"BB",X"CC",X"BB",X"00",X"CC",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"C3",X"33",X"0C",X"33",X"00",X"33",X"00",X"23",X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"CB", + X"FF",X"BB",X"FF",X"CC",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"00",X"BB",X"00",X"BB",X"00",X"BB",X"00",X"CB",X"00",X"0C",X"00",X"00",X"00",X"00",X"00",X"00", + X"FF",X"FF",X"CF",X"FF",X"BC",X"FF",X"BC",X"FF",X"CF",X"FF",X"CC",X"22",X"00",X"22",X"00",X"CC", + X"CF",X"33",X"FF",X"33",X"FF",X"F3",X"FF",X"F3",X"FF",X"F3",X"FF",X"F3",X"FF",X"F3",X"FF",X"FC", + X"22",X"00",X"22",X"00",X"CC",X"00",X"00",X"00",X"C0",X"00",X"C0",X"00",X"C0",X"00",X"00",X"00", + X"FF",X"CB",X"FF",X"BB",X"FF",X"BB",X"FF",X"BB",X"FF",X"BB",X"22",X"CC",X"CC",X"00",X"00",X"00", + X"C0",X"00",X"BC",X"00",X"C0",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"33",X"03",X"33",X"33",X"33", + X"00",X"00",X"00",X"00",X"00",X"03",X"00",X"03",X"00",X"33",X"00",X"33",X"30",X"33",X"33",X"33", + X"3F",X"33",X"F3",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"3C",X"00",X"33",X"C0",X"33",X"2C", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"33",X"32",X"33",X"32",X"33",X"32",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"C0",X"00",X"C0",X"3C",X"C0",X"2C",X"C3",X"C0", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"BB",X"00",X"BB",X"00",X"BB",X"00",X"BB",X"00",X"CB", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"FF",X"0F",X"FF",X"FF",X"FF", + X"00",X"0C",X"00",X"00",X"00",X"03",X"00",X"33",X"00",X"33",X"30",X"33",X"33",X"3F",X"33",X"3F", + X"FF",X"FF",X"FF",X"FF",X"FF",X"BB",X"3F",X"CC",X"FF",X"BB",X"FF",X"BB",X"FF",X"33",X"FF",X"33", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"0B",X"FC",X"0B",X"FF",X"0B",X"FF",X"FC", + X"00",X"00",X"00",X"00",X"00",X"00",X"C0",X"00",X"BC",X"00",X"BC",X"00",X"C0",X"00",X"00",X"00", + X"FF",X"F3",X"FF",X"F3",X"FF",X"33",X"CF",X"33",X"BB",X"33",X"FF",X"F3",X"FF",X"FF",X"FF",X"FF", + X"00",X"00",X"00",X"00",X"00",X"00",X"C0",X"00",X"C0",X"3C",X"C0",X"3C",X"2C",X"C0",X"22",X"C0", + X"0C",X"33",X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"B3",X"00",X"B3",X"00",X"C3",X"00",X"0C", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"33",X"33",X"33",X"32",X"C3",X"2C",X"0C",X"2C",X"00",X"C0",X"00",X"00",X"00",X"00",X"00",X"00", + X"33",X"33",X"33",X"33",X"33",X"32",X"33",X"32",X"33",X"32",X"33",X"22",X"33",X"22",X"33",X"22", + X"22",X"00",X"CC",X"00",X"BC",X"00",X"BC",X"00",X"BC",X"00",X"C0",X"00",X"00",X"00",X"00",X"00", + X"33",X"2C",X"22",X"C0",X"22",X"00",X"CC",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"C3",X"3F",X"0C",X"3F",X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"23",X"00",X"23", + X"FF",X"33",X"FF",X"33",X"FF",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"00",X"C2",X"00",X"02",X"00",X"0C",X"00",X"00",X"0F",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"33",X"33",X"33",X"33",X"33",X"33",X"22",X"32",X"C2",X"22",X"0C",X"22",X"00",X"CC",X"00",X"00", + X"FF",X"FF",X"FF",X"FF",X"3F",X"F3",X"33",X"33",X"33",X"33",X"33",X"32",X"33",X"32",X"33",X"22", + X"22",X"00",X"22",X"00",X"2C",X"00",X"2C",X"00",X"2C",X"00",X"2C",X"00",X"C0",X"00",X"C0",X"00", + X"33",X"22",X"32",X"22",X"22",X"22",X"22",X"2C",X"22",X"C0",X"CC",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"0F",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"0B",X"00",X"BB",X"00",X"BB",X"00",X"BB",X"00",X"BB",X"00",X"BB",X"00",X"CC",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"FF",X"0F",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"00",X"00",X"00",X"03",X"00",X"03",X"00",X"3F",X"00",X"3F",X"00",X"33",X"00",X"33",X"03",X"33", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FB",X"FF",X"BC",X"FF",X"CC",X"FB",X"CC", + X"00",X"00",X"00",X"0B",X"00",X"0B",X"F0",X"BB",X"FF",X"BB",X"FF",X"CB",X"FF",X"FC",X"FF",X"F3", + X"00",X"00",X"B0",X"00",X"BB",X"00",X"BB",X"00",X"BC",X"00",X"C0",X"00",X"00",X"00",X"00",X"00", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"BF",X"F3",X"CB",X"F3", + X"00",X"00",X"00",X"00",X"00",X"00",X"C0",X"00",X"C0",X"00",X"C0",X"00",X"2C",X"00",X"22",X"00", + X"00",X"00",X"00",X"0B",X"00",X"BB",X"00",X"BB",X"00",X"BB",X"00",X"CC",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"FF",X"0F",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"00",X"03",X"00",X"03",X"00",X"3F",X"00",X"3F",X"00",X"33",X"33",X"33",X"33",X"33",X"C3",X"33", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FB",X"FF",X"BB",X"FF",X"CC",X"FF",X"CC", + X"00",X"00",X"00",X"BB",X"F0",X"BB",X"FF",X"BB",X"FF",X"CB",X"FF",X"FC",X"FF",X"F3",X"FF",X"FF", + X"00",X"00",X"00",X"00",X"00",X"00",X"B0",X"00",X"C0",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"BF",X"F3",X"BF",X"FF", + X"00",X"00",X"00",X"00",X"C0",X"00",X"C0",X"00",X"C0",X"22",X"2C",X"2C",X"22",X"C0",X"22",X"00", + X"33",X"33",X"33",X"33",X"3C",X"33",X"C0",X"33",X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"33", + X"FF",X"CC",X"FF",X"BB",X"FF",X"FB",X"CF",X"FF",X"FF",X"33",X"FF",X"33",X"33",X"33",X"33",X"33", + X"00",X"33",X"00",X"C3",X"00",X"03",X"00",X"0C",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"23",X"33",X"C2",X"22",X"0C",X"22",X"00",X"CC", + X"BB",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"CF",X"FF",X"CF",X"FF",X"FF",X"FF",X"F3", + X"22",X"00",X"2C",X"20",X"22",X"2C",X"22",X"22",X"2C",X"C2",X"2C",X"0C",X"2C",X"00",X"C0",X"00", + X"33",X"32",X"33",X"22",X"33",X"22",X"33",X"22",X"22",X"2C",X"22",X"C0",X"CC",X"00",X"00",X"00", + X"C0",X"00",X"00",X"0F",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"F0",X"00",X"00",X"F0",X"00", + X"0C",X"3F",X"00",X"FF",X"00",X"FF",X"00",X"FF",X"00",X"FF",X"00",X"3F",X"00",X"33",X"00",X"33", + X"FF",X"BB",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"F3",X"FF",X"33",X"33",X"33", + X"00",X"C3",X"00",X"03",X"00",X"0C",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"33",X"33",X"33",X"33",X"33",X"33",X"23",X"33",X"C2",X"22",X"0C",X"22",X"00",X"CC",X"00",X"00", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"F3",X"FF",X"33",X"33",X"33",X"33",X"33",X"33",X"32", + X"22",X"00",X"22",X"00",X"22",X"00",X"2C",X"00",X"2C",X"00",X"2C",X"00",X"C0",X"00",X"C0",X"00", + X"33",X"22",X"33",X"22",X"33",X"22",X"22",X"2C",X"22",X"C0",X"CC",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"33",X"03",X"33",X"33",X"33",X"3F",X"33",X"FF",X"33",X"F3",X"33", + X"00",X"00",X"00",X"00",X"00",X"03",X"00",X"03",X"00",X"03",X"00",X"33",X"00",X"33",X"00",X"33", + X"F3",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"00",X"00",X"00",X"00",X"3C",X"00",X"33",X"00",X"33",X"C0",X"33",X"2C",X"33",X"2C",X"33",X"32", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"33",X"32",X"33",X"32",X"33",X"32",X"33",X"32",X"33",X"32",X"33",X"32",X"33",X"33",X"33",X"33", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"C0",X"00",X"C0",X"00",X"2C",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"33",X"03",X"33",X"33",X"33",X"3F",X"33",X"F3",X"33",X"F3",X"33",X"33",X"33", + X"00",X"00",X"00",X"03",X"00",X"03",X"00",X"03",X"00",X"33",X"30",X"33",X"33",X"33",X"03",X"33", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"00",X"00",X"3C",X"00",X"33",X"00",X"33",X"C0",X"33",X"2C",X"33",X"2C",X"33",X"32",X"33",X"32", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"33",X"32",X"33",X"32",X"33",X"32",X"33",X"32",X"33",X"32",X"33",X"33",X"33",X"33",X"33",X"33", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"C0",X"00",X"C0",X"2C",X"22",X"C0",X"22",X"00", + X"00",X"33",X"03",X"33",X"03",X"C3",X"33",X"33",X"3C",X"33",X"C0",X"33",X"00",X"33",X"00",X"C3", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"00",X"B3",X"00",X"B3",X"00",X"BB",X"00",X"CB",X"00",X"0C",X"00",X"00",X"00",X"00",X"00",X"00", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"CC",X"32",X"00",X"C2",X"00",X"0C", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"32",X"33",X"32",X"33",X"32",X"33",X"22", + X"22",X"00",X"22",X"00",X"C2",X"00",X"CC",X"00",X"C0",X"00",X"C0",X"00",X"C0",X"00",X"00",X"00", + X"33",X"22",X"33",X"22",X"33",X"22",X"32",X"2B",X"22",X"BB",X"22",X"CC",X"CC",X"00",X"00",X"00", + X"C0",X"00",X"B0",X"00",X"C0",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"33",X"00",X"C3",X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"C3",X"00",X"03", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"00",X"03",X"00",X"BB",X"00",X"BB",X"00",X"BB",X"00",X"CC",X"00",X"00",X"00",X"00",X"00",X"00", + X"33",X"33",X"33",X"33",X"33",X"32",X"FF",X"32",X"CC",X"FF",X"00",X"CC",X"00",X"00",X"00",X"00", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"32",X"33",X"32",X"33",X"32",X"33",X"32",X"33",X"22", + X"2C",X"00",X"C0",X"00",X"C0",X"00",X"C0",X"00",X"C0",X"00",X"C0",X"00",X"00",X"00",X"00",X"00", + X"33",X"22",X"33",X"22",X"32",X"EB",X"2E",X"BB",X"FF",X"BB",X"CC",X"CC",X"00",X"00",X"00",X"00", + X"00",X"00",X"C0",X"00",X"BC",X"00",X"C0",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00"); +begin +process(clk) +begin + if rising_edge(clk) then + data <= rom_data(to_integer(unsigned(addr))); + end if; +end process; +end architecture; diff --git a/Arcade_MiST/Nova2001_Hardware/Penguin-Kun Wars_MiST/meta/gfx2.vhd b/Arcade_MiST/Nova2001_Hardware/Penguin-Kun Wars_MiST/meta/gfx2.vhd new file mode 100644 index 00000000..0d684aa0 --- /dev/null +++ b/Arcade_MiST/Nova2001_Hardware/Penguin-Kun Wars_MiST/meta/gfx2.vhd @@ -0,0 +1,1046 @@ +library ieee; +use ieee.std_logic_1164.all,ieee.numeric_std.all; + +entity gfx2 is +port ( + clk : in std_logic; + addr : in std_logic_vector(13 downto 0); + data : out std_logic_vector(7 downto 0) +); +end entity; + +architecture prom of gfx2 is + type rom is array(0 to 16383) of std_logic_vector(7 downto 0); + signal rom_data: rom := ( + X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22", + X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22", + X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22", + X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22", + X"00",X"00",X"00",X"0F",X"00",X"F0",X"C0",X"00",X"22",X"00",X"32",X"C0",X"33",X"2C",X"33",X"2C", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"33",X"22",X"33",X"22",X"33",X"32",X"33",X"32",X"33",X"32",X"33",X"33",X"33",X"33",X"33",X"33", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"C0",X"00",X"C0",X"00",X"C0",X"00",X"2C",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"03",X"00",X"3F",X"00",X"FF", + X"00",X"00",X"00",X"00",X"00",X"00",X"03",X"32",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"00",X"F3",X"00",X"F3",X"00",X"33",X"00",X"33",X"00",X"FF",X"00",X"FF",X"00",X"CC",X"00",X"BF", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"C0",X"00",X"22",X"00",X"22",X"00",X"32",X"C0", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"33",X"2C",X"33",X"22",X"33",X"22",X"33",X"22",X"33",X"22",X"33",X"32",X"33",X"32",X"33",X"33", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"C0",X"00",X"C0",X"00",X"2C",X"00", + X"00",X"CC",X"00",X"0B",X"00",X"0C",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"33",X"33",X"F3",X"33",X"FF",X"33",X"FF",X"E3",X"FF",X"FE",X"FF",X"FF",X"FF",X"FF",X"CF",X"FF", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"BB",X"FF",X"CC",X"FF",X"00",X"BB",X"00",X"BB",X"00",X"CC",X"00",X"00",X"00",X"00",X"00",X"00", + X"C3",X"33",X"CC",X"23",X"3C",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"E3",X"33", + X"2C",X"00",X"2C",X"00",X"22",X"00",X"22",X"00",X"22",X"00",X"22",X"00",X"22",X"00",X"22",X"00", + X"FE",X"33",X"FE",X"EC",X"EE",X"C0",X"BB",X"00",X"CC",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"22",X"00",X"22",X"00",X"CC",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"CC",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"33",X"33",X"FF",X"33",X"FF",X"33",X"FF",X"E3",X"FF",X"FE",X"FF",X"FF",X"FF",X"FF",X"CF",X"FF", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"BB",X"FF",X"CC",X"FF",X"00",X"BB",X"00",X"BB",X"00",X"CC",X"00",X"00",X"00",X"00",X"00",X"00", + X"33",X"33",X"C3",X"33",X"3C",X"23",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"E3",X"33", + X"2C",X"00",X"2C",X"00",X"22",X"00",X"22",X"00",X"22",X"00",X"22",X"00",X"22",X"00",X"22",X"00", + X"FE",X"33",X"EE",X"33",X"EE",X"CC",X"BB",X"00",X"CC",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"22",X"00",X"22",X"00",X"32",X"00",X"CC",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"33",X"00",X"33",X"00",X"3C",X"03",X"C0",X"0C",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"33",X"33",X"33",X"33",X"33",X"33",X"B3",X"33",X"CB",X"33",X"0C",X"33",X"00",X"32",X"00",X"C2", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"22",X"2C",X"22",X"C0",X"22",X"00",X"22",X"00",X"22",X"00",X"22",X"00",X"22",X"00",X"2C",X"00", + X"33",X"33",X"33",X"33",X"33",X"32",X"33",X"32",X"33",X"22",X"33",X"2C",X"22",X"C0",X"2C",X"00", + X"2C",X"00",X"2C",X"00",X"BB",X"00",X"BB",X"00",X"BB",X"00",X"CC",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"03",X"00",X"03", + X"00",X"00",X"00",X"00",X"00",X"00",X"03",X"33",X"FF",X"33",X"F3",X"33",X"33",X"33",X"33",X"33", + X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"CF",X"00",X"0F",X"00",X"0B",X"00",X"BB", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"F3",X"33",X"F3",X"33",X"F3",X"33", + X"00",X"0C",X"00",X"CA",X"00",X"AF",X"00",X"CC",X"00",X"CC",X"CA",X"CC",X"CA",X"AC",X"0C",X"AB", + X"AA",X"00",X"44",X"00",X"FF",X"00",X"FC",X"0C",X"FF",X"CA",X"AA",X"F4",X"AC",X"CF",X"CA",X"FF", + X"C0",X"AB",X"AC",X"AA",X"AA",X"AA",X"AA",X"AA",X"CC",X"AA",X"00",X"BB",X"00",X"CB",X"00",X"0B", + X"CC",X"FF",X"CC",X"CF",X"BB",X"CC",X"BB",X"CB",X"BC",X"BC",X"BB",X"C0",X"0B",X"00",X"CC",X"00", + X"00",X"03",X"00",X"33",X"00",X"33",X"00",X"CC",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"00",X"00",X"00",X"00",X"00",X"0B",X"00",X"BB",X"00",X"CB",X"00",X"0C",X"00",X"00",X"00",X"00", + X"33",X"33",X"33",X"33",X"33",X"33",X"B3",X"33",X"CC",X"33",X"00",X"33",X"00",X"C3",X"00",X"0C", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"22",X"2C",X"22",X"C0",X"22",X"00",X"22",X"00",X"22",X"00",X"22",X"00",X"22",X"00",X"2C",X"00", + X"33",X"33",X"33",X"33",X"33",X"32",X"33",X"32",X"23",X"22",X"23",X"2C",X"C2",X"C0",X"C2",X"00", + X"2C",X"00",X"2B",X"00",X"BC",X"00",X"C0",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"0F",X"00",X"FF",X"00",X"FF",X"00",X"FF",X"0C",X"FF",X"0C",X"FF",X"00",X"CF", + X"CC",X"00",X"CC",X"00",X"FF",X"00",X"CC",X"00",X"BC",X"F0",X"CC",X"FC",X"FF",X"CF",X"CF",X"CF", + X"00",X"FF",X"CF",X"FF",X"CF",X"FF",X"CF",X"FF",X"00",X"FF",X"0C",X"FE",X"0C",X"EC",X"00",X"0C", + X"CF",X"CF",X"CF",X"CF",X"EF",X"FE",X"CE",X"EE",X"CC",X"E0",X"CC",X"00",X"0C",X"00",X"00",X"00", + X"00",X"CE",X"00",X"CE",X"00",X"CE",X"00",X"EE",X"00",X"EE",X"0C",X"EE",X"CE",X"EE",X"CC",X"EE", + X"EE",X"00",X"EE",X"00",X"FF",X"C0",X"CF",X"EC",X"FF",X"ED",X"EC",X"ED",X"CC",X"FF",X"CC",X"CF", + X"EC",X"FF",X"EE",X"FF",X"EE",X"FF",X"EE",X"FF",X"CC",X"FF",X"00",X"FF",X"00",X"EE",X"00",X"DD", + X"EE",X"FF",X"DE",X"DD",X"ED",X"DD",X"ED",X"DC",X"ED",X"C0",X"DD",X"00",X"CD",X"00",X"0C",X"00", + X"00",X"00",X"00",X"DF",X"00",X"FD",X"00",X"FF",X"00",X"CF",X"00",X"CF",X"00",X"CF",X"00",X"CF", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"DF",X"00",X"FF",X"00",X"FF",X"F0",X"FF",X"FD", + X"00",X"CF",X"00",X"CF",X"00",X"FF",X"00",X"FF",X"00",X"FF",X"00",X"0F",X"00",X"00",X"00",X"00", + X"CC",X"FD",X"CF",X"FD",X"CF",X"FD",X"CF",X"FD",X"CF",X"FD",X"CF",X"FD",X"CF",X"FD",X"FC",X"FD", + X"00",X"00",X"00",X"F0",X"00",X"FF",X"00",X"CF",X"00",X"CF",X"00",X"CF",X"00",X"CF",X"00",X"CF", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"CF",X"00",X"CF",X"00",X"FF",X"00",X"FF",X"00",X"0F",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"F0",X"00",X"FF",X"00",X"FF",X"00",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"0F",X"FF",X"00",X"FF",X"00",X"FF",X"00",X"FF",X"00",X"0F", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"FF",X"00",X"99",X"FF",X"99",X"FF",X"FF",X"88",X"88",X"FF",X"FF",X"EF",X"FF",X"FE",X"FF",X"FF", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"EE",X"00",X"FF",X"00",X"FF",X"EE",X"FF",X"FA", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"0E",X"DD",X"0E",X"DD",X"00",X"DD",X"00",X"ED", + X"FF",X"FC",X"0F",X"FC",X"00",X"FC",X"00",X"FC",X"D0",X"FC",X"DD",X"00",X"DD",X"00",X"DD",X"00", + X"00",X"CE",X"00",X"0E",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"DD",X"D0",X"CC",X"C0",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"F0",X"00",X"FF",X"00",X"CF",X"00",X"FC",X"00",X"FC",X"00",X"FC",X"00",X"CF", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"FF",X"00",X"FF",X"00",X"CF",X"00",X"FC",X"00",X"0F",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"F0",X"00",X"FF",X"00",X"FF",X"00",X"FC",X"FF",X"FC",X"CF",X"FF",X"CF",X"FF",X"FF",X"FF",X"FF", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"FC",X"FF",X"FC",X"FF",X"FF",X"FF",X"0F",X"FF",X"00",X"CF",X"00",X"FF",X"00",X"FF",X"00",X"0F", + X"FF",X"FF",X"FF",X"FA",X"AA",X"AA",X"AA",X"AC",X"CC",X"CC",X"CC",X"CF",X"FF",X"FE",X"EE",X"EE", + X"FF",X"AA",X"AA",X"AC",X"AA",X"CE",X"CC",X"FE",X"CC",X"CC",X"FF",X"00",X"CC",X"00",X"C0",X"00", + X"ED",X"2A",X"22",X"2A",X"22",X"FF",X"FF",X"FE",X"FF",X"CC",X"CC",X"AA",X"AA",X"AA",X"CC",X"CC", + X"FC",X"00",X"FA",X"00",X"CA",X"C0",X"CA",X"C0",X"AA",X"00",X"AC",X"00",X"C0",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"0F",X"00",X"FF",X"1F",X"FF",X"E1",X"FF", + X"00",X"00",X"00",X"00",X"00",X"00",X"32",X"00",X"22",X"00",X"FF",X"EE",X"FF",X"EE",X"FF",X"11", + X"CC",X"11",X"00",X"FE",X"00",X"FC",X"00",X"FB",X"00",X"BF",X"00",X"BB",X"00",X"CB",X"00",X"0C", + X"11",X"DD",X"DD",X"CC",X"22",X"00",X"22",X"BC",X"FF",X"BB",X"2B",X"BC",X"BB",X"C0",X"CC",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"0A",X"00",X"0A",X"00",X"00",X"00",X"0E", + X"00",X"0F",X"00",X"F9",X"0F",X"8F",X"FF",X"F8",X"FF",X"FF",X"FF",X"FF",X"AA",X"AF",X"CC",X"AA", + X"00",X"0C",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"0C",X"00",X"0C",X"00",X"00",X"00",X"00", + X"EE",X"CC",X"CE",X"EE",X"0C",X"EE",X"AA",X"32",X"AA",X"F2",X"AA",X"CF",X"AA",X"AC",X"CC",X"AA", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"FF",X"00",X"FF",X"05",X"FF", + X"00",X"FF",X"00",X"33",X"00",X"33",X"FF",X"FF",X"FF",X"32",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"FF",X"C0",X"33",X"FF",X"33",X"FF",X"FF",X"22",X"22",X"EE",X"FF",X"FF",X"FF",X"EE",X"FF",X"FF", + X"00",X"00",X"00",X"00",X"00",X"00",X"C0",X"00",X"EE",X"00",X"EE",X"C0",X"FE",X"EE",X"FF",X"E5", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"0F",X"00",X"05",X"00",X"0E",X"00",X"0C", + X"00",X"0E",X"00",X"F3",X"0F",X"3F",X"FF",X"F3",X"FF",X"FF",X"FF",X"FF",X"55",X"FF",X"EE",X"55", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"CE",X"EE",X"0C",X"EE",X"00",X"32",X"BB",X"32",X"BB",X"E2",X"BB",X"FF",X"BB",X"B2",X"CC",X"BB", + X"EE",X"00",X"33",X"C0",X"FF",X"EE",X"22",X"EE",X"FF",X"FE",X"FF",X"EF",X"FF",X"F5",X"55",X"5E", + X"00",X"00",X"00",X"00",X"00",X"00",X"EC",X"00",X"EE",X"00",X"E5",X"00",X"5D",X"00",X"DC",X"00", + X"EE",X"DD",X"EE",X"CE",X"22",X"BE",X"22",X"BF",X"22",X"FF",X"FF",X"EB",X"CC",X"BB",X"BB",X"BC", + X"C0",X"00",X"00",X"00",X"00",X"00",X"C0",X"00",X"BB",X"00",X"BB",X"00",X"CC",X"00",X"00",X"00", + X"05",X"55",X"0C",X"55",X"00",X"EE",X"00",X"CC",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"FF",X"FF",X"55",X"FF",X"55",X"55",X"EE",X"55",X"CE",X"EE",X"CC",X"EE",X"C0",X"EE",X"CF",X"32", + X"00",X"00",X"00",X"0B",X"00",X"BB",X"00",X"BB",X"00",X"CB",X"00",X"0C",X"00",X"00",X"00",X"00", + X"FC",X"32",X"FE",X"32",X"FF",X"E2",X"CC",X"FF",X"BB",X"CF",X"BB",X"BC",X"CC",X"BB",X"00",X"CC", + X"FF",X"FF",X"FF",X"F5",X"55",X"55",X"55",X"5E",X"EE",X"ED",X"EE",X"DD",X"ED",X"2C",X"22",X"2F", + X"EF",X"55",X"55",X"5D",X"55",X"CC",X"DD",X"00",X"CC",X"00",X"C0",X"00",X"C0",X"00",X"C0",X"00", + X"22",X"2B",X"22",X"2B",X"22",X"FF",X"FF",X"FE",X"FF",X"CC",X"CC",X"BB",X"BB",X"BB",X"CC",X"CC", + X"FC",X"00",X"FB",X"00",X"CB",X"C0",X"CB",X"C0",X"BB",X"00",X"BC",X"00",X"C0",X"00",X"00",X"00", + X"00",X"00",X"00",X"AA",X"00",X"44",X"0A",X"BB",X"0A",X"BA",X"0C",X"AF",X"00",X"FF",X"0A",X"FF", + X"00",X"00",X"00",X"AA",X"00",X"44",X"00",X"BB",X"AA",X"BB",X"AF",X"AA",X"AF",X"FA",X"AC",X"FA", + X"0A",X"FF",X"0A",X"AA",X"0C",X"BA",X"00",X"CB",X"00",X"AA",X"00",X"AA",X"00",X"CA",X"00",X"0C", + X"AA",X"FA",X"AA",X"AA",X"CA",X"44",X"AB",X"CC",X"AA",X"BC",X"AA",X"4B",X"AA",X"C4",X"AB",X"0C", + X"00",X"00",X"00",X"00",X"00",X"AA",X"0A",X"BB",X"0A",X"BB",X"0C",X"AF",X"00",X"FF",X"0A",X"FF", + X"00",X"00",X"00",X"00",X"00",X"AA",X"00",X"BB",X"AA",X"BB",X"AF",X"AA",X"AF",X"FA",X"AC",X"FA", + X"0A",X"FF",X"0A",X"AA",X"0C",X"BA",X"00",X"CB",X"00",X"AA",X"00",X"AA",X"00",X"CA",X"00",X"AC", + X"AA",X"FA",X"AA",X"AA",X"CA",X"44",X"CC",X"CC",X"AA",X"BC",X"AA",X"44",X"AA",X"C4",X"AB",X"0C", + X"00",X"A0",X"0A",X"4A",X"A4",X"BA",X"AA",X"AA",X"CC",X"FF",X"0A",X"FF",X"AA",X"FF",X"AA",X"CC", + X"00",X"AC",X"0A",X"4A",X"0A",X"BB",X"AA",X"BA",X"FF",X"AC",X"FF",X"AA",X"FF",X"AA",X"AC",X"AA", + X"AA",X"AA",X"CB",X"AA",X"0C",X"BB",X"00",X"AA",X"0A",X"AA",X"0C",X"AA",X"00",X"CA",X"00",X"AA", + X"AA",X"A4",X"AA",X"44",X"C4",X"CC",X"AB",X"4C",X"AA",X"44",X"AB",X"CC",X"B4",X"00",X"BB",X"00", + X"00",X"00",X"00",X"A0",X"0A",X"4A",X"A4",X"BA",X"AA",X"AA",X"CC",X"FF",X"0A",X"FF",X"AA",X"FC", + X"00",X"00",X"00",X"AC",X"0A",X"4A",X"0A",X"BB",X"AA",X"BA",X"FF",X"AC",X"FF",X"AA",X"CC",X"AA", + X"AA",X"FC",X"AA",X"AA",X"CB",X"AA",X"0C",X"BB",X"00",X"AA",X"00",X"AA",X"0A",X"AA",X"0C",X"CA", + X"AC",X"AA",X"AA",X"A4",X"AA",X"44",X"44",X"CC",X"AB",X"44",X"AA",X"4C",X"AB",X"C0",X"B4",X"4C", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"AA",X"0A",X"BB",X"0A",X"BA",X"0C",X"AF",X"00",X"FF", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"AA",X"00",X"BB",X"AA",X"BB",X"AF",X"AA",X"AF",X"FA", + X"0A",X"FF",X"0A",X"FF",X"0A",X"AA",X"0C",X"BA",X"00",X"AB",X"00",X"AA",X"00",X"CA",X"00",X"AC", + X"AF",X"FA",X"AC",X"FA",X"AA",X"AA",X"CA",X"44",X"A4",X"BC",X"AA",X"B4",X"AA",X"C4",X"AA",X"B4", + X"00",X"00",X"00",X"AA",X"00",X"44",X"0A",X"BB",X"0A",X"BA",X"0C",X"AF",X"00",X"FF",X"0A",X"FF", + X"00",X"00",X"00",X"AA",X"00",X"44",X"00",X"BB",X"AA",X"BB",X"AF",X"AA",X"AF",X"FA",X"AF",X"FA", + X"0A",X"FC",X"0A",X"AA",X"0C",X"BA",X"00",X"CB",X"00",X"AA",X"00",X"AA",X"00",X"CA",X"00",X"0A", + X"AA",X"FA",X"AA",X"AA",X"CA",X"44",X"A4",X"CC",X"AA",X"BC",X"AA",X"44",X"AA",X"C4",X"AB",X"CC", + X"00",X"00",X"00",X"AA",X"00",X"44",X"0A",X"BB",X"0A",X"BA",X"0C",X"AA",X"00",X"AF",X"0A",X"AF", + X"00",X"00",X"00",X"AA",X"00",X"44",X"00",X"BB",X"AA",X"BB",X"FA",X"FA",X"FA",X"FF",X"FA",X"CF", + X"0A",X"AF",X"0A",X"AA",X"0C",X"BA",X"00",X"CB",X"00",X"AA",X"00",X"AA",X"00",X"CA",X"00",X"CA", + X"AA",X"FF",X"AA",X"AA",X"AC",X"44",X"BA",X"CC",X"AA",X"B4",X"AA",X"44",X"AA",X"CC",X"AB",X"C0", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"AA",X"00",X"44",X"0A",X"BA",X"0C",X"AA",X"0A",X"AF", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"AA",X"AA",X"44",X"AA",X"BB",X"FA",X"FA",X"FA",X"FF", + X"0A",X"AF",X"0A",X"AF",X"0C",X"BA",X"00",X"CB",X"00",X"AA",X"00",X"AA",X"00",X"AC",X"00",X"AC", + X"FA",X"CF",X"AA",X"FF",X"AC",X"44",X"CC",X"CC",X"AA",X"B4",X"AA",X"44",X"AA",X"CC",X"AA",X"C0", + X"00",X"00",X"00",X"AA",X"00",X"44",X"0A",X"BA",X"0C",X"AF",X"00",X"FF",X"0A",X"FF",X"0A",X"AA", + X"00",X"00",X"00",X"AA",X"00",X"44",X"AA",X"BB",X"AC",X"AA",X"AF",X"FA",X"4A",X"FF",X"CC",X"AA", + X"0C",X"BA",X"00",X"CB",X"00",X"CA",X"00",X"AA",X"00",X"AA",X"00",X"CA",X"00",X"AC",X"00",X"C0", + X"CC",X"44",X"44",X"CC",X"AB",X"C0",X"AA",X"B4",X"AA",X"4C",X"AA",X"C4",X"AB",X"44",X"CC",X"CC", + X"00",X"00",X"00",X"0F",X"00",X"FF",X"00",X"FA",X"00",X"AC",X"0A",X"AC",X"0A",X"AA",X"0C",X"AA", + X"00",X"00",X"00",X"00",X"AA",X"F0",X"CA",X"FF",X"CC",X"AB",X"CC",X"AB",X"CC",X"B4",X"AB",X"44", + X"00",X"CB",X"00",X"AA",X"00",X"BA",X"00",X"BA",X"00",X"CA",X"00",X"0C",X"00",X"00",X"00",X"00", + X"BB",X"CB",X"AA",X"CB",X"AA",X"BB",X"AA",X"44",X"AA",X"CC",X"AB",X"00",X"CC",X"00",X"BB",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"0A",X"00",X"AA",X"00",X"AA",X"00",X"AA",X"00",X"AB", + X"00",X"00",X"00",X"00",X"4B",X"00",X"CA",X"00",X"AC",X"BB",X"AA",X"BB",X"BB",X"BB",X"BB",X"BB", + X"AA",X"BA",X"CA",X"AA",X"0C",X"AA",X"0A",X"AA",X"AA",X"4A",X"CA",X"4B",X"0A",X"4C",X"0C",X"C0", + X"BB",X"BC",X"AB",X"CB",X"AB",X"BC",X"AB",X"CA",X"B4",X"CA",X"B4",X"AA",X"CC",X"AA",X"BB",X"44", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"0A",X"00",X"AA",X"00",X"AC",X"00",X"AA",X"00",X"AB", + X"00",X"00",X"00",X"00",X"4B",X"00",X"CA",X"00",X"AC",X"BB",X"AA",X"BB",X"BB",X"BB",X"BB",X"BB", + X"AA",X"BA",X"CA",X"AA",X"0C",X"AA",X"0A",X"AA",X"AA",X"4A",X"CA",X"4B",X"0A",X"4C",X"0C",X"CB", + X"BB",X"BC",X"AB",X"CB",X"AB",X"BC",X"AB",X"CA",X"B4",X"CA",X"B4",X"AA",X"CC",X"AA",X"00",X"44", + X"00",X"01",X"00",X"00",X"10",X"00",X"00",X"AA",X"00",X"4B",X"0A",X"BB",X"00",X"AA",X"0A",X"AA", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"AA",X"AA",X"BB",X"AA",X"BB",X"AA",X"AB",X"FA",X"FA", + X"0A",X"AF",X"0B",X"FF",X"0C",X"FF",X"00",X"BB",X"00",X"CA",X"00",X"AA",X"00",X"AA",X"00",X"CA", + X"FA",X"FF",X"AA",X"CF",X"AC",X"44",X"CC",X"CC",X"AA",X"B4",X"AA",X"44",X"AA",X"C4",X"AB",X"0C", + X"00",X"00",X"00",X"CC",X"00",X"CC",X"0C",X"CC",X"0C",X"CF",X"00",X"FC",X"00",X"CC",X"0F",X"CC", + X"00",X"00",X"00",X"CC",X"00",X"CC",X"00",X"CC",X"FF",X"CC",X"FC",X"FF",X"FC",X"CF",X"FC",X"CF", + X"0F",X"CC",X"0F",X"FF",X"00",X"EF",X"00",X"EE",X"0C",X"CC",X"0C",X"CC",X"00",X"CF",X"00",X"FF", + X"FF",X"CF",X"FF",X"FF",X"CF",X"EE",X"FE",X"E0",X"FF",X"CC",X"FF",X"CC",X"FF",X"CC",X"FF",X"FC", + X"00",X"00",X"00",X"CC",X"00",X"CC",X"0C",X"CC",X"0C",X"CF",X"00",X"FC",X"00",X"CC",X"0F",X"CC", + X"00",X"00",X"00",X"CC",X"00",X"CC",X"00",X"CC",X"FF",X"CC",X"FC",X"FF",X"FC",X"CF",X"FC",X"CF", + X"0F",X"CC",X"0F",X"FF",X"00",X"EF",X"00",X"EE",X"0C",X"CC",X"00",X"CC",X"00",X"CF",X"0C",X"CF", + X"FF",X"CF",X"FF",X"FF",X"CF",X"EE",X"FE",X"E0",X"FF",X"CC",X"FF",X"CC",X"FF",X"CC",X"FF",X"FC", + X"00",X"CC",X"00",X"CC",X"0C",X"CC",X"0C",X"CF",X"00",X"FC",X"00",X"CC",X"0F",X"CC",X"0F",X"CC", + X"00",X"CC",X"00",X"CC",X"00",X"CC",X"FF",X"CC",X"FC",X"FF",X"FC",X"CF",X"FC",X"CF",X"FF",X"CF", + X"0F",X"FF",X"00",X"EF",X"00",X"EE",X"00",X"CC",X"0C",X"CC",X"00",X"CF",X"00",X"CF",X"0C",X"CC", + X"FF",X"FF",X"CF",X"EE",X"CC",X"E0",X"FF",X"CC",X"FF",X"CC",X"FF",X"CC",X"FF",X"CC",X"FF",X"CC", + X"00",X"00",X"00",X"C0",X"0C",X"CC",X"CC",X"CC",X"CC",X"FF",X"00",X"CC",X"0F",X"CC",X"FF",X"CB", + X"00",X"00",X"00",X"C0",X"0C",X"CC",X"0C",X"CC",X"FF",X"CC",X"CC",X"F0",X"CC",X"FF",X"CB",X"FF", + X"FF",X"CC",X"FF",X"FF",X"0E",X"FF",X"00",X"EE",X"0C",X"CF",X"CC",X"CF",X"00",X"FF",X"00",X"FF", + X"FC",X"FF",X"FF",X"FE",X"FF",X"EE",X"CE",X"00",X"FF",X"CC",X"FF",X"CC",X"FF",X"C0",X"FF",X"C0", + X"00",X"00",X"00",X"00",X"00",X"CC",X"00",X"CC",X"0C",X"CC",X"0C",X"CF",X"00",X"FC",X"00",X"CC", + X"00",X"00",X"00",X"00",X"00",X"CC",X"00",X"CC",X"00",X"CC",X"FF",X"CC",X"FC",X"FF",X"FC",X"CF", + X"0F",X"CC",X"0F",X"CC",X"0F",X"FF",X"00",X"EF",X"00",X"EE",X"00",X"CC",X"00",X"CC",X"00",X"CC", + X"FB",X"CF",X"FF",X"CF",X"FF",X"FF",X"CF",X"EE",X"FE",X"E0",X"FF",X"CC",X"FF",X"CC",X"FF",X"C0", + X"00",X"00",X"00",X"CC",X"00",X"CC",X"0C",X"CC",X"0C",X"CF",X"00",X"FC",X"00",X"CC",X"0F",X"CC", + X"00",X"00",X"00",X"CC",X"00",X"CC",X"00",X"CC",X"FF",X"CC",X"FC",X"FF",X"FC",X"CF",X"FC",X"CF", + X"0F",X"CC",X"0F",X"FF",X"00",X"EF",X"00",X"EE",X"00",X"CC",X"00",X"CC",X"00",X"CF",X"00",X"FF", + X"FF",X"CF",X"FF",X"FF",X"CF",X"EE",X"CC",X"E0",X"FF",X"CC",X"FF",X"CC",X"FF",X"CC",X"FF",X"FC", + X"00",X"00",X"00",X"CC",X"00",X"CC",X"0C",X"CC",X"0C",X"CF",X"00",X"FF",X"00",X"FC",X"0F",X"FC", + X"00",X"00",X"00",X"CC",X"00",X"CC",X"00",X"CC",X"FF",X"CC",X"CF",X"CF",X"CF",X"CC",X"CF",X"CC", + X"0F",X"FC",X"0F",X"FF",X"00",X"CF",X"00",X"CE",X"00",X"CC",X"00",X"CC",X"00",X"CF",X"00",X"FF", + X"FF",X"CC",X"FF",X"FF",X"FC",X"EE",X"CC",X"E0",X"FF",X"CC",X"FF",X"CC",X"FF",X"CC",X"FF",X"FC", + X"00",X"00",X"00",X"00",X"00",X"CC",X"00",X"CC",X"0C",X"CC",X"00",X"CF",X"00",X"FF",X"0F",X"FC", + X"00",X"00",X"00",X"00",X"00",X"CC",X"00",X"CC",X"FF",X"CC",X"FF",X"FC",X"CF",X"CF",X"CF",X"CC", + X"0F",X"FC",X"0F",X"FC",X"00",X"FF",X"00",X"EE",X"00",X"CC",X"00",X"CC",X"00",X"CC",X"00",X"FC", + X"CF",X"CC",X"FF",X"CC",X"FC",X"EE",X"EF",X"E0",X"FF",X"CC",X"FF",X"CC",X"FF",X"CC",X"FF",X"FC", + X"00",X"CC",X"00",X"CC",X"0C",X"CC",X"0C",X"CF",X"00",X"FC",X"00",X"CC",X"0F",X"CC",X"0F",X"CC", + X"00",X"CC",X"00",X"CC",X"00",X"CC",X"FF",X"CC",X"FC",X"FF",X"FC",X"CF",X"FC",X"CF",X"FF",X"CF", + X"0F",X"FF",X"00",X"EF",X"0C",X"EE",X"00",X"CC",X"00",X"CC",X"00",X"CF",X"00",X"CF",X"0C",X"CC", + X"CF",X"FF",X"CC",X"EE",X"EE",X"E0",X"FF",X"CC",X"FF",X"CC",X"FF",X"CC",X"FF",X"CC",X"FF",X"CC", + X"00",X"00",X"00",X"00",X"00",X"CC",X"00",X"CF",X"0C",X"FC",X"00",X"CC",X"0F",X"FF",X"0F",X"FC", + X"00",X"00",X"00",X"00",X"00",X"CC",X"FF",X"CC",X"FB",X"FF",X"FF",X"CF",X"CF",X"FF",X"CC",X"FF", + X"0F",X"FF",X"00",X"EE",X"0C",X"EF",X"00",X"CF",X"00",X"FF",X"00",X"CF",X"0C",X"CC",X"00",X"00", + X"CC",X"FF",X"FF",X"EE",X"FF",X"E0",X"FF",X"CC",X"FF",X"FF",X"FF",X"CC",X"FF",X"CC",X"CC",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"0F",X"00",X"FF",X"00",X"FF",X"0F",X"FF", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"CF",X"00",X"FC",X"FF",X"FF",X"FF",X"FF",X"FF", + X"0F",X"FF",X"0F",X"FE",X"00",X"EF",X"0C",X"CC",X"00",X"CF",X"00",X"CF",X"00",X"CC",X"00",X"00", + X"EE",X"FF",X"FF",X"FF",X"FF",X"EE",X"FF",X"CC",X"FF",X"C0",X"FF",X"CC",X"CC",X"CC",X"CC",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"0F",X"00",X"FF",X"00",X"FC",X"0F",X"FF", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"CF",X"00",X"FC",X"FF",X"FF",X"FF",X"FF",X"FF", + X"0F",X"FF",X"0F",X"FE",X"0C",X"EF",X"00",X"CC",X"00",X"CF",X"00",X"CF",X"00",X"CC",X"00",X"00", + X"EE",X"FF",X"FF",X"FF",X"FF",X"EE",X"FF",X"CC",X"FF",X"C0",X"CC",X"CC",X"CC",X"CC",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"CC",X"00",X"CC",X"0C",X"CC",X"0C",X"CC", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"FF",X"CC",X"FF",X"CC",X"FF",X"CC",X"FF",X"FC", + X"0C",X"FF",X"0F",X"FF",X"0F",X"FF",X"00",X"FC",X"0C",X"EE",X"0C",X"CC",X"0C",X"FF",X"00",X"CF", + X"FF",X"FF",X"FF",X"FF",X"CF",X"CF",X"FF",X"CC",X"FF",X"EC",X"FC",X"CC",X"CF",X"FF",X"FF",X"FC", + X"00",X"00",X"00",X"00",X"00",X"EC",X"00",X"DE",X"0E",X"DE",X"0E",X"EE",X"0E",X"EE",X"00",X"EC", + X"00",X"00",X"00",X"00",X"00",X"EC",X"00",X"DE",X"EE",X"DD",X"EE",X"DD",X"EE",X"ED",X"EE",X"ED", + X"00",X"EC",X"00",X"EE",X"00",X"EE",X"00",X"DE",X"00",X"ED",X"00",X"EE",X"00",X"EF",X"00",X"EF", + X"CE",X"ED",X"CE",X"DD",X"CE",X"DC",X"DE",X"C0",X"ED",X"DC",X"FF",X"DD",X"FF",X"CD",X"FF",X"DC", + X"00",X"00",X"00",X"EC",X"00",X"DE",X"0E",X"DE",X"0E",X"EE",X"0E",X"EE",X"00",X"CE",X"00",X"CE", + X"00",X"00",X"00",X"EC",X"00",X"DE",X"EE",X"DD",X"EE",X"DD",X"EE",X"ED",X"EC",X"ED",X"EC",X"ED", + X"00",X"EE",X"00",X"EE",X"00",X"DE",X"0E",X"ED",X"00",X"EE",X"00",X"EF",X"00",X"EF",X"00",X"EE", + X"EE",X"DD",X"EE",X"DC",X"EE",X"C0",X"DD",X"DC",X"FF",X"DD",X"FF",X"CC",X"FF",X"C0",X"FF",X"DC", + X"00",X"00",X"00",X"EC",X"00",X"DE",X"0E",X"DE",X"0E",X"EE",X"0E",X"EE",X"00",X"EC",X"00",X"EC", + X"00",X"00",X"00",X"EC",X"00",X"DE",X"EE",X"DD",X"EE",X"DD",X"EE",X"ED",X"EE",X"ED",X"CE",X"ED", + X"00",X"EE",X"00",X"EE",X"00",X"DE",X"0E",X"ED",X"0C",X"EE",X"00",X"EF",X"00",X"EF",X"00",X"EE", + X"CE",X"DD",X"CE",X"DC",X"DE",X"C0",X"ED",X"DD",X"FF",X"DC",X"FF",X"C0",X"FF",X"C0",X"FF",X"DD", + X"00",X"00",X"00",X"00",X"00",X"EC",X"00",X"DE",X"0E",X"DE",X"0E",X"EE",X"0E",X"EE",X"00",X"EE", + X"00",X"00",X"00",X"00",X"00",X"EC",X"00",X"DE",X"EE",X"DD",X"EE",X"DD",X"EE",X"ED",X"EE",X"ED", + X"00",X"EE",X"00",X"EE",X"00",X"EE",X"00",X"DE",X"00",X"ED",X"00",X"EE",X"0E",X"EF",X"0C",X"EF", + X"CC",X"ED",X"CC",X"DD",X"CC",X"DC",X"DD",X"C0",X"EE",X"DD",X"FF",X"DC",X"FF",X"C0",X"FF",X"CD", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"EE",X"00",X"DE",X"0E",X"EE",X"0E",X"EE",X"00",X"EE", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"EC",X"EE",X"DE",X"EE",X"DD",X"EE",X"DD",X"EE",X"ED", + X"00",X"EC",X"00",X"EC",X"00",X"EE",X"00",X"DE",X"00",X"ED",X"00",X"EE",X"00",X"EF",X"00",X"CF", + X"EE",X"ED",X"CE",X"DD",X"CE",X"DC",X"DE",X"C0",X"ED",X"DC",X"FF",X"DD",X"FF",X"CD",X"FF",X"DC", + X"00",X"00",X"00",X"00",X"00",X"EC",X"00",X"DE",X"0E",X"DE",X"0E",X"EE",X"0E",X"EE",X"00",X"EC", + X"00",X"00",X"00",X"00",X"00",X"EC",X"00",X"DE",X"EE",X"DD",X"EE",X"DD",X"EE",X"ED",X"EE",X"ED", + X"00",X"EC",X"00",X"EE",X"00",X"EE",X"00",X"EE",X"00",X"CD",X"00",X"EE",X"00",X"EF",X"00",X"EF", + X"CE",X"ED",X"CE",X"DD",X"CE",X"DC",X"DE",X"C0",X"ED",X"DC",X"FF",X"DD",X"FF",X"CD",X"FF",X"DC", + X"00",X"00",X"00",X"00",X"00",X"EC",X"00",X"DE",X"0E",X"DE",X"0E",X"EE",X"0E",X"EE",X"00",X"EE", + X"00",X"00",X"00",X"00",X"00",X"EC",X"00",X"DE",X"EE",X"DD",X"EE",X"DD",X"EE",X"ED",X"EE",X"ED", + X"00",X"EE",X"00",X"EE",X"00",X"EE",X"00",X"CE",X"00",X"CD",X"00",X"EE",X"00",X"EF",X"00",X"EF", + X"CC",X"ED",X"CC",X"DD",X"CC",X"DC",X"DE",X"C0",X"ED",X"DC",X"FF",X"DD",X"FF",X"CD",X"FF",X"DC", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"EE",X"00",X"DE",X"0E",X"DE",X"0E",X"EE",X"00",X"EE", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"EC",X"EE",X"DE",X"EE",X"DD",X"EE",X"DD",X"EE",X"ED", + X"00",X"EE",X"00",X"EE",X"00",X"EE",X"00",X"EE",X"00",X"ED",X"00",X"EE",X"00",X"EE",X"00",X"CC", + X"EE",X"ED",X"CC",X"DD",X"CC",X"DC",X"CC",X"C0",X"ED",X"DD",X"FF",X"DD",X"FF",X"CC",X"FF",X"DC", + X"00",X"EC",X"00",X"DE",X"0E",X"DE",X"0E",X"EF",X"0E",X"CC",X"00",X"FF",X"00",X"EE",X"00",X"EE", + X"00",X"EC",X"00",X"DE",X"EE",X"DD",X"EF",X"DD",X"EF",X"ED",X"CE",X"FD",X"CE",X"FF",X"CE",X"DD", + X"00",X"EE",X"0E",X"DD",X"0C",X"EE",X"00",X"EF",X"00",X"EF",X"00",X"EF",X"00",X"CE",X"00",X"0C", + X"DE",X"DC",X"DD",X"CD",X"FF",X"DD",X"FF",X"DC",X"FF",X"C0",X"FF",X"DD",X"FF",X"CC",X"CC",X"00", + X"00",X"0F",X"00",X"00",X"F0",X"EE",X"00",X"CF",X"0E",X"FE",X"0E",X"EE",X"00",X"EE",X"00",X"EE", + X"00",X"00",X"00",X"00",X"00",X"E0",X"CE",X"DE",X"CC",X"ED",X"EE",X"ED",X"CC",X"ED",X"DD",X"ED", + X"00",X"ED",X"00",X"DE",X"0E",X"EF",X"0C",X"EF",X"00",X"EF",X"00",X"EE",X"00",X"CE",X"00",X"0C", + X"EE",X"DD",X"FF",X"DC",X"FF",X"CD",X"FF",X"DD",X"FF",X"CC",X"FF",X"DD",X"EE",X"CC",X"DC",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"EE",X"00",X"EE",X"00",X"EE",X"00",X"EE",X"00",X"ED", + X"00",X"00",X"00",X"00",X"C0",X"00",X"CE",X"C0",X"EE",X"DC",X"EE",X"ED",X"DD",X"ED",X"EE",X"ED", + X"00",X"DE",X"00",X"DF",X"0E",X"EF",X"0C",X"EF",X"00",X"EE",X"00",X"EE",X"00",X"CE",X"00",X"0C", + X"FF",X"DD",X"FF",X"DC",X"FF",X"CD",X"FF",X"DC",X"FF",X"CD",X"EE",X"DD",X"EE",X"CC",X"DC",X"00", + X"00",X"0F",X"00",X"00",X"00",X"00",X"F0",X"00",X"00",X"EE",X"00",X"EE",X"00",X"EE",X"00",X"EE", + X"00",X"00",X"00",X"00",X"00",X"00",X"C0",X"00",X"CE",X"C0",X"EE",X"DC",X"CE",X"ED",X"DD",X"ED", + X"00",X"ED",X"00",X"DE",X"00",X"DF",X"00",X"EF",X"00",X"EE",X"00",X"EE",X"00",X"CE",X"00",X"0C", + X"EE",X"ED",X"FF",X"DD",X"FF",X"DC",X"FF",X"CD",X"FF",X"DD",X"EE",X"DD",X"EE",X"CC",X"DC",X"00", + X"00",X"00",X"00",X"0F",X"00",X"00",X"F0",X"00",X"00",X"EE",X"00",X"DE",X"0E",X"DE",X"0E",X"EE", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"EC",X"EE",X"DE",X"EE",X"DD",X"EE",X"DD", + X"00",X"EE",X"00",X"EF",X"00",X"FC",X"0E",X"FE",X"0E",X"EE",X"0C",X"ED",X"00",X"EE",X"00",X"EF", + X"EF",X"ED",X"FF",X"ED",X"FF",X"DD",X"CF",X"FC",X"CE",X"CD",X"ED",X"DD",X"FF",X"DC",X"FF",X"C0", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"BF",X"0B",X"FB",X"00",X"BB",X"0B",X"BB",X"0B",X"BC", + X"00",X"00",X"00",X"00",X"BB",X"00",X"BB",X"C0",X"BB",X"44",X"BB",X"44",X"BB",X"B4",X"BB",X"B4", + X"BB",X"BB",X"BB",X"BB",X"0B",X"BC",X"00",X"CF",X"00",X"FF",X"00",X"FF",X"00",X"CC",X"00",X"BB", + X"CB",X"B4",X"FB",X"44",X"FC",X"44",X"CF",X"CC",X"FF",X"4C",X"FF",X"44",X"FF",X"44",X"FC",X"44", + X"00",X"00",X"00",X"00",X"00",X"BF",X"0B",X"FB",X"00",X"BB",X"0B",X"BB",X"0B",X"BC",X"BB",X"BB", + X"00",X"00",X"BB",X"00",X"BB",X"C0",X"BB",X"44",X"BB",X"44",X"BB",X"B4",X"BB",X"B4",X"CB",X"B4", + X"BB",X"BB",X"0B",X"BC",X"00",X"CF",X"00",X"FF",X"00",X"FF",X"0B",X"CF",X"0C",X"CF",X"00",X"0C", + X"FB",X"44",X"FC",X"44",X"CF",X"CC",X"FF",X"4C",X"FF",X"44",X"FF",X"44",X"FF",X"44",X"FF",X"C4", + X"00",X"00",X"00",X"00",X"00",X"BF",X"0B",X"FB",X"00",X"BB",X"0B",X"BC",X"0B",X"BC",X"BB",X"BB", + X"00",X"00",X"BB",X"00",X"BB",X"C0",X"BB",X"44",X"BB",X"44",X"BB",X"B4",X"BB",X"B4",X"CB",X"B4", + X"BB",X"BB",X"0B",X"BC",X"00",X"CF",X"00",X"FF",X"00",X"FF",X"00",X"CF",X"00",X"CF",X"00",X"0C", + X"FB",X"44",X"FC",X"44",X"CF",X"CC",X"FF",X"4C",X"FF",X"44",X"FF",X"44",X"FF",X"CC",X"FF",X"C4", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"BF",X"0B",X"FB",X"00",X"BB",X"0B",X"BC",X"0B",X"BC", + X"00",X"00",X"00",X"00",X"BB",X"00",X"BB",X"C0",X"BB",X"44",X"BB",X"44",X"BB",X"B4",X"BB",X"B4", + X"BB",X"BB",X"BB",X"BB",X"0B",X"BC",X"00",X"CF",X"00",X"FF",X"0B",X"FF",X"00",X"FF",X"00",X"CF", + X"CB",X"B4",X"FB",X"44",X"FC",X"44",X"CF",X"CC",X"FF",X"4C",X"FF",X"44",X"FF",X"44",X"FF",X"CC", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"BF",X"0B",X"FB",X"00",X"BB",X"0B",X"BB", + X"00",X"00",X"00",X"00",X"00",X"00",X"BB",X"00",X"BB",X"C0",X"BB",X"44",X"BB",X"44",X"BB",X"BC", + X"0B",X"BB",X"BB",X"BC",X"BB",X"BB",X"0B",X"CC",X"00",X"CF",X"00",X"FF",X"00",X"BF",X"00",X"BB", + X"BB",X"B4",X"BB",X"B4",X"CB",X"44",X"FC",X"44",X"CC",X"CC",X"FF",X"44",X"FF",X"44",X"FF",X"4C", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"BF",X"0B",X"FB",X"00",X"BB",X"0B",X"BB",X"0B",X"BC", + X"00",X"00",X"00",X"00",X"BB",X"00",X"BB",X"C0",X"BB",X"44",X"BB",X"44",X"BB",X"B4",X"BB",X"B4", + X"BB",X"BB",X"BB",X"BB",X"0B",X"CC",X"00",X"CF",X"00",X"FF",X"00",X"FF",X"00",X"FF",X"00",X"FF", + X"CB",X"B4",X"FB",X"44",X"FC",X"44",X"CF",X"CC",X"FF",X"4C",X"FF",X"44",X"FF",X"44",X"FC",X"44", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"BF",X"0B",X"FB",X"00",X"BB",X"0B",X"BB",X"0B",X"BB", + X"00",X"00",X"00",X"00",X"BB",X"00",X"BB",X"C0",X"BB",X"44",X"BB",X"44",X"BB",X"B4",X"BB",X"B4", + X"BB",X"BB",X"BB",X"BB",X"0B",X"CC",X"00",X"CB",X"00",X"BB",X"00",X"BB",X"00",X"CC",X"00",X"FF", + X"CC",X"B4",X"FF",X"44",X"FF",X"44",X"CC",X"CC",X"FF",X"4C",X"FF",X"44",X"FF",X"44",X"FC",X"44", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"BF",X"0B",X"FB",X"00",X"BB",X"0B",X"BB",X"0B",X"BB", + X"00",X"00",X"00",X"00",X"BB",X"00",X"BB",X"C0",X"BB",X"44",X"BB",X"44",X"BB",X"B4",X"BB",X"B4", + X"BB",X"BB",X"BB",X"BB",X"0B",X"BC",X"00",X"CF",X"00",X"FF",X"00",X"BF",X"00",X"BB",X"00",X"CB", + X"CC",X"B4",X"FF",X"44",X"FF",X"44",X"CC",X"CC",X"FF",X"4C",X"FF",X"44",X"FF",X"44",X"FC",X"44", + X"00",X"00",X"00",X"FF",X"00",X"FF",X"0B",X"FF",X"00",X"FF",X"0B",X"BB",X"0B",X"BB",X"BB",X"BF", + X"00",X"00",X"BB",X"C0",X"BF",X"F0",X"BF",X"44",X"CB",X"44",X"FB",X"B4",X"FC",X"B4",X"CF",X"B4", + X"BB",X"FF",X"0C",X"FF",X"00",X"CF",X"00",X"FF",X"00",X"FF",X"0B",X"BF",X"0B",X"BF",X"00",X"BB", + X"FF",X"44",X"FF",X"CC",X"FF",X"44",X"FF",X"44",X"FF",X"CC",X"FF",X"C0",X"FF",X"44",X"FF",X"44", + X"00",X"00",X"00",X"00",X"00",X"CF",X"00",X"FB",X"0B",X"BF",X"00",X"FF",X"0B",X"FF",X"0B",X"FF", + X"00",X"00",X"00",X"00",X"FB",X"00",X"FF",X"00",X"FF",X"44",X"FF",X"44",X"FF",X"F4",X"FF",X"FF", + X"BB",X"FF",X"BB",X"FF",X"0B",X"FF",X"00",X"CF",X"00",X"FF",X"0B",X"BF",X"0B",X"BF",X"00",X"CC", + X"FF",X"FF",X"FF",X"F4",X"FF",X"CC",X"FF",X"44",X"FF",X"44",X"FF",X"CC",X"FF",X"44",X"BB",X"CC", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"FF",X"00",X"FF", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"FC",X"00",X"FF",X"00",X"FF",X"C0",X"FF",X"FC", + X"0B",X"FF",X"BF",X"FF",X"BB",X"FF",X"CB",X"FF",X"0C",X"CF",X"0B",X"FF",X"0B",X"BF",X"0C",X"CC", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"44",X"FF",X"44",X"FF",X"CC",X"FB",X"44",X"BB",X"CC", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"FF",X"00",X"FF",X"00",X"FF", + X"00",X"00",X"00",X"00",X"00",X"00",X"FC",X"00",X"FF",X"00",X"FF",X"C0",X"FF",X"FC",X"FF",X"FF", + X"0B",X"FF",X"BB",X"FF",X"BB",X"FF",X"CB",X"FF",X"0C",X"CF",X"00",X"FF",X"00",X"BF",X"00",X"CC", + X"FF",X"FF",X"FF",X"FF",X"FF",X"F4",X"FF",X"44",X"FF",X"44",X"FF",X"C4",X"FF",X"44",X"FF",X"CC", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"BB",X"0B",X"BB",X"0C",X"BB",X"0B",X"FF",X"0B",X"CF", + X"00",X"00",X"00",X"00",X"BB",X"00",X"BB",X"C0",X"BF",X"F4",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"BB",X"FF",X"BB",X"BB",X"CB",X"CC",X"0C",X"FF",X"00",X"FF",X"00",X"FF",X"00",X"BF",X"0B",X"CF", + X"BF",X"FF",X"CB",X"4F",X"FC",X"44",X"CC",X"CC",X"FF",X"44",X"FF",X"44",X"FF",X"4C",X"FF",X"44", + X"00",X"00",X"00",X"A0",X"AA",X"BA",X"A4",X"FF",X"CA",X"FF",X"0A",X"FC",X"AA",X"CF",X"AA",X"AA", + X"00",X"00",X"00",X"AC",X"0A",X"BA",X"FF",X"BB",X"FF",X"AB",X"CC",X"AA",X"AF",X"FA",X"AA",X"AA", + X"AA",X"AC",X"CB",X"AC",X"AA",X"BB",X"CA",X"AA",X"0C",X"AA",X"00",X"AA",X"00",X"AA",X"00",X"AC", + X"CC",X"A4",X"CC",X"44",X"C4",X"C4",X"AB",X"44",X"AA",X"4C",X"AB",X"CC",X"B4",X"00",X"CB",X"00", + X"00",X"CC",X"00",X"CC",X"00",X"CC",X"00",X"CF",X"00",X"FC",X"00",X"CC",X"0F",X"CB",X"0F",X"CC", + X"00",X"C0",X"0C",X"CC",X"0C",X"CC",X"FF",X"CC",X"FC",X"FF",X"FB",X"CF",X"FC",X"CF",X"FF",X"CF", + X"0F",X"FF",X"00",X"EF",X"0C",X"EE",X"00",X"CC",X"00",X"CF",X"00",X"FF",X"0C",X"FF",X"00",X"CC", + X"CF",X"FF",X"CC",X"EE",X"CC",X"E0",X"EE",X"CC",X"FF",X"CC",X"FF",X"FC",X"EF",X"F0",X"FF",X"CC", + X"00",X"00",X"00",X"EC",X"00",X"DE",X"0E",X"EE",X"0E",X"EE",X"0E",X"CC",X"0C",X"CE",X"00",X"EE", + X"00",X"00",X"00",X"EC",X"00",X"DE",X"EE",X"DD",X"EE",X"ED",X"EE",X"ED",X"CE",X"ED",X"CE",X"ED", + X"00",X"EE",X"00",X"EE",X"0E",X"DE",X"0C",X"ED",X"00",X"EF",X"00",X"FF",X"00",X"FF",X"00",X"EF", + X"DD",X"DD",X"CE",X"DC",X"DE",X"C0",X"FF",X"DD",X"FF",X"DC",X"FF",X"C0",X"FF",X"C0",X"FF",X"DD", + X"00",X"00",X"00",X"00",X"00",X"BB",X"0B",X"BB",X"0C",X"CC",X"0B",X"CB",X"0B",X"BB",X"BB",X"BC", + X"00",X"00",X"B4",X"00",X"BB",X"C0",X"BB",X"44",X"BB",X"44",X"CB",X"44",X"FB",X"44",X"FC",X"44", + X"BB",X"FF",X"CC",X"FF",X"0B",X"FF",X"0B",X"FF",X"0C",X"FF",X"0B",X"FF",X"0B",X"BF",X"0B",X"BB", + X"CC",X"44",X"CE",X"EC",X"FF",X"C4",X"FF",X"E4",X"FF",X"EC",X"FF",X"E4",X"FF",X"C4",X"FF",X"44", + X"00",X"03",X"00",X"3C",X"03",X"FF",X"03",X"CC",X"3C",X"CC",X"3C",X"CC",X"3C",X"CC",X"03",X"CC", + X"30",X"00",X"C3",X"00",X"CC",X"00",X"CC",X"00",X"CC",X"30",X"C4",X"20",X"CC",X"C2",X"CC",X"C2", + X"03",X"CC",X"00",X"CC",X"00",X"CC",X"00",X"33",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"CC",X"CC",X"CC",X"CC",X"CF",X"CC",X"CC",X"CC",X"3C",X"C2",X"02",X"20",X"00",X"00",X"00",X"00", + X"00",X"03",X"00",X"3C",X"00",X"FF",X"03",X"CA",X"03",X"CC",X"3C",X"CC",X"2C",X"CC",X"3C",X"CC", + X"33",X"00",X"CC",X"00",X"CC",X"20",X"CC",X"C3",X"CC",X"CC",X"CC",X"FC",X"CC",X"CC",X"CC",X"CC", + X"02",X"9C",X"00",X"22",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"22",X"CC",X"00",X"22",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"33",X"00",X"CC",X"03",X"FF",X"03",X"CC", + X"00",X"00",X"00",X"00",X"00",X"20",X"23",X"C3",X"CC",X"CC",X"CC",X"4C",X"CC",X"CC",X"5C",X"CC", + X"3C",X"CC",X"3C",X"AC",X"3C",X"CC",X"3C",X"CC",X"03",X"CC",X"02",X"C9",X"00",X"CC",X"00",X"22", + X"CC",X"C2",X"CC",X"C2",X"CC",X"20",X"CC",X"20",X"CC",X"00",X"CC",X"00",X"22",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"0F",X"00",X"0F",X"FF",X"FF",X"AA",X"FF",X"CA",X"AF", + X"C0",X"00",X"AC",X"00",X"AC",X"00",X"AA",X"00",X"AA",X"00",X"AF",X"FF",X"FF",X"AA",X"FF",X"AA", + X"0C",X"FF",X"00",X"FF",X"00",X"FA",X"00",X"AA",X"00",X"AA",X"00",X"AC",X"00",X"C0",X"00",X"00", + X"AA",X"AC",X"AA",X"C0",X"FA",X"C0",X"FF",X"AC",X"CF",X"AC",X"0C",X"AC",X"00",X"C0",X"00",X"00", + X"00",X"BB",X"00",X"FB",X"00",X"FF",X"00",X"FF",X"00",X"FF",X"00",X"FF",X"00",X"FF",X"0F",X"BF", + X"00",X"00",X"00",X"BC",X"CF",X"BC",X"FF",X"BC",X"FB",X"C0",X"BB",X"C0",X"FF",X"C0",X"FF",X"BC", + X"FF",X"BF",X"BB",X"BF",X"CC",X"FF",X"00",X"CF",X"00",X"0F",X"00",X"0F",X"00",X"0C",X"00",X"00", + X"BF",X"FF",X"BB",X"FF",X"BB",X"BB",X"BB",X"CC",X"BC",X"00",X"C0",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"AB",X"00",X"AA",X"BB",X"CA",X"BB",X"0A",X"AB",X"0C",X"AA",X"00",X"AA", + X"AA",X"00",X"AB",X"00",X"AB",X"00",X"BB",X"00",X"BB",X"C0",X"BB",X"BB",X"AA",X"BB",X"BA",X"AA", + X"00",X"AB",X"00",X"BB",X"0A",X"BB",X"0A",X"BC",X"0B",X"C0",X"0C",X"00",X"00",X"00",X"00",X"00", + X"BB",X"AA",X"AB",X"CC",X"AB",X"00",X"AA",X"C0",X"AA",X"C0",X"CA",X"C0",X"0C",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"99",X"00",X"0C",X"00",X"0A",X"00",X"AF",X"00",X"CC", + X"88",X"BB",X"CC",X"CC",X"99",X"0B",X"9C",X"77",X"C6",X"F7",X"6F",X"C7",X"66",X"CC",X"C5",X"F9", + X"00",X"99",X"00",X"F9",X"00",X"99",X"06",X"88",X"6F",X"CC",X"66",X"C7",X"C5",X"C7",X"0C",X"0C", + X"7C",X"99",X"3C",X"C8",X"CA",X"BC",X"CA",X"BC",X"CC",X"C3",X"3C",X"C3",X"3C",X"CC",X"CC",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"06",X"00",X"06",X"00",X"06",X"00",X"06",X"00",X"00", + X"00",X"00",X"00",X"00",X"66",X"00",X"66",X"00",X"66",X"00",X"65",X"00",X"55",X"00",X"55",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"06",X"00",X"6F",X"00",X"61",X"00",X"66",X"00",X"06",X"00",X"00", + X"00",X"00",X"60",X"00",X"65",X"00",X"65",X"00",X"65",X"00",X"55",X"00",X"55",X"00",X"5C",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"06",X"00",X"6F",X"00",X"61",X"00",X"66",X"00",X"66",X"00",X"06",X"00",X"00", + X"65",X"00",X"66",X"00",X"66",X"C0",X"66",X"C0",X"66",X"C0",X"65",X"C0",X"55",X"00",X"55",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"06", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"65",X"00", + X"00",X"6F",X"00",X"F1",X"00",X"F1",X"00",X"66",X"00",X"66",X"00",X"66",X"00",X"66",X"00",X"05", + X"66",X"00",X"66",X"C0",X"66",X"C0",X"65",X"C0",X"65",X"C0",X"55",X"C0",X"55",X"00",X"55",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"66", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"66",X"00",X"66",X"00", + X"00",X"6F",X"00",X"6F",X"00",X"66",X"00",X"66",X"00",X"66",X"00",X"66",X"00",X"55",X"00",X"00", + X"66",X"C0",X"66",X"5C",X"66",X"5C",X"66",X"5C",X"65",X"5C",X"55",X"C0",X"55",X"C0",X"55",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"06",X"00",X"F1",X"00",X"F1", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"66",X"00",X"66",X"00",X"66",X"C0", + X"00",X"F1",X"00",X"16",X"00",X"66",X"00",X"66",X"00",X"66",X"00",X"66",X"00",X"55",X"00",X"05", + X"66",X"5C",X"66",X"5C",X"66",X"5C",X"65",X"5C",X"55",X"5C",X"55",X"C0",X"55",X"C0",X"55",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"6F",X"00",X"FF",X"00",X"FF", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"66",X"00",X"66",X"00",X"66",X"5C",X"66",X"5C", + X"00",X"11",X"00",X"66",X"00",X"66",X"00",X"66",X"00",X"66",X"00",X"66",X"00",X"55",X"00",X"00", + X"66",X"55",X"66",X"55",X"66",X"55",X"66",X"55",X"66",X"5C",X"55",X"5C",X"55",X"C0",X"55",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"06",X"00",X"66",X"00",X"F1",X"00",X"F1",X"06",X"16", + X"00",X"00",X"00",X"00",X"00",X"00",X"65",X"00",X"66",X"C0",X"66",X"5C",X"66",X"5C",X"66",X"55", + X"06",X"16",X"06",X"66",X"06",X"66",X"06",X"66",X"00",X"66",X"00",X"66",X"00",X"55",X"00",X"05", + X"66",X"55",X"66",X"55",X"66",X"55",X"65",X"55",X"55",X"5C",X"55",X"5C",X"55",X"C0",X"55",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"6F",X"00",X"FF",X"00",X"F1",X"00",X"F6",X"06",X"16", + X"00",X"00",X"00",X"00",X"66",X"00",X"66",X"00",X"66",X"5C",X"66",X"55",X"66",X"55",X"66",X"55", + X"06",X"66",X"06",X"66",X"06",X"66",X"00",X"66",X"00",X"66",X"00",X"66",X"00",X"55",X"00",X"00", + X"66",X"55",X"66",X"55",X"66",X"55",X"66",X"55",X"65",X"55",X"55",X"5C",X"55",X"C0",X"55",X"00", + X"00",X"00",X"00",X"06",X"00",X"F1",X"00",X"F1",X"06",X"16",X"06",X"66",X"6F",X"66",X"61",X"66", + X"00",X"00",X"65",X"00",X"66",X"00",X"66",X"5C",X"66",X"55",X"66",X"55",X"66",X"55",X"66",X"55", + X"66",X"66",X"66",X"66",X"66",X"66",X"06",X"66",X"05",X"66",X"00",X"55",X"00",X"55",X"00",X"05", + X"66",X"55",X"66",X"55",X"65",X"55",X"55",X"55",X"55",X"55",X"55",X"5C",X"55",X"C0",X"55",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"0C",X"00",X"0C",X"00",X"0C",X"00",X"0C",X"00",X"00", + X"00",X"00",X"00",X"00",X"CC",X"00",X"CB",X"00",X"CC",X"00",X"CC",X"00",X"CC",X"00",X"CC",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"0C",X"00",X"CF",X"00",X"CF",X"00",X"CC",X"00",X"0C",X"00",X"00", + X"00",X"00",X"C0",X"00",X"CC",X"00",X"CB",X"00",X"CC",X"00",X"CC",X"00",X"CC",X"00",X"C0",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"0C",X"00",X"C1",X"00",X"C1",X"00",X"CC",X"00",X"CC",X"00",X"0C",X"00",X"00", + X"CC",X"00",X"CC",X"00",X"CC",X"00",X"CC",X"00",X"CC",X"00",X"CC",X"B0",X"CC",X"00",X"CC",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"0C", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"CC",X"00", + X"00",X"CF",X"00",X"F1",X"00",X"F1",X"00",X"C1",X"00",X"CC",X"00",X"CC",X"00",X"CC",X"00",X"0C", + X"CC",X"00",X"CC",X"00",X"CC",X"00",X"CC",X"00",X"CC",X"00",X"CB",X"00",X"CC",X"00",X"CC",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"CC", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"CC",X"00",X"CC",X"00", + X"00",X"CF",X"00",X"CF",X"00",X"CC",X"00",X"CC",X"00",X"CC",X"00",X"CC",X"00",X"CC",X"00",X"00", + X"CC",X"00",X"CC",X"C0",X"CC",X"C0",X"CC",X"C0",X"CC",X"C0",X"CC",X"B0",X"CC",X"0B",X"CC",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"0C",X"00",X"CF",X"00",X"F1", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"CC",X"00",X"CC",X"00",X"CC",X"00", + X"00",X"F1",X"00",X"C1",X"00",X"CC",X"00",X"CC",X"00",X"CC",X"00",X"CC",X"00",X"CC",X"00",X"0C", + X"CC",X"C0",X"CC",X"C0",X"CC",X"CB",X"CC",X"C0",X"CC",X"C0",X"CC",X"00",X"CC",X"00",X"CC",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"CC",X"00",X"CF",X"00",X"F1", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"CC",X"BB",X"CC",X"B0",X"CC",X"C0",X"CC",X"C0", + X"00",X"FC",X"00",X"1C",X"00",X"1C",X"00",X"CC",X"00",X"CC",X"00",X"CC",X"00",X"CC",X"00",X"00", + X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"C0",X"CC",X"C0",X"CC",X"00",X"CC",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"0C",X"00",X"CF",X"00",X"F1",X"00",X"F1",X"0C",X"1C", + X"00",X"00",X"00",X"B0",X"00",X"B0",X"CC",X"00",X"CC",X"00",X"CC",X"C0",X"CC",X"C0",X"CC",X"CC", + X"0C",X"1C",X"0C",X"CC",X"0C",X"CC",X"0C",X"CC",X"00",X"CC",X"00",X"CC",X"00",X"CC",X"00",X"0C", + X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"C0",X"CC",X"C0",X"CC",X"00",X"CC",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"CC",X"00",X"CF",X"00",X"FF",X"00",X"F1",X"0C",X"F1", + X"00",X"00",X"00",X"00",X"CC",X"B0",X"CC",X"B0",X"CC",X"C0",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC", + X"0C",X"1C",X"0C",X"CC",X"0C",X"CC",X"00",X"CC",X"00",X"CC",X"00",X"CC",X"00",X"CC",X"00",X"00", + X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"C0",X"CC",X"00",X"CC",X"00", + X"00",X"00",X"00",X"0C",X"00",X"CC",X"00",X"F1",X"0C",X"FC",X"0C",X"1C",X"CC",X"1C",X"CC",X"CC", + X"00",X"00",X"CC",X"00",X"CC",X"00",X"CC",X"CC",X"CC",X"CC",X"CC",X"CB",X"CC",X"BB",X"CC",X"BC", + X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"0C",X"CC",X"0C",X"CC",X"00",X"CC",X"00",X"CC",X"00",X"0C", + X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"C0",X"CC",X"00",X"CC",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"0C",X"00",X"0C",X"00",X"0C",X"00",X"0C", + X"00",X"00",X"00",X"00",X"00",X"00",X"BC",X"00",X"BC",X"00",X"CC",X"00",X"CC",X"00",X"CC",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"01",X"01",X"11",X"11",X"01",X"11",X"01",X"11",X"01",X"11", + X"00",X"00",X"00",X"00",X"00",X"00",X"10",X"11",X"11",X"1C",X"11",X"1C",X"11",X"1C",X"11",X"1C", + X"01",X"11",X"01",X"11",X"01",X"01",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"11",X"1C",X"11",X"1C",X"1C",X"11",X"CC",X"0C",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"06",X"00",X"06",X"00",X"06",X"00",X"06", + X"00",X"00",X"00",X"00",X"00",X"00",X"66",X"00",X"66",X"00",X"66",X"00",X"65",X"00",X"55",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"06",X"00",X"06", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"66",X"00",X"66",X"00",X"66",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"11",X"10",X"CC",X"1C",X"C0",X"1C",X"C0",X"1C",X"C0", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"11",X"01",X"C1",X"C1",X"01",X"C1",X"01",X"C1",X"01", + X"1C",X"C0",X"1C",X"C0",X"CC",X"11",X"00",X"CC",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"C1",X"01",X"C1",X"01",X"C0",X"11",X"00",X"CC",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"01",X"11",X"01",X"C1",X"01",X"C1", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"11",X"11",X"C1",X"C1",X"C1",X"C1", + X"01",X"C1",X"01",X"11",X"00",X"CC",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"C1",X"C1",X"11",X"11",X"CC",X"CC",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"11",X"11",X"0C",X"1C",X"11",X"1C", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"11",X"11",X"1C",X"1C",X"1C",X"1C", + X"1C",X"1C",X"11",X"11",X"0C",X"0C",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"1C",X"1C",X"11",X"11",X"0C",X"0C",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"11",X"11",X"0C",X"1C",X"01",X"1C", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"11",X"11",X"1C",X"1C",X"1C",X"1C", + X"00",X"1C",X"11",X"11",X"0C",X"0C",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"1C",X"1C",X"11",X"11",X"0C",X"0C",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"11",X"01",X"1C",X"10",X"1C", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"11",X"11",X"1C",X"1C",X"1C",X"1C", + X"11",X"1C",X"0C",X"11",X"00",X"0C",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"1C",X"1C",X"11",X"11",X"0C",X"0C",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"11",X"11",X"1C",X"1C",X"11",X"1C", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"11",X"11",X"1C",X"1C",X"1C",X"1C", + X"0C",X"1C",X"11",X"11",X"0C",X"0C",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"1C",X"1C",X"11",X"11",X"0C",X"0C",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"11",X"11",X"1C",X"1C",X"11",X"1C", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"11",X"11",X"1C",X"1C",X"1C",X"1C", + X"1C",X"1C",X"11",X"11",X"0C",X"0C",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"1C",X"1C",X"11",X"11",X"0C",X"0C",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"11",X"11",X"0C",X"1C",X"00",X"1C", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"11",X"11",X"1C",X"1C",X"1C",X"1C", + X"00",X"1C",X"00",X"11",X"00",X"0C",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"1C",X"1C",X"11",X"11",X"0C",X"0C",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"0C",X"00",X"0C", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"BC",X"00",X"BC",X"00",X"CC",X"00", + X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22", + X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22", + X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22", + X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22", + X"F0",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"C0",X"00",X"22",X"0F",X"22",X"00",X"32",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"33",X"C0",X"33",X"C0",X"33",X"2C",X"33",X"2C",X"33",X"22",X"33",X"22",X"23",X"22",X"23",X"22", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"03",X"00",X"FF",X"00",X"F3",X"00",X"33", + X"00",X"00",X"00",X"00",X"00",X"00",X"33",X"C0",X"33",X"22",X"33",X"33",X"33",X"33",X"33",X"33", + X"03",X"33",X"03",X"33",X"03",X"33",X"03",X"33",X"03",X"33",X"00",X"F3",X"00",X"FF",X"00",X"FF", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"C0",X"00",X"2C",X"00",X"22",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"22",X"00",X"32",X"C0",X"32",X"C0",X"33",X"2C",X"33",X"2C",X"33",X"22",X"33",X"22",X"33",X"22", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"B3",X"00",X"3F",X"00",X"FF",X"00",X"CF",X"00",X"0F",X"00",X"0F",X"00",X"0C",X"00",X"00", + X"33",X"33",X"33",X"33",X"F3",X"33",X"FF",X"33",X"FF",X"33",X"FF",X"E3",X"FF",X"FE",X"FF",X"FF", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"FF",X"FF",X"CC",X"FF",X"BB",X"BB",X"CB",X"BB",X"0C",X"CC",X"00",X"00",X"00",X"00",X"00",X"00", + X"32",X"22",X"33",X"32",X"CC",X"32",X"CC",X"32",X"33",X"32",X"33",X"33",X"33",X"33",X"33",X"33", + X"00",X"00",X"00",X"00",X"C0",X"00",X"C0",X"00",X"C0",X"00",X"C0",X"00",X"C0",X"00",X"2C",X"00", + X"E3",X"33",X"EE",X"33",X"EE",X"CC",X"CC",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"2C",X"00",X"2C",X"00",X"22",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"0B",X"B3",X"0C",X"BF",X"00",X"CF",X"00",X"0F",X"00",X"0F",X"00",X"0C",X"00",X"00",X"00",X"00", + X"33",X"33",X"33",X"33",X"FE",X"33",X"FF",X"33",X"FF",X"33",X"FF",X"E3",X"FF",X"FE",X"FF",X"FF", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"FF",X"FF",X"CC",X"FE",X"BB",X"BB",X"CB",X"BB",X"0C",X"CC",X"00",X"00",X"00",X"00",X"00",X"00", + X"23",X"22",X"32",X"22",X"33",X"32",X"CC",X"32",X"33",X"32",X"33",X"32",X"33",X"33",X"33",X"33", + X"00",X"00",X"00",X"00",X"C0",X"00",X"C0",X"00",X"C0",X"00",X"C0",X"00",X"C0",X"00",X"2C",X"00", + X"E3",X"33",X"EE",X"33",X"EC",X"C3",X"C0",X"0C",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"2C",X"00",X"2C",X"00",X"2C",X"00",X"CC",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"33",X"03",X"33",X"33",X"C3",X"3C",X"33",X"C0",X"33",X"00",X"33",X"00",X"33",X"00",X"C3", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"00",X"03",X"00",X"B3",X"00",X"CB",X"00",X"0C",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"23",X"C3",X"23",X"0C",X"C2",X"00",X"C2", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"32",X"33",X"32",X"33",X"32",X"33",X"32", + X"22",X"00",X"22",X"00",X"2C",X"00",X"C0",X"00",X"C0",X"00",X"C0",X"00",X"C0",X"00",X"00",X"00", + X"33",X"22",X"33",X"22",X"33",X"22",X"33",X"2B",X"33",X"BB",X"32",X"CC",X"2C",X"00",X"C0",X"00", + X"00",X"00",X"C0",X"00",X"BC",X"00",X"BB",X"00",X"BC",X"00",X"C0",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"03",X"00",X"3F",X"00",X"FF",X"00",X"F3", + X"00",X"0F",X"00",X"00",X"00",X"00",X"33",X"32",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"00",X"F3",X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"FF",X"00",X"FF",X"00",X"CF",X"00",X"BF", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"3C", + X"00",X"CA",X"00",X"A4",X"0C",X"FF",X"CA",X"FF",X"CA",X"CA",X"CA",X"CA",X"0C",X"CC",X"AA",X"CC", + X"C0",X"00",X"AC",X"00",X"AC",X"00",X"FC",X"C0",X"AA",X"AC",X"AF",X"4A",X"AF",X"4A",X"AF",X"4A", + X"CA",X"BC",X"AA",X"BB",X"AA",X"AB",X"AA",X"AA",X"AA",X"BB",X"CB",X"BC",X"BC",X"BC",X"C0",X"BB", + X"AA",X"AA",X"CC",X"BC",X"CC",X"BC",X"BC",X"C0",X"BB",X"00",X"CC",X"00",X"B0",X"00",X"C0",X"00", + X"00",X"33",X"00",X"33",X"03",X"CC",X"33",X"33",X"CC",X"33",X"00",X"33",X"00",X"33",X"00",X"C3", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"00",X"C3",X"00",X"03",X"00",X"BB",X"00",X"BB",X"00",X"BB",X"00",X"CC",X"00",X"00",X"00",X"00", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"C3",X"33",X"0C",X"32",X"00",X"C2", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"32",X"33",X"32",X"33",X"32",X"33",X"32", + X"22",X"00",X"22",X"00",X"2C",X"00",X"C0",X"00",X"C0",X"00",X"C0",X"00",X"C0",X"00",X"00",X"00", + X"33",X"22",X"33",X"22",X"33",X"22",X"33",X"2B",X"33",X"BC",X"32",X"C0",X"22",X"00",X"CC",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"FC",X"00",X"FF",X"0F",X"CC",X"0F",X"CC",X"CF",X"FC",X"C0",X"FF",X"CC",X"CC", + X"C0",X"00",X"CC",X"00",X"C0",X"00",X"FF",X"00",X"FF",X"C0",X"FF",X"CC",X"CC",X"CC",X"CB",X"CC", + X"CC",X"FC",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FE",X"CC",X"CE",X"C0",X"C0",X"00",X"CC", + X"CC",X"C0",X"FC",X"E0",X"FF",X"E0",X"EF",X"00",X"EE",X"00",X"00",X"00",X"C0",X"00",X"00",X"00", + X"00",X"EE",X"00",X"EE",X"00",X"EF",X"0C",X"EF",X"CC",X"EF",X"EC",X"EE",X"EC",X"EE",X"EE",X"EE", + X"C0",X"00",X"CC",X"00",X"EE",X"00",X"EE",X"00",X"EE",X"C0",X"CE",X"CC",X"CF",X"DD",X"EF",X"DD", + X"EF",X"FD",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"DF",X"FF",X"CD",X"FD",X"CD",X"ED",X"CD",X"DC", + X"EF",X"DD",X"EE",X"DD",X"DD",X"DD",X"DD",X"CC",X"CC",X"00",X"C0",X"00",X"DC",X"00",X"C0",X"00", + X"DF",X"00",X"FF",X"00",X"FF",X"D0",X"CC",X"DD",X"CF",X"DF",X"CF",X"DF",X"CF",X"DF",X"CF",X"DF", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"D0",X"00",X"FD",X"00",X"FF",X"00", + X"CF",X"DF",X"FC",X"DF",X"FF",X"DF",X"0F",X"DF",X"00",X"DF",X"00",X"DF",X"00",X"DF",X"00",X"EF", + X"FF",X"F0",X"CF",X"F0",X"FC",X"F0",X"FC",X"F0",X"FC",X"F0",X"FC",X"F0",X"FC",X"F0",X"FC",X"F0", + X"00",X"00",X"0F",X"00",X"0F",X"00",X"0F",X"F0",X"0F",X"F0",X"0F",X"F0",X"0F",X"F0",X"0F",X"F0", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"0F",X"F0",X"0F",X"F0",X"0F",X"F0",X"00",X"F0",X"00",X"F0",X"00",X"F0",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"F0",X"00",X"FF",X"00",X"CF",X"00",X"CF",X"00",X"CF",X"00",X"CF",X"00",X"CF",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"CF",X"00",X"CF",X"00",X"CF",X"00",X"FF",X"00",X"FF",X"00",X"0F",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"FF",X"00",X"99",X"00",X"99",X"00",X"FF",X"EE",X"88",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"EF", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"EE",X"00",X"FF",X"00",X"FF",X"A0", + X"00",X"EC",X"00",X"EC",X"00",X"EC",X"00",X"EC",X"DD",X"EC",X"ED",X"EC",X"CE",X"DD",X"0C",X"DD", + X"CC",X"F0",X"FF",X"F0",X"FF",X"F0",X"0F",X"F0",X"00",X"F0",X"00",X"00",X"D0",X"00",X"DD",X"00", + X"00",X"DD",X"00",X"CC",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"DD",X"00",X"CC",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"0F",X"00",X"0F",X"00",X"0C",X"F0",X"0C",X"F0",X"0F",X"F0",X"0F",X"F0",X"0F",X"F0", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"0C",X"F0",X"0C",X"F0",X"0F",X"F0",X"00",X"F0",X"00",X"F0",X"00",X"F0",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"F0",X"00",X"FF",X"00",X"CC",X"00",X"FF",X"00",X"FF",X"00",X"FC",X"00",X"CF",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"FF",X"00",X"FF",X"00",X"CF",X"00",X"FC",X"00",X"FF",X"00",X"0F",X"00",X"00",X"00",X"00",X"00", + X"FF",X"FE",X"FF",X"AA",X"AA",X"AA",X"AA",X"CC",X"CC",X"CC",X"CC",X"FF",X"FF",X"ED",X"DE",X"FE", + X"AA",X"AC",X"AA",X"C0",X"CC",X"C0",X"CC",X"C0",X"FF",X"00",X"CC",X"00",X"00",X"00",X"00",X"00", + X"EE",X"FE",X"22",X"FF",X"2E",X"FD",X"FF",X"CD",X"EC",X"AA",X"CA",X"AA",X"AA",X"CC",X"CC",X"00", + X"00",X"00",X"AC",X"00",X"AA",X"00",X"AA",X"00",X"AC",X"00",X"C0",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"33",X"00",X"32",X"FF",X"FF",X"FF",X"FF",X"11",X"FF", + X"00",X"00",X"00",X"00",X"00",X"00",X"C0",X"00",X"EC",X"00",X"EE",X"C0",X"FE",X"E1",X"FF",X"1D", + X"EE",X"11",X"CC",X"EE",X"00",X"32",X"0B",X"32",X"BB",X"FF",X"CB",X"B2",X"0C",X"BB",X"00",X"CC", + X"11",X"CC",X"DE",X"00",X"CE",X"00",X"BF",X"00",X"FB",X"00",X"BB",X"00",X"BC",X"00",X"C0",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"0F",X"00",X"FF",X"00",X"AA",X"00",X"CA",X"00",X"EC", + X"00",X"FF",X"00",X"99",X"FF",X"FF",X"FF",X"88",X"FF",X"FF",X"FF",X"FF",X"AA",X"FF",X"CA",X"AA", + X"00",X"CE",X"00",X"0C",X"00",X"00",X"00",X"0C",X"00",X"AA",X"00",X"AA",X"00",X"CC",X"00",X"00", + X"EC",X"CC",X"EE",X"EE",X"FC",X"EE",X"FA",X"32",X"FF",X"32",X"FC",X"FF",X"AA",X"CC",X"CA",X"AA", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"FF",X"00",X"FF",X"FF",X"FF",X"5F",X"FF", + X"00",X"FF",X"FF",X"33",X"FF",X"33",X"33",X"FF",X"F3",X"32",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"FF",X"00",X"33",X"C0",X"33",X"C0",X"FF",X"EE",X"22",X"EE",X"FE",X"FE",X"FF",X"FF",X"FF",X"EE", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"C0",X"00",X"EE",X"00",X"EE",X"C0",X"FE",X"5C", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"0F",X"00",X"FF",X"00",X"5F",X"00",X"E5",X"00",X"CE", + X"00",X"EE",X"00",X"33",X"FF",X"FF",X"FF",X"32",X"FF",X"FF",X"FF",X"FF",X"5F",X"FF",X"E5",X"55", + X"00",X"0C",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"BB",X"00",X"BB",X"00",X"CC",X"00",X"00", + X"EE",X"EE",X"CC",X"EE",X"FC",X"32",X"FC",X"32",X"FF",X"32",X"BE",X"FF",X"BB",X"32",X"CB",X"BB", + X"EC",X"00",X"3F",X"00",X"F2",X"EC",X"2E",X"EE",X"FE",X"EE",X"FF",X"FE",X"FF",X"55",X"55",X"DD", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"EC",X"00",X"5C",X"00",X"DC",X"00",X"C0",X"00", + X"EE",X"DC",X"DD",X"C0",X"22",X"CC",X"22",X"CB",X"2F",X"CB",X"FF",X"BB",X"CC",X"BB",X"BB",X"CC", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"C0",X"00",X"C0",X"00",X"00",X"00",X"00",X"00", + X"55",X"FF",X"E5",X"55",X"CC",X"55",X"00",X"EE",X"00",X"CE",X"00",X"0E",X"00",X"0E",X"00",X"0E", + X"FF",X"FF",X"5F",X"FF",X"55",X"55",X"E5",X"55",X"EE",X"EE",X"EE",X"EE",X"C3",X"EE",X"F3",X"32", + X"00",X"FE",X"00",X"FF",X"00",X"CE",X"00",X"BE",X"00",X"BB",X"00",X"CB",X"00",X"0C",X"00",X"00", + X"C3",X"32",X"B3",X"32",X"FF",X"32",X"FF",X"FF",X"CC",X"FF",X"BB",X"CC",X"BB",X"BB",X"CC",X"CC", + X"FF",X"FE",X"FF",X"55",X"55",X"55",X"55",X"ED",X"ED",X"DE",X"DE",X"CE",X"ED",X"0E",X"22",X"FE", + X"55",X"50",X"55",X"C0",X"DD",X"00",X"CC",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"22",X"FE",X"22",X"FF",X"2E",X"FE",X"FF",X"CE",X"EC",X"BB",X"CB",X"BB",X"BB",X"CC",X"CC",X"00", + X"00",X"00",X"BC",X"00",X"BB",X"00",X"BB",X"00",X"BC",X"00",X"C0",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"0A",X"00",X"A4",X"A0",X"4B",X"A0",X"AB",X"AA",X"CA",X"FF",X"AA",X"FF",X"AA",X"CC", + X"00",X"00",X"0A",X"C0",X"A4",X"AC",X"A4",X"BA",X"AA",X"AA",X"FF",X"CC",X"FF",X"AC",X"CF",X"A4", + X"AA",X"CA",X"AA",X"AA",X"BB",X"AA",X"CC",X"BB",X"00",X"AA",X"0A",X"AA",X"AA",X"AA",X"CC",X"AA", + X"CF",X"A4",X"AA",X"B4",X"AA",X"4C",X"BB",X"C0",X"BB",X"00",X"AB",X"C0",X"B4",X"BC",X"4C",X"C0", + X"00",X"00",X"00",X"00",X"0A",X"00",X"A4",X"A0",X"BB",X"AA",X"CA",X"FF",X"AA",X"FF",X"AA",X"CC", + X"00",X"00",X"00",X"00",X"0A",X"C0",X"A4",X"AA",X"A4",X"BA",X"FF",X"CC",X"FF",X"AC",X"CF",X"A4", + X"AA",X"CA",X"AA",X"AA",X"BB",X"AA",X"CC",X"BC",X"AA",X"AA",X"CA",X"AA",X"0C",X"AA",X"0A",X"AA", + X"CF",X"A4",X"AA",X"44",X"AA",X"4C",X"44",X"C0",X"BB",X"00",X"AB",X"C0",X"B4",X"4C",X"4C",X"C0", + X"AA",X"00",X"44",X"00",X"4B",X"00",X"BB",X"AA",X"AA",X"FA",X"AF",X"FA",X"AF",X"FA",X"AF",X"AA", + X"AA",X"00",X"44",X"C0",X"4B",X"AC",X"AB",X"AC",X"FA",X"C0",X"FF",X"C0",X"FF",X"4C",X"CF",X"4C", + X"AA",X"AA",X"BB",X"AC",X"CC",X"CC",X"AA",X"AA",X"AA",X"AA",X"CC",X"AA",X"00",X"AA",X"0A",X"CC", + X"AA",X"4C",X"A4",X"C0",X"4C",X"00",X"BB",X"00",X"B4",X"C0",X"4C",X"00",X"C0",X"00",X"4C",X"00", + X"00",X"00",X"AA",X"00",X"44",X"00",X"4B",X"00",X"BB",X"AA",X"AA",X"FA",X"AF",X"FA",X"AF",X"CA", + X"00",X"00",X"AA",X"00",X"44",X"C0",X"4B",X"AC",X"AB",X"AC",X"FA",X"C0",X"FF",X"C0",X"FF",X"4C", + X"AF",X"AA",X"AA",X"AA",X"BB",X"AC",X"CC",X"BA",X"0A",X"AA",X"AA",X"AA",X"AC",X"AA",X"C0",X"AA", + X"FF",X"4C",X"AA",X"4C",X"A4",X"C0",X"4C",X"00",X"BB",X"C0",X"B4",X"00",X"4C",X"00",X"C0",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"AA",X"00",X"44",X"A0",X"AB",X"AA",X"CA",X"FF",X"AA",X"FF", + X"00",X"00",X"00",X"00",X"00",X"00",X"0A",X"AC",X"A4",X"BA",X"AA",X"AA",X"FF",X"CC",X"FF",X"AC", + X"AA",X"FF",X"AA",X"CC",X"AA",X"AA",X"BB",X"AA",X"CC",X"BB",X"0A",X"AA",X"0A",X"AA",X"0A",X"AA", + X"FF",X"A4",X"CF",X"A4",X"AA",X"44",X"AA",X"4C",X"44",X"C0",X"BB",X"C0",X"AB",X"C0",X"BC",X"C0", + X"00",X"00",X"0A",X"00",X"A4",X"A0",X"44",X"A0",X"AB",X"AA",X"CA",X"FF",X"AA",X"FF",X"AA",X"FF", + X"00",X"00",X"0A",X"C0",X"A4",X"AC",X"A4",X"BA",X"AA",X"AA",X"FF",X"CC",X"FF",X"AC",X"FF",X"A4", + X"AA",X"FA",X"AA",X"AA",X"BB",X"AA",X"AA",X"BB",X"CA",X"AA",X"0C",X"AA",X"00",X"AA",X"00",X"AA", + X"FC",X"A4",X"AA",X"44",X"AA",X"4C",X"44",X"C0",X"BB",X"00",X"AB",X"C0",X"B4",X"4C",X"44",X"C0", + X"00",X"00",X"0A",X"00",X"A4",X"A0",X"44",X"A0",X"AB",X"AA",X"CA",X"FF",X"AA",X"FF",X"AA",X"CC", + X"00",X"00",X"0A",X"C0",X"A4",X"AC",X"A4",X"BA",X"AA",X"AA",X"FF",X"CC",X"FF",X"AC",X"FC",X"A4", + X"AA",X"FC",X"AA",X"AA",X"BB",X"AA",X"CA",X"BB",X"0A",X"AA",X"0C",X"AA",X"00",X"AA",X"00",X"AA", + X"AC",X"A4",X"AA",X"44",X"AA",X"4C",X"44",X"C0",X"BB",X"C0",X"AB",X"44",X"B4",X"4C",X"44",X"C0", + X"00",X"00",X"00",X"00",X"00",X"00",X"0A",X"4C",X"A4",X"AA",X"44",X"AA",X"AA",X"FF",X"AA",X"FF", + X"00",X"00",X"00",X"00",X"00",X"00",X"0A",X"C0",X"A4",X"AC",X"AA",X"BA",X"FF",X"AC",X"FF",X"A4", + X"AA",X"CC",X"AA",X"FC",X"BB",X"AA",X"CC",X"BB",X"00",X"AA",X"0A",X"AA",X"0A",X"AA",X"0C",X"AA", + X"FC",X"A4",X"AC",X"44",X"AA",X"4C",X"C4",X"C0",X"BB",X"4C",X"AB",X"C0",X"A4",X"00",X"B4",X"00", + X"00",X"00",X"0A",X"00",X"A4",X"A0",X"BB",X"AA",X"AA",X"CC",X"AA",X"FF",X"AF",X"FA",X"AA",X"AC", + X"00",X"00",X"0A",X"00",X"A4",X"A0",X"AA",X"4A",X"CF",X"AC",X"FF",X"AC",X"FF",X"A4",X"AA",X"44", + X"BB",X"CC",X"CC",X"44",X"AA",X"AA",X"CA",X"AA",X"0C",X"AA",X"0A",X"AA",X"0A",X"AA",X"0C",X"CC", + X"CA",X"4C",X"44",X"C0",X"BB",X"4C",X"BB",X"C0",X"AB",X"00",X"B4",X"C0",X"4C",X"C0",X"C0",X"00", + X"00",X"00",X"00",X"C0",X"00",X"FA",X"AF",X"AA",X"AA",X"AC",X"AA",X"CC",X"AA",X"CC",X"AA",X"AA", + X"00",X"00",X"CF",X"00",X"FF",X"00",X"AA",X"4C",X"AC",X"4C",X"CC",X"44",X"CB",X"44",X"BB",X"4C", + X"BB",X"AB",X"BB",X"AA",X"BB",X"AA",X"CB",X"AA",X"0C",X"AA",X"00",X"AA",X"00",X"CB",X"00",X"0C", + X"B4",X"BC",X"B4",X"BC",X"BB",X"BC",X"AB",X"C0",X"B4",X"00",X"4C",X"00",X"C0",X"00",X"C0",X"00", + X"00",X"00",X"00",X"00",X"00",X"0A",X"00",X"AA",X"0A",X"AC",X"AA",X"CA",X"AA",X"AB",X"AA",X"BB", + X"00",X"00",X"00",X"00",X"00",X"00",X"BB",X"00",X"BB",X"00",X"CB",X"BC",X"BB",X"BC",X"BB",X"C0", + X"CA",X"AA",X"AC",X"AA",X"CA",X"AA",X"40",X"AA",X"40",X"AA",X"A4",X"BB",X"A4",X"CB",X"44",X"0C", + X"CB",X"BB",X"4C",X"BC",X"44",X"C0",X"44",X"AC",X"4B",X"A4",X"4B",X"4C",X"CB",X"4C",X"0C",X"C0", + X"00",X"00",X"00",X"00",X"00",X"0A",X"00",X"AA",X"0A",X"CC",X"AA",X"AA",X"AA",X"AB",X"AA",X"BB", + X"00",X"00",X"00",X"00",X"00",X"00",X"BB",X"00",X"CB",X"00",X"AC",X"B0",X"BB",X"B0",X"BB",X"C0", + X"CA",X"AA",X"AC",X"AA",X"CA",X"AA",X"40",X"AA",X"40",X"AA",X"A4",X"BB",X"A4",X"CB",X"44",X"BC", + X"CB",X"BB",X"4C",X"BC",X"44",X"C0",X"44",X"AC",X"4B",X"A4",X"4B",X"4C",X"CB",X"4C",X"0C",X"C0", + X"00",X"00",X"00",X"00",X"00",X"00",X"0A",X"AC",X"A4",X"AA",X"44",X"AA",X"A4",X"AA",X"AA",X"FF", + X"10",X"00",X"00",X"00",X"00",X"01",X"AA",X"00",X"A4",X"A0",X"A4",X"BA",X"AA",X"BC",X"FF",X"A4", + X"AA",X"FF",X"AA",X"CC",X"BA",X"AA",X"CC",X"BC",X"AA",X"AA",X"CA",X"AA",X"0C",X"AA",X"00",X"AA", + X"FF",X"A4",X"AC",X"F4",X"AA",X"4C",X"C4",X"C0",X"AB",X"C0",X"AB",X"4C",X"B4",X"4C",X"BC",X"C0", + X"00",X"00",X"0C",X"00",X"CC",X"C0",X"CC",X"C0",X"CC",X"FF",X"0F",X"CC",X"FF",X"CC",X"FF",X"BC", + X"00",X"00",X"0C",X"00",X"CC",X"C0",X"CC",X"CC",X"FF",X"CC",X"CC",X"00",X"CC",X"F0",X"BC",X"FE", + X"FF",X"CF",X"FF",X"FF",X"EE",X"FF",X"00",X"EE",X"CC",X"FF",X"CC",X"FF",X"0C",X"FF",X"0C",X"FF", + X"CC",X"FE",X"FF",X"EE",X"FF",X"E0",X"EE",X"00",X"FC",X"CC",X"FC",X"CC",X"FF",X"00",X"FF",X"00", + X"00",X"00",X"0C",X"00",X"CC",X"C0",X"CC",X"C0",X"CC",X"FF",X"0F",X"CC",X"FF",X"CC",X"FF",X"BC", + X"00",X"00",X"0C",X"00",X"CC",X"C0",X"CC",X"CC",X"FF",X"CC",X"CC",X"00",X"CC",X"F0",X"BC",X"FE", + X"FF",X"CF",X"FF",X"FF",X"EE",X"FF",X"00",X"EE",X"CC",X"FF",X"CC",X"FF",X"0C",X"FF",X"CC",X"FF", + X"CC",X"FE",X"FF",X"EE",X"FF",X"E0",X"EE",X"00",X"FC",X"C0",X"FC",X"CC",X"FF",X"0C",X"FF",X"00", + X"0C",X"00",X"CC",X"C0",X"CC",X"C0",X"CC",X"FF",X"0F",X"CC",X"FF",X"CC",X"FF",X"BC",X"FF",X"CF", + X"0C",X"00",X"CC",X"C0",X"CC",X"CC",X"FF",X"CC",X"CC",X"00",X"CC",X"F0",X"BC",X"FE",X"CC",X"FE", + X"FF",X"FF",X"EE",X"FF",X"00",X"EC",X"CC",X"FF",X"CC",X"FF",X"0C",X"FF",X"0C",X"FF",X"CC",X"FF", + X"FF",X"EE",X"FF",X"E0",X"EE",X"00",X"FC",X"C0",X"FC",X"CC",X"FF",X"00",X"FF",X"00",X"FC",X"CC", + X"00",X"00",X"CC",X"00",X"CC",X"00",X"CC",X"00",X"CC",X"FF",X"FF",X"CF",X"FC",X"CF",X"FC",X"CF", + X"00",X"00",X"CC",X"00",X"CC",X"00",X"CC",X"C0",X"FC",X"C0",X"CF",X"00",X"CC",X"00",X"CC",X"E0", + X"FC",X"FF",X"FF",X"FF",X"EE",X"FC",X"0E",X"CC",X"CC",X"FF",X"CC",X"FF",X"CC",X"FF",X"CC",X"FF", + X"CC",X"E0",X"FF",X"E0",X"FE",X"00",X"EE",X"00",X"CC",X"00",X"CC",X"C0",X"FC",X"00",X"FC",X"00", + X"00",X"00",X"00",X"00",X"0C",X"00",X"CC",X"C0",X"CC",X"C0",X"CC",X"FF",X"0F",X"CC",X"FF",X"CC", + X"00",X"00",X"00",X"00",X"0C",X"00",X"CC",X"C0",X"CC",X"CC",X"FF",X"CC",X"CC",X"00",X"CC",X"F0", + X"FF",X"BB",X"FF",X"BF",X"FF",X"FF",X"EE",X"FF",X"00",X"EE",X"0C",X"FF",X"0C",X"FF",X"00",X"CF", + X"BC",X"FE",X"BC",X"FE",X"FF",X"EE",X"FF",X"E0",X"EE",X"00",X"FC",X"00",X"FC",X"00",X"CC",X"00", + X"00",X"00",X"0C",X"00",X"CC",X"C0",X"CC",X"C0",X"CC",X"FF",X"0F",X"CC",X"FF",X"CC",X"FF",X"BC", + X"00",X"00",X"0C",X"00",X"CC",X"C0",X"CC",X"CC",X"FF",X"CC",X"CC",X"00",X"CC",X"F0",X"BC",X"FE", + X"FF",X"CF",X"FF",X"FF",X"CC",X"FF",X"CC",X"EC",X"CC",X"FF",X"0C",X"FF",X"0C",X"FF",X"0C",X"FF", + X"CC",X"FE",X"FF",X"EE",X"FF",X"E0",X"EE",X"00",X"FC",X"C0",X"FC",X"CC",X"FF",X"00",X"FF",X"00", + X"00",X"00",X"0C",X"00",X"CC",X"C0",X"CC",X"C0",X"CC",X"FF",X"0F",X"CC",X"FF",X"CC",X"FF",X"CA", + X"00",X"00",X"0C",X"00",X"CC",X"C0",X"CC",X"CC",X"FF",X"CC",X"CC",X"00",X"CC",X"F0",X"CA",X"FE", + X"FF",X"CC",X"FF",X"FF",X"FC",X"FF",X"0C",X"EE",X"0C",X"FF",X"0C",X"FF",X"0C",X"FF",X"0C",X"FF", + X"FC",X"FE",X"FF",X"EE",X"FF",X"E0",X"CE",X"00",X"FC",X"C0",X"FC",X"CC",X"FF",X"00",X"FF",X"00", + X"00",X"00",X"00",X"00",X"0C",X"00",X"CC",X"C0",X"CC",X"CF",X"CC",X"FF",X"FF",X"CC",X"FF",X"CC", + X"00",X"00",X"00",X"00",X"0C",X"00",X"CC",X"C0",X"CC",X"CC",X"FF",X"C0",X"CC",X"F0",X"CC",X"FE", + X"FF",X"CB",X"FF",X"CC",X"FF",X"FF",X"00",X"EE",X"0C",X"FF",X"0C",X"FF",X"0C",X"CF",X"0C",X"CF", + X"CB",X"FE",X"FC",X"EE",X"FF",X"E0",X"EE",X"00",X"FC",X"00",X"FC",X"C0",X"FF",X"CC",X"FF",X"00", + X"0C",X"00",X"CC",X"C0",X"CC",X"C0",X"CC",X"FF",X"0F",X"BC",X"FF",X"CC",X"FF",X"CC",X"FF",X"CF", + X"0C",X"00",X"CC",X"C0",X"CC",X"CC",X"FF",X"CC",X"BC",X"00",X"CC",X"F0",X"CC",X"FE",X"CC",X"FE", + X"FF",X"FF",X"EE",X"FC",X"C0",X"EE",X"CC",X"FF",X"0C",X"FF",X"0C",X"FF",X"0C",X"FF",X"CC",X"FF", + X"FF",X"EE",X"FF",X"E0",X"EE",X"CC",X"FC",X"C0",X"FC",X"00",X"FF",X"00",X"FF",X"00",X"FC",X"CC", + X"00",X"00",X"00",X"00",X"0C",X"00",X"CC",X"FF",X"CF",X"CB",X"FF",X"FF",X"FF",X"FF",X"FF",X"FC", + X"00",X"00",X"00",X"00",X"0C",X"00",X"FF",X"C0",X"CC",X"CC",X"FC",X"F0",X"FF",X"FE",X"FC",X"FE", + X"FF",X"CC",X"EE",X"FF",X"C0",X"FF",X"CC",X"FF",X"0F",X"FF",X"CC",X"FF",X"CC",X"FF",X"00",X"0C", + X"CF",X"EE",X"FE",X"E0",X"FF",X"CC",X"FF",X"C0",X"FF",X"00",X"FF",X"C0",X"FC",X"CC",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"FF",X"0F",X"FC",X"FF",X"CF",X"FF",X"FF", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"FF",X"00",X"FF",X"00",X"CF",X"F0",X"FF",X"FE", + X"FF",X"EE",X"FF",X"FF",X"EE",X"FF",X"CC",X"FF",X"00",X"FF",X"CC",X"FF",X"CC",X"FF",X"00",X"00", + X"EF",X"FE",X"FE",X"EE",X"FF",X"E0",X"FC",X"CC",X"FF",X"00",X"FF",X"C0",X"FC",X"C0",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"FF",X"0F",X"CC",X"FF",X"FF",X"FF",X"FF", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"FF",X"00",X"CF",X"00",X"FC",X"F0",X"FF",X"FE", + X"FF",X"EE",X"FF",X"FF",X"EE",X"FF",X"CC",X"FF",X"00",X"FF",X"CC",X"FF",X"0C",X"FF",X"00",X"00", + X"EF",X"FE",X"FE",X"EE",X"FF",X"EC",X"FC",X"C0",X"FF",X"00",X"FF",X"C0",X"FC",X"00",X"00",X"00", + X"00",X"00",X"00",X"10",X"10",X"00",X"00",X"00",X"0C",X"FF",X"CC",X"CF",X"CC",X"CF",X"CC",X"FF", + X"00",X"00",X"10",X"00",X"00",X"10",X"00",X"00",X"FC",X"00",X"CC",X"C0",X"FC",X"CC",X"FF",X"CC", + X"CF",X"FF",X"FF",X"FF",X"FF",X"CC",X"CE",X"CB",X"CC",X"EF",X"CC",X"FF",X"CC",X"CC",X"CC",X"FF", + X"FF",X"FE",X"FF",X"FE",X"CC",X"EE",X"FB",X"C0",X"EE",X"CC",X"FC",X"CC",X"CC",X"CC",X"FF",X"C0", + X"00",X"00",X"00",X"00",X"0E",X"00",X"EC",X"C0",X"CD",X"EE",X"CE",X"EE",X"EE",X"EE",X"EE",X"EE", + X"00",X"00",X"00",X"00",X"0E",X"00",X"EC",X"C0",X"CD",X"EC",X"EE",X"EC",X"EE",X"EC",X"CE",X"C0", + X"EE",X"EC",X"EE",X"EC",X"0E",X"EC",X"00",X"ED",X"0E",X"DE",X"EE",X"FF",X"EC",X"FF",X"0E",X"FF", + X"CE",X"C0",X"EE",X"C0",X"ED",X"00",X"DC",X"00",X"DD",X"00",X"DD",X"C0",X"FD",X"C0",X"FD",X"00", + X"00",X"00",X"0E",X"00",X"EC",X"C0",X"CD",X"EE",X"CE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"CC", + X"00",X"00",X"0E",X"00",X"EC",X"C0",X"CD",X"EC",X"EE",X"EC",X"EE",X"EC",X"EE",X"C0",X"EE",X"C0", + X"EE",X"CC",X"0E",X"CC",X"00",X"DD",X"EE",X"EE",X"CE",X"FF",X"0C",X"FF",X"0E",X"FF",X"EE",X"FF", + X"EE",X"C0",X"ED",X"00",X"DC",X"00",X"DD",X"00",X"DD",X"C0",X"FD",X"D0",X"FD",X"C0",X"DD",X"00", + X"00",X"00",X"0E",X"00",X"EC",X"C0",X"CD",X"EE",X"CE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EC", + X"00",X"00",X"0E",X"00",X"EC",X"C0",X"CD",X"EC",X"EE",X"EC",X"EE",X"EC",X"CE",X"C0",X"CE",X"C0", + X"EE",X"EC",X"0E",X"EC",X"00",X"ED",X"EE",X"DE",X"CE",X"FF",X"0C",X"FF",X"00",X"FF",X"EE",X"FF", + X"EE",X"C0",X"ED",X"00",X"DC",X"00",X"DD",X"D0",X"DD",X"C0",X"FD",X"00",X"FD",X"00",X"DD",X"C0", + X"00",X"00",X"00",X"00",X"0E",X"00",X"EC",X"C0",X"CD",X"EE",X"CE",X"EE",X"EE",X"EE",X"EE",X"CE", + X"00",X"00",X"00",X"00",X"0E",X"00",X"EC",X"C0",X"CD",X"EC",X"EE",X"EC",X"EE",X"EC",X"EC",X"C0", + X"EE",X"CE",X"EE",X"EE",X"0E",X"EE",X"00",X"EE",X"0E",X"DD",X"EE",X"FF",X"CC",X"FF",X"00",X"FF", + X"EC",X"C0",X"EE",X"C0",X"ED",X"00",X"DC",X"00",X"DD",X"D0",X"DD",X"C0",X"FD",X"00",X"FD",X"D0", + X"00",X"00",X"00",X"00",X"00",X"00",X"0E",X"C0",X"ED",X"EE",X"CD",X"EE",X"CE",X"EE",X"EE",X"EE", + X"00",X"00",X"00",X"00",X"00",X"00",X"EE",X"00",X"CD",X"C0",X"ED",X"EC",X"EE",X"EC",X"EE",X"C0", + X"EE",X"EE",X"EE",X"EC",X"0E",X"EC",X"00",X"ED",X"0E",X"DE",X"EE",X"FF",X"EC",X"FF",X"0E",X"FF", + X"CE",X"C0",X"CE",X"C0",X"ED",X"00",X"DC",X"00",X"DD",X"00",X"DD",X"C0",X"FD",X"C0",X"FC",X"00", + X"00",X"00",X"00",X"00",X"0E",X"00",X"EC",X"C0",X"CD",X"EE",X"CE",X"EE",X"EE",X"EE",X"EE",X"EE", + X"00",X"00",X"00",X"00",X"0E",X"00",X"EC",X"C0",X"CD",X"EC",X"EE",X"EC",X"EE",X"EC",X"CE",X"C0", + X"EE",X"EC",X"EE",X"EC",X"CE",X"EC",X"EC",X"ED",X"EE",X"DE",X"0E",X"FF",X"00",X"FF",X"0E",X"FF", + X"CE",X"C0",X"EE",X"C0",X"ED",X"00",X"DC",X"00",X"DD",X"00",X"DD",X"C0",X"FD",X"C0",X"FD",X"00", + X"00",X"00",X"00",X"00",X"0E",X"00",X"EC",X"C0",X"CD",X"EE",X"CE",X"EE",X"EE",X"EE",X"EE",X"CE", + X"00",X"00",X"00",X"00",X"0E",X"00",X"EC",X"C0",X"CD",X"EC",X"EE",X"EC",X"EE",X"EC",X"EC",X"C0", + X"EE",X"CE",X"EE",X"EE",X"EC",X"EE",X"0E",X"ED",X"0E",X"DE",X"0E",X"FF",X"00",X"FF",X"0E",X"FF", + X"EC",X"C0",X"EE",X"C0",X"ED",X"00",X"DC",X"00",X"DD",X"00",X"DD",X"C0",X"FD",X"C0",X"FD",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"0E",X"C0",X"EC",X"EE",X"CD",X"EE",X"CE",X"EE",X"EE",X"EE", + X"00",X"00",X"00",X"00",X"00",X"00",X"EE",X"00",X"CD",X"C0",X"ED",X"EC",X"EE",X"EC",X"EE",X"C0", + X"EE",X"CE",X"EE",X"CE",X"CE",X"EE",X"0C",X"ED",X"0E",X"DE",X"0E",X"CF",X"0C",X"EC",X"0E",X"EC", + X"EC",X"C0",X"EC",X"C0",X"ED",X"00",X"DD",X"00",X"DD",X"00",X"DD",X"D0",X"FD",X"C0",X"FD",X"00", + X"0E",X"00",X"EC",X"C0",X"CD",X"EE",X"CE",X"FE",X"EE",X"FE",X"EF",X"EC",X"FF",X"EC",X"EE",X"EC", + X"0E",X"00",X"EC",X"C0",X"CD",X"EC",X"FE",X"EC",X"CC",X"EC",X"FF",X"C0",X"EE",X"C0",X"EE",X"C0", + X"0E",X"ED",X"E0",X"DD",X"EE",X"FF",X"CE",X"FF",X"0C",X"FF",X"EE",X"FF",X"CC",X"FF",X"00",X"CC", + X"ED",X"00",X"DC",X"D0",X"DD",X"C0",X"FD",X"00",X"FD",X"00",X"FD",X"00",X"DC",X"00",X"C0",X"00", + X"00",X"00",X"00",X"00",X"0E",X"00",X"ED",X"EC",X"DE",X"CC",X"EE",X"EE",X"EE",X"CC",X"EE",X"DD", + X"F0",X"00",X"00",X"00",X"0E",X"0F",X"FC",X"00",X"EF",X"E0",X"EE",X"E0",X"EE",X"C0",X"EE",X"C0", + X"EE",X"EE",X"0E",X"FF",X"E0",X"FF",X"CE",X"FF",X"0C",X"FF",X"EE",X"FF",X"CC",X"EE",X"00",X"CD", + X"DE",X"C0",X"ED",X"00",X"FC",X"D0",X"FD",X"C0",X"FD",X"00",X"ED",X"00",X"EC",X"00",X"C0",X"00", + X"00",X"00",X"00",X"00",X"00",X"0C",X"00",X"EC",X"0E",X"EE",X"EE",X"EE",X"EE",X"DD",X"EE",X"EE", + X"00",X"00",X"00",X"00",X"00",X"00",X"ED",X"00",X"EE",X"00",X"EE",X"C0",X"EE",X"C0",X"DE",X"C0", + X"EE",X"FF",X"0E",X"FF",X"E0",X"FF",X"CE",X"FF",X"EC",X"FF",X"EE",X"EE",X"CC",X"EE",X"00",X"CD", + X"ED",X"C0",X"FD",X"00",X"FC",X"D0",X"FD",X"C0",X"ED",X"00",X"ED",X"00",X"EC",X"00",X"C0",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"0C",X"00",X"EC",X"0E",X"EE",X"EE",X"EC",X"EE",X"DD", + X"F0",X"00",X"00",X"00",X"00",X"00",X"00",X"0F",X"ED",X"00",X"EE",X"00",X"EE",X"C0",X"EE",X"C0", + X"EE",X"EE",X"EE",X"FF",X"0E",X"FF",X"E0",X"FF",X"EE",X"FF",X"EE",X"EE",X"CC",X"EE",X"00",X"CD", + X"DE",X"C0",X"ED",X"C0",X"FD",X"00",X"FC",X"00",X"ED",X"00",X"ED",X"00",X"EC",X"00",X"C0",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"0E",X"C0",X"EC",X"EE",X"CD",X"EE",X"CE",X"EE", + X"00",X"00",X"F0",X"00",X"00",X"00",X"00",X"0F",X"EE",X"00",X"CD",X"C0",X"ED",X"EC",X"EE",X"EC", + X"EE",X"EE",X"EE",X"FE",X"EE",X"FF",X"CF",X"EC",X"EC",X"EC",X"EE",X"DE",X"CE",X"FF",X"0C",X"FF", + X"FE",X"C0",X"FF",X"C0",X"CF",X"C0",X"FF",X"DC",X"DD",X"DC",X"DD",X"C0",X"DD",X"00",X"FD",X"00", + X"00",X"00",X"00",X"00",X"00",X"BB",X"00",X"BB",X"BB",X"BB",X"BF",X"BB",X"BB",X"BB",X"BB",X"BB", + X"00",X"00",X"00",X"00",X"C0",X"00",X"B4",X"00",X"BB",X"4C",X"BB",X"C0",X"BB",X"4C",X"CB",X"4C", + X"BB",X"BC",X"BB",X"BF",X"BB",X"CF",X"CC",X"FC",X"0B",X"FF",X"BB",X"FF",X"BB",X"FF",X"BB",X"CF", + X"BB",X"44",X"BB",X"44",X"C4",X"4C",X"FC",X"C0",X"FF",X"00",X"FF",X"C0",X"CC",X"C4",X"44",X"C4", + X"00",X"00",X"00",X"BB",X"00",X"BB",X"BB",X"BB",X"BF",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BC", + X"00",X"00",X"C0",X"00",X"B4",X"00",X"BB",X"4C",X"BB",X"C0",X"BB",X"4C",X"CB",X"4C",X"BB",X"44", + X"BB",X"BF",X"BB",X"CF",X"CC",X"FC",X"0B",X"FF",X"BB",X"FF",X"BB",X"FF",X"CC",X"FF",X"00",X"FF", + X"BB",X"44",X"C4",X"4C",X"FC",X"C0",X"FF",X"00",X"FF",X"C0",X"FC",X"C4",X"FC",X"C4",X"FC",X"40", + X"00",X"00",X"00",X"BB",X"00",X"BB",X"BB",X"BB",X"BF",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BC", + X"00",X"00",X"C0",X"00",X"B4",X"00",X"BB",X"4C",X"BB",X"C0",X"CB",X"4C",X"CB",X"4C",X"BB",X"44", + X"BB",X"BF",X"BB",X"CF",X"CC",X"FC",X"0B",X"FF",X"BB",X"FF",X"BB",X"FF",X"BC",X"FF",X"C0",X"FF", + X"BB",X"44",X"C4",X"4C",X"FC",X"C0",X"FF",X"00",X"FF",X"C0",X"FC",X"40",X"FC",X"C4",X"FC",X"4C", + X"00",X"00",X"00",X"00",X"00",X"BB",X"00",X"BB",X"BB",X"BB",X"BF",X"BB",X"BB",X"BB",X"BB",X"BB", + X"00",X"00",X"00",X"00",X"C0",X"00",X"B4",X"00",X"BB",X"4C",X"BB",X"C0",X"CB",X"4C",X"CB",X"4C", + X"BB",X"BC",X"BB",X"BF",X"BB",X"CF",X"CC",X"FC",X"0B",X"FF",X"BB",X"FF",X"BB",X"FF",X"CC",X"FF", + X"BB",X"44",X"BB",X"44",X"C4",X"4C",X"FC",X"C0",X"FF",X"00",X"FF",X"40",X"FF",X"C4",X"FC",X"C4", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"BB",X"00",X"BB",X"BB",X"BB",X"BF",X"BB",X"CB",X"BB", + X"00",X"00",X"00",X"00",X"00",X"00",X"C0",X"00",X"B4",X"00",X"BB",X"4C",X"BB",X"C0",X"BB",X"4C", + X"BB",X"BB",X"BB",X"BB",X"BB",X"BC",X"BB",X"CF",X"CC",X"CC",X"BB",X"FF",X"BB",X"FF",X"0B",X"FF", + X"BB",X"4C",X"CB",X"44",X"BB",X"44",X"C4",X"4C",X"FC",X"C0",X"FF",X"C0",X"F4",X"C0",X"44",X"C4", + X"00",X"00",X"00",X"00",X"00",X"BB",X"00",X"BB",X"BB",X"BB",X"BF",X"BB",X"BB",X"BB",X"BB",X"BB", + X"00",X"00",X"00",X"00",X"C0",X"00",X"B4",X"00",X"BB",X"4C",X"BB",X"C0",X"BB",X"4C",X"CB",X"4C", + X"CB",X"BC",X"CC",X"BF",X"BB",X"CF",X"BB",X"FC",X"BB",X"FF",X"BB",X"FF",X"BB",X"FF",X"CB",X"FF", + X"BB",X"44",X"BB",X"44",X"C4",X"4C",X"FC",X"C0",X"FF",X"00",X"FF",X"C0",X"CC",X"C4",X"44",X"C4", + X"00",X"00",X"00",X"00",X"00",X"BB",X"00",X"BB",X"BB",X"BB",X"CF",X"BB",X"BB",X"BB",X"BB",X"CB", + X"00",X"00",X"00",X"00",X"C0",X"00",X"B4",X"00",X"BB",X"4C",X"BB",X"C0",X"BB",X"4C",X"BC",X"4C", + X"BB",X"BB",X"BB",X"BB",X"BB",X"CC",X"0C",X"BF",X"BB",X"BC",X"BB",X"CC",X"BB",X"FF",X"CB",X"FF", + X"BB",X"44",X"BB",X"44",X"C4",X"4C",X"FC",X"C0",X"FF",X"00",X"FF",X"C0",X"CC",X"C4",X"44",X"C4", + X"00",X"00",X"00",X"00",X"00",X"BB",X"00",X"BB",X"BB",X"BB",X"CF",X"BB",X"BB",X"BB",X"BB",X"CB", + X"00",X"00",X"00",X"00",X"C0",X"00",X"B4",X"00",X"BB",X"4C",X"BB",X"C0",X"BB",X"4C",X"BC",X"4C", + X"BB",X"CB",X"BB",X"BB",X"BB",X"CC",X"0C",X"FF",X"BB",X"FF",X"BB",X"FF",X"BB",X"FF",X"CB",X"FF", + X"BC",X"44",X"BB",X"44",X"C4",X"4C",X"FC",X"C0",X"FF",X"00",X"FF",X"C0",X"CC",X"C4",X"44",X"C4", + X"00",X"00",X"0C",X"BB",X"0F",X"FB",X"BB",X"FB",X"BB",X"BC",X"BB",X"BF",X"BB",X"CF",X"BB",X"FC", + X"00",X"00",X"FF",X"00",X"FF",X"00",X"FF",X"4C",X"FF",X"C0",X"BB",X"4C",X"BB",X"4C",X"FB",X"44", + X"CB",X"FF",X"CC",X"FF",X"BB",X"FF",X"BB",X"FF",X"0C",X"FF",X"0C",X"FF",X"BB",X"FF",X"BB",X"FF", + X"FF",X"C4",X"FF",X"CC",X"FC",X"C0",X"FF",X"C0",X"FF",X"C0",X"F4",X"4C",X"F4",X"4C",X"44",X"C0", + X"00",X"00",X"00",X"00",X"00",X"BF",X"00",X"FF",X"BB",X"FF",X"BB",X"FF",X"BF",X"FF",X"FF",X"FF", + X"00",X"00",X"00",X"00",X"FC",X"00",X"BF",X"00",X"FB",X"4C",X"FF",X"C0",X"FF",X"4C",X"FF",X"4C", + X"FF",X"FF",X"BF",X"FF",X"CC",X"FF",X"BB",X"FF",X"BB",X"FF",X"0C",X"FF",X"BB",X"FF",X"CC",X"CB", + X"FF",X"44",X"FF",X"44",X"FF",X"4C",X"FC",X"C0",X"FF",X"C0",X"FF",X"40",X"F4",X"4C",X"CC",X"CC", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"0F",X"00",X"FF",X"00",X"FF",X"0F",X"FF", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"C0",X"00",X"FF",X"00",X"FF",X"00", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"BB",X"FF",X"BB",X"FF",X"CC",X"FF",X"BB",X"FF",X"CC",X"FF", + X"FF",X"4C",X"FF",X"F4",X"FF",X"44",X"FF",X"4C",X"FC",X"C0",X"FF",X"4C",X"FF",X"4C",X"FC",X"C0", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"0F",X"00",X"FF",X"00",X"FF",X"0F",X"FF",X"FF",X"FF", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"CC",X"00",X"FF",X"00",X"FF",X"00",X"FF",X"C0", + X"FF",X"FF",X"FF",X"FF",X"BF",X"FF",X"BB",X"FF",X"BB",X"FF",X"BC",X"FF",X"BB",X"BF",X"CC",X"BB", + X"FF",X"4C",X"FF",X"44",X"FF",X"44",X"FF",X"4C",X"FC",X"C0",X"FF",X"C0",X"FF",X"C0",X"FC",X"00", + X"00",X"10",X"10",X"00",X"00",X"BB",X"00",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"FB",X"FF",X"FB", + X"10",X"00",X"00",X"10",X"C0",X"00",X"BB",X"00",X"FF",X"4C",X"CF",X"C0",X"FF",X"4C",X"FF",X"4C", + X"FF",X"BB",X"BB",X"BC",X"BB",X"CF",X"CC",X"CC",X"0B",X"FF",X"BB",X"FF",X"BB",X"FF",X"BB",X"FF", + X"FF",X"F4",X"BB",X"F4",X"C4",X"4C",X"FC",X"C0",X"FF",X"C0",X"F4",X"C0",X"44",X"04",X"C4",X"C4", + X"00",X"00",X"AA",X"00",X"4B",X"00",X"BB",X"FA",X"AF",X"FA",X"AF",X"CA",X"FF",X"AA",X"AA",X"AC", + X"00",X"00",X"AA",X"00",X"4B",X"AC",X"FB",X"AC",X"FF",X"C0",X"FF",X"C0",X"CF",X"4C",X"AA",X"4C", + X"AA",X"CC",X"BB",X"CC",X"CB",X"CC",X"AA",X"AA",X"AA",X"AA",X"CC",X"AA",X"A0",X"AA",X"0A",X"CC", + X"AA",X"4C",X"A4",X"C0",X"44",X"4C",X"BB",X"C0",X"B4",X"C0",X"4C",X"00",X"C4",X"00",X"4C",X"00", + X"00",X"C0",X"0C",X"CC",X"CC",X"CC",X"CC",X"FF",X"0F",X"CC",X"FF",X"BB",X"FF",X"CC",X"FF",X"FF", + X"CC",X"00",X"CC",X"00",X"CC",X"C0",X"FF",X"C0",X"CC",X"00",X"BC",X"F0",X"CB",X"FE",X"FC",X"FE", + X"FF",X"FF",X"EE",X"CC",X"C0",X"EC",X"CC",X"FE",X"0C",X"FF",X"0C",X"FF",X"00",X"FF",X"CC",X"FF", + X"FF",X"EE",X"CF",X"E0",X"EE",X"CC",X"FC",X"C0",X"FF",X"00",X"FF",X"00",X"FF",X"0C",X"FC",X"C0", + X"00",X"00",X"0E",X"00",X"EC",X"C0",X"CD",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EC",X"EE",X"EC", + X"00",X"00",X"0E",X"00",X"EC",X"C0",X"EE",X"EC",X"EE",X"EC",X"CC",X"EC",X"EC",X"C0",X"EE",X"C0", + X"EE",X"DD",X"CE",X"EC",X"0C",X"ED",X"EE",X"FF",X"CE",X"FF",X"0C",X"FF",X"00",X"FF",X"E0",X"FF", + X"EE",X"C0",X"ED",X"00",X"DC",X"D0",X"DD",X"C0",X"FD",X"00",X"FE",X"00",X"FE",X"00",X"FD",X"C0", + X"00",X"00",X"00",X"BB",X"00",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BC",X"BB",X"BF",X"BB",X"CF", + X"00",X"00",X"C0",X"00",X"44",X"00",X"B4",X"4C",X"CC",X"C0",X"BC",X"4C",X"BB",X"4C",X"C4",X"44", + X"CB",X"CC",X"CF",X"FC",X"BC",X"FF",X"BF",X"FF",X"CF",X"FF",X"0F",X"FF",X"BB",X"FF",X"BB",X"FF", + X"EE",X"C4",X"FE",X"CC",X"FE",X"4C",X"FF",X"4C",X"FF",X"C0",X"FE",X"C0",X"F4",X"C0",X"44",X"C4", + X"00",X"33",X"33",X"FC",X"CC",X"CC",X"CF",X"CC",X"CF",X"AC",X"AC",X"CC",X"CC",X"CC",X"C9",X"CC", + X"00",X"00",X"20",X"00",X"C3",X"00",X"C2",X"00",X"CC",X"00",X"CC",X"00",X"CC",X"00",X"5C",X"00", + X"CC",X"5C",X"3C",X"CC",X"02",X"CC",X"00",X"CC",X"00",X"22",X"00",X"00",X"00",X"00",X"00",X"00", + X"CC",X"20",X"CC",X"20",X"CC",X"20",X"4C",X"20",X"CC",X"00",X"22",X"00",X"00",X"00",X"00",X"00", + X"00",X"33",X"03",X"CC",X"3C",X"CC",X"CF",X"CF",X"CF",X"CC",X"FC",X"CC",X"CC",X"C5",X"AC",X"CC", + X"00",X"00",X"23",X"00",X"CC",X"00",X"CC",X"00",X"5C",X"20",X"CC",X"C2",X"CC",X"C2",X"CC",X"42", + X"CC",X"22",X"22",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"2C",X"20",X"02",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"03",X"00",X"3C",X"33",X"FF",X"CC",X"CC",X"CF",X"CC", + X"00",X"00",X"00",X"00",X"23",X"00",X"CC",X"00",X"CC",X"20",X"CC",X"20",X"CF",X"20",X"CC",X"20", + X"FC",X"CC",X"CC",X"FC",X"CC",X"C5",X"AC",X"CC",X"CC",X"CC",X"CC",X"CC",X"3C",X"CC",X"02",X"22", + X"CC",X"00",X"CC",X"00",X"CC",X"00",X"CC",X"00",X"C2",X"00",X"20",X"00",X"00",X"00",X"00",X"00", + X"00",X"0A",X"00",X"FA",X"00",X"FA",X"00",X"FA",X"00",X"FA",X"FF",X"FA",X"FF",X"FA",X"AA",X"FA", + X"00",X"00",X"00",X"00",X"00",X"00",X"C0",X"00",X"C0",X"00",X"FF",X"AC",X"FF",X"AC",X"AA",X"C0", + X"AA",X"FF",X"CF",X"AF",X"0F",X"AF",X"FF",X"AC",X"FA",X"C0",X"FA",X"00",X"CC",X"00",X"00",X"00", + X"AA",X"00",X"AA",X"00",X"AA",X"00",X"AA",X"00",X"FA",X"00",X"FF",X"00",X"CC",X"00",X"00",X"00", + X"0F",X"C0",X"0F",X"BC",X"0F",X"BB",X"00",X"BF",X"00",X"FF",X"00",X"FF",X"FF",X"FF",X"FF",X"FF", + X"00",X"00",X"FF",X"00",X"FB",X"00",X"BB",X"00",X"BB",X"00",X"BB",X"00",X"FB",X"00",X"FF",X"00", + X"BB",X"FB",X"BB",X"FB",X"CC",X"FB",X"00",X"FB",X"00",X"BB",X"00",X"BB",X"00",X"CC",X"00",X"00", + X"FF",X"BC",X"BF",X"BC",X"BB",X"BC",X"CC",X"C0",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"0A",X"BC",X"AA",X"BB",X"AA",X"AB",X"AA",X"AA",X"AB",X"AA",X"AA",X"CA",X"BA", + X"BC",X"00",X"BC",X"00",X"BC",X"00",X"BC",X"00",X"BB",X"00",X"BB",X"C0",X"AB",X"BB",X"AA",X"AB", + X"AA",X"BA",X"AA",X"AA",X"AB",X"CA",X"BB",X"0A",X"BC",X"0C",X"C0",X"00",X"00",X"00",X"00",X"00", + X"AA",X"AC",X"BC",X"C0",X"BC",X"00",X"BB",X"00",X"BB",X"00",X"AB",X"00",X"CC",X"00",X"00",X"00", + X"00",X"08",X"00",X"89",X"00",X"8C",X"00",X"99",X"00",X"CC",X"00",X"A0",X"00",X"AC",X"00",X"BC", + X"00",X"40",X"99",X"B4",X"9C",X"4C",X"C0",X"C0",X"6C",X"3C",X"65",X"3C",X"65",X"CC",X"5C",X"8C", + X"00",X"C7",X"09",X"8C",X"09",X"8C",X"6C",X"CC",X"65",X"77",X"55",X"F7",X"5C",X"73",X"C0",X"33", + X"CC",X"8C",X"AA",X"8C",X"FA",X"C0",X"AB",X"00",X"BB",X"C0",X"CC",X"C0",X"33",X"00",X"CC",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"66",X"00",X"FF",X"00",X"F6",X"00",X"66",X"00",X"66",X"00",X"55", + X"00",X"00",X"00",X"00",X"00",X"00",X"60",X"00",X"5C",X"00",X"5C",X"00",X"5C",X"00",X"C0",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"66",X"00",X"F6",X"00",X"16",X"00",X"66",X"00",X"66",X"00",X"65",X"00",X"55", + X"00",X"00",X"00",X"00",X"C0",X"00",X"5C",X"00",X"5C",X"00",X"5C",X"00",X"C0",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"66",X"00",X"F1",X"00",X"16",X"00",X"16",X"00",X"66",X"00",X"66",X"00",X"66",X"00",X"55", + X"00",X"00",X"5C",X"00",X"65",X"00",X"55",X"00",X"55",X"00",X"55",X"00",X"5C",X"00",X"C0",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"66", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"16",X"06",X"16",X"06",X"66",X"06",X"66",X"06",X"66",X"06",X"66",X"00",X"65",X"00",X"55", + X"5C",X"00",X"55",X"00",X"55",X"00",X"55",X"00",X"55",X"00",X"55",X"00",X"5C",X"00",X"C0",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"66",X"00",X"F1", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"65",X"00", + X"00",X"11",X"06",X"16",X"06",X"66",X"06",X"66",X"06",X"66",X"00",X"66",X"00",X"55",X"00",X"55", + X"65",X"00",X"65",X"00",X"55",X"00",X"55",X"00",X"55",X"00",X"55",X"00",X"55",X"00",X"CC",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"66",X"06",X"66",X"0F",X"66", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"65",X"00",X"65",X"00", + X"6F",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"06",X"65",X"05",X"55",X"00",X"55", + X"65",X"00",X"55",X"00",X"55",X"00",X"55",X"00",X"55",X"00",X"55",X"00",X"55",X"00",X"CC",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"66",X"00",X"F6",X"06",X"16",X"06",X"16", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"65",X"00",X"66",X"00",X"66",X"00", + X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"06",X"66",X"06",X"66",X"00",X"55",X"00",X"55", + X"66",X"C0",X"66",X"C0",X"65",X"C0",X"65",X"C0",X"55",X"00",X"55",X"00",X"55",X"00",X"CC",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"66",X"06",X"66",X"66",X"66",X"6F",X"66",X"FF",X"66", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"55",X"00",X"65",X"00",X"65",X"00",X"65",X"C0", + X"F1",X"66",X"61",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"65",X"06",X"55",X"00",X"55", + X"65",X"C0",X"55",X"C0",X"55",X"C0",X"55",X"C0",X"55",X"00",X"55",X"00",X"55",X"00",X"CC",X"00", + X"00",X"00",X"00",X"00",X"00",X"66",X"00",X"16",X"06",X"66",X"6F",X"66",X"6F",X"66",X"61",X"66", + X"00",X"00",X"00",X"00",X"00",X"00",X"65",X"00",X"66",X"00",X"66",X"C0",X"66",X"C0",X"66",X"5C", + X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"06",X"65",X"00",X"55",X"00",X"55", + X"66",X"5C",X"65",X"5C",X"65",X"5C",X"55",X"C0",X"55",X"C0",X"55",X"00",X"55",X"00",X"CC",X"00", + X"00",X"00",X"00",X"66",X"06",X"66",X"6F",X"66",X"FF",X"66",X"FF",X"66",X"F1",X"66",X"16",X"66", + X"00",X"00",X"00",X"00",X"55",X"00",X"65",X"00",X"65",X"C0",X"65",X"C0",X"65",X"5C",X"65",X"5C", + X"16",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"55",X"55",X"55",X"05",X"55",X"00",X"55", + X"55",X"5C",X"55",X"5C",X"55",X"5C",X"55",X"C0",X"55",X"C0",X"55",X"00",X"55",X"00",X"CC",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"CC",X"00",X"FF",X"00",X"FC",X"00",X"CC",X"00",X"CC",X"00",X"CC", + X"00",X"00",X"00",X"00",X"B0",X"00",X"C0",X"00",X"C0",X"00",X"C0",X"00",X"C0",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"CC",X"00",X"FC",X"00",X"CC",X"00",X"CC",X"00",X"CC",X"00",X"CC",X"00",X"CC", + X"00",X"00",X"00",X"00",X"00",X"00",X"B0",X"00",X"C0",X"00",X"C0",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"CC",X"00",X"FC",X"00",X"FC",X"00",X"CC",X"00",X"CC",X"00",X"CC",X"00",X"CC",X"00",X"CC", + X"00",X"00",X"C0",X"00",X"CC",X"00",X"CC",X"00",X"CB",X"00",X"CC",X"00",X"C0",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"CC", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"1C",X"0C",X"CC",X"0C",X"CC",X"0C",X"CC",X"0C",X"CC",X"0C",X"CC",X"00",X"CC",X"00",X"CC", + X"C0",X"00",X"CC",X"00",X"CC",X"00",X"CC",X"00",X"CC",X"00",X"CC",X"00",X"B0",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"CC",X"00",X"F1", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"CC",X"00", + X"00",X"1C",X"0C",X"1C",X"0C",X"1C",X"0C",X"CC",X"0C",X"CC",X"00",X"CC",X"00",X"CC",X"00",X"CC", + X"CC",X"00",X"CC",X"00",X"CC",X"00",X"CC",X"00",X"CC",X"00",X"CC",X"00",X"CC",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"CC",X"0C",X"1C",X"0C",X"CC", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"CC",X"00",X"CC",X"00", + X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"0C",X"CC",X"0C",X"CC",X"00",X"CC", + X"CC",X"00",X"CC",X"00",X"CC",X"B0",X"CC",X"00",X"CC",X"00",X"CC",X"00",X"CC",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"CC",X"00",X"CC",X"0C",X"1C",X"0C",X"CC", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"CC",X"00",X"CC",X"00",X"CC",X"00", + X"CF",X"CC",X"CF",X"CC",X"CC",X"CC",X"CC",X"CC",X"0C",X"CC",X"0C",X"CC",X"00",X"CC",X"00",X"CC", + X"CC",X"00",X"CC",X"00",X"CC",X"00",X"CC",X"00",X"CC",X"00",X"CC",X"00",X"CC",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"CC",X"0C",X"1C",X"CC",X"CC",X"CF",X"CC",X"CF",X"CC", + X"00",X"00",X"00",X"00",X"0B",X"00",X"BB",X"00",X"CC",X"00",X"CC",X"00",X"CC",X"00",X"CC",X"00", + X"CF",X"CC",X"C1",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"0C",X"CC",X"00",X"CC", + X"CC",X"00",X"CC",X"00",X"CC",X"00",X"CC",X"00",X"CC",X"00",X"CC",X"00",X"CC",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"CC",X"00",X"F1",X"0C",X"1C",X"CC",X"1C",X"CC",X"CC",X"CC",X"CC", + X"00",X"00",X"00",X"00",X"00",X"00",X"CB",X"00",X"BB",X"00",X"BC",X"00",X"CC",X"00",X"CC",X"C0", + X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"0C",X"CC",X"00",X"CC",X"00",X"CC", + X"CC",X"C0",X"CC",X"C0",X"CC",X"C0",X"CC",X"00",X"CC",X"00",X"CC",X"00",X"CC",X"00",X"00",X"00", + X"00",X"00",X"00",X"CC",X"0C",X"CC",X"CC",X"CC",X"CF",X"CC",X"FF",X"CC",X"FF",X"CC",X"F1",X"CC", + X"00",X"00",X"00",X"00",X"CC",X"00",X"CC",X"00",X"CC",X"00",X"CC",X"BB",X"BB",X"BB",X"BB",X"C0", + X"1C",X"CC",X"1C",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"0C",X"CC",X"00",X"CC", + X"CC",X"C0",X"CC",X"C0",X"CC",X"C0",X"CC",X"00",X"CC",X"00",X"CC",X"00",X"CC",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"CC",X"00",X"FF",X"00",X"FC",X"00",X"CC",X"00",X"CC", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"C0",X"00",X"C0",X"00",X"C0",X"00",X"C0",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"10",X"11",X"1C",X"CC",X"1C",X"C0",X"1C",X"C0",X"1C",X"C0", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"11",X"01",X"C1",X"C1",X"01",X"C1",X"01",X"C1",X"01", + X"1C",X"C0",X"1C",X"C0",X"1C",X"11",X"CC",X"CC",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"C1",X"01",X"C1",X"01",X"C0",X"11",X"00",X"CC",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"66",X"00",X"FF",X"00",X"F6",X"00",X"66",X"00",X"66", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"60",X"00",X"5C",X"00",X"5C",X"00",X"5C",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"66",X"00",X"FF",X"00",X"F6", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"60",X"00",X"5C",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"01",X"10",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11", + X"00",X"00",X"00",X"00",X"00",X"00",X"11",X"00",X"1C",X"10",X"1C",X"1C",X"1C",X"1C",X"1C",X"1C", + X"11",X"11",X"11",X"11",X"01",X"1C",X"00",X"CC",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"1C",X"1C",X"1C",X"1C",X"11",X"CC",X"0C",X"C0",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"01",X"01",X"C1",X"C1",X"C1",X"C1", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"01",X"00",X"C1",X"C0",X"C1",X"C0", + X"C1",X"C1",X"C1",X"C1",X"C0",X"C0",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"C1",X"C0",X"C1",X"C0",X"C0",X"C0",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"10",X"10",X"1C",X"1C",X"1C",X"1C", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"10",X"10",X"1C",X"1C",X"1C",X"1C", + X"CC",X"1C",X"10",X"1C",X"CC",X"CC",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"1C",X"1C",X"1C",X"1C",X"CC",X"CC",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"10",X"10",X"1C",X"1C",X"1C",X"1C", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"10",X"10",X"1C",X"1C",X"1C",X"1C", + X"1C",X"1C",X"1C",X"1C",X"CC",X"CC",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"1C",X"1C",X"1C",X"1C",X"CC",X"CC",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"10",X"10",X"1C",X"1C",X"1C",X"1C", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"10",X"10",X"1C",X"1C",X"1C",X"1C", + X"1C",X"1C",X"1C",X"1C",X"0C",X"CC",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"1C",X"1C",X"1C",X"1C",X"CC",X"CC",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"10",X"10",X"CC",X"1C",X"10",X"1C", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"10",X"10",X"1C",X"1C",X"1C",X"1C", + X"1C",X"1C",X"1C",X"1C",X"CC",X"CC",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"1C",X"1C",X"1C",X"1C",X"CC",X"CC",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"10",X"10",X"CC",X"1C",X"10",X"1C", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"10",X"10",X"1C",X"1C",X"1C",X"1C", + X"1C",X"1C",X"1C",X"1C",X"CC",X"CC",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"1C",X"1C",X"1C",X"1C",X"CC",X"CC",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"10",X"10",X"1C",X"1C",X"1C",X"1C", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"10",X"10",X"1C",X"1C",X"1C",X"1C", + X"1C",X"1C",X"1C",X"1C",X"0C",X"CC",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"1C",X"1C",X"1C",X"1C",X"CC",X"CC",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"CC",X"00",X"FF",X"00",X"FC", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"C0",X"00",X"C0",X"00"); +begin +process(clk) +begin + if rising_edge(clk) then + data <= rom_data(to_integer(unsigned(addr))); + end if; +end process; +end architecture; diff --git a/Arcade_MiST/Nova2001_Hardware/Penguin-Kun Wars_MiST/meta/gfx3.vhd b/Arcade_MiST/Nova2001_Hardware/Penguin-Kun Wars_MiST/meta/gfx3.vhd new file mode 100644 index 00000000..760e56a3 --- /dev/null +++ b/Arcade_MiST/Nova2001_Hardware/Penguin-Kun Wars_MiST/meta/gfx3.vhd @@ -0,0 +1,1046 @@ +library ieee; +use ieee.std_logic_1164.all,ieee.numeric_std.all; + +entity gfx3 is +port ( + clk : in std_logic; + addr : in std_logic_vector(13 downto 0); + data : out std_logic_vector(7 downto 0) +); +end entity; + +architecture prom of gfx3 is + type rom is array(0 to 16383) of std_logic_vector(7 downto 0); + signal rom_data: rom := ( + X"00",X"01",X"00",X"13",X"00",X"33",X"01",X"33",X"01",X"33",X"13",X"33",X"13",X"33",X"13",X"33", + X"11",X"11",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"11",X"33",X"00", + X"13",X"33",X"13",X"33",X"13",X"33",X"13",X"33",X"13",X"33",X"13",X"33",X"13",X"33",X"13",X"33", + X"33",X"00",X"33",X"00",X"33",X"00",X"33",X"11",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"00",X"CC",X"0C",X"CC",X"CC",X"AC",X"CC",X"A5",X"C0",X"CA",X"05",X"56",X"5C",X"AA",X"5C",X"FF", + X"A0",X"CC",X"5C",X"5C",X"FC",X"C5",X"5A",X"A5",X"FC",X"5A",X"5F",X"C5",X"5F",X"CA",X"F5",X"6F", + X"5F",X"AF",X"C5",X"F5",X"CC",X"5A",X"C5",X"CF",X"05",X"C5",X"CC",X"5C",X"00",X"FA",X"00",X"05", + X"AF",X"5F",X"F5",X"FF",X"54",X"65",X"AA",X"AC",X"F6",X"AA",X"AF",X"5C",X"55",X"C5",X"FC",X"0C", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"05",X"00",X"0C", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"CF",X"00",X"55",X"00",X"FC",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"0C",X"00",X"CC",X"00",X"0F",X"00",X"55",X"00",X"06", + X"00",X"00",X"00",X"00",X"00",X"00",X"C0",X"00",X"5A",X"00",X"CC",X"C0",X"AC",X"00",X"FA",X"C0", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"0F", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"0F",X"00",X"FF",X"00",X"FF",X"00",X"FF",X"00", + X"00",X"FF",X"0F",X"FF",X"FF",X"FF",X"FF",X"FF",X"EF",X"FF",X"0E",X"FF",X"00",X"EE",X"00",X"00", + X"FF",X"00",X"FF",X"00",X"FF",X"E0",X"FF",X"E0",X"FF",X"E0",X"FF",X"00",X"EE",X"00",X"00",X"00", + X"00",X"0C",X"00",X"CB",X"00",X"CB",X"00",X"CB",X"0C",X"CB",X"00",X"BC",X"00",X"BB",X"00",X"BB", + X"FF",X"C0",X"CF",X"BC",X"FF",X"FF",X"BB",X"FF",X"CF",X"FF",X"CF",X"FF",X"CC",X"FF",X"FF",X"FB", + X"C0",X"FF",X"BC",X"FF",X"BC",X"FF",X"BB",X"FF",X"CB",X"FF",X"0C",X"BB",X"CB",X"C4",X"BB",X"04", + X"FF",X"F4",X"FF",X"BC",X"FB",X"BC",X"F4",X"44",X"4C",X"CC",X"C0",X"00",X"00",X"00",X"4C",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"05",X"00",X"0A",X"00",X"0A",X"00",X"05",X"00",X"00",X"00",X"00",X"00",X"00", + X"6A",X"00",X"5F",X"00",X"F5",X"00",X"F6",X"00",X"5A",X"00",X"F6",X"00",X"50",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"56",X"00", + X"00",X"00",X"00",X"0A",X"00",X"06",X"00",X"06",X"00",X"0F",X"00",X"00",X"00",X"00",X"00",X"00", + X"A6",X"00",X"5F",X"00",X"56",X"00",X"5A",X"00",X"A6",X"00",X"55",X"00",X"5A",X"00",X"50",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"5A",X"00", + X"00",X"06",X"00",X"65",X"00",X"65",X"00",X"A6",X"00",X"AA",X"00",X"0A",X"00",X"00",X"00",X"00", + X"A6",X"00",X"66",X"00",X"F6",X"00",X"AF",X"00",X"AF",X"00",X"A5",X"00",X"A0",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"06",X"00",X"5A",X"00",X"A1", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"FF",X"00",X"56",X"00",X"FF",X"00", + X"00",X"A5",X"00",X"65",X"00",X"65",X"00",X"AF",X"00",X"0A",X"00",X"00",X"00",X"00",X"00",X"00", + X"AA",X"00",X"F5",X"00",X"65",X"00",X"56",X"00",X"5A",X"00",X"A0",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"65",X"00",X"5F", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"AA",X"00",X"F6",X"00",X"66",X"00", + X"00",X"5F",X"00",X"5F",X"00",X"65",X"00",X"66",X"00",X"6F",X"00",X"AA",X"00",X"00",X"00",X"00", + X"FF",X"A0",X"AA",X"A0",X"FA",X"F0",X"FA",X"F0",X"5A",X"00",X"5A",X"00",X"AA",X"00",X"A0",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"06",X"00",X"AF",X"00",X"F5", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"A0",X"00",X"55",X"00",X"6A",X"00",X"A6",X"00", + X"00",X"AA",X"00",X"AF",X"00",X"15",X"00",X"5F",X"00",X"55",X"00",X"66",X"00",X"5A",X"00",X"05", + X"FF",X"00",X"55",X"A0",X"A5",X"A0",X"F5",X"A0",X"AF",X"50",X"AF",X"00",X"F5",X"00",X"56",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"6A",X"00",X"6A",X"00",X"F5", + X"00",X"00",X"00",X"00",X"60",X"00",X"A0",X"00",X"AA",X"00",X"66",X"00",X"FF",X"50",X"55",X"F0", + X"00",X"F5",X"00",X"F5",X"00",X"F6",X"00",X"5F",X"00",X"66",X"00",X"56",X"00",X"A5",X"00",X"00", + X"AA",X"F5",X"A5",X"A5",X"AF",X"AA",X"66",X"AA",X"6F",X"A0",X"F5",X"50",X"5A",X"00",X"55",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"0A",X"00",X"5A",X"00",X"F1",X"00",X"A1",X"00",X"A6", + X"00",X"00",X"00",X"00",X"A0",X"00",X"65",X"00",X"65",X"00",X"FF",X"00",X"F5",X"50",X"5A",X"A0", + X"05",X"AF",X"0F",X"6F",X"0A",X"5A",X"0A",X"FA",X"00",X"A6",X"00",X"66",X"00",X"5F",X"00",X"05", + X"FF",X"FA",X"56",X"AF",X"55",X"AF",X"FF",X"5A",X"5F",X"50",X"55",X"A0",X"65",X"00",X"FF",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"06",X"00",X"5A",X"00",X"FF",X"00",X"F1",X"00",X"F1", + X"00",X"00",X"A0",X"00",X"55",X"00",X"5A",X"00",X"FA",X"00",X"A5",X"60",X"A5",X"56",X"AF",X"A5", + X"0A",X"5A",X"0A",X"65",X"0A",X"6F",X"00",X"5A",X"00",X"AA",X"00",X"66",X"00",X"AA",X"00",X"00", + X"5A",X"55",X"F5",X"A5",X"F5",X"AA",X"F6",X"5A",X"A5",X"AA",X"55",X"A0",X"F6",X"00",X"AA",X"00", + X"00",X"00",X"00",X"00",X"00",X"AA",X"00",X"FF",X"00",X"F5",X"05",X"15",X"05",X"16",X"56",X"66", + X"00",X"00",X"A0",X"00",X"AA",X"00",X"FF",X"00",X"55",X"A0",X"A6",X"5A",X"65",X"55",X"AA",X"A5", + X"5F",X"A6",X"6F",X"5A",X"A6",X"5F",X"0A",X"FF",X"0A",X"AA",X"00",X"55",X"00",X"AA",X"00",X"0A", + X"AA",X"AA",X"5A",X"5A",X"65",X"FA",X"65",X"FA",X"5A",X"FA",X"5A",X"F0",X"AA",X"00",X"A5",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"05",X"00",X"00",X"50",X"00",X"01",X"00",X"01",X"00",X"11", + X"50",X"00",X"00",X"00",X"00",X"11",X"11",X"FE",X"1F",X"EE",X"FE",X"EE",X"EF",X"EE",X"EE",X"E0", + X"00",X"11",X"00",X"1F",X"00",X"11",X"00",X"1F",X"00",X"11",X"00",X"01",X"55",X"01",X"00",X"00", + X"FE",X"00",X"EE",X"00",X"EE",X"00",X"EE",X"00",X"EE",X"00",X"EE",X"00",X"FE",X"00",X"1E",X"00", + X"00",X"00",X"00",X"11",X"00",X"1F",X"00",X"F1",X"01",X"FE",X"01",X"1F",X"11",X"EE",X"1E",X"EE", + X"1F",X"10",X"EF",X"EF",X"1E",X"EE",X"EE",X"EE",X"EE",X"0E",X"EE",X"00",X"E0",X"00",X"00",X"00", + X"1F",X"FE",X"1E",X"FE",X"1F",X"EE",X"1E",X"FE",X"1F",X"EE",X"01",X"EE",X"01",X"EE",X"00",X"FE", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"05",X"00",X"05",X"00",X"00",X"00",X"00",X"50",X"00",X"05",X"00",X"00",X"01", + X"00",X"05",X"00",X"05",X"00",X"05",X"00",X"00",X"00",X"00",X"01",X"10",X"11",X"11",X"1E",X"EF", + X"00",X"11",X"00",X"11",X"00",X"11",X"00",X"1F",X"00",X"EF",X"00",X"1E",X"00",X"FE",X"00",X"0E", + X"FF",X"EE",X"EE",X"EE",X"EE",X"00",X"EE",X"00",X"E0",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"01",X"00",X"11",X"00",X"1F",X"01",X"E1",X"01",X"EE",X"11",X"FE",X"11",X"EE", + X"11",X"10",X"11",X"11",X"F1",X"EF",X"EE",X"EE",X"EE",X"EF",X"FE",X"EE",X"EE",X"0E",X"EE",X"00", + X"11",X"EE",X"11",X"EE",X"1E",X"EE",X"1E",X"EE",X"01",X"E0",X"01",X"00",X"0E",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"50", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"11",X"0E",X"EE", + X"00",X"05",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"55",X"00",X"00", + X"11",X"FE",X"11",X"EE",X"1E",X"E0",X"E1",X"00",X"1E",X"00",X"FE",X"00",X"EE",X"00",X"1E",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"05",X"00",X"00",X"50",X"00",X"01", + X"50",X"00",X"05",X"00",X"00",X"00",X"00",X"00",X"11",X"1F",X"E1",X"EE",X"FE",X"EE",X"FE",X"00", + X"00",X"01",X"00",X"1E",X"00",X"11",X"00",X"1E",X"00",X"11",X"00",X"01",X"55",X"01",X"00",X"00", + X"EE",X"00",X"EE",X"00",X"EE",X"00",X"EE",X"00",X"EE",X"00",X"EE",X"00",X"E0",X"00",X"E0",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"50",X"00",X"50",X"00",X"05",X"00",X"05",X"00",X"00",X"11",X"00",X"11", + X"00",X"50",X"00",X"05",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"01",X"1E",X"11",X"EF",X"11",X"FE",X"1E",X"E0",X"EF",X"00",X"FE",X"00",X"FE",X"00",X"1E",X"00", + X"00",X"50",X"00",X"50",X"00",X"05",X"00",X"05",X"00",X"00",X"00",X"00",X"50",X"01",X"05",X"11", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"11",X"01",X"11",X"11",X"1E",X"EF",X"FF",X"EE", + X"00",X"11",X"00",X"11",X"00",X"EF",X"00",X"EF",X"00",X"1E",X"00",X"FE",X"00",X"EE",X"00",X"FE", + X"EE",X"EE",X"EE",X"00",X"EE",X"00",X"E0",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"0F",X"00",X"FF",X"00",X"FF", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"FA",X"00",X"FF",X"C0",X"FF",X"AC", + X"0F",X"FF",X"0F",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"CF",X"FF",X"0C",X"FF",X"00",X"CC", + X"FF",X"AA",X"FF",X"AA",X"FF",X"AA",X"FF",X"AA",X"FF",X"AA",X"FF",X"AA",X"AA",X"CC",X"CC",X"00", + X"00",X"00",X"00",X"00",X"00",X"FF",X"00",X"FF",X"00",X"FF",X"0F",X"FF",X"0F",X"FF",X"0F",X"FF", + X"00",X"00",X"00",X"00",X"00",X"00",X"AC",X"00",X"AA",X"00",X"FA",X"00",X"FA",X"00",X"FF",X"00", + X"00",X"FF",X"00",X"FF",X"00",X"FF",X"00",X"FF",X"00",X"FF",X"00",X"FF",X"00",X"CF",X"00",X"0C", + X"FF",X"00",X"FF",X"00",X"FF",X"C0",X"FF",X"AA",X"FF",X"FF",X"FF",X"FA",X"FF",X"AC",X"CC",X"C0", + X"00",X"FF",X"00",X"FF",X"0F",X"FF",X"0F",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"CF",X"AA", + X"FC",X"00",X"FF",X"00",X"FF",X"C0",X"FF",X"FC",X"FF",X"FF",X"FF",X"FF",X"AA",X"FF",X"CC",X"AF", + X"0C",X"AC",X"00",X"C0",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"CA",X"00",X"0C",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"0F",X"00",X"FF",X"00",X"FF",X"0F",X"FF",X"0F",X"FF",X"FF",X"FF", + X"00",X"00",X"FF",X"C0",X"FF",X"C0",X"FA",X"00",X"FA",X"00",X"AA",X"00",X"AC",X"00",X"AC",X"00", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"CA",X"FA",X"0C",X"AA",X"00",X"CC",X"00",X"00", + X"AC",X"00",X"AC",X"00",X"C0",X"00",X"C0",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"03",X"00",X"FF",X"00",X"F3", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"32",X"00",X"33",X"C0",X"33",X"2C", + X"03",X"33",X"03",X"33",X"33",X"33",X"33",X"33",X"33",X"32",X"33",X"22",X"03",X"2C",X"0C",X"C0", + X"33",X"22",X"33",X"22",X"33",X"32",X"33",X"32",X"33",X"32",X"C3",X"32",X"00",X"22",X"00",X"CC", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"09",X"00",X"9F",X"00",X"9F",X"00",X"99",X"00",X"99", + X"00",X"00",X"99",X"C0",X"F9",X"8C",X"99",X"8C",X"99",X"88",X"99",X"88",X"99",X"88",X"99",X"8C", + X"00",X"99",X"00",X"99",X"00",X"99",X"00",X"99",X"00",X"99",X"00",X"99",X"00",X"99",X"00",X"CC", + X"99",X"8C",X"98",X"C0",X"98",X"00",X"88",X"00",X"98",X"00",X"98",X"00",X"88",X"00",X"CC",X"00", + X"00",X"00",X"00",X"00",X"00",X"06",X"00",X"0F",X"00",X"6F",X"00",X"6F",X"00",X"6F",X"00",X"66", + X"00",X"00",X"55",X"00",X"65",X"00",X"66",X"C0",X"66",X"C0",X"66",X"5C",X"66",X"5C",X"66",X"5C", + X"00",X"66",X"00",X"66",X"00",X"66",X"00",X"66",X"00",X"66",X"00",X"66",X"00",X"C6",X"00",X"0C", + X"66",X"5C",X"66",X"5C",X"66",X"5C",X"66",X"5C",X"66",X"5C",X"66",X"5C",X"66",X"C0",X"CC",X"00", + X"00",X"00",X"00",X"00",X"00",X"AA",X"00",X"FA",X"00",X"AA",X"0A",X"AA",X"0A",X"AA",X"0A",X"AA", + X"00",X"00",X"00",X"00",X"00",X"00",X"AC",X"00",X"AB",X"00",X"AA",X"C0",X"AA",X"BC",X"AA",X"BC", + X"00",X"AA",X"00",X"AA",X"00",X"0B",X"00",X"00",X"00",X"0A",X"00",X"0A",X"00",X"0C",X"00",X"00", + X"AA",X"BB",X"AA",X"BB",X"AA",X"BB",X"AA",X"BB",X"AA",X"BB",X"AA",X"BB",X"AA",X"BC",X"CC",X"C0", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"07",X"00",X"07",X"00",X"07",X"00",X"07",X"00",X"00", + X"00",X"00",X"00",X"00",X"77",X"00",X"77",X"00",X"77",X"00",X"73",X"00",X"33",X"00",X"33",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"07",X"00",X"7F",X"00",X"71",X"00",X"77",X"00",X"07",X"00",X"00", + X"00",X"00",X"70",X"00",X"73",X"00",X"73",X"00",X"73",X"00",X"33",X"00",X"33",X"00",X"3C",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"07",X"00",X"7F",X"00",X"71",X"00",X"77",X"00",X"77",X"00",X"07",X"00",X"00", + X"73",X"00",X"77",X"00",X"77",X"C0",X"77",X"C0",X"77",X"C0",X"73",X"C0",X"33",X"00",X"33",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"07", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"33",X"00", + X"00",X"7F",X"00",X"F1",X"00",X"11",X"00",X"77",X"00",X"77",X"00",X"77",X"00",X"77",X"00",X"03", + X"73",X"00",X"77",X"C0",X"77",X"C0",X"77",X"C0",X"73",X"C0",X"73",X"C0",X"33",X"00",X"33",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"77", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"77",X"00",X"77",X"C0", + X"00",X"7F",X"00",X"7F",X"00",X"77",X"00",X"77",X"00",X"77",X"00",X"77",X"00",X"33",X"00",X"00", + X"77",X"C0",X"77",X"3C",X"77",X"3C",X"77",X"3C",X"73",X"3C",X"33",X"C0",X"33",X"C0",X"33",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"07",X"00",X"F1",X"00",X"F1", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"77",X"00",X"77",X"C0",X"77",X"C0", + X"00",X"F1",X"00",X"17",X"00",X"77",X"00",X"77",X"00",X"77",X"00",X"77",X"00",X"33",X"00",X"03", + X"77",X"3C",X"77",X"3C",X"77",X"3C",X"73",X"3C",X"33",X"3C",X"33",X"C0",X"33",X"C0",X"33",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"7F",X"00",X"FF",X"00",X"FF", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"77",X"00",X"77",X"C0",X"77",X"3C",X"77",X"3C", + X"00",X"11",X"00",X"77",X"00",X"77",X"00",X"77",X"00",X"77",X"00",X"77",X"00",X"33",X"00",X"00", + X"77",X"33",X"77",X"33",X"77",X"33",X"77",X"33",X"77",X"3C",X"33",X"3C",X"33",X"C0",X"33",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"07",X"00",X"77",X"00",X"F1",X"00",X"F1",X"07",X"17", + X"00",X"00",X"00",X"00",X"00",X"00",X"73",X"00",X"77",X"C0",X"77",X"3C",X"77",X"3C",X"77",X"33", + X"07",X"17",X"07",X"77",X"07",X"77",X"07",X"77",X"00",X"77",X"00",X"77",X"00",X"33",X"00",X"03", + X"77",X"33",X"77",X"33",X"77",X"33",X"73",X"33",X"33",X"3C",X"33",X"3C",X"33",X"C0",X"33",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"7F",X"00",X"FF",X"00",X"F1",X"00",X"F7",X"07",X"17", + X"00",X"00",X"00",X"00",X"77",X"00",X"77",X"C0",X"77",X"3C",X"77",X"33",X"77",X"33",X"77",X"33", + X"07",X"77",X"07",X"77",X"07",X"77",X"00",X"77",X"00",X"77",X"00",X"77",X"00",X"33",X"00",X"00", + X"77",X"33",X"77",X"33",X"77",X"33",X"77",X"33",X"73",X"33",X"33",X"3C",X"33",X"C0",X"33",X"00", + X"00",X"00",X"00",X"07",X"00",X"F1",X"00",X"F1",X"07",X"17",X"07",X"77",X"7F",X"77",X"71",X"77", + X"00",X"00",X"73",X"00",X"77",X"C0",X"77",X"3C",X"77",X"33",X"77",X"33",X"77",X"33",X"77",X"33", + X"77",X"77",X"77",X"77",X"77",X"77",X"07",X"77",X"03",X"77",X"00",X"33",X"00",X"33",X"00",X"03", + X"77",X"33",X"77",X"33",X"73",X"33",X"33",X"33",X"33",X"33",X"33",X"3C",X"33",X"C0",X"33",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"30",X"00",X"30",X"00",X"30",X"33",X"30",X"33",X"30",X"33",X"33",X"33", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"03",X"00",X"33",X"00",X"33",X"00",X"BC",X"0B",X"BB", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"FF",X"3F",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"00",X"00",X"00",X"00",X"03",X"3C",X"FF",X"33",X"FF",X"F3",X"FF",X"F3",X"FF",X"F3",X"FF",X"F3", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"3C",X"00",X"33",X"00",X"33",X"00",X"33",X"C0", + X"FF",X"33",X"33",X"33",X"BB",X"B3",X"CC",X"CB",X"CC",X"CB",X"BB",X"CB",X"BB",X"CB",X"BB",X"CB", + X"3F",X"FF",X"FF",X"CF",X"FF",X"CF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"3F",X"FF",X"32",X"2C", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"07",X"00",X"07",X"00",X"07",X"00",X"07", + X"00",X"00",X"00",X"00",X"00",X"00",X"77",X"00",X"77",X"00",X"77",X"00",X"73",X"00",X"33",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"07",X"00",X"07", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"77",X"00",X"77",X"00",X"77",X"00", + X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BC",X"CB",X"CF",X"0C",X"FF",X"00",X"2F",X"00",X"2F", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"00",X"22",X"00",X"22",X"00",X"2C",X"00",X"C2",X"00",X"22",X"00",X"CC",X"00",X"00",X"00",X"00", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FB",X"22",X"BB",X"22",X"BB",X"CC",X"BB",X"00",X"BB",X"00",X"CC", + X"FB",X"B3",X"FF",X"F3",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"F3",X"FF",X"F3",X"FF",X"32", + X"33",X"2C",X"32",X"2C",X"22",X"C0",X"22",X"00",X"22",X"22",X"22",X"22",X"22",X"CC",X"CC",X"00", + X"FF",X"22",X"FF",X"22",X"B2",X"2C",X"BB",X"C0",X"BB",X"00",X"BC",X"00",X"C0",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"09",X"00",X"99", + X"00",X"00",X"09",X"00",X"9C",X"C0",X"99",X"99",X"99",X"98",X"98",X"88",X"88",X"44",X"84",X"41", + X"00",X"98",X"00",X"98",X"00",X"98",X"00",X"98",X"00",X"88",X"00",X"84",X"00",X"84",X"00",X"84", + X"44",X"11",X"41",X"1B",X"11",X"B4",X"11",X"41",X"1B",X"11",X"14",X"11",X"B4",X"1B",X"B4",X"B4", + X"00",X"00",X"00",X"C0",X"09",X"8C",X"99",X"98",X"99",X"99",X"88",X"99",X"48",X"89",X"14",X"88", + X"00",X"00",X"00",X"00",X"99",X"00",X"FF",X"C0",X"CF",X"C0",X"89",X"C0",X"88",X"C0",X"88",X"C0", + X"11",X"48",X"B1",X"14",X"44",X"11",X"11",X"41",X"11",X"41",X"44",X"14",X"CC",X"14",X"CC",X"14", + X"88",X"C0",X"88",X"C0",X"88",X"8C",X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"88", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"09",X"00",X"99", + X"00",X"00",X"09",X"00",X"9C",X"C0",X"99",X"99",X"99",X"98",X"98",X"88",X"88",X"44",X"84",X"41", + X"00",X"98",X"00",X"98",X"00",X"98",X"00",X"98",X"00",X"88",X"00",X"84",X"00",X"84",X"00",X"84", + X"44",X"11",X"41",X"1B",X"11",X"B4",X"11",X"41",X"1B",X"11",X"14",X"11",X"B4",X"1B",X"B4",X"B4", + X"00",X"00",X"00",X"C0",X"09",X"8C",X"99",X"98",X"99",X"99",X"88",X"99",X"48",X"89",X"14",X"88", + X"00",X"00",X"00",X"00",X"99",X"00",X"FF",X"C0",X"CF",X"C0",X"89",X"C0",X"88",X"C0",X"88",X"C0", + X"11",X"48",X"B1",X"14",X"44",X"11",X"11",X"41",X"11",X"41",X"44",X"14",X"CC",X"14",X"CC",X"14", + X"88",X"C0",X"88",X"C0",X"88",X"8C",X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"88", + X"00",X"84",X"00",X"84",X"00",X"84",X"00",X"88",X"00",X"88",X"00",X"F8",X"00",X"FF",X"00",X"8F", + X"41",X"B4",X"41",X"B4",X"BC",X"55",X"C5",X"55",X"55",X"5C",X"55",X"5C",X"55",X"5C",X"55",X"C5", + X"09",X"99",X"99",X"8C",X"88",X"8C",X"C8",X"8C",X"0C",X"C0",X"00",X"00",X"00",X"00",X"00",X"00", + X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"CC",X"CC",X"00",X"00",X"00",X"00",X"00",X"00", + X"CC",X"41",X"CC",X"41",X"55",X"44",X"55",X"C1",X"55",X"88",X"55",X"88",X"55",X"FF",X"55",X"FF", + X"48",X"88",X"48",X"88",X"88",X"88",X"88",X"89",X"FF",X"C9",X"CC",X"98",X"99",X"88",X"99",X"88", + X"5C",X"FC",X"5C",X"C9",X"5C",X"09",X"C0",X"0C",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"99",X"88",X"98",X"88",X"88",X"8C",X"88",X"8C",X"CC",X"C0",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"84",X"00",X"84",X"00",X"84",X"00",X"88",X"00",X"88",X"00",X"88",X"00",X"88",X"00",X"88", + X"41",X"B4",X"41",X"B4",X"BC",X"B4",X"55",X"54",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", + X"09",X"99",X"99",X"88",X"88",X"88",X"C8",X"88",X"0C",X"CC",X"00",X"00",X"00",X"00",X"00",X"00", + X"CC",X"CC",X"CF",X"FF",X"0C",X"CC",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"CC",X"41",X"CC",X"41",X"CC",X"44",X"55",X"C1",X"55",X"88",X"55",X"88",X"C5",X"FF",X"5C",X"FF", + X"48",X"88",X"48",X"88",X"88",X"88",X"88",X"89",X"88",X"C9",X"CC",X"98",X"99",X"88",X"99",X"88", + X"CF",X"FC",X"FF",X"C9",X"CC",X"09",X"00",X"0C",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"99",X"88",X"98",X"88",X"88",X"8C",X"88",X"8C",X"CC",X"C0",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"09",X"00",X"99", + X"00",X"00",X"09",X"00",X"9C",X"C0",X"99",X"99",X"99",X"98",X"98",X"88",X"88",X"88",X"88",X"44", + X"00",X"98",X"00",X"98",X"00",X"98",X"00",X"98",X"00",X"88",X"00",X"88",X"00",X"DD",X"00",X"FF", + X"84",X"BB",X"4B",X"11",X"B1",X"44",X"B1",X"BB",X"55",X"55",X"55",X"55",X"55",X"CC",X"CC",X"FF", + X"00",X"00",X"00",X"C0",X"09",X"8C",X"99",X"98",X"99",X"99",X"88",X"99",X"88",X"89",X"88",X"88", + X"00",X"00",X"00",X"00",X"99",X"00",X"FF",X"C0",X"CF",X"C0",X"89",X"C0",X"88",X"C0",X"88",X"C0", + X"44",X"88",X"BB",X"88",X"44",X"48",X"BB",X"B4",X"11",X"4B",X"11",X"4B",X"D1",X"B4",X"FD",X"11", + X"88",X"C0",X"88",X"C0",X"88",X"8C",X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"88", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"09",X"00",X"99",X"00",X"99", + X"00",X"00",X"09",X"00",X"9C",X"C0",X"99",X"99",X"99",X"98",X"98",X"88",X"88",X"88",X"88",X"FF", + X"00",X"98",X"00",X"98",X"00",X"98",X"00",X"98",X"00",X"88",X"00",X"88",X"00",X"8F",X"00",X"8F", + X"8F",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"00",X"00",X"00",X"C0",X"09",X"8C",X"99",X"98",X"99",X"99",X"88",X"99",X"88",X"89",X"88",X"88", + X"00",X"00",X"00",X"00",X"99",X"00",X"FF",X"C0",X"CF",X"C0",X"89",X"C0",X"88",X"C0",X"88",X"C0", + X"FF",X"88",X"FF",X"88",X"FF",X"F8",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"88",X"C0",X"88",X"C0",X"88",X"8C",X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"88", + X"00",X"FF",X"00",X"FF",X"00",X"FF",X"00",X"FF",X"00",X"FF",X"00",X"FF",X"00",X"8F",X"00",X"88", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"09",X"99",X"99",X"88",X"88",X"88",X"C8",X"8C",X"0C",X"C0",X"00",X"00",X"00",X"00",X"00",X"00", + X"FF",X"FF",X"CF",X"FF",X"CC",X"CC",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"FF",X"DD",X"FF",X"EE",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FE",X"FF",X"EE", + X"48",X"88",X"B4",X"88",X"D4",X"88",X"ED",X"89",X"E8",X"C9",X"CC",X"98",X"99",X"88",X"99",X"88", + X"FF",X"EC",X"EE",X"C9",X"CC",X"09",X"00",X"0C",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"99",X"88",X"98",X"88",X"88",X"8C",X"88",X"8C",X"CC",X"C0",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"8F",X"00",X"8F",X"00",X"8F",X"00",X"88",X"00",X"88",X"00",X"88",X"00",X"88",X"00",X"88", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"09",X"99",X"99",X"88",X"88",X"88",X"C8",X"8C",X"0C",X"C0",X"00",X"00",X"00",X"00",X"00",X"00", + X"FF",X"FF",X"CC",X"FF",X"C0",X"CC",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FE",X"FF",X"EE", + X"E8",X"88",X"EE",X"88",X"EE",X"88",X"EE",X"89",X"E8",X"C9",X"CC",X"98",X"99",X"88",X"99",X"88", + X"FF",X"EC",X"EE",X"C9",X"CC",X"09",X"00",X"0C",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"99",X"88",X"98",X"88",X"88",X"8C",X"88",X"8C",X"CC",X"C0",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"C0",X"00",X"99",X"00",X"44",X"09",X"CC",X"94",X"55", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"99",X"99",X"C9",X"44",X"44",X"CB",X"BB",X"5C",X"11", + X"9B",X"55",X"41",X"CC",X"4C",X"FF",X"CF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"55",X"11",X"CC",X"41",X"EE",X"CC",X"FF",X"EE",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"8C",X"FF",X"89",X"CF",X"48",X"FF",X"B4",X"88", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"C0",X"00",X"C0",X"00",X"9C",X"00", + X"1B",X"88",X"11",X"88",X"11",X"48",X"CC",X"48",X"EE",X"B4",X"FE",X"C4",X"FF",X"EC",X"FF",X"EE", + X"89",X"00",X"89",X"00",X"88",X"00",X"88",X"00",X"88",X"00",X"88",X"C0",X"88",X"C0",X"88",X"8C", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"08",X"00",X"89",X"00",X"99", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"08",X"88",X"88",X"99",X"88",X"99",X"99",X"99",X"99", + X"00",X"FF",X"00",X"CF",X"00",X"99",X"00",X"99",X"09",X"99",X"09",X"99",X"99",X"99",X"99",X"99", + X"99",X"99",X"99",X"99",X"99",X"99",X"99",X"99",X"99",X"99",X"99",X"99",X"99",X"99",X"99",X"99", + X"00",X"00",X"00",X"00",X"CC",X"C0",X"88",X"8C",X"88",X"88",X"98",X"88",X"99",X"98",X"99",X"98", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"CC",X"00",X"88",X"00",X"88",X"00",X"88",X"C0", + X"99",X"9F",X"99",X"FF",X"99",X"CC",X"99",X"99",X"99",X"99",X"99",X"99",X"99",X"98",X"99",X"88", + X"88",X"8C",X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"8C",X"88", + X"0F",X"FF",X"0F",X"FF",X"0C",X"FF",X"00",X"FF",X"00",X"FF",X"00",X"FF",X"00",X"FF",X"00",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"00",X"CC",X"00",X"00",X"00",X"09",X"00",X"99",X"00",X"99",X"00",X"98",X"00",X"88",X"00",X"C8", + X"FF",X"FF",X"FF",X"FF",X"88",X"EE",X"8C",X"CC",X"8C",X"00",X"C0",X"00",X"C0",X"00",X"00",X"00", + X"FF",X"EE",X"FF",X"EE",X"FF",X"EE",X"FF",X"EE",X"FF",X"EE",X"FF",X"EE",X"FF",X"EE",X"FF",X"EE", + X"98",X"88",X"98",X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"88", + X"FF",X"EE",X"EE",X"EE",X"EE",X"CC",X"CC",X"00",X"00",X"00",X"00",X"00",X"00",X"09",X"00",X"99", + X"98",X"8C",X"98",X"8C",X"98",X"8C",X"88",X"C0",X"88",X"C0",X"99",X"00",X"98",X"00",X"98",X"C0", + X"99",X"89",X"99",X"89",X"18",X"99",X"41",X"98",X"C4",X"11",X"04",X"15",X"0C",X"45",X"00",X"CC", + X"99",X"88",X"99",X"C8",X"99",X"98",X"88",X"85",X"55",X"5C",X"55",X"C1",X"55",X"44",X"55",X"CC", + X"00",X"FF",X"09",X"9F",X"99",X"99",X"99",X"98",X"98",X"88",X"88",X"88",X"CC",X"88",X"00",X"CC", + X"CC",X"FF",X"FF",X"FF",X"FF",X"FF",X"CF",X"FF",X"CC",X"CC",X"C0",X"00",X"00",X"00",X"00",X"00", + X"99",X"88",X"88",X"18",X"11",X"1C",X"11",X"CF",X"11",X"FF",X"1C",X"FF",X"CF",X"FF",X"FF",X"FF", + X"C9",X"88",X"98",X"88",X"88",X"88",X"88",X"88",X"88",X"8C",X"88",X"8C",X"88",X"8C",X"88",X"88", + X"FF",X"FF",X"FF",X"FF",X"FF",X"CC",X"FC",X"00",X"C0",X"00",X"00",X"00",X"00",X"08",X"00",X"0C", + X"88",X"88",X"C8",X"88",X"08",X"88",X"88",X"88",X"88",X"88",X"88",X"8C",X"88",X"8C",X"88",X"C0", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"33",X"00",X"33",X"03",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"03",X"00",X"03",X"00",X"03",X"00",X"33",X"00",X"33", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"00",X"BC",X"0B",X"BB",X"BB",X"BB",X"BF",X"BB",X"FF",X"FF",X"3F",X"FF",X"3F",X"FF",X"3F",X"FF", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"CB",X"00",X"FB",X"C0", + X"33",X"F3",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"3C",X"33",X"3F",X"33",X"FF",X"33",X"FF", + X"3B",X"BC",X"CF",X"BC",X"FF",X"C0",X"FB",X"00",X"BB",X"BC",X"BB",X"C0",X"FB",X"BB",X"F3",X"FC", + X"00",X"00",X"0F",X"FF",X"0F",X"FF",X"0F",X"FF",X"0F",X"FF",X"0F",X"FF",X"0F",X"FF",X"03",X"33", + X"00",X"00",X"00",X"FF",X"00",X"FF",X"80",X"FF",X"80",X"FF",X"80",X"FF",X"80",X"FF",X"80",X"33", + X"03",X"33",X"03",X"33",X"03",X"33",X"03",X"33",X"03",X"33",X"03",X"33",X"03",X"33",X"03",X"33", + X"80",X"33",X"80",X"33",X"80",X"33",X"80",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"00",X"00",X"FF",X"00",X"FF",X"00",X"FF",X"00",X"FF",X"00",X"FF",X"00",X"FF",X"00",X"33",X"00", + X"00",X"00",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"33",X"33", + X"33",X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"33",X"00", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"38",X"33",X"38",X"33",X"38",X"33",X"38", + X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"C3",X"00",X"03",X"00",X"0C",X"00",X"00", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"33",X"33",X"33",X"33",X"C3",X"33",X"0C",X"33",X"00",X"33",X"00",X"CC",X"00",X"00",X"00",X"00", + X"33",X"FF",X"33",X"FF",X"33",X"FF",X"33",X"3F",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"F3",X"F0",X"F3",X"FF",X"F3",X"FF",X"33",X"F3",X"33",X"33",X"33",X"30",X"33",X"30",X"33",X"30", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"30",X"00",X"00",X"00",X"00", + X"33",X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"33",X"33", + X"00",X"00",X"F0",X"00",X"F0",X"00",X"F8",X"00",X"F8",X"00",X"F8",X"00",X"F8",X"00",X"38",X"00", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"88",X"88",X"88",X"88",X"00",X"00",X"00",X"00", + X"38",X"00",X"38",X"00",X"38",X"00",X"38",X"00",X"88",X"00",X"88",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"FF",X"00",X"FF",X"00",X"FF",X"88",X"FF",X"88",X"FF",X"88",X"FF",X"88",X"33",X"88", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"33",X"88",X"33",X"88",X"33",X"88",X"33",X"88",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"03",X"33",X"03",X"33",X"00",X"88",X"00",X"88",X"00",X"00",X"00",X"FF",X"00",X"88",X"00",X"80", + X"33",X"33",X"33",X"33",X"88",X"88",X"88",X"88",X"00",X"00",X"FF",X"FF",X"8F",X"8F",X"8F",X"8F", + X"00",X"80",X"00",X"FF",X"00",X"88",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"8F",X"8F",X"FF",X"8F",X"88",X"80",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"33",X"00",X"33",X"00",X"88",X"00",X"88",X"00",X"00",X"00",X"0F",X"0F",X"0F",X"8F",X"8F",X"8F", + X"33",X"38",X"33",X"38",X"08",X"88",X"08",X"88",X"00",X"00",X"0F",X"0F",X"8F",X"0F",X"8F",X"8F", + X"8F",X"8F",X"8F",X"0F",X"80",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"8F",X"80",X"8F",X"80",X"80",X"80",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"F0",X"80",X"F8",X"80",X"F8", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"F0",X"FF",X"F8",X"F8",X"F8",X"F8", + X"80",X"F8",X"00",X"FF",X"00",X"08",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"F8",X"F8",X"F8",X"F8",X"08",X"08",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"33",X"33",X"33",X"33",X"88",X"88",X"88",X"88",X"00",X"00",X"F0",X"F0",X"F8",X"88",X"F8",X"80", + X"33",X"33",X"33",X"33",X"88",X"88",X"88",X"88",X"00",X"00",X"F0",X"00",X"88",X"F0",X"F0",X"F8", + X"F8",X"80",X"F8",X"80",X"08",X"80",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"88",X"F8",X"F0",X"08",X"88",X"80",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"F7",X"00",X"AF",X"FA",X"00", + X"00",X"00",X"00",X"00",X"00",X"11",X"01",X"10",X"FF",X"00",X"F0",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"0A", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"01",X"10",X"00",X"00",X"00", + X"00",X"F0",X"AF",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"0F",X"00",X"00",X"F0",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"10",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"11",X"00",X"55",X"01",X"5F", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"11",X"10",X"55",X"51",X"55",X"55", + X"88",X"88",X"88",X"A8",X"88",X"AC",X"88",X"AC",X"88",X"CC",X"8F",X"8F",X"8F",X"CF",X"8F",X"8F", + X"A8",X"88",X"AC",X"88",X"AC",X"88",X"AC",X"88",X"8C",X"88",X"8F",X"8F",X"C8",X"CF",X"CF",X"CF", + X"8F",X"8F",X"88",X"88",X"FF",X"8F",X"FC",X"F8",X"8F",X"FF",X"FF",X"FC",X"8C",X"8C",X"88",X"88", + X"CF",X"8F",X"C8",X"C8",X"F8",X"88",X"FF",X"CF",X"FF",X"CF",X"8F",X"CF",X"88",X"88",X"88",X"88", + X"00",X"00",X"00",X"00",X"00",X"00",X"05",X"55",X"55",X"55",X"AA",X"55",X"A0",X"55",X"00",X"0A", + X"00",X"00",X"00",X"00",X"06",X"00",X"69",X"66",X"96",X"99",X"69",X"00",X"55",X"30",X"AA",X"33", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"AA",X"A3",X"0A",X"AA",X"00",X"00",X"BB",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"05",X"55",X"55",X"55",X"AA",X"55",X"A0",X"55",X"00",X"0A", + X"00",X"00",X"00",X"00",X"00",X"00",X"55",X"00",X"55",X"00",X"99",X"00",X"69",X"30",X"69",X"33", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"96",X"A3",X"96",X"AA",X"69",X"00",X"69",X"00",X"06",X"00",X"06",X"00",X"00",X"00",X"00",X"00", + X"15",X"F5",X"15",X"55",X"15",X"55",X"15",X"55",X"01",X"55",X"00",X"11",X"00",X"00",X"00",X"11", + X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"15",X"55",X"01",X"55",X"10",X"55",X"51",X"55",X"55", + X"01",X"55",X"15",X"55",X"15",X"55",X"15",X"55",X"01",X"55",X"00",X"55",X"00",X"15",X"00",X"01", + X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"11",X"11", + X"10",X"00",X"10",X"00",X"10",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"10",X"00",X"51",X"00",X"51",X"00",X"51",X"00",X"51",X"00",X"10",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"10",X"00",X"10",X"00",X"10",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"01",X"00",X"01",X"00",X"19",X"00",X"19",X"00",X"99",X"00",X"99", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"99",X"00",X"99",X"00",X"19",X"00",X"19",X"00",X"01",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"11",X"00",X"3F",X"00",X"FF",X"01",X"FF",X"01",X"F3",X"13",X"F3",X"13",X"33", + X"11",X"11",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"11",X"33",X"00", + X"13",X"33",X"13",X"33",X"13",X"33",X"13",X"33",X"13",X"33",X"13",X"33",X"13",X"33",X"13",X"33", + X"33",X"00",X"33",X"00",X"33",X"00",X"33",X"11",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"11",X"00",X"33",X"10",X"33",X"31",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"10",X"00",X"10",X"00",X"10",X"00", + X"13",X"33",X"13",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"11", + X"10",X"00",X"10",X"00",X"10",X"00",X"10",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"01",X"00",X"19",X"00",X"FF",X"01",X"99",X"01",X"99",X"19",X"99",X"19",X"99",X"19",X"99", + X"11",X"00",X"99",X"11",X"99",X"99",X"11",X"99",X"00",X"99",X"00",X"99",X"11",X"99",X"99",X"99", + X"19",X"99",X"19",X"99",X"19",X"99",X"01",X"99",X"01",X"99",X"00",X"99",X"00",X"19",X"00",X"01", + X"99",X"11",X"11",X"00",X"00",X"00",X"11",X"11",X"99",X"99",X"99",X"99",X"99",X"91",X"11",X"10", + X"00",X"10",X"01",X"61",X"16",X"66",X"16",X"66",X"16",X"66",X"16",X"66",X"16",X"66",X"16",X"66", + X"00",X"00",X"11",X"10",X"F6",X"61",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"16",X"66", + X"16",X"66",X"16",X"66",X"16",X"66",X"16",X"66",X"16",X"66",X"16",X"66",X"01",X"61",X"00",X"10", + X"01",X"66",X"01",X"66",X"01",X"66",X"01",X"66",X"01",X"66",X"01",X"66",X"00",X"66",X"00",X"11", + X"13",X"33",X"13",X"33",X"13",X"33",X"13",X"33",X"13",X"33",X"13",X"33",X"13",X"33",X"13",X"33", + X"33",X"33",X"33",X"11",X"33",X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"33",X"00", + X"13",X"33",X"13",X"33",X"01",X"33",X"01",X"33",X"00",X"33",X"00",X"33",X"00",X"11",X"00",X"00", + X"33",X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"31",X"00",X"10",X"00",X"00",X"00", + X"33",X"10",X"11",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"01",X"00",X"13",X"00",X"FF",X"01",X"F3",X"01",X"F3",X"13",X"33",X"13",X"33",X"13",X"33", + X"11",X"00",X"33",X"10",X"33",X"31",X"33",X"33",X"33",X"33",X"13",X"33",X"01",X"33",X"01",X"33", + X"13",X"33",X"13",X"33",X"01",X"33",X"01",X"33",X"00",X"33",X"00",X"13",X"00",X"13",X"01",X"F3", + X"13",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"31",X"33",X"33",X"33",X"33",X"33",X"33", + X"00",X"10",X"01",X"A1",X"1A",X"AA",X"1A",X"AA",X"1A",X"AA",X"1A",X"AA",X"1A",X"AA",X"1A",X"AA", + X"00",X"11",X"00",X"AA",X"01",X"FA",X"01",X"AA",X"01",X"AA",X"01",X"AA",X"01",X"AA",X"01",X"AA", + X"1A",X"AA",X"1A",X"AA",X"1A",X"AA",X"1A",X"AA",X"01",X"AA",X"01",X"AA",X"00",X"AA",X"00",X"11", + X"01",X"AA",X"1A",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"11",X"11", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"11",X"00", + X"00",X"01",X"00",X"15",X"00",X"15",X"00",X"15",X"00",X"15",X"00",X"01",X"00",X"00",X"00",X"00", + X"55",X"00",X"55",X"10",X"55",X"10",X"55",X"10",X"55",X"10",X"55",X"00",X"11",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"13",X"33",X"3F",X"31",X"3F",X"10",X"33",X"10",X"33",X"31",X"33",X"33",X"33",X"33",X"33",X"33", + X"33",X"33",X"33",X"31",X"13",X"33",X"13",X"33",X"33",X"33",X"33",X"33",X"33",X"31",X"33",X"31", + X"13",X"33",X"01",X"33",X"00",X"11",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"33",X"10",X"31",X"00",X"10",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"11", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"01",X"99",X"19",X"99",X"99",X"99",X"99",X"99",X"99",X"99",X"99",X"99",X"99",X"99",X"99",X"91", + X"00",X"00",X"10",X"00",X"10",X"00",X"10",X"00",X"10",X"00",X"91",X"10",X"99",X"91",X"99",X"99", + X"00",X"00",X"00",X"01",X"00",X"15",X"00",X"15",X"00",X"15",X"00",X"15",X"00",X"15",X"00",X"15", + X"11",X"00",X"55",X"00",X"55",X"10",X"55",X"10",X"55",X"10",X"55",X"10",X"55",X"10",X"55",X"10", + X"00",X"15",X"00",X"15",X"00",X"15",X"00",X"15",X"00",X"15",X"00",X"01",X"00",X"00",X"00",X"00", + X"55",X"10",X"55",X"10",X"55",X"10",X"55",X"10",X"55",X"10",X"55",X"00",X"11",X"00",X"00",X"00", + X"00",X"00",X"00",X"11",X"01",X"77",X"17",X"77",X"1F",X"77",X"1F",X"77",X"1F",X"77",X"1F",X"77", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"17",X"77",X"17",X"77",X"17",X"77",X"17",X"77",X"17",X"77",X"17",X"77",X"17",X"77",X"17",X"77", + X"00",X"00",X"00",X"01",X"00",X"17",X"00",X"7F",X"00",X"F7",X"01",X"77",X"17",X"77",X"77",X"77", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"11",X"00",X"77",X"00",X"77",X"00",X"77",X"00",X"77",X"00",X"77",X"00",X"77",X"00",X"71",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"11",X"00",X"AA",X"01",X"FF",X"01",X"AA", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"11",X"10",X"AA",X"A1",X"AA",X"AA",X"AA",X"AA", + X"01",X"AA",X"00",X"AA",X"00",X"11",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"AA",X"AA",X"AA",X"A1",X"11",X"10",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"66",X"00",X"66",X"00",X"66",X"01",X"66",X"01",X"66",X"01",X"66",X"16",X"66",X"16",X"66", + X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66", + X"16",X"66",X"16",X"66",X"01",X"66",X"01",X"66",X"00",X"66",X"00",X"66",X"00",X"16",X"00",X"01", + X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"61",X"66",X"10",X"66",X"00",X"66",X"00",X"11",X"00", + X"17",X"77",X"17",X"77",X"17",X"77",X"17",X"77",X"17",X"77",X"17",X"77",X"17",X"77",X"17",X"77", + X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77", + X"17",X"77",X"17",X"77",X"17",X"77",X"17",X"77",X"17",X"77",X"17",X"77",X"01",X"77",X"00",X"11", + X"77",X"77",X"77",X"77",X"11",X"77",X"00",X"77",X"00",X"77",X"00",X"17",X"00",X"01",X"00",X"00", + X"10",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"10",X"00",X"71",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"77",X"00",X"77",X"00",X"77",X"00",X"77",X"00",X"77",X"00",X"77",X"00",X"77",X"00",X"11",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"99",X"00",X"19",X"00",X"01",X"10",X"00",X"91",X"00",X"99",X"00",X"99",X"11",X"99",X"99", + X"99",X"00",X"91",X"00",X"10",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"99",X"99",X"99",X"99",X"99",X"99",X"99",X"99",X"99",X"99",X"99",X"99",X"99",X"99",X"11",X"11", + X"10",X"00",X"91",X"00",X"91",X"00",X"91",X"00",X"10",X"00",X"10",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"10",X"11",X"A1",X"AA",X"AA",X"AF",X"AA",X"FA",X"AA", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"01",X"00",X"01",X"00",X"17", + X"00",X"00",X"00",X"00",X"00",X"00",X"11",X"00",X"77",X"00",X"77",X"10",X"77",X"10",X"77",X"10", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"11",X"00",X"66",X"00",X"F6", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"10",X"11",X"61",X"66", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"11",X"00",X"66",X"10",X"66", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"10",X"00",X"61",X"00", + X"00",X"01",X"00",X"01",X"00",X"1A",X"00",X"1A",X"00",X"AA",X"00",X"AA",X"00",X"AA",X"00",X"AA", + X"AA",X"AA",X"AA",X"AA",X"AA",X"A1",X"AA",X"A1",X"AA",X"10",X"AA",X"00",X"AA",X"00",X"AA",X"10", + X"00",X"AA",X"00",X"AA",X"00",X"AA",X"00",X"AA",X"00",X"1A",X"00",X"01",X"00",X"00",X"00",X"00", + X"AA",X"A1",X"AA",X"A1",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"A1",X"AA",X"11",X"11",X"00", + X"00",X"17",X"00",X"77",X"00",X"7F",X"00",X"7F",X"00",X"77",X"01",X"77",X"01",X"77",X"17",X"77", + X"77",X"10",X"77",X"00",X"77",X"00",X"77",X"00",X"71",X"10",X"17",X"71",X"77",X"77",X"77",X"77", + X"17",X"77",X"17",X"77",X"17",X"77",X"17",X"77",X"17",X"77",X"01",X"77",X"00",X"11",X"00",X"00", + X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"17",X"77",X"01",X"77",X"00",X"11",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"11",X"01",X"99",X"19",X"99", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"10",X"00",X"91",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"11",X"01",X"77",X"17",X"77", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"11",X"01",X"77",X"17",X"77", + X"00",X"F6",X"01",X"66",X"01",X"66",X"01",X"66",X"01",X"66",X"00",X"66",X"00",X"66",X"00",X"66", + X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66", + X"00",X"66",X"00",X"16",X"00",X"16",X"00",X"01",X"00",X"01",X"00",X"00",X"00",X"00",X"00",X"00", + X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"11",X"66",X"00",X"11",X"00",X"00",X"00", + X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66", + X"61",X"00",X"61",X"00",X"61",X"00",X"10",X"00",X"10",X"00",X"10",X"00",X"00",X"00",X"00",X"00", + X"66",X"66",X"66",X"66",X"66",X"61",X"66",X"61",X"66",X"10",X"66",X"00",X"11",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"9F",X"99",X"F9",X"99",X"99",X"99",X"99",X"99",X"91",X"99",X"10",X"99",X"10",X"99",X"91",X"99", + X"99",X"00",X"99",X"00",X"99",X"00",X"99",X"00",X"99",X"00",X"99",X"10",X"99",X"10",X"99",X"10", + X"99",X"99",X"99",X"99",X"99",X"99",X"99",X"99",X"99",X"91",X"99",X"10",X"11",X"00",X"00",X"00", + X"99",X"91",X"99",X"91",X"99",X"99",X"99",X"99",X"99",X"99",X"19",X"91",X"01",X"10",X"00",X"00", + X"17",X"77",X"17",X"77",X"17",X"77",X"17",X"77",X"17",X"77",X"17",X"77",X"17",X"77",X"17",X"77", + X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"11",X"77",X"00",X"77",X"00", + X"17",X"77",X"17",X"77",X"17",X"77",X"17",X"77",X"01",X"77",X"00",X"77",X"00",X"11",X"00",X"00", + X"71",X"00",X"71",X"00",X"71",X"00",X"10",X"00",X"10",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"11",X"01",X"33",X"13",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"11",X"11",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"11",X"31",X"00", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"31",X"00",X"31",X"00",X"31",X"00",X"33",X"11",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"00",X"C0",X"CC",X"5C",X"CF",X"CA",X"5C",X"55",X"CF",X"AF",X"A5",X"CF",X"AC",X"FC",X"56",X"C5", + X"00",X"00",X"F5",X"C0",X"CA",X"CC",X"5A",X"6C",X"A5",X"C6",X"5A",X"C6",X"F5",X"CC",X"AF",X"6C", + X"FF",X"5F",X"CF",X"C5",X"5C",X"5C",X"AA",X"FF",X"FC",X"CF",X"C5",X"5C",X"CC",X"6C",X"CC",X"CC", + X"5A",X"FF",X"AF",X"6C",X"4F",X"5C",X"AC",X"65",X"CC",X"A0",X"54",X"5C",X"CA",X"C0",X"55",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"CA",X"00",X"CC",X"00",X"5C", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"50",X"00",X"C0",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"0C",X"00",X"06",X"00",X"55",X"0C",X"A5",X"CC",X"5A", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"6C",X"00",X"A0",X"00",X"F5",X"00",X"5C",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"0F",X"00",X"FF",X"00",X"FF", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"FE",X"00",X"FE",X"00",X"FE",X"00",X"EE",X"00", + X"0F",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"EF",X"FF",X"0E",X"EE",X"00",X"00", + X"EE",X"00",X"EE",X"00",X"FE",X"00",X"FE",X"00",X"FE",X"00",X"EE",X"00",X"00",X"00",X"00",X"00", + X"00",X"CF",X"00",X"BF",X"00",X"BF",X"CC",X"FC",X"BB",X"FC",X"CB",X"BF",X"0C",X"FF",X"0C",X"FF", + X"BB",X"00",X"BB",X"C0",X"BB",X"FC",X"BF",X"FF",X"BF",X"CF",X"FF",X"FF",X"CB",X"FC",X"CC",X"4C", + X"CB",X"FF",X"CB",X"FF",X"BF",X"FF",X"BF",X"FF",X"BB",X"FF",X"CB",X"B4",X"BB",X"BC",X"CC",X"44", + X"FF",X"4C",X"BB",X"C0",X"44",X"00",X"CC",X"C0",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"F5",X"00",X"AA",X"00",X"5A",X"00",X"F5",X"00",X"5A",X"00",X"FA",X"00",X"05",X"00",X"0F", + X"00",X"00",X"60",X"00",X"60",X"00",X"A0",X"00",X"50",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"06", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"AF",X"00",X"6A",X"00",X"A5",X"00",X"FA",X"00",X"65",X"00",X"A6",X"00",X"0A",X"00",X"00", + X"A0",X"00",X"A5",X"00",X"5F",X"00",X"5A",X"00",X"5F",X"00",X"60",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"65", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"55",X"00",X"5A",X"00",X"FA",X"00",X"FA",X"00",X"65",X"00",X"A6",X"00",X"AA",X"00",X"0A", + X"A0",X"00",X"6A",X"00",X"55",X"00",X"55",X"00",X"56",X"00",X"60",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"5F",X"00",X"16",X"0A",X"5F", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"F0",X"00",X"55",X"00", + X"05",X"F5",X"05",X"F5",X"0A",X"5F",X"00",X"AF",X"00",X"65",X"00",X"5A",X"00",X"0A",X"00",X"00", + X"F6",X"00",X"AF",X"00",X"6A",X"00",X"A0",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"55",X"00",X"FA",X"00",X"A6", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"FA",X"00",X"6A",X"00", + X"06",X"A5",X"06",X"AA",X"0F",X"AA",X"0F",X"55",X"00",X"FF",X"00",X"55",X"00",X"AA",X"00",X"0A", + X"65",X"00",X"F5",X"00",X"F5",X"00",X"A5",X"00",X"A5",X"00",X"AA",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"0A",X"00",X"66",X"00",X"6A",X"00",X"5F",X"0A",X"F6", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"A0",X"00",X"AA",X"00", + X"0A",X"FF",X"55",X"6A",X"65",X"F5",X"A6",X"55",X"A6",X"FF",X"0A",X"65",X"05",X"5F",X"00",X"FA", + X"6A",X"00",X"55",X"00",X"F5",X"00",X"F5",X"00",X"A5",X"00",X"5A",X"00",X"6A",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"06",X"00",X"6A",X"00",X"66",X"06",X"1F",X"05",X"AF", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"A5",X"00",X"F6",X"00",X"5F",X"00", + X"A5",X"AA",X"A5",X"5A",X"A5",X"AA",X"6A",X"55",X"06",X"6A",X"06",X"A6",X"00",X"A5",X"00",X"55", + X"A6",X"00",X"AA",X"00",X"55",X"00",X"F5",X"00",X"F5",X"00",X"5A",X"00",X"A5",X"00",X"00",X"00", + X"00",X"00",X"00",X"0A",X"00",X"AA",X"00",X"5A",X"00",X"16",X"05",X"65",X"55",X"6F",X"6A",X"FA", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"50",X"00",X"FF",X"00",X"AA",X"00",X"FF",X"00", + X"5F",X"A6",X"F1",X"6F",X"5F",X"FA",X"A6",X"5F",X"56",X"AF",X"AA",X"F5",X"05",X"A5",X"00",X"55", + X"6A",X"00",X"F5",X"00",X"5F",X"00",X"5F",X"00",X"F6",X"00",X"FA",X"00",X"FA",X"00",X"00",X"00", + X"00",X"0A",X"00",X"0A",X"00",X"66",X"00",X"F6",X"00",X"15",X"0A",X"1F",X"A5",X"5F",X"A5",X"5A", + X"00",X"00",X"00",X"00",X"00",X"00",X"A0",X"00",X"6A",X"00",X"56",X"00",X"56",X"00",X"5A",X"00", + X"66",X"55",X"56",X"5A",X"A5",X"AA",X"AA",X"A6",X"6A",X"6F",X"06",X"65",X"00",X"A5",X"00",X"66", + X"F6",X"60",X"AA",X"50",X"F5",X"50",X"F6",X"00",X"56",X"00",X"FA",X"00",X"AA",X"00",X"00",X"00", + X"00",X"0A",X"00",X"A5",X"00",X"FF",X"0A",X"55",X"AF",X"55",X"AF",X"66",X"AF",X"AA",X"AA",X"6A", + X"00",X"00",X"00",X"00",X"A0",X"00",X"FA",X"00",X"65",X"00",X"A5",X"00",X"6A",X"00",X"55",X"A0", + X"5A",X"AA",X"55",X"AA",X"F5",X"6A",X"6F",X"AA",X"66",X"AA",X"A5",X"55",X"0A",X"5A",X"00",X"AA", + X"A5",X"A0",X"A5",X"50",X"A5",X"50",X"A6",X"00",X"A6",X"00",X"56",X"00",X"66",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"50",X"00",X"05",X"01",X"00",X"11",X"00",X"1F",X"00",X"FE", + X"00",X"00",X"00",X"00",X"11",X"00",X"1F",X"11",X"EE",X"E1",X"EF",X"EE",X"EE",X"0E",X"EE",X"00", + X"00",X"11",X"00",X"1E",X"00",X"EF",X"00",X"1E",X"00",X"EF",X"00",X"1E",X"50",X"F1",X"00",X"1F", + X"EE",X"00",X"EE",X"00",X"E0",X"00",X"E0",X"00",X"00",X"00",X"E0",X"00",X"E0",X"00",X"EE",X"00", + X"00",X"11",X"00",X"1F",X"01",X"FE",X"11",X"EF",X"1F",X"EE",X"1F",X"EE",X"FE",X"EE",X"E1",X"EE", + X"1F",X"00",X"EE",X"10",X"EE",X"EF",X"EE",X"EE",X"EE",X"EE",X"00",X"00",X"00",X"00",X"00",X"00", + X"FE",X"EE",X"1F",X"E0",X"EF",X"E0",X"FE",X"00",X"EF",X"E0",X"FF",X"E0",X"1E",X"E0",X"11",X"EE", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"50",X"00",X"50",X"00",X"00",X"00",X"01",X"50",X"11", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"11",X"00",X"11",X"10",X"FF",X"EF", + X"00",X"1E",X"00",X"FF",X"01",X"EE",X"01",X"FE",X"01",X"EE",X"01",X"EE",X"00",X"EE",X"00",X"0E", + X"EE",X"EE",X"E0",X"EE",X"EE",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"01",X"00",X"11",X"01",X"F1",X"11",X"E1",X"11",X"EF",X"11",X"EE",X"1E",X"EE",X"EE",X"EE", + X"11",X"00",X"1E",X"10",X"EE",X"11",X"EF",X"F1",X"EE",X"EF",X"EE",X"EE",X"EE",X"EE",X"00",X"0E", + X"1F",X"EE",X"EE",X"E0",X"FE",X"00",X"EE",X"00",X"FE",X"00",X"EE",X"00",X"EE",X"00",X"0E",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"05",X"00", + X"00",X"00",X"00",X"00",X"05",X"00",X"05",X"00",X"05",X"00",X"00",X"00",X"00",X"00",X"11",X"E0", + X"00",X"50",X"00",X"0E",X"00",X"01",X"00",X"E1",X"00",X"11",X"00",X"01",X"55",X"01",X"00",X"00", + X"1E",X"EE",X"EE",X"E0",X"FE",X"00",X"EE",X"00",X"EE",X"00",X"E0",X"00",X"E0",X"00",X"EE",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"01",X"50",X"11",X"05",X"11",X"00",X"1E", + X"00",X"00",X"00",X"00",X"00",X"00",X"11",X"00",X"E1",X"00",X"FF",X"00",X"FE",X"00",X"EE",X"00", + X"00",X"1F",X"00",X"EF",X"00",X"FE",X"00",X"FE",X"00",X"EE",X"00",X"FE",X"50",X"EE",X"00",X"FE", + X"E0",X"00",X"E0",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"50",X"00",X"50",X"00",X"00",X"00",X"00",X"00",X"10",X"11",X"11", + X"00",X"00",X"00",X"00",X"00",X"01",X"00",X"01",X"00",X"11",X"00",X"11",X"00",X"0E",X"00",X"00", + X"1E",X"EE",X"E1",X"FE",X"EF",X"EE",X"EE",X"00",X"EE",X"00",X"EE",X"00",X"E0",X"00",X"E0",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"11",X"00",X"11",X"00",X"1E", + X"00",X"50",X"00",X"50",X"00",X"50",X"00",X"00",X"11",X"00",X"11",X"11",X"FF",X"EF",X"EE",X"EE", + X"50",X"FF",X"01",X"EE",X"01",X"FE",X"01",X"EE",X"01",X"EE",X"00",X"EE",X"01",X"E0",X"00",X"E0", + X"EE",X"E0",X"EE",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"FF",X"0F",X"FF",X"FF",X"FF", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"C0",X"00",X"AA",X"00",X"FA",X"00", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"CF",X"FF",X"00",X"CC", + X"FA",X"C0",X"FF",X"C0",X"FF",X"AC",X"FF",X"AC",X"FA",X"AC",X"AA",X"C0",X"AA",X"00",X"CC",X"00", + X"00",X"00",X"00",X"00",X"0F",X"AC",X"FF",X"FA",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"C0",X"00",X"C0",X"00",X"AC",X"00",X"AC",X"00", + X"FF",X"FF",X"0F",X"FF",X"0F",X"FF",X"00",X"FF",X"00",X"FF",X"0F",X"FF",X"00",X"FF",X"00",X"CC", + X"AC",X"00",X"AC",X"00",X"FA",X"00",X"FF",X"C0",X"FF",X"AC",X"FF",X"AC",X"AA",X"C0",X"CC",X"00", + X"00",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"AA",X"FF",X"AC", + X"00",X"00",X"FC",X"00",X"FF",X"00",X"FF",X"00",X"FF",X"C0",X"FF",X"C0",X"AF",X"FC",X"AA",X"FC", + X"AA",X"C0",X"CC",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"CC",X"AC",X"00",X"CC",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"0F",X"00",X"FF",X"0F",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"00",X"00",X"FF",X"00",X"FA",X"00",X"AC",X"00",X"C0",X"00",X"C0",X"00",X"00",X"00",X"00",X"00", + X"FF",X"FA",X"FF",X"FA",X"FF",X"AA",X"FF",X"AA",X"FF",X"AC",X"AA",X"C0",X"CC",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"33",X"03",X"33",X"3F",X"33", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"C0",X"00",X"22",X"00",X"32",X"00", + X"FF",X"33",X"F3",X"33",X"33",X"33",X"33",X"33",X"33",X"22",X"33",X"CC",X"32",X"00",X"CC",X"00", + X"33",X"C0",X"33",X"C0",X"33",X"2C",X"33",X"2C",X"33",X"2C",X"33",X"2C",X"33",X"C0",X"CC",X"00", + X"00",X"00",X"00",X"00",X"00",X"9F",X"00",X"FF",X"00",X"F9",X"00",X"99",X"09",X"99",X"09",X"99", + X"00",X"00",X"88",X"00",X"98",X"00",X"99",X"00",X"99",X"C0",X"99",X"C0",X"99",X"C0",X"98",X"00", + X"99",X"99",X"99",X"99",X"99",X"99",X"99",X"99",X"99",X"99",X"99",X"99",X"C9",X"99",X"0C",X"CC", + X"88",X"00",X"88",X"00",X"8C",X"00",X"C0",X"00",X"8C",X"00",X"8C",X"00",X"C0",X"00",X"00",X"00", + X"00",X"00",X"00",X"66",X"00",X"FF",X"00",X"F6",X"00",X"F6",X"00",X"66",X"00",X"66",X"00",X"66", + X"00",X"00",X"C0",X"00",X"5C",X"00",X"55",X"00",X"55",X"00",X"55",X"00",X"65",X"00",X"65",X"00", + X"00",X"66",X"00",X"66",X"00",X"66",X"00",X"66",X"00",X"66",X"00",X"66",X"00",X"66",X"00",X"CC", + X"65",X"00",X"65",X"00",X"65",X"00",X"65",X"00",X"65",X"00",X"65",X"00",X"55",X"00",X"CC",X"00", + X"00",X"00",X"00",X"00",X"0A",X"AC",X"AF",X"AA",X"FF",X"AA",X"FF",X"AA",X"FA",X"AA",X"AA",X"AA", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"BC",X"00",X"BB",X"00",X"AB",X"00",X"AB",X"00", + X"AA",X"AA",X"0A",X"AA",X"00",X"AA",X"00",X"AA",X"00",X"AA",X"00",X"AA",X"00",X"AA",X"00",X"CC", + X"AA",X"C0",X"AA",X"C0",X"AA",X"C0",X"AA",X"C0",X"AA",X"C0",X"AA",X"C0",X"AB",X"00",X"CC",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"77",X"00",X"FF",X"00",X"F7",X"00",X"77",X"00",X"77",X"00",X"33", + X"00",X"00",X"00",X"00",X"00",X"00",X"70",X"00",X"3C",X"00",X"3C",X"00",X"3C",X"00",X"C0",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"77",X"00",X"F7",X"00",X"17",X"00",X"77",X"00",X"77",X"00",X"73",X"00",X"33", + X"00",X"00",X"00",X"00",X"C0",X"00",X"3C",X"00",X"3C",X"00",X"3C",X"00",X"C0",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"77",X"00",X"F1",X"00",X"17",X"00",X"17",X"00",X"77",X"00",X"77",X"00",X"77",X"00",X"33", + X"C0",X"00",X"3C",X"00",X"73",X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"3C",X"00",X"C0",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"77", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"C0",X"00", + X"00",X"17",X"07",X"77",X"07",X"77",X"07",X"77",X"07",X"77",X"07",X"77",X"00",X"73",X"00",X"33", + X"3C",X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"3C",X"00",X"C0",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"77",X"00",X"F1", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"C0",X"00",X"73",X"00", + X"00",X"11",X"07",X"17",X"07",X"77",X"07",X"77",X"07",X"77",X"00",X"77",X"00",X"33",X"00",X"33", + X"73",X"00",X"73",X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"CC",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"77",X"07",X"77",X"0F",X"77", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"C0",X"00",X"73",X"00",X"73",X"00", + X"7F",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"07",X"73",X"03",X"33",X"00",X"33", + X"73",X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"CC",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"77",X"00",X"F7",X"07",X"17",X"07",X"17", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"C0",X"00",X"73",X"00",X"77",X"00",X"77",X"00", + X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"07",X"77",X"07",X"77",X"00",X"33",X"00",X"33", + X"77",X"C0",X"77",X"C0",X"73",X"C0",X"73",X"C0",X"33",X"00",X"33",X"00",X"33",X"00",X"CC",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"77",X"07",X"77",X"77",X"77",X"7F",X"77",X"FF",X"77", + X"00",X"00",X"00",X"00",X"00",X"00",X"C0",X"00",X"33",X"00",X"73",X"00",X"73",X"00",X"73",X"C0", + X"F1",X"77",X"71",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"73",X"07",X"33",X"00",X"33", + X"73",X"C0",X"33",X"C0",X"33",X"C0",X"33",X"C0",X"33",X"00",X"33",X"00",X"33",X"00",X"CC",X"00", + X"00",X"00",X"00",X"00",X"00",X"77",X"00",X"17",X"07",X"77",X"7F",X"77",X"7F",X"77",X"71",X"77", + X"00",X"00",X"00",X"00",X"C0",X"00",X"73",X"00",X"77",X"00",X"77",X"C0",X"77",X"C0",X"77",X"3C", + X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"07",X"73",X"00",X"33",X"00",X"33", + X"77",X"3C",X"73",X"3C",X"73",X"3C",X"33",X"C0",X"33",X"C0",X"33",X"00",X"33",X"00",X"CC",X"00", + X"00",X"00",X"00",X"77",X"07",X"77",X"7F",X"77",X"FF",X"77",X"FF",X"77",X"F1",X"77",X"17",X"77", + X"00",X"00",X"C0",X"00",X"33",X"00",X"73",X"00",X"73",X"C0",X"73",X"C0",X"73",X"3C",X"73",X"3C", + X"17",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"33",X"33",X"33",X"03",X"33",X"00",X"33", + X"33",X"3C",X"33",X"3C",X"33",X"3C",X"33",X"C0",X"33",X"C0",X"33",X"00",X"33",X"00",X"CC",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"03",X"00",X"03",X"00",X"03",X"00",X"33", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"33",X"00",X"3F",X"03",X"3F",X"33",X"3F",X"33",X"3F", + X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"33",X"BB",X"3F",X"BB",X"CF", + X"33",X"33",X"33",X"33",X"33",X"3B",X"3F",X"FB",X"FF",X"FB",X"FF",X"FB",X"FF",X"FB",X"FF",X"FF", + X"00",X"00",X"00",X"00",X"33",X"00",X"FF",X"3C",X"CF",X"33",X"FF",X"33",X"FF",X"33",X"FF",X"33", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"C0",X"00",X"3C",X"00",X"32",X"00", + X"FF",X"33",X"33",X"33",X"BB",X"33",X"CC",X"33",X"CC",X"33",X"CC",X"33",X"BC",X"33",X"BC",X"33", + X"FF",X"C0",X"FC",X"FC",X"FC",X"FC",X"FF",X"FC",X"FF",X"FC",X"FF",X"FC",X"FF",X"C0",X"22",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"77",X"00",X"FF",X"00",X"F7",X"00",X"77",X"00",X"77", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"70",X"00",X"3C",X"00",X"3C",X"00",X"3C",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"77",X"00",X"FF",X"00",X"F7", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"70",X"00",X"3C",X"00", + X"BB",X"BC",X"BB",X"BC",X"BB",X"CF",X"BB",X"FF",X"BB",X"FF",X"C2",X"FF",X"02",X"FF",X"02",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"02",X"FF",X"02",X"2F",X"02",X"22",X"02",X"22",X"0C",X"22",X"00",X"CC",X"00",X"00",X"00",X"00", + X"FF",X"FF",X"FF",X"BC",X"FF",X"BB",X"FF",X"BB",X"2B",X"BB",X"CB",X"BB",X"0C",X"BB",X"00",X"CC", + X"BB",X"33",X"FF",X"33",X"FF",X"33",X"FF",X"33",X"FF",X"32",X"FF",X"32",X"FF",X"22",X"FF",X"22", + X"22",X"00",X"22",X"00",X"22",X"00",X"2C",X"00",X"22",X"2C",X"22",X"C0",X"2C",X"00",X"C0",X"00", + X"F3",X"2C",X"32",X"C0",X"22",X"00",X"22",X"00",X"CC",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"09",X"00",X"09",X"00",X"99",X"00",X"98",X"00",X"89", + X"00",X"00",X"9C",X"00",X"C9",X"00",X"99",X"99",X"98",X"88",X"88",X"88",X"88",X"44",X"44",X"11", + X"09",X"88",X"09",X"84",X"09",X"44",X"99",X"41",X"99",X"41",X"99",X"41",X"99",X"11",X"98",X"11", + X"11",X"11",X"11",X"BB",X"1B",X"44",X"B4",X"11",X"41",X"1B",X"41",X"B4",X"11",X"4C",X"11",X"CC", + X"00",X"00",X"98",X"00",X"CC",X"00",X"99",X"89",X"99",X"88",X"88",X"98",X"88",X"98",X"48",X"99", + X"00",X"00",X"00",X"00",X"9C",X"00",X"F9",X"00",X"F8",X"00",X"88",X"00",X"98",X"00",X"88",X"00", + X"14",X"89",X"11",X"88",X"44",X"48",X"11",X"48",X"11",X"14",X"41",X"14",X"C4",X"14",X"C4",X"14", + X"88",X"00",X"88",X"00",X"88",X"00",X"88",X"C0",X"88",X"C0",X"88",X"C0",X"88",X"C0",X"88",X"C0", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"09",X"00",X"09",X"00",X"99",X"00",X"98",X"00",X"89", + X"00",X"00",X"9C",X"00",X"C9",X"00",X"99",X"99",X"98",X"88",X"88",X"88",X"88",X"44",X"44",X"11", + X"09",X"88",X"09",X"84",X"09",X"44",X"99",X"41",X"99",X"41",X"99",X"41",X"99",X"11",X"98",X"11", + X"11",X"11",X"11",X"BB",X"1B",X"44",X"B4",X"11",X"41",X"1B",X"41",X"B4",X"11",X"4C",X"11",X"CC", + X"00",X"00",X"98",X"00",X"CC",X"00",X"99",X"89",X"99",X"88",X"88",X"98",X"88",X"98",X"48",X"99", + X"00",X"00",X"00",X"00",X"9C",X"00",X"F9",X"00",X"F8",X"00",X"88",X"00",X"98",X"00",X"88",X"00", + X"14",X"89",X"11",X"88",X"44",X"48",X"11",X"48",X"11",X"14",X"41",X"14",X"C4",X"14",X"C4",X"14", + X"88",X"00",X"88",X"00",X"88",X"00",X"88",X"C0",X"88",X"C0",X"88",X"C0",X"88",X"C0",X"88",X"C0", + X"98",X"1B",X"98",X"1B",X"98",X"11",X"98",X"44",X"88",X"8C",X"C8",X"8C",X"08",X"C5",X"09",X"C5", + X"11",X"CC",X"11",X"CC",X"55",X"CC",X"55",X"C5",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", + X"99",X"C5",X"99",X"55",X"99",X"55",X"88",X"C5",X"CC",X"0C",X"00",X"00",X"00",X"00",X"00",X"00", + X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"CC",X"CC",X"00",X"00",X"00",X"00",X"00",X"00", + X"CC",X"41",X"CC",X"41",X"5C",X"14",X"55",X"88",X"5C",X"88",X"5C",X"FF",X"CF",X"FF",X"CF",X"CC", + X"88",X"8C",X"88",X"8C",X"88",X"88",X"88",X"88",X"CC",X"88",X"99",X"88",X"98",X"88",X"88",X"88", + X"FF",X"98",X"CC",X"89",X"00",X"89",X"00",X"89",X"00",X"CC",X"00",X"00",X"00",X"00",X"00",X"00", + X"88",X"8C",X"89",X"8C",X"98",X"C0",X"98",X"00",X"CC",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"98",X"1B",X"98",X"1B",X"98",X"11",X"98",X"44",X"88",X"85",X"C8",X"55",X"08",X"55",X"09",X"C5", + X"11",X"CC",X"11",X"CC",X"CC",X"CC",X"55",X"CC",X"55",X"CC",X"55",X"5C",X"55",X"55",X"55",X"55", + X"99",X"8C",X"99",X"8C",X"99",X"8C",X"88",X"C0",X"CC",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"CC",X"CC",X"FF",X"FF",X"CC",X"CC",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"CC",X"41",X"CC",X"41",X"CC",X"14",X"55",X"88",X"5C",X"88",X"CF",X"FF",X"CF",X"FF",X"FF",X"CC", + X"88",X"8C",X"88",X"8C",X"88",X"88",X"88",X"88",X"CC",X"88",X"99",X"88",X"98",X"88",X"88",X"88", + X"FF",X"98",X"FC",X"89",X"C0",X"89",X"00",X"89",X"00",X"CC",X"00",X"00",X"00",X"00",X"00",X"00", + X"88",X"8C",X"89",X"8C",X"98",X"C0",X"98",X"00",X"CC",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"09",X"00",X"09",X"00",X"99",X"00",X"98",X"00",X"89", + X"00",X"00",X"8C",X"00",X"C8",X"00",X"99",X"99",X"98",X"88",X"88",X"88",X"88",X"88",X"88",X"44", + X"09",X"88",X"09",X"88",X"09",X"84",X"99",X"84",X"99",X"45",X"99",X"55",X"99",X"C5",X"9D",X"FC", + X"44",X"BB",X"BB",X"11",X"14",X"44",X"4B",X"BB",X"55",X"C1",X"55",X"5C",X"5C",X"CD",X"CF",X"FF", + X"00",X"00",X"98",X"00",X"CC",X"00",X"99",X"89",X"99",X"88",X"88",X"98",X"88",X"98",X"88",X"99", + X"00",X"00",X"00",X"00",X"9C",X"00",X"F9",X"00",X"F8",X"00",X"88",X"00",X"98",X"00",X"88",X"00", + X"88",X"89",X"44",X"88",X"BB",X"88",X"44",X"88",X"BB",X"48",X"1B",X"48",X"11",X"B4",X"D1",X"B4", + X"88",X"00",X"88",X"00",X"88",X"00",X"88",X"C0",X"88",X"C0",X"88",X"C0",X"88",X"C0",X"88",X"C0", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"09",X"00",X"99",X"00",X"99",X"00",X"98",X"00",X"89", + X"00",X"00",X"9C",X"00",X"C9",X"00",X"99",X"99",X"98",X"88",X"88",X"88",X"88",X"88",X"88",X"FF", + X"09",X"88",X"09",X"88",X"09",X"8F",X"99",X"8F",X"99",X"FF",X"99",X"FF",X"99",X"FF",X"98",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"00",X"00",X"98",X"00",X"CC",X"00",X"99",X"89",X"99",X"88",X"88",X"98",X"88",X"98",X"88",X"99", + X"00",X"00",X"00",X"00",X"9C",X"00",X"F9",X"00",X"F8",X"00",X"88",X"00",X"98",X"00",X"88",X"00", + X"88",X"89",X"FF",X"88",X"FF",X"88",X"FF",X"88",X"FF",X"E8",X"FF",X"F8",X"FF",X"FE",X"FF",X"FF", + X"88",X"00",X"88",X"00",X"88",X"00",X"88",X"C0",X"88",X"C0",X"88",X"C0",X"88",X"C0",X"88",X"C0", + X"9D",X"FF",X"9F",X"FF",X"9F",X"FF",X"9F",X"FF",X"88",X"FF",X"C8",X"FF",X"08",X"FF",X"09",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"99",X"8F",X"99",X"88",X"99",X"88",X"88",X"8C",X"CC",X"C0",X"00",X"00",X"00",X"00",X"00",X"00", + X"FF",X"FF",X"FF",X"FF",X"CC",X"CC",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"ED",X"1B",X"FF",X"D1",X"FF",X"ED",X"FF",X"FE",X"FF",X"FE",X"FF",X"EE",X"FF",X"EE",X"FF",X"CC", + X"88",X"8C",X"88",X"8C",X"88",X"88",X"88",X"88",X"CC",X"88",X"99",X"88",X"98",X"88",X"88",X"88", + X"FE",X"98",X"EE",X"89",X"CC",X"89",X"00",X"89",X"00",X"CC",X"00",X"00",X"00",X"00",X"00",X"00", + X"88",X"8C",X"89",X"8C",X"98",X"C0",X"98",X"00",X"CC",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"98",X"FF",X"98",X"FF",X"98",X"FF",X"98",X"FF",X"88",X"FF",X"C8",X"FF",X"08",X"8F",X"09",X"8F", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"99",X"88",X"99",X"88",X"99",X"88",X"88",X"8C",X"CC",X"C0",X"00",X"00",X"00",X"00",X"00",X"00", + X"FF",X"FF",X"FF",X"FF",X"CC",X"CC",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FE",X"FF",X"FE",X"FF",X"EE",X"FF",X"EE",X"FF",X"CC", + X"88",X"8C",X"88",X"8C",X"88",X"88",X"88",X"88",X"CC",X"88",X"99",X"88",X"98",X"88",X"88",X"88", + X"FE",X"98",X"EE",X"89",X"CC",X"89",X"00",X"89",X"00",X"CC",X"00",X"00",X"00",X"00",X"00",X"00", + X"88",X"8C",X"89",X"8C",X"98",X"C0",X"98",X"00",X"CC",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"09",X"00",X"9C",X"99",X"99",X"44",X"4B",X"CC",X"BC",X"55", + X"00",X"00",X"00",X"00",X"00",X"00",X"09",X"80",X"99",X"98",X"44",X"89",X"BB",X"BB",X"41",X"1B", + X"1C",X"55",X"1C",X"CC",X"CF",X"FE",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"C4",X"11",X"CC",X"11",X"EE",X"C1",X"FF",X"EC",X"FF",X"EE",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"8C",X"C0",X"9C",X"F8",X"88",X"88",X"88",X"88", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"48",X"88",X"B4",X"88",X"1B",X"88",X"11",X"88",X"CC",X"88",X"EE",X"88",X"EE",X"48",X"FE",X"C8", + X"C0",X"00",X"C0",X"00",X"9C",X"00",X"9C",X"00",X"9C",X"00",X"89",X"00",X"89",X"00",X"88",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"88",X"00",X"98",X"00",X"99", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"88",X"88",X"88",X"98",X"88",X"99",X"98",X"99",X"99", + X"0F",X"99",X"0F",X"99",X"99",X"99",X"99",X"99",X"99",X"99",X"99",X"99",X"99",X"99",X"99",X"99", + X"99",X"99",X"99",X"99",X"99",X"99",X"99",X"99",X"99",X"99",X"99",X"99",X"99",X"99",X"99",X"99", + X"00",X"00",X"00",X"00",X"CC",X"00",X"88",X"CC",X"88",X"88",X"89",X"88",X"89",X"88",X"99",X"88", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"C0",X"00",X"8C",X"00",X"88",X"00", + X"99",X"F8",X"99",X"FF",X"99",X"FF",X"99",X"98",X"99",X"98",X"99",X"88",X"99",X"88",X"99",X"88", + X"88",X"00",X"88",X"C0",X"88",X"C0",X"88",X"8C",X"88",X"8C",X"88",X"8C",X"88",X"8C",X"C8",X"8C", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"CF",X"FF",X"0F",X"FF",X"0C",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"00",X"FF",X"00",X"99",X"00",X"98",X"00",X"88",X"00",X"88",X"09",X"88",X"99",X"88",X"98",X"8C", + X"FF",X"FF",X"FF",X"FF",X"CE",X"EE",X"0C",X"CC",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"FF",X"E9",X"FE",X"E9",X"FE",X"99",X"FE",X"98",X"FE",X"88",X"FF",X"E8",X"FF",X"EE",X"FF",X"EE", + X"88",X"C0",X"88",X"8C",X"88",X"8C",X"88",X"8C",X"88",X"8C",X"88",X"8C",X"88",X"C0",X"88",X"C0", + X"EE",X"EC",X"EE",X"C0",X"EC",X"09",X"C0",X"09",X"00",X"99",X"00",X"98",X"00",X"98",X"00",X"8C", + X"88",X"00",X"88",X"00",X"88",X"00",X"88",X"00",X"88",X"00",X"8C",X"00",X"8C",X"00",X"88",X"00", + X"98",X"99",X"9C",X"99",X"99",X"99",X"89",X"88",X"11",X"55",X"11",X"55",X"44",X"55",X"FC",X"55", + X"99",X"99",X"99",X"99",X"99",X"88",X"88",X"C1",X"55",X"11",X"55",X"14",X"5C",X"4C",X"CC",X"CF", + X"CF",X"CC",X"99",X"FF",X"99",X"FF",X"99",X"88",X"98",X"88",X"98",X"88",X"88",X"8C",X"CC",X"C0", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"CC",X"CC",X"00",X"00",X"00",X"00",X"00",X"00", + X"88",X"8C",X"81",X"C9",X"11",X"C9",X"11",X"C9",X"1C",X"C9",X"CF",X"C9",X"FF",X"C8",X"FF",X"FC", + X"88",X"8C",X"88",X"8C",X"88",X"C0",X"88",X"C0",X"88",X"00",X"88",X"00",X"88",X"C0",X"88",X"8C", + X"FF",X"FC",X"FF",X"C0",X"FF",X"00",X"CC",X"00",X"00",X"08",X"00",X"88",X"00",X"88",X"00",X"88", + X"88",X"8C",X"88",X"8C",X"88",X"8C",X"88",X"C0",X"88",X"C0",X"88",X"00",X"88",X"00",X"88",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"03",X"00",X"03", + X"00",X"00",X"00",X"00",X"00",X"00",X"33",X"FB",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"33", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"BB",X"00",X"BB",X"C0",X"BB",X"C0",X"FB",X"C0",X"FF",X"C0",X"FF",X"FC",X"FF",X"FF",X"FF",X"FF", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"BC",X"00",X"BB",X"00", + X"FF",X"33",X"F3",X"33",X"33",X"3C",X"33",X"CF",X"33",X"33",X"33",X"FF",X"33",X"FF",X"33",X"FC", + X"BB",X"00",X"BB",X"00",X"FB",X"00",X"BC",X"00",X"BB",X"00",X"CC",X"00",X"BB",X"C0",X"BB",X"00", + X"00",X"00",X"FF",X"F0",X"FF",X"F0",X"FF",X"F8",X"FF",X"F8",X"FF",X"F8",X"FF",X"F8",X"33",X"38", + X"00",X"00",X"00",X"FF",X"00",X"FF",X"00",X"FF",X"00",X"FF",X"00",X"FF",X"00",X"FF",X"00",X"33", + X"33",X"38",X"33",X"38",X"33",X"38",X"33",X"38",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"00",X"00",X"00",X"0F",X"00",X"0F",X"88",X"0F",X"88",X"0F",X"88",X"0F",X"88",X"0F",X"88",X"03", + X"00",X"00",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"33",X"33", + X"88",X"03",X"88",X"03",X"88",X"03",X"88",X"03",X"88",X"03",X"88",X"03",X"88",X"03",X"88",X"03", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"88",X"33",X"88",X"33",X"80",X"33",X"80", + X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"33", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"00",X"C3",X"00",X"0C",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"CC",X"33",X"00",X"C3",X"00",X"0C",X"00",X"00", + X"33",X"FF",X"33",X"FF",X"33",X"FF",X"33",X"FF",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"FF",X"00",X"FF",X"00",X"FF",X"00",X"3F",X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"33",X"00", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"00",X"00",X"00",X"00",X"00", + X"33",X"00",X"33",X"00",X"30",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"33",X"33", + X"00",X"00",X"00",X"FF",X"00",X"FF",X"80",X"FF",X"80",X"FF",X"80",X"FF",X"80",X"FF",X"80",X"33", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"88",X"88",X"88",X"88",X"00",X"00",X"00",X"00", + X"80",X"33",X"80",X"33",X"80",X"33",X"80",X"33",X"80",X"33",X"80",X"33",X"00",X"33",X"00",X"33", + X"00",X"00",X"FF",X"00",X"FF",X"00",X"FF",X"00",X"FF",X"00",X"FF",X"00",X"FF",X"00",X"33",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"33",X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"33",X"00",X"33",X"00",X"33",X"88",X"33",X"88", + X"33",X"33",X"33",X"33",X"08",X"88",X"08",X"88",X"00",X"00",X"0F",X"0F",X"0F",X"8F",X"0F",X"0F", + X"33",X"33",X"33",X"33",X"88",X"88",X"88",X"88",X"00",X"00",X"0F",X"F0",X"8F",X"8F",X"8F",X"8F", + X"0F",X"0F",X"0F",X"0F",X"00",X"80",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"8F",X"8F",X"8F",X"8F",X"80",X"80",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"88",X"03",X"88",X"03",X"88",X"00",X"88",X"00",X"00",X"00",X"FF",X"FF",X"8F",X"8F",X"FF",X"FF", + X"33",X"80",X"33",X"80",X"88",X"80",X"88",X"80",X"00",X"00",X"F0",X"0F",X"8F",X"8F",X"8F",X"FF", + X"88",X"8F",X"80",X"8F",X"80",X"80",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"8F",X"F8",X"8F",X"F8",X"80",X"08",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"33",X"00",X"33",X"00",X"00",X"00",X"00",X"00",X"00",X"FF",X"F0",X"F8",X"F8",X"F8",X"F8", + X"00",X"00",X"00",X"F0",X"00",X"88",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"F8",X"F8",X"F8",X"F8",X"08",X"08",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"33",X"33",X"33",X"33",X"88",X"88",X"88",X"88",X"00",X"00",X"FF",X"FF",X"0F",X"F8",X"0F",X"FF", + X"33",X"88",X"33",X"88",X"88",X"88",X"88",X"88",X"00",X"00",X"FF",X"00",X"F8",X"00",X"F8",X"00", + X"0F",X"F8",X"0F",X"FF",X"00",X"08",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"F8",X"00",X"FF",X"00",X"08",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"0F",X"00",X"FA",X"7F",X"00",X"F0",X"00", + X"00",X"00",X"00",X"01",X"00",X"11",X"11",X"00",X"10",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"F1", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"10",X"01",X"00",X"10",X"00",X"00",X"00", + X"7F",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"F0",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"01",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"11",X"11",X"55",X"55",X"55", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"11",X"00",X"55",X"10",X"55",X"51", + X"A8",X"A8",X"AA",X"AC",X"AC",X"AA",X"AA",X"8C",X"8C",X"88",X"FF",X"8F",X"CC",X"CF",X"F8",X"CF", + X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"FF",X"88",X"CF",X"C8",X"F8",X"C8", + X"CC",X"FF",X"C8",X"CC",X"F8",X"88",X"CC",X"F8",X"F8",X"FC",X"FC",X"FC",X"CC",X"8C",X"88",X"88", + X"FF",X"C8",X"CC",X"C8",X"8F",X"F8",X"FF",X"8F",X"FF",X"FF",X"FC",X"CF",X"CC",X"C8",X"88",X"88", + X"00",X"00",X"00",X"00",X"00",X"00",X"55",X"56",X"F5",X"56",X"55",X"99",X"00",X"65",X"00",X"AA", + X"00",X"00",X"00",X"00",X"66",X"00",X"66",X"00",X"69",X"66",X"99",X"00",X"23",X"00",X"A5",X"00", + X"00",X"AA",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"AA",X"30",X"AA",X"30",X"B0",X"33",X"00",X"03",X"00",X"03",X"00",X"03",X"00",X"03",X"00",X"03", + X"00",X"00",X"00",X"00",X"00",X"00",X"55",X"55",X"F5",X"55",X"55",X"55",X"00",X"99",X"00",X"69", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"50",X"00",X"93",X"00",X"23",X"00",X"95",X"00", + X"00",X"A6",X"00",X"06",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"9A",X"30",X"69",X"30",X"69",X"33",X"69",X"03",X"99",X"03",X"66",X"03",X"66",X"03",X"00",X"03", + X"5F",X"55",X"5F",X"55",X"55",X"55",X"55",X"55",X"15",X"55",X"01",X"55",X"00",X"15",X"11",X"01", + X"55",X"55",X"55",X"55",X"55",X"55",X"51",X"51",X"51",X"10",X"55",X"00",X"55",X"10",X"55",X"51", + X"55",X"11",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"15",X"55",X"01",X"55",X"00",X"11", + X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"11",X"11",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"01",X"00",X"19",X"00",X"99",X"00",X"9F",X"00",X"99",X"00",X"99",X"01",X"99",X"01",X"99", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"01",X"99",X"01",X"99",X"00",X"99",X"00",X"99",X"00",X"99",X"00",X"11",X"00",X"00",X"00",X"00", + X"00",X"11",X"00",X"33",X"01",X"F3",X"13",X"F3",X"3F",X"33",X"3F",X"33",X"3F",X"33",X"33",X"33", + X"11",X"11",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"13",X"31",X"01", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"10",X"00",X"10",X"00",X"31",X"01",X"33",X"13",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"10",X"00",X"31",X"00",X"33",X"10",X"33",X"31",X"33",X"31",X"33",X"33",X"33",X"33",X"33",X"33", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"31",X"33",X"31",X"33",X"10",X"33",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"11",X"01",X"99",X"19",X"99",X"9F",X"91",X"FF",X"10",X"FF",X"10",X"9F",X"91",X"99",X"99", + X"11",X"00",X"99",X"00",X"99",X"10",X"99",X"10",X"19",X"91",X"19",X"91",X"99",X"91",X"99",X"10", + X"99",X"99",X"99",X"91",X"99",X"10",X"99",X"91",X"99",X"99",X"19",X"99",X"01",X"99",X"00",X"11", + X"99",X"00",X"11",X"00",X"00",X"00",X"11",X"00",X"99",X"10",X"99",X"10",X"99",X"00",X"11",X"00", + X"11",X"00",X"66",X"01",X"FF",X"16",X"F6",X"6F",X"F6",X"66",X"F6",X"66",X"66",X"66",X"66",X"61", + X"00",X"00",X"11",X"00",X"66",X"00",X"66",X"10",X"66",X"61",X"66",X"61",X"66",X"61",X"66",X"61", + X"66",X"10",X"66",X"10",X"66",X"10",X"66",X"10",X"66",X"10",X"66",X"10",X"66",X"00",X"11",X"00", + X"66",X"61",X"66",X"61",X"66",X"61",X"66",X"61",X"66",X"61",X"66",X"61",X"16",X"10",X"01",X"00", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"33",X"33",X"33",X"11",X"31",X"00",X"10",X"00",X"10",X"00",X"10",X"00",X"31",X"00",X"31",X"00", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"13",X"33",X"01",X"33",X"00",X"11",X"00",X"00", + X"31",X"00",X"31",X"00",X"31",X"00",X"31",X"00",X"10",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"11",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"11",X"01",X"FF",X"13",X"33",X"3F",X"33",X"3F",X"33",X"33",X"31",X"33",X"10",X"33",X"10", + X"10",X"00",X"31",X"00",X"33",X"00",X"33",X"10",X"33",X"10",X"33",X"31",X"33",X"31",X"33",X"31", + X"33",X"31",X"33",X"33",X"33",X"33",X"33",X"33",X"13",X"33",X"01",X"33",X"01",X"33",X"13",X"33", + X"33",X"31",X"33",X"31",X"33",X"31",X"33",X"10",X"33",X"10",X"33",X"31",X"33",X"31",X"33",X"31", + X"11",X"00",X"AA",X"00",X"FF",X"10",X"FA",X"10",X"FA",X"10",X"AA",X"10",X"AA",X"10",X"AA",X"10", + X"01",X"00",X"1A",X"10",X"AF",X"A1",X"AF",X"A1",X"AF",X"A1",X"AA",X"A1",X"AA",X"A1",X"AA",X"A1", + X"AA",X"10",X"AA",X"A1",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"11",X"AA",X"00",X"11", + X"AA",X"A1",X"AA",X"A1",X"AA",X"A1",X"AA",X"A1",X"AA",X"A1",X"AA",X"A1",X"1A",X"A1",X"01",X"10", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"11", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"10",X"00", + X"00",X"55",X"00",X"FF",X"00",X"F5",X"00",X"55",X"00",X"55",X"00",X"55",X"00",X"11",X"00",X"00", + X"51",X"00",X"55",X"00",X"55",X"00",X"55",X"00",X"55",X"00",X"51",X"00",X"10",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"01",X"00",X"01",X"00",X"13",X"00",X"13",X"00",X"13",X"00",X"13",X"00",X"01", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"01",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"FF",X"33",X"F3",X"11",X"33",X"00",X"33",X"00",X"33",X"11",X"33",X"33",X"33",X"33",X"33",X"33", + X"33",X"10",X"33",X"00",X"33",X"10",X"33",X"10",X"33",X"10",X"33",X"10",X"33",X"00",X"33",X"00", + X"33",X"33",X"13",X"33",X"01",X"11",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"33",X"00",X"11",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"01",X"10", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"19",X"91",X"99",X"99",X"99",X"99",X"99",X"99",X"99",X"99",X"99",X"91",X"99",X"19",X"99",X"99", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"11",X"00",X"99",X"00",X"99",X"10", + X"00",X"11",X"00",X"55",X"00",X"FF",X"00",X"F5",X"00",X"F5",X"00",X"55",X"00",X"55",X"00",X"55", + X"10",X"00",X"51",X"00",X"55",X"00",X"55",X"00",X"55",X"00",X"55",X"00",X"55",X"00",X"55",X"00", + X"00",X"55",X"00",X"55",X"00",X"55",X"00",X"55",X"00",X"55",X"00",X"55",X"00",X"11",X"00",X"00", + X"55",X"00",X"55",X"00",X"55",X"00",X"55",X"00",X"55",X"00",X"51",X"00",X"10",X"00",X"00",X"00", + X"00",X"00",X"11",X"00",X"77",X"10",X"FF",X"71",X"F7",X"71",X"77",X"71",X"77",X"71",X"77",X"71", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"77",X"71",X"77",X"71",X"77",X"71",X"77",X"71",X"77",X"71",X"77",X"71",X"77",X"77",X"77",X"77", + X"00",X"01",X"00",X"17",X"00",X"FF",X"01",X"77",X"17",X"77",X"77",X"77",X"77",X"77",X"77",X"77", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"10",X"00",X"71",X"00",X"71",X"00",X"71",X"00",X"71",X"00",X"10",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"01",X"11",X"1A",X"AA",X"AA",X"FA",X"AF",X"AA", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"11",X"00",X"AA",X"00",X"AA",X"10",X"AA",X"10", + X"AA",X"AA",X"1A",X"AA",X"01",X"11",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"AA",X"10",X"AA",X"00",X"11",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"16",X"66",X"16",X"66",X"16",X"66",X"16",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66", + X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66", + X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"16",X"66",X"16",X"66",X"01",X"66",X"00",X"11", + X"66",X"66",X"66",X"61",X"66",X"10",X"66",X"00",X"66",X"00",X"61",X"00",X"10",X"00",X"00",X"00", + X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77", + X"77",X"77",X"77",X"71",X"77",X"10",X"77",X"10",X"77",X"10",X"77",X"71",X"77",X"77",X"77",X"77", + X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"71",X"77",X"71",X"77",X"71",X"77",X"10",X"11",X"00", + X"77",X"77",X"77",X"77",X"77",X"77",X"17",X"77",X"01",X"77",X"00",X"77",X"00",X"17",X"00",X"01", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"10",X"00",X"10",X"00",X"71",X"00",X"71",X"00",X"71",X"00",X"71",X"00",X"10",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"01",X"99",X"00",X"99",X"00",X"11",X"00",X"00",X"10",X"00",X"91",X"00",X"99",X"00",X"99",X"11", + X"91",X"00",X"10",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"99",X"99",X"99",X"99",X"99",X"99",X"99",X"99",X"99",X"99",X"99",X"99",X"99",X"11",X"11",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"01",X"00",X"1A",X"00",X"1A", + X"00",X"00",X"00",X"00",X"00",X"00",X"11",X"00",X"AA",X"10",X"AA",X"10",X"AA",X"A1",X"AA",X"A1", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"01",X"00",X"17",X"00",X"77",X"00",X"7F",X"00",X"7F", + X"00",X"00",X"00",X"00",X"00",X"00",X"10",X"00",X"71",X"00",X"77",X"00",X"77",X"00",X"77",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"11",X"01",X"66",X"16",X"66", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"11",X"01",X"66", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"11",X"01",X"66",X"16",X"66", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"AF",X"00",X"AF",X"00",X"FA",X"00",X"AA",X"01",X"AA",X"01",X"AA",X"1A",X"AA",X"1A",X"AA", + X"AA",X"10",X"AA",X"10",X"AA",X"00",X"AA",X"00",X"AA",X"00",X"A1",X"00",X"A1",X"00",X"AA",X"00", + X"1A",X"AA",X"1A",X"AA",X"01",X"AA",X"01",X"AA",X"00",X"AA",X"00",X"AA",X"00",X"11",X"00",X"00", + X"AA",X"00",X"AA",X"00",X"AA",X"10",X"AA",X"10",X"AA",X"10",X"AA",X"00",X"AA",X"00",X"11",X"00", + X"00",X"F7",X"01",X"F7",X"01",X"77",X"17",X"77",X"17",X"77",X"77",X"77",X"77",X"77",X"77",X"77", + X"77",X"00",X"71",X"00",X"71",X"00",X"10",X"00",X"11",X"00",X"77",X"00",X"77",X"10",X"77",X"10", + X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"11",X"11",X"00",X"00",X"00", + X"77",X"71",X"77",X"71",X"77",X"71",X"77",X"71",X"77",X"71",X"17",X"10",X"01",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"01",X"10",X"19",X"91",X"99",X"99", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"11",X"00",X"77",X"11",X"7F",X"77", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"01",X"00",X"17",X"10",X"77",X"71", + X"16",X"66",X"6F",X"66",X"6F",X"66",X"66",X"66",X"66",X"66",X"16",X"66",X"16",X"66",X"01",X"66", + X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66", + X"01",X"66",X"00",X"66",X"00",X"66",X"00",X"66",X"00",X"66",X"00",X"16",X"00",X"01",X"00",X"00", + X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"11",X"11",X"00",X"00",X"00",X"00", + X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"66",X"61",X"66",X"61", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"66",X"10",X"66",X"10",X"66",X"00",X"66",X"00",X"66",X"00",X"61",X"00",X"10",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"F9",X"99",X"99",X"99",X"99",X"99",X"99",X"99",X"19",X"99",X"01",X"99",X"01",X"99",X"19",X"99", + X"10",X"00",X"10",X"00",X"91",X"00",X"91",X"00",X"91",X"00",X"99",X"00",X"99",X"00",X"99",X"00", + X"99",X"99",X"99",X"99",X"99",X"99",X"99",X"19",X"99",X"19",X"91",X"01",X"10",X"00",X"00",X"00", + X"99",X"00",X"99",X"00",X"99",X"10",X"99",X"10",X"99",X"10",X"99",X"00",X"11",X"00",X"00",X"00", + X"FF",X"77",X"F7",X"77",X"F7",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77", + X"77",X"71",X"77",X"71",X"77",X"71",X"77",X"71",X"77",X"10",X"77",X"00",X"71",X"00",X"10",X"00", + X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"17",X"71",X"01",X"10",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00"); +begin +process(clk) +begin + if rising_edge(clk) then + data <= rom_data(to_integer(unsigned(addr))); + end if; +end process; +end architecture; diff --git a/Arcade_MiST/Nova2001_Hardware/Penguin-Kun Wars_MiST/meta/gfx4.vhd b/Arcade_MiST/Nova2001_Hardware/Penguin-Kun Wars_MiST/meta/gfx4.vhd new file mode 100644 index 00000000..afc7db59 --- /dev/null +++ b/Arcade_MiST/Nova2001_Hardware/Penguin-Kun Wars_MiST/meta/gfx4.vhd @@ -0,0 +1,1046 @@ +library ieee; +use ieee.std_logic_1164.all,ieee.numeric_std.all; + +entity gfx4 is +port ( + clk : in std_logic; + addr : in std_logic_vector(13 downto 0); + data : out std_logic_vector(7 downto 0) +); +end entity; + +architecture prom of gfx4 is + type rom is array(0 to 16383) of std_logic_vector(7 downto 0); + signal rom_data: rom := ( + X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22", + X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22", + X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22", + X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22", + X"00",X"C0",X"00",X"BC",X"00",X"BB",X"00",X"CC",X"0B",X"CC",X"BB",X"CF",X"BB",X"CC",X"CB",X"BB", + X"00",X"C0",X"00",X"4C",X"BB",X"4C",X"CC",X"4C",X"CC",X"44",X"CF",X"44",X"BC",X"44",X"BB",X"44", + X"0C",X"BB",X"00",X"CC",X"0B",X"BF",X"0B",X"BF",X"00",X"FF",X"00",X"FF",X"00",X"FF",X"BB",X"BF", + X"BB",X"CC",X"CC",X"00",X"FF",X"44",X"FF",X"44",X"FF",X"C0",X"FF",X"4C",X"FF",X"4C",X"FF",X"44", + X"00",X"00",X"00",X"00",X"00",X"BC",X"00",X"CB",X"00",X"CB",X"00",X"BC",X"00",X"CC",X"0B",X"CC", + X"00",X"00",X"00",X"00",X"00",X"BC",X"00",X"C4",X"BB",X"C4",X"BC",X"44",X"BC",X"C4",X"BC",X"C4", + X"0B",X"CC",X"0C",X"BB",X"00",X"BB",X"00",X"CC",X"0B",X"BB",X"00",X"BB",X"00",X"BF",X"00",X"FF", + X"BB",X"C4",X"BB",X"44",X"CB",X"4C",X"BC",X"C4",X"BB",X"44",X"FF",X"4C",X"FF",X"44",X"FF",X"F4", + X"00",X"BC",X"00",X"CB",X"00",X"CB",X"00",X"BC",X"00",X"CC",X"0B",X"CC",X"0B",X"CC",X"0C",X"BB", + X"00",X"BC",X"00",X"C4",X"BB",X"C4",X"BC",X"44",X"BC",X"C4",X"BC",X"C4",X"BB",X"C4",X"BB",X"44", + X"00",X"BB",X"00",X"CC",X"00",X"BB",X"00",X"CB",X"00",X"BF",X"00",X"FF",X"00",X"FF",X"00",X"BB", + X"CB",X"4C",X"FC",X"C0",X"FF",X"44",X"FF",X"C4",X"FF",X"4C",X"FF",X"F4",X"FF",X"44",X"FF",X"44", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"C0",X"00",X"BC",X"00",X"BB",X"00",X"BB",X"0B",X"CC", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"C0",X"00",X"4C",X"BB",X"4C",X"BB",X"4C",X"CC",X"44", + X"BB",X"CC",X"BB",X"CF",X"CB",X"CC",X"0C",X"BB",X"00",X"CC",X"0B",X"BF",X"0B",X"CF",X"0C",X"CF", + X"CC",X"44",X"CF",X"44",X"BC",X"44",X"BB",X"CC",X"CC",X"4C",X"FF",X"44",X"FF",X"44",X"FF",X"4C", + X"00",X"00",X"00",X"00",X"00",X"C0",X"00",X"BC",X"00",X"BB",X"00",X"CC",X"0B",X"CC",X"BB",X"CF", + X"00",X"00",X"00",X"00",X"00",X"C0",X"00",X"4C",X"BB",X"4C",X"CC",X"4C",X"CC",X"44",X"CF",X"44", + X"BC",X"CC",X"CB",X"BB",X"0B",X"BB",X"0B",X"CC",X"0B",X"BB",X"0C",X"BF",X"00",X"FF",X"00",X"FF", + X"BC",X"44",X"BB",X"44",X"BB",X"CC",X"CC",X"44",X"BB",X"44",X"FF",X"CC",X"FF",X"4C",X"FF",X"4C", + X"00",X"00",X"00",X"00",X"00",X"C0",X"00",X"BC",X"00",X"BB",X"00",X"BC",X"0B",X"CC",X"BB",X"CC", + X"00",X"00",X"00",X"00",X"00",X"C0",X"00",X"4C",X"BB",X"4C",X"BC",X"4C",X"BC",X"C4",X"BC",X"C4", + X"BB",X"CC",X"CB",X"BB",X"0C",X"BC",X"0B",X"CC",X"0B",X"BB",X"0C",X"BF",X"00",X"FF",X"00",X"FF", + X"BB",X"C4",X"BB",X"44",X"CB",X"CC",X"BC",X"44",X"BB",X"44",X"FF",X"CC",X"FF",X"4C",X"FF",X"4C", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"4C",X"00",X"4B",X"00",X"BB",X"0B",X"BC", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"C0",X"BB",X"4C",X"BB",X"4C",X"BC",X"44", + X"BB",X"CC",X"BB",X"CC",X"CB",X"CC",X"0C",X"BB",X"00",X"CC",X"0B",X"CF",X"0C",X"BC",X"00",X"BB", + X"BC",X"C4",X"BC",X"C4",X"BB",X"C4",X"CB",X"CC",X"FC",X"44",X"FF",X"44",X"FF",X"CC",X"FF",X"4C", + X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC", + X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC", + X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC", + X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC", + X"00",X"00",X"00",X"00",X"00",X"CF",X"00",X"CC",X"0B",X"BB",X"BB",X"BC",X"BB",X"BB",X"CB",X"BF", + X"00",X"00",X"00",X"00",X"CF",X"00",X"BC",X"4C",X"BB",X"44",X"CC",X"44",X"CB",X"44",X"FF",X"44", + X"0B",X"FF",X"0C",X"FF",X"0B",X"FF",X"44",X"FF",X"44",X"FF",X"C4",X"FF",X"04",X"4F",X"0C",X"44", + X"FF",X"44",X"FF",X"4C",X"FF",X"F4",X"FF",X"F4",X"FF",X"F4",X"FF",X"44",X"FF",X"44",X"44",X"CC", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"CC",X"00",X"CB",X"0B",X"BB",X"0B",X"BF",X"0B",X"FF", + X"00",X"00",X"00",X"00",X"00",X"00",X"BC",X"00",X"BB",X"4C",X"CB",X"44",X"FF",X"44",X"FF",X"44", + X"0C",X"FF",X"0B",X"FF",X"44",X"FF",X"44",X"FF",X"C4",X"FF",X"04",X"4F",X"0C",X"44",X"00",X"CC", + X"FF",X"4C",X"FF",X"F4",X"FF",X"F4",X"FF",X"F4",X"FF",X"44",X"FF",X"44",X"44",X"CC",X"CC",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"CC",X"00",X"CB",X"0B",X"BF",X"0B",X"FF",X"0B",X"FF", + X"00",X"00",X"00",X"00",X"00",X"00",X"BC",X"00",X"BB",X"4C",X"FB",X"44",X"FF",X"44",X"FF",X"44", + X"0C",X"FF",X"BB",X"FF",X"44",X"FF",X"CC",X"FF",X"44",X"FF",X"44",X"4F",X"C4",X"44",X"0C",X"CC", + X"FF",X"FC",X"FF",X"F4",X"FF",X"F4",X"FF",X"FC",X"FF",X"C4",X"FF",X"44",X"44",X"44",X"CC",X"CC", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"BC",X"00",X"BB",X"00",X"BB",X"0B",X"CC", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"C0",X"BB",X"4C",X"BB",X"4C",X"CC",X"44", + X"BB",X"CC",X"BB",X"CF",X"CB",X"CC",X"0C",X"CB",X"0B",X"CC",X"0B",X"BF",X"0C",X"FF",X"0C",X"FF", + X"CC",X"44",X"CF",X"44",X"BC",X"44",X"BC",X"4C",X"CC",X"4C",X"FF",X"44",X"FF",X"44",X"FF",X"4C", + X"00",X"00",X"00",X"00",X"00",X"0B",X"00",X"0B",X"00",X"0B",X"00",X"BB",X"00",X"00",X"0B",X"00", + X"BB",X"00",X"00",X"00",X"00",X"00",X"00",X"BB",X"00",X"0B",X"00",X"00",X"00",X"00",X"00",X"00", + X"BB",X"00",X"B0",X"00",X"B0",X"00",X"BB",X"00",X"0B",X"00",X"00",X"00",X"00",X"00",X"00",X"BB", + X"B0",X"00",X"BB",X"B0",X"00",X"B0",X"0B",X"BB",X"BB",X"00",X"B0",X"00",X"00",X"00",X"00",X"00", + X"00",X"8F",X"00",X"8F",X"00",X"8F",X"00",X"88",X"00",X"88",X"00",X"88",X"00",X"88",X"00",X"88", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"09",X"99",X"99",X"88",X"88",X"88",X"C8",X"8C",X"0C",X"C0",X"00",X"00",X"00",X"00",X"00",X"00", + X"FF",X"FF",X"00",X"FF",X"00",X"00",X"00",X"00",X"CC",X"CC",X"00",X"00",X"00",X"00",X"00",X"00", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FE",X"FF",X"EE", + X"E8",X"88",X"EE",X"88",X"EE",X"88",X"EE",X"89",X"E8",X"C9",X"CC",X"98",X"99",X"88",X"99",X"88", + X"FF",X"EC",X"EE",X"C9",X"0C",X"09",X"C0",X"0C",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"99",X"88",X"98",X"88",X"88",X"8C",X"88",X"8C",X"CC",X"C0",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"F5",X"00",X"55",X"00",X"55",X"00",X"59",X"00",X"59", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"50",X"99",X"55",X"99",X"55",X"99",X"55",X"99",X"55", + X"09",X"09",X"09",X"00",X"90",X"00",X"90",X"00",X"90",X"90",X"00",X"99",X"00",X"08",X"00",X"00", + X"99",X"00",X"00",X"09",X"98",X"09",X"98",X"08",X"98",X"90",X"98",X"80",X"98",X"00",X"99",X"00", + X"00",X"FE",X"00",X"CE",X"00",X"FE",X"00",X"FE",X"00",X"FF",X"00",X"FF",X"00",X"FF",X"0F",X"FF", + X"0F",X"00",X"0F",X"00",X"0F",X"E0",X"0F",X"E0",X"0F",X"E0",X"FF",X"E0",X"FF",X"E0",X"FF",X"FE", + X"0F",X"FF",X"0F",X"FF",X"00",X"FF",X"00",X"FF",X"00",X"00",X"FF",X"FF",X"FF",X"FF",X"00",X"FF", + X"FF",X"FE",X"FF",X"FE",X"FF",X"E0",X"FE",X"00",X"00",X"00",X"FF",X"0F",X"FF",X"0F",X"FE",X"00", + X"00",X"00",X"00",X"00",X"00",X"BB",X"00",X"B4",X"00",X"4F",X"00",X"FF",X"00",X"FF",X"00",X"11", + X"00",X"00",X"44",X"00",X"44",X"C0",X"44",X"4C",X"4F",X"44",X"FF",X"44",X"FC",X"44",X"11",X"4C", + X"00",X"41",X"0B",X"41",X"0B",X"CF",X"BB",X"C4",X"BC",X"4C",X"BC",X"41",X"11",X"11",X"1C",X"11", + X"41",X"C4",X"11",X"C4",X"FD",X"44",X"44",X"4C",X"CC",X"C0",X"11",X"C0",X"11",X"4C",X"11",X"4C", + X"00",X"0B",X"00",X"BB",X"00",X"B4",X"00",X"41",X"00",X"11",X"00",X"10",X"04",X"11",X"04",X"CF", + X"44",X"00",X"44",X"00",X"44",X"40",X"41",X"40",X"11",X"40",X"11",X"44",X"11",X"14",X"11",X"F1", + X"04",X"CF",X"00",X"FF",X"00",X"11",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"FC",X"F1",X"FC",X"14",X"11",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"B0",X"00",X"0B",X"00",X"0B",X"00",X"B0",X"00",X"00",X"0B",X"00", + X"00",X"00",X"00",X"00",X"00",X"B0",X"00",X"04",X"BB",X"04",X"B0",X"44",X"B0",X"04",X"B0",X"04", + X"0B",X"00",X"00",X"BB",X"00",X"BB",X"00",X"00",X"00",X"BB",X"00",X"BB",X"00",X"BF",X"00",X"FF", + X"BB",X"04",X"BB",X"44",X"0B",X"40",X"B0",X"04",X"BB",X"44",X"FF",X"40",X"FF",X"44",X"FF",X"F4", + X"00",X"00",X"00",X"00",X"00",X"B0",X"00",X"0B",X"00",X"0B",X"00",X"B0",X"00",X"00",X"0B",X"00", + X"00",X"00",X"00",X"00",X"00",X"B0",X"00",X"04",X"BB",X"04",X"B0",X"44",X"B0",X"04",X"B0",X"04", + X"0B",X"00",X"00",X"BB",X"00",X"BB",X"00",X"00",X"00",X"BB",X"00",X"BB",X"00",X"0F",X"00",X"0F", + X"BB",X"04",X"BB",X"44",X"0B",X"40",X"B0",X"00",X"BB",X"40",X"FF",X"44",X"FF",X"04",X"FF",X"F0", + X"00",X"00",X"00",X"03",X"00",X"33",X"00",X"3F",X"00",X"FF",X"00",X"FF",X"00",X"FF",X"00",X"AA", + X"00",X"00",X"33",X"00",X"33",X"00",X"FF",X"00",X"CF",X"00",X"CF",X"00",X"AF",X"33",X"AA",X"33", + X"00",X"3A",X"03",X"3F",X"03",X"3F",X"33",X"3F",X"00",X"FF",X"00",X"FF",X"00",X"AA",X"00",X"AA", + X"AA",X"33",X"FF",X"30",X"FF",X"30",X"FF",X"F0",X"FF",X"FA",X"FF",X"AA",X"FA",X"A0",X"00",X"00", + X"00",X"00",X"00",X"09",X"00",X"88",X"08",X"89",X"90",X"DD",X"00",X"E5",X"08",X"5C",X"90",X"EE", + X"00",X"00",X"80",X"09",X"98",X"80",X"88",X"88",X"8D",X"80",X"DE",X"D8",X"D5",X"D8",X"DE",X"D8", + X"00",X"EE",X"00",X"EE",X"00",X"EE",X"00",X"0E",X"00",X"0E",X"00",X"00",X"00",X"00",X"00",X"00", + X"DE",X"D0",X"FF",X"D0",X"CC",X"00",X"FF",X"00",X"EE",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"22",X"28",X"22",X"88",X"22",X"88",X"22",X"68",X"22",X"58",X"22",X"88",X"22",X"88",X"22",X"88", + X"88",X"22",X"88",X"C2",X"88",X"C2",X"88",X"C2",X"88",X"C2",X"88",X"C2",X"88",X"22",X"88",X"22", + X"22",X"C8",X"22",X"8C",X"22",X"88",X"22",X"8A",X"28",X"8A",X"28",X"AA",X"2C",X"AA",X"28",X"AA", + X"88",X"22",X"CC",X"22",X"A8",X"C2",X"AA",X"C2",X"AA",X"88",X"AA",X"88",X"AA",X"CC",X"AA",X"88", + X"00",X"00",X"00",X"00",X"00",X"03",X"00",X"3F",X"00",X"F3",X"00",X"3F",X"00",X"FF",X"00",X"FC", + X"00",X"00",X"00",X"00",X"33",X"00",X"33",X"00",X"33",X"20",X"3F",X"20",X"3F",X"32",X"3F",X"32", + X"00",X"FF",X"00",X"3F",X"03",X"FF",X"33",X"FF",X"30",X"FF",X"00",X"FF",X"00",X"FF",X"00",X"FF", + X"BB",X"32",X"BF",X"32",X"FF",X"32",X"FF",X"F2",X"FF",X"F2",X"FF",X"F2",X"FF",X"F2",X"FF",X"20", + X"00",X"00",X"00",X"00",X"00",X"06",X"00",X"66",X"00",X"66",X"00",X"6F",X"00",X"FF",X"00",X"FC", + X"00",X"00",X"00",X"00",X"65",X"00",X"66",X"00",X"66",X"50",X"6F",X"50",X"6F",X"55",X"6F",X"55", + X"00",X"FF",X"00",X"6F",X"06",X"FF",X"66",X"FF",X"60",X"FF",X"00",X"FF",X"00",X"FF",X"00",X"FF", + X"AA",X"65",X"AC",X"65",X"CF",X"65",X"FF",X"F5",X"FF",X"F5",X"FF",X"F5",X"FF",X"F5",X"FF",X"50", + X"00",X"00",X"00",X"00",X"00",X"06",X"00",X"66",X"00",X"66",X"00",X"6F",X"00",X"FF",X"00",X"FF", + X"00",X"00",X"00",X"00",X"65",X"00",X"66",X"00",X"66",X"50",X"6F",X"50",X"6F",X"55",X"6C",X"55", + X"00",X"FF",X"00",X"6F",X"06",X"FF",X"66",X"FF",X"60",X"FF",X"00",X"FF",X"00",X"FF",X"00",X"FF", + X"AA",X"65",X"AC",X"65",X"CF",X"65",X"FF",X"F5",X"FF",X"F5",X"FF",X"F5",X"FF",X"F5",X"FF",X"50", + X"00",X"00",X"00",X"00",X"00",X"06",X"00",X"66",X"00",X"66",X"00",X"FF",X"00",X"FF",X"00",X"FF", + X"C0",X"00",X"C0",X"00",X"C5",X"00",X"C6",X"00",X"C6",X"50",X"66",X"50",X"6F",X"F5",X"6C",X"65", + X"00",X"66",X"00",X"FF",X"06",X"FF",X"66",X"FF",X"66",X"FF",X"66",X"FF",X"60",X"FF",X"00",X"FF", + X"AA",X"65",X"AC",X"65",X"CF",X"F5",X"FF",X"F5",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"F0", + X"00",X"00",X"00",X"AA",X"00",X"AA",X"00",X"5A",X"00",X"5A",X"00",X"AA",X"00",X"AA",X"00",X"AA", + X"00",X"00",X"AA",X"00",X"AA",X"60",X"AA",X"55",X"AA",X"55",X"AA",X"5A",X"AA",X"A0",X"AA",X"00", + X"0A",X"BA",X"A0",X"BB",X"00",X"C4",X"0A",X"BC",X"A0",X"BB",X"00",X"C4",X"0A",X"BC",X"A0",X"BB", + X"CC",X"AA",X"BA",X"A0",X"44",X"00",X"CC",X"AA",X"BB",X"A0",X"44",X"00",X"CC",X"AA",X"BB",X"A0", + X"00",X"6F",X"00",X"00",X"00",X"00",X"00",X"90",X"F0",X"8F",X"00",X"00",X"00",X"70",X"0E",X"5A", + X"00",X"F0",X"F0",X"00",X"FF",X"0F",X"40",X"A0",X"00",X"00",X"5F",X"30",X"A0",X"0F",X"73",X"2A", + X"F0",X"0F",X"78",X"A0",X"70",X"78",X"00",X"AF",X"53",X"80",X"00",X"70",X"00",X"2F",X"08",X"40", + X"A0",X"00",X"83",X"87",X"71",X"05",X"58",X"05",X"14",X"A0",X"F0",X"00",X"00",X"0F",X"0F",X"00", + X"00",X"00",X"00",X"00",X"00",X"06",X"00",X"66",X"00",X"66",X"00",X"FF",X"00",X"FF",X"60",X"FF", + X"C0",X"00",X"C0",X"00",X"C5",X"00",X"C6",X"00",X"C6",X"50",X"66",X"50",X"6F",X"F5",X"6C",X"65", + X"60",X"66",X"66",X"FA",X"66",X"FC",X"06",X"FF",X"00",X"FF",X"00",X"FF",X"00",X"FF",X"00",X"FF", + X"AA",X"65",X"CC",X"65",X"CA",X"F5",X"AC",X"F5",X"CF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"F0", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"22",X"FF",X"FF",X"FC",X"FC",X"FF",X"FF",X"03",X"33", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"C0",X"00",X"C3",X"C0",X"2C",X"22", + X"33",X"33",X"33",X"33",X"23",X"33",X"C2",X"33",X"0C",X"23",X"00",X"C2",X"00",X"0C",X"00",X"00", + X"22",X"33",X"22",X"33",X"33",X"33",X"33",X"33",X"33",X"22",X"22",X"CC",X"CC",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"03",X"2C",X"F3",X"F2",X"03",X"F2",X"F3",X"F2",X"03",X"33", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"C3",X"20",X"2C",X"32", + X"33",X"33",X"33",X"33",X"23",X"33",X"C2",X"33",X"0C",X"22",X"00",X"CC",X"00",X"00",X"00",X"00", + X"22",X"33",X"22",X"33",X"33",X"33",X"33",X"33",X"33",X"32",X"22",X"2C",X"CC",X"C0",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"22",X"00",X"FF",X"00",X"CF",X"00",X"FF",X"03",X"33", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"2C",X"00",X"22",X"00",X"22",X"2C",X"22",X"32", + X"33",X"33",X"33",X"33",X"23",X"33",X"C2",X"33",X"0C",X"33",X"00",X"22",X"00",X"CC",X"00",X"00", + X"22",X"33",X"32",X"33",X"33",X"33",X"33",X"33",X"33",X"22",X"22",X"CC",X"CC",X"C0",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"BC",X"00",X"BC",X"00",X"BC",X"00",X"BA",X"0A",X"CA", + X"00",X"00",X"C0",X"00",X"BC",X"00",X"BA",X"C0",X"BC",X"C0",X"CA",X"BC",X"CA",X"BB",X"CA",X"BB", + X"0A",X"CA",X"0A",X"CA",X"0A",X"CA",X"0A",X"CA",X"0C",X"CA",X"00",X"CA",X"00",X"0C",X"00",X"00", + X"BC",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"BC",X"BB",X"C0",X"CC",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"C0",X"00",X"BC",X"00",X"BB",X"00",X"BB",X"0B",X"CC", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"C0",X"00",X"4C",X"BB",X"4C",X"BB",X"4C",X"CC",X"44", + X"BB",X"CC",X"BB",X"CF",X"CB",X"CC",X"0C",X"BB",X"00",X"CC",X"0B",X"BF",X"0B",X"CF",X"0C",X"CF", + X"CC",X"44",X"CF",X"44",X"BC",X"44",X"BB",X"CC",X"CC",X"4C",X"FF",X"44",X"FF",X"44",X"FF",X"4C", + X"00",X"00",X"00",X"00",X"00",X"C0",X"00",X"BC",X"00",X"BB",X"00",X"CC",X"0B",X"CC",X"BB",X"CF", + X"00",X"00",X"00",X"00",X"00",X"C0",X"00",X"4C",X"BB",X"4C",X"CC",X"4C",X"CC",X"44",X"CF",X"44", + X"BC",X"CC",X"CB",X"BB",X"0B",X"BB",X"0B",X"CC",X"0B",X"BB",X"0C",X"BF",X"00",X"FF",X"00",X"FF", + X"BC",X"44",X"BB",X"44",X"BB",X"CC",X"CC",X"44",X"BB",X"44",X"FF",X"CC",X"FF",X"4C",X"FF",X"4C", + X"00",X"00",X"00",X"00",X"00",X"C0",X"00",X"BC",X"00",X"BB",X"00",X"BC",X"0B",X"CC",X"BB",X"CC", + X"00",X"00",X"00",X"00",X"00",X"C0",X"00",X"4C",X"BB",X"4C",X"BC",X"4C",X"BC",X"C4",X"BC",X"C4", + X"BB",X"CC",X"CB",X"BB",X"0C",X"BC",X"0B",X"CC",X"0B",X"BB",X"0C",X"BF",X"00",X"FF",X"00",X"FF", + X"BB",X"C4",X"BB",X"44",X"CB",X"CC",X"BC",X"44",X"BB",X"44",X"FF",X"CC",X"FF",X"4C",X"FF",X"4C", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"4C",X"00",X"4B",X"00",X"BB",X"0B",X"BC", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"C0",X"BB",X"4C",X"BB",X"4C",X"BC",X"44", + X"BB",X"CC",X"BB",X"CC",X"CB",X"CC",X"0C",X"BB",X"00",X"CC",X"0B",X"CF",X"0C",X"BC",X"00",X"BB", + X"BC",X"C4",X"BC",X"C4",X"BB",X"C4",X"CB",X"CC",X"FC",X"44",X"FF",X"44",X"FF",X"CC",X"FF",X"4C", + X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC", + X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC", + X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC", + X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC", + X"00",X"00",X"00",X"00",X"00",X"CF",X"00",X"CC",X"0B",X"BB",X"BB",X"BC",X"BB",X"BB",X"CB",X"BF", + X"00",X"00",X"00",X"00",X"CF",X"00",X"BC",X"4C",X"BB",X"44",X"CC",X"44",X"CB",X"44",X"FF",X"44", + X"0B",X"FF",X"0C",X"FF",X"0B",X"FF",X"44",X"FF",X"44",X"FF",X"C4",X"FF",X"04",X"4F",X"0C",X"44", + X"FF",X"44",X"FF",X"4C",X"FF",X"F4",X"FF",X"F4",X"FF",X"F4",X"FF",X"44",X"FF",X"44",X"44",X"CC", + X"00",X"00",X"00",X"00",X"00",X"06",X"00",X"66",X"00",X"66",X"00",X"6F",X"00",X"FF",X"00",X"FC", + X"00",X"00",X"00",X"00",X"65",X"00",X"66",X"00",X"66",X"50",X"6F",X"50",X"6F",X"55",X"6F",X"55", + X"00",X"FF",X"00",X"6F",X"06",X"FF",X"66",X"FF",X"60",X"FF",X"00",X"FF",X"00",X"FF",X"00",X"FF", + X"AA",X"65",X"AC",X"65",X"CF",X"65",X"FF",X"F5",X"FF",X"F5",X"FF",X"F5",X"FF",X"F5",X"FF",X"50", + X"00",X"00",X"00",X"00",X"00",X"06",X"00",X"66",X"00",X"66",X"00",X"6F",X"00",X"FF",X"00",X"FF", + X"00",X"00",X"00",X"00",X"65",X"00",X"66",X"00",X"66",X"50",X"6F",X"50",X"6F",X"55",X"6C",X"55", + X"00",X"FF",X"00",X"6F",X"06",X"FF",X"66",X"FF",X"60",X"FF",X"00",X"FF",X"00",X"FF",X"00",X"FF", + X"AA",X"65",X"AC",X"65",X"CF",X"65",X"FF",X"F5",X"FF",X"F5",X"FF",X"F5",X"FF",X"F5",X"FF",X"50", + X"00",X"00",X"00",X"00",X"00",X"06",X"00",X"66",X"00",X"66",X"00",X"FF",X"00",X"FF",X"00",X"FF", + X"C0",X"00",X"C0",X"00",X"C5",X"00",X"C6",X"00",X"C6",X"50",X"66",X"50",X"6F",X"F5",X"6C",X"65", + X"00",X"66",X"00",X"FF",X"06",X"FF",X"66",X"FF",X"66",X"FF",X"66",X"FF",X"60",X"FF",X"00",X"FF", + X"AA",X"65",X"AC",X"65",X"CF",X"F5",X"FF",X"F5",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"F0", + X"00",X"00",X"00",X"AA",X"00",X"AA",X"00",X"5A",X"00",X"5A",X"00",X"AA",X"00",X"AA",X"00",X"AA", + X"00",X"00",X"AA",X"00",X"AA",X"60",X"AA",X"55",X"AA",X"55",X"AA",X"5A",X"AA",X"A0",X"AA",X"00", + X"0A",X"BA",X"A0",X"BB",X"00",X"C4",X"0A",X"BC",X"A0",X"BB",X"00",X"C4",X"0A",X"BC",X"A0",X"BB", + X"CC",X"AA",X"BA",X"A0",X"44",X"00",X"CC",X"AA",X"BB",X"A0",X"44",X"00",X"CC",X"AA",X"BB",X"A0", + X"00",X"6F",X"00",X"00",X"00",X"00",X"00",X"90",X"F0",X"8F",X"00",X"00",X"00",X"70",X"0E",X"5A", + X"00",X"F0",X"F0",X"00",X"FF",X"0F",X"40",X"A0",X"00",X"00",X"5F",X"30",X"A0",X"0F",X"73",X"2A", + X"F0",X"0F",X"78",X"A0",X"70",X"78",X"00",X"AF",X"53",X"80",X"00",X"70",X"00",X"2F",X"08",X"40", + X"A0",X"00",X"83",X"87",X"71",X"05",X"58",X"05",X"14",X"A0",X"F0",X"00",X"00",X"0F",X"0F",X"00", + X"00",X"00",X"00",X"00",X"00",X"06",X"00",X"66",X"00",X"66",X"00",X"FF",X"00",X"FF",X"60",X"FF", + X"C0",X"00",X"C0",X"00",X"C5",X"00",X"C6",X"00",X"C6",X"50",X"66",X"50",X"6F",X"F5",X"6C",X"65", + X"60",X"66",X"66",X"FA",X"66",X"FC",X"06",X"FF",X"00",X"FF",X"00",X"FF",X"00",X"FF",X"00",X"FF", + X"AA",X"65",X"CC",X"65",X"CA",X"F5",X"AC",X"F5",X"CF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"F0", + X"00",X"0B",X"00",X"BB",X"00",X"FF",X"00",X"FF",X"00",X"FF",X"00",X"FC",X"00",X"FF",X"00",X"BB", + X"44",X"00",X"B4",X"C0",X"BB",X"FC",X"BF",X"FF",X"BF",X"FF",X"BF",X"FF",X"BB",X"F4",X"CB",X"44", + X"00",X"BC",X"0B",X"CB",X"BB",X"4C",X"BB",X"44",X"CB",X"4C",X"0C",X"C0",X"00",X"00",X"00",X"00", + X"CB",X"CC",X"CC",X"B4",X"BB",X"BB",X"BC",X"BB",X"00",X"B4",X"00",X"4C",X"00",X"C0",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"BF",X"00",X"FB",X"00",X"FB",X"00",X"BB",X"00",X"CB", + X"00",X"00",X"00",X"00",X"B4",X"00",X"BB",X"C0",X"BB",X"4C",X"BB",X"4C",X"BB",X"44",X"BB",X"44", + X"00",X"CB",X"00",X"BB",X"0B",X"BB",X"0B",X"CB",X"0C",X"4C",X"00",X"C0",X"00",X"00",X"00",X"00", + X"BB",X"44",X"CB",X"44",X"CB",X"CB",X"BB",X"BB",X"CC",X"44",X"00",X"CC",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"BF",X"00",X"FB",X"00",X"FB", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"B4",X"00",X"BB",X"C0",X"BB",X"4C",X"BB",X"4C", + X"00",X"BB",X"00",X"CB",X"00",X"CB",X"00",X"BB",X"00",X"CC",X"00",X"00",X"00",X"00",X"00",X"00", + X"BB",X"44",X"BB",X"44",X"BB",X"44",X"CB",X"4C",X"CC",X"C0",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"BF", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"B4",X"00",X"BB",X"C0", + X"00",X"FB",X"00",X"FB",X"00",X"BB",X"00",X"CB",X"00",X"0C",X"00",X"00",X"00",X"00",X"00",X"00", + X"BB",X"4C",X"BB",X"4C",X"BB",X"4C",X"BB",X"C0",X"CC",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"BF",X"00",X"FB",X"00",X"CB",X"00",X"0C",X"00",X"00",X"00",X"00",X"00",X"00", + X"B4",X"00",X"BB",X"C0",X"BB",X"4C",X"BB",X"C0",X"CC",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"B4",X"00",X"BB",X"00",X"CC",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"BB",X"00",X"BB",X"00",X"C4",X"00",X"0C",X"00",X"0B",X"0B",X"BB",X"BB",X"BB", + X"0F",X"00",X"FF",X"BC",X"FF",X"BB",X"BF",X"BF",X"BB",X"FC",X"CB",X"FF",X"BC",X"4F",X"BB",X"44", + X"BB",X"BB",X"CB",X"BB",X"0B",X"BB",X"0C",X"BB",X"00",X"BB",X"00",X"44",X"0B",X"C4",X"BC",X"0C", + X"BB",X"44",X"BB",X"44",X"BB",X"CC",X"44",X"C0",X"44",X"00",X"44",X"00",X"44",X"00",X"44",X"00", + X"00",X"00",X"00",X"FF",X"00",X"FF",X"0F",X"FC",X"FF",X"CF",X"FF",X"CF",X"FF",X"CF",X"FF",X"CF", + X"00",X"00",X"FF",X"00",X"FF",X"FF",X"CC",X"FF",X"FF",X"FC",X"CC",X"FC",X"FC",X"FC",X"FC",X"FF", + X"0F",X"CF",X"00",X"FF",X"00",X"FF",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"CF",X"CC",X"FF",X"FF",X"FF",X"00",X"F0",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"0B",X"00",X"BF",X"00",X"FB",X"00",X"FF",X"00",X"FF",X"00",X"CF",X"00",X"FF", + X"00",X"00",X"B4",X"00",X"BB",X"C0",X"BB",X"C0",X"BB",X"4C",X"BF",X"FC",X"BF",X"FC",X"BB",X"4C", + X"00",X"BB",X"00",X"CB",X"0B",X"4C",X"0B",X"44",X"0B",X"4C",X"0C",X"C0",X"00",X"00",X"00",X"00", + X"CB",X"C0",X"CC",X"B4",X"CB",X"BB",X"BC",X"BB",X"C0",X"B4",X"00",X"4C",X"00",X"C0",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"BF",X"00",X"FB",X"00",X"BB",X"00",X"CB", + X"00",X"00",X"00",X"00",X"00",X"00",X"44",X"00",X"B4",X"C0",X"BB",X"C0",X"BB",X"4C",X"BB",X"4C", + X"00",X"CB",X"00",X"BB",X"00",X"BB",X"00",X"CB",X"00",X"4C",X"00",X"C0",X"00",X"00",X"00",X"00", + X"BB",X"4C",X"CB",X"4C",X"CB",X"CB",X"BB",X"B4",X"CC",X"4C",X"00",X"C0",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"BB",X"00",X"BF", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"44",X"00",X"BB",X"C0",X"BB",X"C0", + X"00",X"BB",X"00",X"CB",X"00",X"CB",X"00",X"BB",X"00",X"CC",X"00",X"00",X"00",X"00",X"00",X"00", + X"BB",X"4C",X"BB",X"4C",X"BB",X"4C",X"CB",X"C0",X"CC",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"B4",X"00", + X"00",X"BB",X"00",X"BF",X"00",X"BB",X"00",X"CB",X"00",X"0C",X"00",X"00",X"00",X"00",X"00",X"00", + X"BB",X"C0",X"BB",X"C0",X"BB",X"C0",X"BB",X"C0",X"CC",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"0F",X"00",X"FB",X"00",X"CB",X"00",X"0C",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"B4",X"00",X"BB",X"C0",X"BB",X"00",X"CC",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"BB",X"00",X"CC",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"B4",X"00",X"BB",X"00",X"CB",X"00",X"0C",X"00",X"0B",X"0B",X"BB",X"0B",X"BB", + X"00",X"00",X"0F",X"BC",X"BF",X"B4",X"BF",X"44",X"BB",X"FF",X"CB",X"FC",X"CC",X"FF",X"CC",X"44", + X"0B",X"BB",X"0C",X"BB",X"00",X"BB",X"00",X"BB",X"00",X"44",X"00",X"C4",X"04",X"00",X"0C",X"00", + X"BB",X"4C",X"BB",X"44",X"44",X"44",X"44",X"C4",X"4C",X"0C",X"4C",X"00",X"44",X"00",X"CC",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"FF",X"0F",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"00",X"00",X"00",X"00",X"00",X"00",X"FF",X"F0",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"0F",X"FF",X"00",X"FF",X"00",X"00",X"00",X"00",X"00",X"00", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"F0",X"FF",X"00",X"F0",X"00",X"00",X"00", + X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22", + X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22", + X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22", + X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22", + X"00",X"33",X"00",X"F3",X"00",X"33",X"00",X"FF",X"00",X"FF",X"00",X"FF",X"00",X"FC",X"00",X"FB", + X"32",X"00",X"33",X"00",X"33",X"00",X"FF",X"C0",X"FF",X"C0",X"FF",X"2C",X"CF",X"22",X"BF",X"22", + X"03",X"FF",X"33",X"FF",X"3C",X"FF",X"C0",X"FF",X"00",X"FF",X"00",X"FF",X"00",X"BB",X"00",X"BB", + X"FF",X"22",X"FF",X"22",X"FF",X"22",X"FF",X"22",X"FF",X"22",X"FF",X"BB",X"FF",X"BC",X"CC",X"C0", + X"00",X"03",X"00",X"3F",X"00",X"F3",X"00",X"3F",X"00",X"FF",X"00",X"FF",X"00",X"FF",X"00",X"3F", + X"33",X"00",X"33",X"C0",X"33",X"C0",X"3F",X"2C",X"3F",X"2C",X"3C",X"2C",X"BB",X"32",X"BF",X"32", + X"03",X"FF",X"33",X"FF",X"3C",X"FF",X"C0",X"FF",X"00",X"FF",X"00",X"FF",X"00",X"BB",X"00",X"BB", + X"FF",X"32",X"FF",X"F2",X"FF",X"F2",X"FF",X"F2",X"FF",X"F2",X"FF",X"2C",X"FF",X"BC",X"CC",X"BB", + X"00",X"00",X"00",X"03",X"00",X"0F",X"00",X"33",X"00",X"3F",X"00",X"3F",X"00",X"3F",X"03",X"33", + X"33",X"C0",X"33",X"2C",X"33",X"2C",X"33",X"2C",X"33",X"F2",X"33",X"F2",X"33",X"F2",X"BB",X"32", + X"33",X"3F",X"3C",X"FF",X"C0",X"FF",X"00",X"FF",X"00",X"FF",X"00",X"BF",X"00",X"BB",X"00",X"CC", + X"BB",X"F2",X"FF",X"FC",X"FF",X"F2",X"FF",X"F2",X"FF",X"F2",X"FF",X"2C",X"FF",X"BC",X"CC",X"BB", + X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"FF",X"00",X"CC",X"00",X"FC",X"30",X"BB",X"33",X"FF", + X"32",X"00",X"33",X"00",X"33",X"00",X"33",X"C0",X"33",X"C0",X"33",X"2C",X"33",X"32",X"33",X"33", + X"C3",X"FF",X"0C",X"FF",X"00",X"FF",X"00",X"FF",X"00",X"FF",X"0B",X"FF",X"0C",X"BF",X"00",X"CC", + X"33",X"32",X"F3",X"2C",X"F3",X"22",X"FF",X"22",X"FF",X"22",X"FF",X"2C",X"BB",X"C0",X"BB",X"C0", + X"00",X"03",X"00",X"3F",X"00",X"F3",X"00",X"3F",X"00",X"FC",X"00",X"FC",X"00",X"FF",X"00",X"3F", + X"33",X"00",X"33",X"C0",X"33",X"C0",X"3F",X"2C",X"3C",X"2C",X"3F",X"2C",X"BB",X"32",X"BF",X"32", + X"03",X"FF",X"33",X"FF",X"3C",X"FF",X"C0",X"FF",X"00",X"FF",X"00",X"FF",X"00",X"BB",X"00",X"BB", + X"FF",X"32",X"FF",X"F2",X"FF",X"F2",X"FF",X"F2",X"FF",X"F2",X"FF",X"2C",X"FF",X"BC",X"CC",X"BB", + X"00",X"00",X"00",X"03",X"00",X"0F",X"00",X"3F",X"00",X"33",X"00",X"33",X"30",X"33",X"33",X"33", + X"33",X"C0",X"33",X"2C",X"33",X"2C",X"3F",X"2F",X"FC",X"2C",X"FC",X"BB",X"FF",X"CC",X"33",X"BF", + X"C3",X"33",X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"3F",X"00",X"CF",X"00",X"0B", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FC",X"FF",X"FB",X"BF",X"BB",X"BF",X"BB",X"CC",X"CC", + X"00",X"03",X"00",X"3F",X"00",X"F3",X"00",X"3F",X"00",X"FC",X"00",X"FC",X"00",X"FF",X"00",X"3F", + X"33",X"00",X"33",X"C0",X"33",X"C0",X"3F",X"2C",X"3C",X"2C",X"BF",X"2C",X"CB",X"32",X"BF",X"32", + X"03",X"FF",X"33",X"FF",X"3C",X"FF",X"C0",X"FF",X"00",X"FF",X"00",X"FF",X"00",X"BB",X"00",X"BB", + X"FF",X"32",X"FF",X"F2",X"FF",X"F2",X"FF",X"F2",X"FF",X"F2",X"FF",X"2C",X"FF",X"BC",X"CC",X"BB", + X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22", + X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22", + X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22", + X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22", + X"00",X"33",X"00",X"3F",X"00",X"F3",X"00",X"F3",X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"33", + X"32",X"00",X"33",X"00",X"33",X"00",X"33",X"C0",X"33",X"C0",X"33",X"2C",X"33",X"22",X"33",X"22", + X"03",X"33",X"33",X"33",X"3C",X"33",X"C0",X"33",X"00",X"33",X"00",X"33",X"0C",X"33",X"0C",X"BC", + X"33",X"22",X"33",X"22",X"33",X"22",X"33",X"22",X"33",X"22",X"33",X"2B",X"33",X"BB",X"3C",X"CC", + X"00",X"00",X"00",X"03",X"00",X"0F",X"00",X"3F",X"00",X"33",X"00",X"33",X"00",X"33",X"03",X"33", + X"33",X"C0",X"33",X"2C",X"33",X"2C",X"33",X"2C",X"33",X"22",X"33",X"22",X"33",X"22",X"33",X"22", + X"33",X"33",X"3C",X"33",X"C0",X"33",X"00",X"33",X"00",X"33",X"0B",X"33",X"0C",X"33",X"00",X"C3", + X"33",X"22",X"33",X"2C",X"33",X"22",X"33",X"22",X"33",X"22",X"33",X"2C",X"22",X"BB",X"2C",X"BB", + X"00",X"03",X"00",X"3F",X"00",X"F3",X"00",X"3F",X"00",X"FF",X"00",X"FF",X"00",X"FF",X"00",X"3F", + X"33",X"00",X"33",X"C0",X"33",X"C0",X"3F",X"2C",X"3F",X"2C",X"3C",X"2C",X"BB",X"32",X"BF",X"32", + X"03",X"FF",X"33",X"FF",X"3C",X"FF",X"C0",X"FF",X"00",X"FF",X"00",X"FF",X"00",X"BB",X"00",X"BB", + X"FF",X"32",X"FF",X"F2",X"FF",X"F2",X"FF",X"F2",X"FF",X"F2",X"FF",X"2C",X"FF",X"BC",X"CC",X"BB", + X"00",X"33",X"00",X"F3",X"00",X"33",X"00",X"FF",X"00",X"FF",X"00",X"FF",X"00",X"FC",X"00",X"FB", + X"32",X"00",X"33",X"00",X"33",X"00",X"FF",X"C0",X"FF",X"C0",X"FF",X"2C",X"CF",X"22",X"BF",X"22", + X"03",X"FF",X"33",X"FF",X"3C",X"FF",X"C0",X"FF",X"00",X"FF",X"00",X"FF",X"00",X"BB",X"00",X"BB", + X"FF",X"22",X"FF",X"22",X"FF",X"22",X"FF",X"22",X"FF",X"22",X"FF",X"BB",X"FF",X"BC",X"CC",X"C0", + X"00",X"03",X"00",X"3F",X"00",X"F3",X"00",X"3F",X"00",X"FF",X"00",X"FF",X"00",X"FF",X"00",X"3F", + X"33",X"00",X"33",X"C0",X"33",X"C0",X"3F",X"2C",X"3F",X"2C",X"3C",X"2C",X"BB",X"32",X"BF",X"32", + X"03",X"FF",X"33",X"FF",X"3C",X"FF",X"C0",X"FF",X"00",X"FF",X"00",X"FF",X"00",X"BB",X"00",X"BB", + X"FF",X"32",X"FF",X"F2",X"FF",X"F2",X"FF",X"F2",X"FF",X"F2",X"FF",X"2C",X"FF",X"BC",X"CC",X"BB", + X"00",X"00",X"00",X"03",X"00",X"0F",X"00",X"33",X"00",X"3F",X"00",X"3F",X"00",X"3F",X"03",X"33", + X"33",X"C0",X"33",X"2C",X"33",X"2C",X"33",X"2C",X"33",X"F2",X"33",X"F2",X"33",X"F2",X"BB",X"32", + X"33",X"3F",X"3C",X"FF",X"C0",X"FF",X"00",X"FF",X"00",X"FF",X"00",X"BF",X"00",X"BB",X"00",X"CC", + X"BB",X"F2",X"FF",X"FC",X"FF",X"F2",X"FF",X"F2",X"FF",X"F2",X"FF",X"2C",X"FF",X"BC",X"CC",X"BB", + X"00",X"00",X"00",X"06",X"00",X"0F",X"00",X"66",X"00",X"6F",X"00",X"6F",X"00",X"6F",X"06",X"66", + X"66",X"C0",X"66",X"5C",X"66",X"5C",X"66",X"5C",X"66",X"F5",X"66",X"F5",X"66",X"F5",X"BB",X"65", + X"66",X"6F",X"6C",X"FF",X"C0",X"FF",X"00",X"FF",X"00",X"FF",X"00",X"BF",X"00",X"BB",X"00",X"CC", + X"BB",X"F5",X"FF",X"FC",X"FF",X"F5",X"FF",X"F5",X"FF",X"F5",X"FF",X"5C",X"FF",X"BC",X"CC",X"BB", + X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11", + X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11", + X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"D1",X"11",X"ED",X"D1",X"EE",X"ED",X"FF",X"FE", + X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"1F",X"11",X"1F",X"DD",X"FF",X"EE",X"FF", + X"11",X"11",X"11",X"11",X"11",X"D1",X"11",X"ED",X"11",X"FE",X"11",X"FE",X"1E",X"FE",X"1E",X"FF", + X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"D1",X"11",X"D1",X"11", + X"FF",X"EF",X"EF",X"FF",X"FE",X"FF",X"FF",X"FF",X"FF",X"EE",X"FF",X"FF",X"EF",X"FF",X"FF",X"FF", + X"DD",X"11",X"ED",X"11",X"ED",X"11",X"EE",X"11",X"FE",X"11",X"FF",X"D1",X"FF",X"DD",X"EF",X"ED", + X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11", + X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11", + X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11", + X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11", + X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11", + X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11", + X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11", + X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11", + X"EE",X"FF",X"FE",X"FF",X"FF",X"FF",X"EF",X"FF",X"EF",X"FF",X"DD",X"FF",X"EF",X"FE",X"FE",X"EE", + X"EE",X"DF",X"EE",X"ED",X"EF",X"EE",X"FF",X"FF",X"FF",X"FF",X"EE",X"FF",X"FE",X"FF",X"FF",X"FF", + X"FF",X"DD",X"FE",X"EE",X"FF",X"EF",X"EE",X"EF",X"EF",X"FF",X"FF",X"EF",X"FF",X"EF",X"FF",X"FF", + X"FF",X"EE",X"FF",X"FE",X"EE",X"FF",X"DD",X"FF",X"FD",X"EF",X"FE",X"DE",X"FF",X"DD",X"EF",X"EE", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FE",X"FF",X"FF",X"FF",X"EF",X"FF",X"DE", + X"EE",X"FF",X"FE",X"FD",X"FF",X"DE",X"FD",X"FE",X"EE",X"FF",X"FF",X"EE",X"FF",X"FF",X"FF",X"EF", + X"FF",X"EE",X"FE",X"FF",X"FF",X"FF",X"FD",X"FE",X"FF",X"FF",X"EE",X"FF",X"DD",X"EF",X"EE",X"DD", + X"FF",X"FE",X"FE",X"FF",X"EF",X"FF",X"FF",X"FE",X"FF",X"EE",X"EF",X"FF",X"FF",X"EF",X"EE",X"FE", + X"11",X"11",X"11",X"11",X"D1",X"11",X"DD",X"11",X"FE",X"11",X"FF",X"D1",X"FF",X"DD",X"FF",X"EE", + X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"DD",X"11", + X"FF",X"EE",X"FF",X"EE",X"FF",X"FE",X"EE",X"FF",X"EF",X"FF",X"DD",X"FF",X"FF",X"FF",X"FF",X"DD", + X"FF",X"DD",X"EF",X"FE",X"EE",X"FF",X"EE",X"EE",X"EE",X"EF",X"FE",X"EF",X"FF",X"EE",X"FF",X"FE", + X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11", + X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11", + X"11",X"11",X"D1",X"11",X"ED",X"FD",X"FE",X"EF",X"FD",X"EE",X"FF",X"FF",X"FF",X"FF",X"FF",X"DD", + X"11",X"11",X"FD",X"11",X"DE",X"FF",X"EE",X"DD",X"DE",X"EF",X"ED",X"EF",X"FF",X"EE",X"FE",X"DD", + X"11",X"11",X"FD",X"11",X"FF",X"FE",X"FF",X"EF",X"FF",X"EF",X"FF",X"FF",X"FD",X"FF",X"FD",X"FE", + X"ED",X"11",X"FE",X"11",X"FE",X"D1",X"FF",X"ED",X"FF",X"EF",X"FF",X"EF",X"FF",X"EE",X"FF",X"DD", + X"11",X"11",X"11",X"1F",X"11",X"FE",X"1F",X"EF",X"FF",X"EF",X"FF",X"FF",X"FF",X"FF",X"EE",X"FF", + X"ED",X"11",X"FE",X"11",X"FF",X"D1",X"FF",X"ED",X"FE",X"FE",X"FF",X"FF",X"FF",X"EF",X"EE",X"FE", + X"11",X"11",X"11",X"11",X"11",X"FF",X"11",X"FF",X"DD",X"FF",X"FF",X"FF",X"FE",X"EE",X"EF",X"FF", + X"11",X"11",X"D1",X"11",X"ED",X"11",X"FE",X"FF",X"FF",X"EE",X"FF",X"FE",X"FF",X"FF",X"EE",X"FF", + X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"D1",X"FF",X"FD",X"FF",X"FF",X"FF",X"FF",X"FF", + X"11",X"11",X"FE",X"11",X"FE",X"11",X"FF",X"D1",X"EE",X"ED",X"FE",X"FE",X"FE",X"FF",X"FF",X"EF", + X"11",X"11",X"11",X"D1",X"DF",X"FD",X"ED",X"FF",X"FE",X"FF",X"FF",X"DF",X"FF",X"ED",X"FF",X"FF", + X"11",X"1D",X"11",X"FE",X"11",X"EF",X"1F",X"FE",X"DE",X"FF",X"FE",X"FF",X"FF",X"DD",X"FF",X"FE", + X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"ED",X"EF",X"FE",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"11",X"11",X"11",X"11",X"11",X"11",X"1F",X"D1",X"EE",X"ED",X"FD",X"FE",X"FE",X"FF",X"FF",X"DF", + X"11",X"11",X"11",X"11",X"11",X"11",X"DD",X"11",X"FF",X"D1",X"FF",X"DD",X"FF",X"FF",X"FF",X"FF", + X"11",X"11",X"11",X"11",X"11",X"11",X"1E",X"FE",X"FF",X"FF",X"FF",X"FE",X"EE",X"FF",X"FE",X"EF", + X"11",X"11",X"11",X"11",X"11",X"1F",X"11",X"FF",X"11",X"FF",X"FF",X"EF",X"FF",X"EF",X"FF",X"FF", + X"D1",X"11",X"ED",X"11",X"FD",X"11",X"EE",X"D1",X"FE",X"ED",X"FF",X"EE",X"FF",X"DD",X"FF",X"FF", + X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11", + X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11", + X"11",X"11",X"11",X"FF",X"1F",X"FF",X"EF",X"DF",X"FF",X"ED",X"FE",X"FF",X"EE",X"FF",X"FF",X"FE", + X"11",X"1F",X"11",X"FF",X"EF",X"FF",X"FF",X"FF",X"FF",X"FE",X"FF",X"FF",X"FE",X"FE",X"FF",X"EF", + X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"DD",X"11",X"EE",X"D1", + X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"EE", + X"FF",X"ED",X"FF",X"FE",X"FF",X"FD",X"FF",X"DE",X"FE",X"FF",X"EE",X"FF",X"FF",X"FF",X"FF",X"FF", + X"1E",X"FF",X"DE",X"FF",X"EF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"EE",X"EE",X"FF", + X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"1F",X"DD",X"FF",X"EE",X"FF",X"FE",X"FF",X"FF", + X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"1F",X"11",X"FF",X"DE",X"EF",X"EF",X"FF", + X"FF",X"FF",X"FF",X"DD",X"FF",X"EF",X"FF",X"FF",X"EE",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FE",X"FF",X"FF",X"FF",X"FF",X"EE",X"EF",X"EF",X"FF",X"FF",X"DE",X"FF",X"EF",X"FF",X"FF",X"FF", + X"11",X"11",X"11",X"11",X"11",X"11",X"DD",X"11",X"EE",X"1E",X"FE",X"EF",X"FF",X"EF",X"FE",X"FF", + X"11",X"D1",X"11",X"DD",X"1F",X"ED",X"FF",X"FE",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"EE",X"FF", + X"EF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FE",X"FF",X"EF",X"FF",X"EF",X"FF",X"FF",X"FE",X"FF", + X"FE",X"EF",X"FF",X"DD",X"FF",X"EE",X"FF",X"FE",X"FF",X"FE",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"11",X"11",X"11",X"11",X"11",X"12",X"11",X"2F",X"11",X"FF",X"11",X"FF",X"12",X"FF",X"2F",X"FF", + X"21",X"11",X"F2",X"11",X"FF",X"11",X"FF",X"11",X"FF",X"21",X"FF",X"F2",X"FF",X"FF",X"FF",X"FF", + X"22",X"22",X"2E",X"EE",X"2E",X"EE",X"2E",X"EE",X"2E",X"EE",X"2E",X"EE",X"2E",X"EE",X"2E",X"EE", + X"22",X"22",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE", + X"22",X"22",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"22",X"22",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE", + X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE", + X"2E",X"EE",X"2E",X"EE",X"2E",X"EE",X"2E",X"EE",X"2E",X"EE",X"2E",X"EE",X"2E",X"EE",X"2E",X"EE", + X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE", + X"2E",X"EE",X"2E",X"EE",X"2E",X"EE",X"2E",X"EE",X"2E",X"EE",X"2E",X"EE",X"2E",X"EE",X"22",X"22", + X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"22",X"22", + X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE", + X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE", + X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"22",X"22", + X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE", + X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11", + X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE", + X"AB",X"00",X"AB",X"11",X"FE",X"11",X"FE",X"11",X"FE",X"11",X"FE",X"11",X"FE",X"11",X"FE",X"11", + X"00",X"00",X"00",X"00",X"11",X"00",X"11",X"10",X"11",X"10",X"11",X"10",X"11",X"10",X"11",X"10", + X"FE",X"11",X"FE",X"11",X"FE",X"00",X"FE",X"00",X"FE",X"00",X"FE",X"00",X"FE",X"00",X"FE",X"00", + X"11",X"10",X"11",X"10",X"11",X"10",X"00",X"10",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"5A",X"55",X"5A", + X"A5",X"55",X"A5",X"55",X"A5",X"55",X"AA",X"55",X"AA",X"55",X"AA",X"55",X"AA",X"55",X"AA",X"55", + X"55",X"5A",X"55",X"AA",X"55",X"AA",X"55",X"AA",X"55",X"AA",X"55",X"AA",X"55",X"AA",X"55",X"AA", + X"AA",X"55",X"AA",X"55",X"AA",X"55",X"AA",X"55",X"AA",X"A5",X"AA",X"A5",X"AA",X"A5",X"AA",X"AA", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"55",X"AA",X"55",X"AA",X"5A",X"AA",X"5A",X"AA",X"5A",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA", + X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA", + X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"22",X"11",X"22", + X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"22",X"11",X"22",X"22", + X"22",X"22",X"22",X"22",X"22",X"22",X"12",X"22",X"11",X"22",X"11",X"11",X"11",X"11",X"11",X"11", + X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"21",X"11",X"11",X"11",X"11",X"11",X"11", + X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"12",X"11",X"22",X"22",X"22", + X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"22",X"11",X"22",X"22",X"22",X"22", + X"22",X"22",X"22",X"22",X"12",X"22",X"11",X"22",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11", + X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"21",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11", + X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"22", + X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"22",X"11",X"22",X"21", + X"12",X"22",X"12",X"22",X"11",X"22",X"11",X"22",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11", + X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11", + X"00",X"0F",X"00",X"0F",X"00",X"0F",X"00",X"0F",X"00",X"0F",X"00",X"0F",X"00",X"0F",X"00",X"0F", + X"00",X"0C",X"00",X"0C",X"00",X"0F",X"00",X"0F",X"00",X"0F",X"00",X"0F",X"00",X"0F",X"00",X"0F", + X"00",X"0F",X"00",X"0F",X"00",X"0F",X"00",X"0F",X"00",X"0F",X"00",X"0F",X"00",X"0F",X"00",X"0F", + X"00",X"0F",X"00",X"0F",X"00",X"0F",X"00",X"0F",X"00",X"0F",X"00",X"0F",X"00",X"0F",X"00",X"0F", + X"00",X"03",X"00",X"3F",X"00",X"F3",X"00",X"3F",X"00",X"FF",X"00",X"FC",X"00",X"FF",X"00",X"3F", + X"33",X"00",X"33",X"C0",X"33",X"C0",X"3F",X"2C",X"3F",X"2C",X"3F",X"2C",X"BB",X"32",X"BF",X"32", + X"03",X"FF",X"33",X"FF",X"30",X"FF",X"00",X"FF",X"00",X"FF",X"00",X"FF",X"00",X"BB",X"00",X"BB", + X"FF",X"32",X"FF",X"F2",X"FF",X"F2",X"FF",X"F2",X"FF",X"F2",X"FF",X"2C",X"FF",X"BC",X"CC",X"BB", + X"00",X"03",X"00",X"3F",X"00",X"F3",X"00",X"F3",X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"33", + X"32",X"00",X"33",X"C0",X"33",X"C0",X"33",X"2C",X"33",X"2C",X"33",X"2C",X"33",X"22",X"33",X"22", + X"03",X"33",X"33",X"33",X"3C",X"33",X"C0",X"33",X"00",X"33",X"0B",X"33",X"0C",X"33",X"00",X"C3", + X"33",X"22",X"33",X"22",X"33",X"22",X"33",X"22",X"33",X"22",X"33",X"2B",X"33",X"BB",X"32",X"CC", + X"00",X"33",X"00",X"3F",X"00",X"F3",X"00",X"F3",X"00",X"33",X"00",X"33",X"00",X"33",X"00",X"33", + X"32",X"00",X"33",X"00",X"33",X"00",X"33",X"C0",X"33",X"C0",X"33",X"2C",X"33",X"22",X"33",X"22", + X"03",X"33",X"33",X"33",X"3C",X"33",X"C0",X"33",X"00",X"33",X"00",X"33",X"0C",X"33",X"0C",X"BC", + X"33",X"22",X"33",X"22",X"33",X"22",X"33",X"22",X"33",X"22",X"33",X"2B",X"33",X"BB",X"3C",X"CC", + X"00",X"00",X"00",X"03",X"00",X"0F",X"00",X"3F",X"00",X"33",X"00",X"33",X"00",X"33",X"03",X"33", + X"33",X"C0",X"33",X"2C",X"33",X"2C",X"33",X"2C",X"33",X"22",X"33",X"22",X"33",X"22",X"33",X"22", + X"33",X"33",X"3C",X"33",X"C0",X"33",X"00",X"33",X"00",X"33",X"0B",X"33",X"0C",X"33",X"00",X"C3", + X"33",X"22",X"33",X"2C",X"33",X"22",X"33",X"22",X"33",X"22",X"33",X"2C",X"22",X"BB",X"2C",X"BB", + X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"4F", + X"44",X"11",X"4F",X"11",X"EF",X"11",X"F1",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11", + X"44",X"EF",X"44",X"F1",X"44",X"11",X"44",X"11",X"44",X"11",X"4F",X"11",X"EF",X"11",X"F1",X"11", + X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"4F",X"44",X"EF",X"44",X"F1",X"44",X"11",X"44",X"11", + X"11",X"1F",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11", + X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"F4",X"44",X"FE",X"44",X"1F",X"44",X"11",X"44", + X"F4",X"44",X"FE",X"44",X"1F",X"44",X"11",X"44",X"11",X"44",X"11",X"44",X"11",X"F4",X"11",X"FE", + X"11",X"44",X"11",X"44",X"11",X"F4",X"11",X"FE",X"11",X"1F",X"11",X"11",X"11",X"11",X"11",X"11", + X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11", + X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"FF",X"FF",X"22",X"22",X"22",X"22",X"22",X"22", + X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"FF",X"FF",X"EE",X"EE",X"EE",X"EE", + X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE", + X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"4F",X"44",X"EF",X"44",X"FE",X"44",X"FE",X"44",X"EE", + X"F4",X"44",X"FE",X"44",X"EF",X"44",X"EF",X"44",X"EE",X"44",X"EE",X"44",X"EE",X"F4",X"EE",X"FE", + X"44",X"EE",X"4F",X"EE",X"EF",X"EE",X"FE",X"EE",X"FF",X"FF",X"FD",X"DD",X"FD",X"DD",X"FF",X"FF", + X"EE",X"EF",X"EE",X"EF",X"EE",X"EE",X"EE",X"EE",X"FF",X"FF",X"DD",X"DD",X"DD",X"DD",X"FF",X"FF", + X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"4E",X"FF",X"4F",X"EE",X"FE",X"EE", + X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"FF",X"FF",X"EE",X"EE",X"EE",X"EE", + X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"FF",X"FF",X"DD",X"DD",X"DD",X"DD",X"FF",X"FF", + X"FF",X"FF",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11", + X"FD",X"DD",X"FD",X"DD",X"FD",X"DD",X"FD",X"DD",X"FF",X"FF",X"44",X"44",X"44",X"44",X"44",X"44", + X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"FF",X"FF",X"44",X"44",X"44",X"44",X"44",X"44", + X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"FF",X"FF",X"FF",X"FF", + X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44", + X"44",X"EF",X"44",X"F1",X"44",X"11",X"44",X"11",X"44",X"11",X"4F",X"11",X"EF",X"FF",X"FF",X"FF", + X"11",X"44",X"11",X"44",X"11",X"F4",X"11",X"FE",X"11",X"1F",X"11",X"11",X"FF",X"FF",X"FF",X"FF", + X"44",X"22",X"44",X"22",X"44",X"22",X"42",X"22",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44", + X"22",X"24",X"22",X"22",X"22",X"22",X"22",X"22",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44", + X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"FF",X"FF",X"44",X"44",X"44",X"44",X"44",X"42", + X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"FF",X"FF",X"24",X"44",X"22",X"44",X"22",X"44", + X"44",X"42",X"44",X"22",X"44",X"22",X"44",X"22",X"44",X"22",X"FF",X"FF",X"EE",X"EE",X"EE",X"EE", + X"22",X"44",X"22",X"44",X"22",X"24",X"22",X"24",X"22",X"22",X"FF",X"FF",X"EE",X"EE",X"EE",X"EE", + X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44", + X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11", + X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"F4",X"44",X"F2",X"44",X"22",X"44",X"44",X"44", + X"FE",X"11",X"FF",X"11",X"FF",X"EF",X"FF",X"FF",X"EF",X"EE",X"EF",X"FE",X"EE",X"FF",X"FE",X"FF", + X"44",X"44",X"44",X"44",X"44",X"44",X"F4",X"FF",X"12",X"11",X"11",X"12",X"42",X"42",X"44",X"44", + X"44",X"44",X"44",X"44",X"44",X"44",X"F4",X"4F",X"12",X"4F",X"11",X"4F",X"42",X"44",X"44",X"44", + X"44",X"44",X"44",X"44",X"44",X"44",X"4F",X"4F",X"2F",X"2F",X"4F",X"2F",X"24",X"24",X"44",X"44", + X"44",X"44",X"44",X"44",X"44",X"44",X"4F",X"44",X"2F",X"2F",X"2F",X"2F",X"24",X"44",X"44",X"44", + X"44",X"44",X"44",X"44",X"44",X"44",X"4F",X"4F",X"4F",X"4F",X"2F",X"24",X"24",X"24",X"44",X"44", + X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"24",X"44",X"24",X"F4",X"44",X"22",X"44",X"44", + X"44",X"44",X"44",X"44",X"44",X"44",X"FF",X"F4",X"FF",X"F2",X"F2",X"F2",X"42",X"42",X"44",X"44", + X"44",X"44",X"44",X"44",X"44",X"44",X"F4",X"F4",X"22",X"22",X"24",X"F4",X"24",X"22",X"44",X"44", + X"FF",X"55",X"FF",X"55",X"FF",X"55",X"FF",X"55",X"FF",X"55",X"FF",X"55",X"FF",X"55",X"FF",X"55", + X"FF",X"FF",X"FF",X"FF",X"22",X"22",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", + X"FF",X"FF",X"FF",X"FF",X"FF",X"22",X"FF",X"55",X"FF",X"55",X"FF",X"55",X"FF",X"55",X"FF",X"55", + X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", + X"88",X"AA",X"88",X"CC",X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"88", + X"AA",X"AA",X"CC",X"CC",X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"FF",X"88",X"CC",X"88",X"F8", + X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"AA",X"88",X"CC", + X"88",X"CC",X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"AA",X"AA",X"CC",X"CC", + X"AA",X"AA",X"CC",X"CC",X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"FF",X"88",X"8C",X"88",X"8F", + X"AA",X"AA",X"CC",X"CC",X"88",X"88",X"88",X"88",X"88",X"88",X"8F",X"FF",X"CF",X"8C",X"CF",X"FF", + X"88",X"F8",X"FF",X"FF",X"CC",X"8C",X"88",X"88",X"88",X"88",X"88",X"88",X"AA",X"AA",X"CC",X"CC", + X"8F",X"8C",X"8F",X"FF",X"C8",X"CC",X"88",X"88",X"88",X"88",X"88",X"88",X"AA",X"AA",X"CC",X"CC", + X"AA",X"AA",X"CC",X"CC",X"88",X"88",X"88",X"88",X"88",X"88",X"8F",X"8F",X"F8",X"8F",X"FF",X"CF", + X"AA",X"AA",X"CC",X"CC",X"88",X"88",X"88",X"88",X"88",X"88",X"8F",X"FF",X"8F",X"8C",X"CF",X"FF", + X"FC",X"CF",X"FC",X"C8",X"8C",X"C8",X"88",X"88",X"88",X"88",X"88",X"88",X"AA",X"AA",X"CC",X"CC", + X"CF",X"CC",X"F8",X"C8",X"8C",X"C8",X"88",X"88",X"88",X"88",X"88",X"88",X"AA",X"AA",X"CC",X"CC", + X"AA",X"AA",X"CC",X"CC",X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"88", + X"88",X"88",X"C8",X"88",X"C8",X"88",X"C8",X"88",X"C8",X"88",X"C8",X"88",X"C8",X"88",X"C8",X"88", + X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"AA",X"AA",X"CC",X"CC", + X"C8",X"88",X"C8",X"88",X"C8",X"88",X"C8",X"88",X"C8",X"88",X"C8",X"88",X"C8",X"88",X"C8",X"88", + X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22", + X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22", + X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22", + X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22", + X"0B",X"00",X"BC",X"00",X"BC",X"BB",X"BB",X"CB",X"BC",X"CB",X"BC",X"CB",X"BC",X"BB",X"BB",X"BB", + X"0B",X"00",X"BC",X"00",X"BC",X"00",X"C4",X"00",X"CC",X"C0",X"CC",X"4C",X"CC",X"4C",X"B4",X"C0", + X"CB",X"BC",X"0C",X"CF",X"BB",X"FF",X"BC",X"FF",X"CB",X"FF",X"BF",X"FF",X"BB",X"FF",X"BB",X"FF", + X"44",X"00",X"CC",X"00",X"B4",X"00",X"4C",X"00",X"F4",X"00",X"FF",X"00",X"FF",X"00",X"44",X"C0", + X"00",X"00",X"00",X"00",X"00",X"00",X"0B",X"C0",X"0B",X"BB",X"0B",X"CC",X"BB",X"CC",X"BB",X"FC", + X"00",X"00",X"00",X"00",X"00",X"00",X"0B",X"C0",X"BB",X"C0",X"CC",X"C0",X"CC",X"4C",X"FC",X"44", + X"BB",X"CB",X"BB",X"BB",X"CC",X"BB",X"BB",X"CC",X"BB",X"BB",X"00",X"FF",X"0B",X"FF",X"0B",X"FF", + X"CC",X"44",X"BB",X"4C",X"B4",X"C0",X"CC",X"4C",X"BB",X"44",X"F4",X"C0",X"FF",X"C0",X"FF",X"C0", + X"00",X"00",X"0B",X"C0",X"0B",X"BB",X"0B",X"CC",X"BB",X"CC",X"BB",X"FC",X"BB",X"CB",X"BB",X"BB", + X"00",X"00",X"0B",X"C0",X"BB",X"C0",X"CC",X"C0",X"CC",X"4C",X"FC",X"44",X"CC",X"44",X"BB",X"4C", + X"CC",X"BB",X"00",X"CC",X"0B",X"FF",X"BB",X"FF",X"CC",X"FF",X"0B",X"FF",X"0B",X"FF",X"BB",X"FF", + X"B4",X"C0",X"CC",X"00",X"FB",X"C0",X"F4",X"4C",X"FF",X"C0",X"FF",X"C0",X"FF",X"C0",X"F4",X"44", + X"00",X"00",X"00",X"00",X"00",X"00",X"0B",X"00",X"BC",X"00",X"BC",X"BB",X"BB",X"BB",X"BB",X"CB", + X"00",X"00",X"00",X"00",X"00",X"00",X"0B",X"00",X"BC",X"00",X"BC",X"00",X"B4",X"00",X"CB",X"C0", + X"BC",X"CB",X"BC",X"CB",X"BC",X"BB",X"CB",X"BC",X"BC",X"CF",X"BC",X"FF",X"BB",X"FF",X"BB",X"FF", + X"CC",X"4C",X"CC",X"4C",X"CC",X"C0",X"44",X"00",X"CC",X"00",X"BC",X"C0",X"C4",X"C0",X"C4",X"00", + X"00",X"00",X"00",X"00",X"0B",X"00",X"BC",X"00",X"BC",X"BB",X"BB",X"CB",X"BC",X"CB",X"BC",X"CB", + X"00",X"00",X"00",X"00",X"0B",X"00",X"BC",X"00",X"BC",X"00",X"C4",X"00",X"CC",X"C0",X"CC",X"4C", + X"BC",X"BB",X"CB",X"BB",X"BC",X"BC",X"BC",X"CB",X"BB",X"BB",X"BB",X"FF",X"BB",X"FF",X"BF",X"FF", + X"CC",X"4C",X"B4",X"C0",X"44",X"00",X"CC",X"C0",X"B4",X"4C",X"44",X"00",X"F4",X"00",X"FF",X"00", + X"00",X"00",X"00",X"00",X"0B",X"00",X"BC",X"00",X"BC",X"BB",X"BB",X"CC",X"BB",X"CC",X"BB",X"FC", + X"00",X"00",X"00",X"00",X"0B",X"00",X"BC",X"00",X"B4",X"00",X"CC",X"00",X"CC",X"C0",X"FC",X"4C", + X"BB",X"CB",X"CC",X"BB",X"BB",X"BB",X"BB",X"CC",X"BC",X"BB",X"BB",X"FF",X"BB",X"FF",X"BF",X"FF", + X"CC",X"4C",X"B4",X"C0",X"44",X"00",X"CC",X"C0",X"B4",X"4C",X"44",X"C0",X"F4",X"00",X"FF",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"0B",X"00",X"BC",X"BB",X"BB",X"BB",X"BB",X"CC", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"BB",X"00",X"BC",X"00",X"B4",X"00",X"CC",X"C0", + X"BB",X"CC",X"BB",X"FC",X"BB",X"CB",X"CB",X"BB",X"BB",X"CC",X"BB",X"FF",X"BB",X"FF",X"CB",X"CF", + X"CC",X"4C",X"FC",X"4C",X"CC",X"C0",X"44",X"00",X"CC",X"4C",X"F4",X"C0",X"FF",X"00",X"FF",X"00", + X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC", + X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC", + X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC", + X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC", + X"00",X"00",X"00",X"00",X"00",X"CB",X"BC",X"BB",X"BB",X"BC",X"BB",X"CC",X"BB",X"CC",X"BB",X"FF", + X"00",X"00",X"00",X"00",X"C0",X"00",X"CC",X"00",X"BB",X"C0",X"BB",X"4C",X"B4",X"4C",X"B4",X"C0", + X"BB",X"FF",X"BF",X"FF",X"FF",X"FE",X"FF",X"FF",X"FF",X"FF",X"4F",X"FF",X"44",X"FF",X"CC",X"44", + X"F4",X"C0",X"FF",X"00",X"FF",X"C0",X"FF",X"4C",X"FF",X"4C",X"FF",X"C0",X"44",X"C0",X"4C",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"BB",X"BC",X"BC",X"BB",X"CC",X"BB",X"FF",X"BB",X"FE", + X"00",X"00",X"00",X"00",X"00",X"00",X"C0",X"00",X"CC",X"00",X"B4",X"C0",X"B4",X"C0",X"F4",X"C0", + X"BF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"4F",X"FF",X"44",X"FF",X"CC",X"44",X"00",X"CC", + X"FF",X"00",X"FF",X"C0",X"FF",X"4C",X"FF",X"4C",X"FF",X"C0",X"44",X"C0",X"4C",X"00",X"C0",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"BB",X"BC",X"BC",X"BB",X"FF",X"BB",X"FE",X"BF",X"FF", + X"00",X"00",X"00",X"00",X"00",X"00",X"C0",X"00",X"CC",X"00",X"B4",X"C0",X"F4",X"C0",X"FF",X"C0", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"CF",X"FF",X"44",X"FF",X"44",X"44",X"CC",X"CC", + X"FF",X"00",X"FF",X"4C",X"FF",X"4C",X"FF",X"C0",X"FF",X"4C",X"44",X"4C",X"44",X"4C",X"CC",X"C0", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"04",X"00",X"BC",X"BB",X"BB",X"BB",X"BB",X"CB", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"B4",X"00",X"BC",X"00",X"B4",X"00",X"CB",X"C0", + X"BC",X"CB",X"BC",X"CB",X"BB",X"BB",X"BB",X"BC",X"BC",X"CF",X"BC",X"FF",X"BB",X"FF",X"BF",X"FF", + X"CC",X"4C",X"CC",X"4C",X"44",X"C0",X"44",X"00",X"C4",X"00",X"BC",X"C0",X"F4",X"C0",X"FF",X"00", + X"00",X"BB",X"00",X"B0",X"00",X"B0",X"00",X"00",X"00",X"00",X"0B",X"BB",X"BB",X"0B",X"B0",X"0B", + X"00",X"00",X"B0",X"00",X"BB",X"00",X"0B",X"00",X"00",X"B0",X"00",X"BB",X"00",X"0B",X"00",X"0B", + X"00",X"0B",X"00",X"00",X"00",X"00",X"00",X"00",X"B0",X"00",X"B0",X"0B",X"BB",X"BB",X"0B",X"B0", + X"00",X"0B",X"BB",X"BB",X"BB",X"B0",X"00",X"B0",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"98",X"FF",X"98",X"FF",X"98",X"FF",X"98",X"FF",X"88",X"FF",X"08",X"FF",X"08",X"8F",X"09",X"8F", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"99",X"88",X"99",X"88",X"99",X"88",X"88",X"80",X"CC",X"0C",X"00",X"00",X"00",X"00",X"00",X"00", + X"FF",X"FF",X"FF",X"FF",X"00",X"00",X"00",X"00",X"CC",X"CC",X"00",X"00",X"00",X"00",X"00",X"00", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FE",X"FF",X"FE",X"FF",X"EE",X"FF",X"EE",X"FF",X"CC", + X"88",X"8C",X"88",X"8C",X"88",X"88",X"88",X"88",X"CC",X"88",X"99",X"88",X"98",X"88",X"88",X"88", + X"FE",X"98",X"EE",X"89",X"00",X"89",X"00",X"89",X"00",X"CC",X"00",X"00",X"00",X"00",X"00",X"00", + X"88",X"8C",X"89",X"8C",X"98",X"C0",X"98",X"00",X"CC",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"90",X"0F",X"99",X"55",X"99",X"55",X"99",X"05",X"99", + X"00",X"00",X"00",X"00",X"00",X"00",X"96",X"00",X"95",X"00",X"95",X"50",X"98",X"50",X"98",X"00", + X"90",X"99",X"99",X"00",X"09",X"08",X"09",X"09",X"09",X"09",X"00",X"09",X"00",X"99",X"00",X"89", + X"98",X"99",X"00",X"89",X"00",X"08",X"00",X"08",X"00",X"08",X"09",X"00",X"88",X"00",X"80",X"00", + X"0F",X"00",X"0F",X"00",X"FC",X"00",X"FC",X"00",X"FC",X"00",X"FF",X"FF",X"FF",X"FF",X"F6",X"FF", + X"FE",X"00",X"CE",X"00",X"CF",X"00",X"CF",X"00",X"FF",X"00",X"FF",X"00",X"FF",X"00",X"F6",X"00", + X"F5",X"FF",X"FF",X"FF",X"FF",X"F0",X"00",X"F0",X"00",X"0F",X"00",X"FF",X"0F",X"FF",X"0F",X"FF", + X"F5",X"00",X"FF",X"00",X"FE",X"00",X"E0",X"00",X"00",X"00",X"F0",X"F0",X"EE",X"F0",X"EE",X"00", + X"00",X"00",X"00",X"BB",X"00",X"44",X"0B",X"44",X"BB",X"F4",X"B4",X"FF",X"44",X"CF",X"C4",X"11", + X"00",X"00",X"C0",X"00",X"44",X"00",X"44",X"00",X"F4",X"C0",X"FF",X"C0",X"FF",X"C0",X"11",X"00", + X"BC",X"14",X"BC",X"11",X"44",X"DF",X"C4",X"44",X"0C",X"CC",X"00",X"11",X"C4",X"11",X"04",X"11", + X"14",X"C0",X"14",X"4C",X"FC",X"4C",X"4C",X"44",X"C4",X"B4",X"14",X"04",X"11",X"11",X"11",X"01", + X"00",X"B4",X"00",X"44",X"0B",X"44",X"0B",X"14",X"0B",X"11",X"BB",X"11",X"41",X"11",X"1F",X"11", + X"40",X"00",X"44",X"00",X"44",X"00",X"14",X"00",X"11",X"00",X"01",X"00",X"11",X"40",X"FC",X"40", + X"1F",X"CF",X"41",X"CF",X"00",X"11",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"FC",X"40",X"FF",X"00",X"11",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"0B",X"00",X"0B",X"BB",X"0B",X"00",X"BB",X"00",X"BB",X"F0", + X"00",X"00",X"00",X"00",X"00",X"00",X"0B",X"00",X"BB",X"00",X"00",X"00",X"00",X"40",X"F0",X"44", + X"BB",X"0B",X"BB",X"BB",X"00",X"BB",X"BB",X"00",X"BB",X"BB",X"00",X"FF",X"0B",X"FF",X"0B",X"FF", + X"00",X"44",X"BB",X"40",X"B4",X"00",X"00",X"40",X"BB",X"40",X"F4",X"00",X"FF",X"00",X"FF",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"0B",X"00",X"0B",X"BB",X"0B",X"00",X"BB",X"00",X"BB",X"F0", + X"00",X"00",X"00",X"00",X"00",X"00",X"0B",X"00",X"BB",X"00",X"00",X"00",X"00",X"40",X"F0",X"44", + X"BB",X"0B",X"BB",X"BB",X"00",X"BB",X"00",X"00",X"00",X"BB",X"BB",X"FF",X"BB",X"FF",X"00",X"FF", + X"00",X"44",X"BB",X"40",X"B4",X"00",X"00",X"00",X"BB",X"00",X"F4",X"40",X"FF",X"40",X"FF",X"00", + X"00",X"00",X"00",X"33",X"00",X"33",X"00",X"F3",X"03",X"CF",X"03",X"CF",X"03",X"AA",X"33",X"AA", + X"00",X"00",X"00",X"00",X"30",X"00",X"30",X"00",X"F3",X"00",X"F3",X"00",X"F3",X"00",X"A3",X"30", + X"33",X"AA",X"33",X"FF",X"33",X"FF",X"30",X"FF",X"00",X"FF",X"00",X"FF",X"0A",X"AF",X"AA",X"AA", + X"33",X"33",X"F3",X"00",X"FF",X"00",X"FF",X"00",X"FF",X"A0",X"FA",X"00",X"AA",X"00",X"00",X"00", + X"00",X"09",X"09",X"08",X"00",X"88",X"88",X"88",X"08",X"D8",X"8D",X"ED",X"88",X"5E",X"8E",X"EE", + X"90",X"00",X"00",X"00",X"88",X"00",X"88",X"80",X"DD",X"09",X"5E",X"00",X"C5",X"80",X"EE",X"09", + X"0E",X"EE",X"0E",X"FF",X"00",X"CC",X"00",X"FF",X"00",X"EE",X"00",X"00",X"00",X"00",X"00",X"00", + X"EE",X"00",X"EE",X"00",X"ED",X"00",X"D0",X"00",X"D0",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"22",X"88",X"28",X"88",X"26",X"88",X"26",X"88",X"25",X"88",X"28",X"88",X"2C",X"88",X"22",X"88", + X"C2",X"22",X"88",X"22",X"86",X"22",X"66",X"22",X"55",X"22",X"88",X"22",X"8C",X"22",X"8C",X"22", + X"22",X"88",X"22",X"CC",X"28",X"AA",X"28",X"AA",X"88",X"AA",X"8C",X"AA",X"C8",X"AA",X"88",X"AA", + X"C2",X"22",X"8C",X"22",X"88",X"22",X"88",X"22",X"88",X"C2",X"AC",X"C8",X"A8",X"28",X"A8",X"C8", + X"00",X"00",X"00",X"00",X"00",X"33",X"00",X"33",X"03",X"33",X"03",X"F3",X"33",X"F3",X"33",X"F3", + X"00",X"00",X"00",X"00",X"20",X"00",X"32",X"00",X"33",X"00",X"F3",X"00",X"FF",X"00",X"CF",X"00", + X"33",X"BB",X"33",X"FB",X"33",X"FF",X"3F",X"FF",X"3F",X"FF",X"3F",X"FF",X"3F",X"FF",X"03",X"FF", + X"FF",X"00",X"F3",X"00",X"FF",X"30",X"FF",X"23",X"FF",X"02",X"FF",X"00",X"FF",X"00",X"FF",X"00", + X"00",X"00",X"00",X"00",X"00",X"66",X"00",X"66",X"06",X"66",X"06",X"F6",X"66",X"F6",X"66",X"F6", + X"00",X"00",X"00",X"00",X"50",X"00",X"55",X"00",X"65",X"00",X"F6",X"00",X"FF",X"00",X"CF",X"00", + X"66",X"AA",X"66",X"CA",X"66",X"FC",X"6F",X"FF",X"6F",X"FF",X"6F",X"FF",X"6F",X"FF",X"06",X"FF", + X"FF",X"00",X"F6",X"00",X"FF",X"60",X"FF",X"56",X"FF",X"05",X"FF",X"00",X"FF",X"00",X"FF",X"00", + X"00",X"00",X"00",X"00",X"00",X"66",X"00",X"66",X"06",X"66",X"06",X"F6",X"66",X"F6",X"66",X"C6", + X"00",X"00",X"00",X"00",X"50",X"00",X"55",X"00",X"65",X"00",X"F6",X"00",X"FF",X"00",X"FF",X"00", + X"66",X"AA",X"66",X"CA",X"66",X"FC",X"6F",X"FF",X"6F",X"FF",X"6F",X"FF",X"6F",X"FF",X"06",X"FF", + X"FF",X"00",X"F6",X"00",X"FF",X"60",X"FF",X"56",X"FF",X"05",X"FF",X"00",X"FF",X"00",X"FF",X"00", + X"00",X"05",X"00",X"05",X"00",X"65",X"00",X"65",X"06",X"65",X"06",X"66",X"6F",X"F6",X"66",X"C6", + X"00",X"00",X"00",X"00",X"50",X"00",X"55",X"00",X"65",X"00",X"FF",X"00",X"FF",X"00",X"FF",X"00", + X"66",X"AA",X"66",X"CA",X"6F",X"FC",X"6F",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"0F",X"FF", + X"66",X"00",X"FF",X"00",X"FF",X"60",X"FF",X"66",X"FF",X"56",X"FF",X"55",X"FF",X"05",X"FF",X"00", + X"00",X"00",X"00",X"AA",X"06",X"AA",X"F5",X"AA",X"55",X"AA",X"A5",X"AA",X"0A",X"AA",X"00",X"AA", + X"00",X"00",X"AA",X"00",X"AA",X"00",X"AF",X"00",X"A5",X"00",X"AA",X"00",X"AA",X"00",X"AA",X"00", + X"AA",X"CC",X"0A",X"AC",X"00",X"44",X"AA",X"CC",X"0A",X"BB",X"00",X"44",X"AA",X"CC",X"0A",X"BB", + X"AC",X"A0",X"CB",X"0A",X"4C",X"00",X"CB",X"A0",X"BB",X"0A",X"4C",X"00",X"CB",X"A0",X"BB",X"0A", + X"00",X"00",X"00",X"00",X"00",X"FF",X"00",X"F0",X"35",X"70",X"30",X"8A",X"30",X"F0",X"3D",X"7A", + X"00",X"00",X"00",X"00",X"5F",X"00",X"A0",X"00",X"70",X"F0",X"76",X"00",X"50",X"00",X"80",X"F3", + X"38",X"08",X"95",X"75",X"00",X"A0",X"A0",X"84",X"60",X"A1",X"05",X"FF",X"00",X"00",X"00",X"77", + X"E5",X"F0",X"02",X"01",X"A2",X"30",X"70",X"76",X"3A",X"97",X"0A",X"00",X"DA",X"00",X"60",X"00", + X"00",X"05",X"00",X"05",X"00",X"65",X"00",X"65",X"06",X"65",X"06",X"66",X"6F",X"F6",X"66",X"C6", + X"00",X"00",X"00",X"00",X"50",X"00",X"55",X"00",X"65",X"00",X"FF",X"00",X"FF",X"00",X"FF",X"05", + X"66",X"AA",X"66",X"CC",X"6F",X"AC",X"6F",X"CA",X"FF",X"FC",X"FF",X"FF",X"FF",X"FF",X"0F",X"FF", + X"66",X"05",X"AF",X"55",X"CF",X"55",X"FF",X"50",X"FF",X"00",X"FF",X"00",X"FF",X"00",X"FF",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"33",X"C0",X"F3",X"FC",X"F3",X"F2",X"F3",X"F2",X"33",X"22", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"32",X"00",X"33",X"C0", + X"33",X"32",X"33",X"33",X"33",X"33",X"33",X"33",X"22",X"33",X"CC",X"22",X"00",X"CC",X"00",X"00", + X"33",X"22",X"33",X"32",X"33",X"32",X"33",X"2C",X"33",X"C0",X"22",X"00",X"CC",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"32",X"00",X"FF",X"C0",X"CF",X"2C",X"FF",X"22",X"33",X"22", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"32",X"00",X"33",X"20", + X"33",X"32",X"33",X"33",X"33",X"33",X"23",X"33",X"C2",X"23",X"0C",X"C2",X"00",X"0C",X"00",X"00", + X"33",X"32",X"33",X"32",X"33",X"32",X"33",X"32",X"33",X"2C",X"22",X"C0",X"CC",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"02",X"2C",X"F3",X"F2",X"C3",X"F2",X"F3",X"F2",X"33",X"33", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"C0",X"00",X"32",X"00",X"23",X"2C", + X"33",X"33",X"33",X"33",X"33",X"33",X"23",X"33",X"22",X"33",X"CC",X"22",X"00",X"CC",X"00",X"00", + X"23",X"32",X"33",X"32",X"33",X"32",X"33",X"2C",X"32",X"CC",X"2C",X"C0",X"CC",X"00",X"00",X"00", + X"00",X"00",X"00",X"0B",X"00",X"AB",X"00",X"AB",X"0A",X"CB",X"0A",X"AB",X"0C",X"AB",X"CA",X"AB", + X"00",X"00",X"00",X"00",X"BC",X"00",X"BB",X"00",X"BB",X"00",X"AC",X"00",X"CA",X"C0",X"CA",X"C0", + X"CA",X"AC",X"CA",X"CA",X"CA",X"CA",X"CA",X"CA",X"CA",X"CA",X"0C",X"CA",X"00",X"CC",X"00",X"00", + X"CA",X"C0",X"CA",X"C0",X"CA",X"C0",X"CA",X"C0",X"CA",X"00",X"CC",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"0B",X"00",X"BC",X"00",X"BC",X"BB",X"BB",X"BB",X"BB",X"CB", + X"00",X"00",X"00",X"00",X"00",X"00",X"0B",X"00",X"BC",X"00",X"BC",X"00",X"B4",X"00",X"CB",X"C0", + X"BC",X"CB",X"BC",X"CB",X"BC",X"BB",X"CB",X"BC",X"BC",X"CF",X"BC",X"FF",X"BB",X"FF",X"BB",X"FF", + X"CC",X"4C",X"CC",X"4C",X"CC",X"C0",X"44",X"00",X"CC",X"00",X"BC",X"C0",X"C4",X"C0",X"C4",X"00", + X"00",X"00",X"00",X"00",X"0B",X"00",X"BC",X"00",X"BC",X"BB",X"BB",X"CB",X"BC",X"CB",X"BC",X"CB", + X"00",X"00",X"00",X"00",X"0B",X"00",X"BC",X"00",X"BC",X"00",X"C4",X"00",X"CC",X"C0",X"CC",X"4C", + X"BC",X"BB",X"CB",X"BB",X"BC",X"BC",X"BC",X"CB",X"BB",X"BB",X"BB",X"FF",X"BB",X"FF",X"BF",X"FF", + X"CC",X"4C",X"B4",X"C0",X"44",X"00",X"CC",X"C0",X"B4",X"4C",X"44",X"00",X"F4",X"00",X"FF",X"00", + X"00",X"00",X"00",X"00",X"0B",X"00",X"BC",X"00",X"BC",X"BB",X"BB",X"CC",X"BB",X"CC",X"BB",X"FC", + X"00",X"00",X"00",X"00",X"0B",X"00",X"BC",X"00",X"B4",X"00",X"CC",X"00",X"CC",X"C0",X"FC",X"4C", + X"BB",X"CB",X"CC",X"BB",X"BB",X"BB",X"BB",X"CC",X"BC",X"BB",X"BB",X"FF",X"BB",X"FF",X"BF",X"FF", + X"CC",X"4C",X"B4",X"C0",X"44",X"00",X"CC",X"C0",X"B4",X"4C",X"44",X"C0",X"F4",X"00",X"FF",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"0B",X"00",X"BC",X"BB",X"BB",X"BB",X"BB",X"CC", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"BB",X"00",X"BC",X"00",X"B4",X"00",X"CC",X"C0", + X"BB",X"CC",X"BB",X"FC",X"BB",X"CB",X"CB",X"BB",X"BB",X"CC",X"BB",X"FF",X"BB",X"FF",X"CB",X"CF", + X"CC",X"4C",X"FC",X"4C",X"CC",X"C0",X"44",X"00",X"CC",X"4C",X"F4",X"C0",X"FF",X"00",X"FF",X"00", + X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC", + X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC", + X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC", + X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC", + X"00",X"00",X"00",X"00",X"00",X"CB",X"BC",X"BB",X"BB",X"BC",X"BB",X"CC",X"BB",X"CC",X"BB",X"FF", + X"00",X"00",X"00",X"00",X"C0",X"00",X"CC",X"00",X"BB",X"C0",X"BB",X"4C",X"B4",X"4C",X"B4",X"C0", + X"BB",X"FF",X"BF",X"FF",X"FF",X"FE",X"FF",X"FF",X"FF",X"FF",X"4F",X"FF",X"44",X"FF",X"CC",X"44", + X"F4",X"C0",X"FF",X"00",X"FF",X"C0",X"FF",X"4C",X"FF",X"4C",X"FF",X"C0",X"44",X"C0",X"4C",X"00", + X"00",X"00",X"00",X"00",X"00",X"66",X"00",X"66",X"06",X"66",X"06",X"F6",X"66",X"F6",X"66",X"F6", + X"00",X"00",X"00",X"00",X"50",X"00",X"55",X"00",X"65",X"00",X"F6",X"00",X"FF",X"00",X"CF",X"00", + X"66",X"AA",X"66",X"CA",X"66",X"FC",X"6F",X"FF",X"6F",X"FF",X"6F",X"FF",X"6F",X"FF",X"06",X"FF", + X"FF",X"00",X"F6",X"00",X"FF",X"60",X"FF",X"56",X"FF",X"05",X"FF",X"00",X"FF",X"00",X"FF",X"00", + X"00",X"00",X"00",X"00",X"00",X"66",X"00",X"66",X"06",X"66",X"06",X"F6",X"66",X"F6",X"66",X"C6", + X"00",X"00",X"00",X"00",X"50",X"00",X"55",X"00",X"65",X"00",X"F6",X"00",X"FF",X"00",X"FF",X"00", + X"66",X"AA",X"66",X"CA",X"66",X"FC",X"6F",X"FF",X"6F",X"FF",X"6F",X"FF",X"6F",X"FF",X"06",X"FF", + X"FF",X"00",X"F6",X"00",X"FF",X"60",X"FF",X"56",X"FF",X"05",X"FF",X"00",X"FF",X"00",X"FF",X"00", + X"00",X"05",X"00",X"05",X"00",X"65",X"00",X"65",X"06",X"65",X"06",X"66",X"6F",X"F6",X"66",X"C6", + X"00",X"00",X"00",X"00",X"50",X"00",X"55",X"00",X"65",X"00",X"FF",X"00",X"FF",X"00",X"FF",X"00", + X"66",X"AA",X"66",X"CA",X"6F",X"FC",X"6F",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"0F",X"FF", + X"66",X"00",X"FF",X"00",X"FF",X"60",X"FF",X"66",X"FF",X"56",X"FF",X"55",X"FF",X"05",X"FF",X"00", + X"00",X"00",X"00",X"AA",X"06",X"AA",X"F5",X"AA",X"55",X"AA",X"A5",X"AA",X"0A",X"AA",X"00",X"AA", + X"00",X"00",X"AA",X"00",X"AA",X"00",X"AF",X"00",X"A5",X"00",X"AA",X"00",X"AA",X"00",X"AA",X"00", + X"AA",X"CC",X"0A",X"AC",X"00",X"44",X"AA",X"CC",X"0A",X"BB",X"00",X"44",X"AA",X"CC",X"0A",X"BB", + X"AC",X"A0",X"CB",X"0A",X"4C",X"00",X"CB",X"A0",X"BB",X"0A",X"4C",X"00",X"CB",X"A0",X"BB",X"0A", + X"00",X"00",X"00",X"00",X"00",X"FF",X"00",X"F0",X"35",X"70",X"30",X"8A",X"30",X"F0",X"3D",X"7A", + X"00",X"00",X"00",X"00",X"5F",X"00",X"A0",X"00",X"70",X"F0",X"76",X"00",X"50",X"00",X"80",X"F3", + X"38",X"08",X"95",X"75",X"00",X"A0",X"A0",X"84",X"60",X"A1",X"05",X"FF",X"00",X"00",X"00",X"77", + X"E5",X"F0",X"02",X"01",X"A2",X"30",X"70",X"76",X"3A",X"97",X"0A",X"00",X"DA",X"00",X"60",X"00", + X"00",X"05",X"00",X"05",X"00",X"65",X"00",X"65",X"06",X"65",X"06",X"66",X"6F",X"F6",X"66",X"C6", + X"00",X"00",X"00",X"00",X"50",X"00",X"55",X"00",X"65",X"00",X"FF",X"00",X"FF",X"00",X"FF",X"05", + X"66",X"AA",X"66",X"CC",X"6F",X"AC",X"6F",X"CA",X"FF",X"FC",X"FF",X"FF",X"FF",X"FF",X"0F",X"FF", + X"66",X"05",X"AF",X"55",X"CF",X"55",X"FF",X"50",X"FF",X"00",X"FF",X"00",X"FF",X"00",X"FF",X"00", + X"00",X"BB",X"00",X"BB",X"0F",X"BB",X"FF",X"FB",X"FF",X"FB",X"FF",X"FB",X"BF",X"BB",X"BB",X"BC", + X"4C",X"00",X"44",X"00",X"FF",X"00",X"FF",X"C0",X"FF",X"C0",X"CF",X"C0",X"FF",X"C0",X"B4",X"C0", + X"CC",X"BC",X"BB",X"CC",X"B4",X"BB",X"B4",X"CB",X"44",X"00",X"C4",X"00",X"0C",X"00",X"00",X"00", + X"C4",X"00",X"BC",X"4C",X"CB",X"44",X"BB",X"B4",X"CB",X"4C",X"0C",X"C0",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"BB",X"00",X"BB",X"0B",X"BB",X"0B",X"BB",X"BB",X"BB",X"BB",X"BB", + X"00",X"00",X"00",X"00",X"4C",X"00",X"44",X"00",X"B4",X"00",X"BB",X"00",X"BB",X"C0",X"BC",X"C0", + X"BB",X"BB",X"BB",X"BC",X"BC",X"BC",X"B4",X"BB",X"44",X"CC",X"CC",X"00",X"00",X"00",X"00",X"00", + X"BC",X"C0",X"BB",X"C0",X"BB",X"4C",X"BC",X"4C",X"CB",X"C0",X"0C",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"BB",X"00",X"BB",X"0B",X"BB",X"0B",X"BB", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"4C",X"00",X"44",X"00",X"B4",X"00",X"BB",X"00", + X"BB",X"BB",X"BB",X"BB",X"BB",X"BB",X"CB",X"BC",X"0C",X"CC",X"00",X"00",X"00",X"00",X"00",X"00", + X"BB",X"C0",X"BC",X"C0",X"BC",X"C0",X"BB",X"00",X"CC",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"BB",X"00",X"BB", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"4C",X"00",X"44",X"00", + X"0B",X"BB",X"0B",X"BB",X"0B",X"BB",X"0C",X"BB",X"00",X"CC",X"00",X"00",X"00",X"00",X"00",X"00", + X"B4",X"00",X"B4",X"00",X"B4",X"00",X"BC",X"00",X"C0",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"BB",X"00",X"BB",X"0B",X"BB",X"0C",X"BB",X"00",X"CC",X"00",X"00",X"00",X"00",X"00",X"00", + X"4C",X"00",X"44",X"00",X"B4",X"00",X"BC",X"00",X"C0",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"BB",X"00",X"BB",X"00",X"CC",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"4C",X"00",X"4C",X"00",X"C0",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"4C",X"0B",X"4C",X"0C",X"4B",X"00",X"BB",X"00",X"BB",X"4C",X"BB",X"44",X"BB", + X"F0",X"00",X"FF",X"00",X"CF",X"C0",X"FB",X"FC",X"BB",X"FF",X"CB",X"FF",X"BB",X"FC",X"C4",X"C0", + X"B4",X"BB",X"B4",X"BB",X"B4",X"BB",X"BB",X"BB",X"CB",X"44",X"B4",X"44",X"4C",X"44",X"C0",X"C4", + X"B4",X"44",X"44",X"44",X"44",X"44",X"44",X"CC",X"4C",X"00",X"C0",X"00",X"4C",X"00",X"C0",X"00", + X"00",X"00",X"00",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FC",X"FC",X"CF",X"FF",X"FF",X"FF", + X"00",X"00",X"FF",X"00",X"FF",X"00",X"CF",X"F0",X"FF",X"CF",X"CC",X"CF",X"FF",X"CF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"00",X"FF",X"00",X"FF",X"00",X"0F",X"00",X"00",X"00",X"00",X"00",X"00", + X"FF",X"F0",X"FF",X"00",X"FF",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"BB",X"00",X"BB",X"00",X"BB",X"0B",X"BB",X"0F",X"FB",X"0F",X"FB",X"0B",X"BB", + X"00",X"00",X"4C",X"00",X"44",X"00",X"B4",X"00",X"FF",X"00",X"FF",X"00",X"FC",X"00",X"FF",X"00", + X"0C",X"BC",X"BB",X"CC",X"B4",X"BC",X"B4",X"CB",X"44",X"0C",X"C4",X"00",X"0C",X"00",X"00",X"00", + X"BB",X"00",X"BC",X"C0",X"CB",X"4C",X"BB",X"4C",X"CB",X"4C",X"0C",X"C0",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"BB",X"00",X"BB",X"00",X"BB",X"0B",X"BB",X"0B",X"BB", + X"00",X"00",X"00",X"00",X"00",X"00",X"C0",X"00",X"44",X"00",X"44",X"00",X"B4",X"00",X"BC",X"00", + X"0B",X"BB",X"0B",X"BC",X"BC",X"BC",X"BB",X"BB",X"C4",X"CC",X"0C",X"00",X"00",X"00",X"00",X"00", + X"BC",X"00",X"B4",X"00",X"B4",X"C0",X"BC",X"C0",X"CB",X"00",X"0C",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"BB",X"00",X"FB",X"00",X"BB", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"C0",X"00",X"44",X"00",X"44",X"00", + X"0B",X"BB",X"0B",X"BB",X"0B",X"BB",X"0C",X"BC",X"00",X"CC",X"00",X"00",X"00",X"00",X"00",X"00", + X"B4",X"00",X"BC",X"00",X"BC",X"00",X"B4",X"00",X"CC",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"BB", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"C0",X"00", + X"00",X"BB",X"00",X"BB",X"00",X"BB",X"00",X"BB",X"00",X"CC",X"00",X"00",X"00",X"00",X"00",X"00", + X"44",X"00",X"44",X"00",X"44",X"00",X"4C",X"00",X"C0",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"BB",X"00",X"BB",X"00",X"BB",X"00",X"CC",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"4C",X"00",X"44",X"00",X"4C",X"00",X"C0",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"0B",X"00",X"0C",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"C0",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"4C",X"00",X"4C",X"00",X"4B",X"00",X"BB",X"00",X"BB",X"4C",X"BB",X"B4",X"BB", + X"00",X"00",X"FF",X"00",X"CF",X"C0",X"FF",X"4C",X"BB",X"FC",X"C4",X"FC",X"B4",X"FC",X"C4",X"C0", + X"B4",X"BB",X"B4",X"BB",X"BB",X"BB",X"CB",X"B4",X"04",X"44",X"4C",X"44",X"C0",X"C4",X"00",X"0C", + X"44",X"00",X"44",X"4C",X"4C",X"4C",X"C0",X"4C",X"00",X"C0",X"00",X"00",X"C0",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"0F",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"00",X"00",X"00",X"00",X"00",X"00",X"FF",X"00",X"FF",X"F0",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"0F",X"FF",X"00",X"0F",X"00",X"00",X"00",X"00", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"F0",X"FF",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22", + X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22", + X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22", + X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22", + X"00",X"33",X"03",X"33",X"0F",X"33",X"03",X"33",X"3F",X"33",X"3F",X"33",X"3F",X"33",X"33",X"BB", + X"C0",X"00",X"2C",X"00",X"2C",X"00",X"32",X"00",X"F2",X"00",X"F2",X"00",X"F3",X"C0",X"33",X"2C", + X"3F",X"BB",X"CF",X"FF",X"3F",X"FF",X"3F",X"FF",X"3F",X"FF",X"C3",X"FF",X"0B",X"FF",X"BB",X"CC", + X"F3",X"22",X"FF",X"C2",X"FF",X"CC",X"FF",X"C0",X"FF",X"C0",X"FB",X"C0",X"BB",X"00",X"CC",X"00", + X"00",X"33",X"00",X"33",X"00",X"33",X"03",X"F3",X"03",X"F3",X"03",X"C3",X"33",X"BB",X"33",X"FB", + X"2C",X"00",X"32",X"00",X"32",X"00",X"F3",X"00",X"FF",X"00",X"FF",X"00",X"FF",X"C0",X"F3",X"C0", + X"33",X"FF",X"3F",X"FF",X"3F",X"FF",X"3F",X"FF",X"3F",X"FF",X"C3",X"FF",X"0B",X"FF",X"BB",X"CC", + X"FF",X"3C",X"FF",X"23",X"FF",X"C2",X"FF",X"CC",X"FF",X"C0",X"FF",X"00",X"BB",X"00",X"BB",X"C0", + X"00",X"33",X"00",X"F3",X"00",X"33",X"00",X"FF",X"00",X"FF",X"03",X"FF",X"33",X"FC",X"33",X"FB", + X"22",X"00",X"32",X"00",X"33",X"00",X"FF",X"00",X"FF",X"C0",X"FF",X"C0",X"CF",X"C0",X"BF",X"C0", + X"33",X"FF",X"33",X"FF",X"33",X"FF",X"33",X"FF",X"33",X"FF",X"BB",X"FF",X"CB",X"FF",X"0C",X"CC", + X"FF",X"3C",X"FF",X"23",X"FF",X"C2",X"FF",X"CC",X"FF",X"C0",X"FF",X"00",X"BB",X"00",X"BB",X"C0", + X"00",X"33",X"03",X"33",X"03",X"33",X"F3",X"33",X"C3",X"F3",X"F3",X"F3",X"BB",X"F3",X"BB",X"33", + X"C0",X"00",X"2C",X"00",X"2C",X"00",X"32",X"00",X"32",X"00",X"32",X"00",X"33",X"C2",X"33",X"32", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"CF",X"FF",X"0F",X"FF",X"BB",X"FF",X"BB",X"FF",X"CC",X"CC", + X"33",X"2C",X"33",X"00",X"33",X"C0",X"33",X"2C",X"33",X"C0",X"F2",X"00",X"BC",X"00",X"BB",X"00", + X"00",X"33",X"00",X"33",X"00",X"33",X"03",X"F3",X"03",X"C3",X"03",X"F3",X"33",X"BB",X"33",X"FB", + X"2C",X"00",X"32",X"00",X"32",X"00",X"F3",X"00",X"CF",X"00",X"CF",X"00",X"FF",X"C0",X"F3",X"C0", + X"33",X"FF",X"3F",X"FF",X"3F",X"FF",X"3F",X"FF",X"3F",X"FF",X"C3",X"FF",X"0B",X"FF",X"BB",X"CC", + X"FF",X"3C",X"FF",X"23",X"FF",X"C2",X"FF",X"CC",X"FF",X"C0",X"FF",X"00",X"BB",X"00",X"BB",X"C0", + X"00",X"33",X"00",X"F3",X"00",X"33",X"00",X"33",X"00",X"33",X"03",X"33",X"33",X"33",X"33",X"33", + X"22",X"00",X"33",X"00",X"33",X"00",X"FF",X"C0",X"CF",X"C0",X"FB",X"C0",X"BC",X"C2",X"FB",X"22", + X"33",X"33",X"C3",X"3F",X"33",X"3F",X"33",X"FF",X"33",X"FF",X"C3",X"FB",X"0C",X"BB",X"00",X"BB", + X"FF",X"2C",X"FF",X"C0",X"FF",X"C0",X"FF",X"00",X"FF",X"BC",X"FF",X"BC",X"FB",X"C0",X"CC",X"00", + X"00",X"33",X"00",X"33",X"00",X"33",X"03",X"F3",X"03",X"C3",X"03",X"FB",X"33",X"BC",X"33",X"FB", + X"2C",X"00",X"32",X"00",X"32",X"00",X"F3",X"00",X"CF",X"00",X"CF",X"00",X"FF",X"C0",X"F3",X"C0", + X"33",X"FF",X"3F",X"FF",X"3F",X"FF",X"3F",X"FF",X"3F",X"FF",X"C3",X"FF",X"0B",X"FF",X"BB",X"CC", + X"FF",X"3C",X"FF",X"23",X"FF",X"C2",X"FF",X"CC",X"FF",X"C0",X"FF",X"00",X"BB",X"00",X"BB",X"C0", + X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22", + X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22", + X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22", + X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22", + X"00",X"33",X"03",X"33",X"03",X"33",X"03",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"C0",X"00",X"2C",X"00",X"2C",X"00",X"32",X"00",X"32",X"00",X"32",X"00",X"33",X"C0",X"33",X"2C", + X"33",X"33",X"C3",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"C3",X"33",X"BB",X"33",X"BB",X"C3", + X"33",X"22",X"33",X"C2",X"33",X"CC",X"33",X"C0",X"33",X"C0",X"32",X"BC",X"22",X"C0",X"2C",X"00", + X"00",X"33",X"00",X"F3",X"00",X"33",X"00",X"33",X"00",X"33",X"03",X"33",X"33",X"33",X"33",X"33", + X"22",X"00",X"32",X"00",X"32",X"00",X"32",X"00",X"32",X"C0",X"33",X"C0",X"33",X"C0",X"33",X"C0", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"B3",X"33",X"BB",X"33",X"CC",X"C2", + X"33",X"2C",X"33",X"22",X"33",X"C2",X"32",X"0C",X"32",X"00",X"22",X"00",X"22",X"C0",X"CB",X"C0", + X"00",X"33",X"00",X"33",X"00",X"33",X"03",X"F3",X"03",X"F3",X"03",X"C3",X"33",X"BB",X"33",X"FB", + X"2C",X"00",X"32",X"00",X"32",X"00",X"F3",X"00",X"FF",X"00",X"FF",X"00",X"FF",X"C0",X"F3",X"C0", + X"33",X"FF",X"3F",X"FF",X"3F",X"FF",X"3F",X"FF",X"3F",X"FF",X"C3",X"FF",X"0B",X"FF",X"BB",X"CC", + X"FF",X"3C",X"FF",X"23",X"FF",X"C2",X"FF",X"CC",X"FF",X"C0",X"FF",X"00",X"BB",X"00",X"BB",X"C0", + X"00",X"33",X"03",X"33",X"0F",X"33",X"03",X"33",X"3F",X"33",X"3F",X"33",X"3F",X"33",X"33",X"BB", + X"C0",X"00",X"2C",X"00",X"2C",X"00",X"32",X"00",X"F2",X"00",X"F2",X"00",X"F3",X"C0",X"33",X"2C", + X"3F",X"BB",X"CF",X"FF",X"3F",X"FF",X"3F",X"FF",X"3F",X"FF",X"C3",X"FF",X"0B",X"FF",X"BB",X"CC", + X"F3",X"22",X"FF",X"C2",X"FF",X"CC",X"FF",X"C0",X"FF",X"C0",X"FB",X"C0",X"BB",X"00",X"CC",X"00", + X"00",X"33",X"00",X"33",X"00",X"33",X"03",X"F3",X"03",X"F3",X"03",X"C3",X"33",X"BB",X"33",X"FB", + X"2C",X"00",X"32",X"00",X"32",X"00",X"F3",X"00",X"FF",X"00",X"FF",X"00",X"FF",X"C0",X"F3",X"C0", + X"33",X"FF",X"3F",X"FF",X"3F",X"FF",X"3F",X"FF",X"3F",X"FF",X"C3",X"FF",X"0B",X"FF",X"BB",X"CC", + X"FF",X"3C",X"FF",X"23",X"FF",X"C2",X"FF",X"CC",X"FF",X"C0",X"FF",X"00",X"BB",X"00",X"BB",X"C0", + X"00",X"33",X"00",X"F3",X"00",X"33",X"00",X"FF",X"00",X"FF",X"03",X"FF",X"33",X"FC",X"33",X"FB", + X"22",X"00",X"32",X"00",X"33",X"00",X"FF",X"00",X"FF",X"C0",X"FF",X"C0",X"CF",X"C0",X"BF",X"C0", + X"33",X"FF",X"33",X"FF",X"33",X"FF",X"33",X"FF",X"33",X"FF",X"BB",X"FF",X"CB",X"FF",X"0C",X"CC", + X"FF",X"3C",X"FF",X"23",X"FF",X"C2",X"FF",X"CC",X"FF",X"C0",X"FF",X"00",X"BB",X"00",X"BB",X"C0", + X"00",X"66",X"00",X"F6",X"00",X"66",X"00",X"FF",X"00",X"FF",X"06",X"FF",X"66",X"FC",X"66",X"FB", + X"55",X"00",X"65",X"00",X"66",X"00",X"FF",X"00",X"FF",X"C0",X"FF",X"C0",X"CF",X"C0",X"BF",X"C0", + X"66",X"FF",X"66",X"FF",X"66",X"FF",X"66",X"FF",X"66",X"FF",X"BB",X"FF",X"CB",X"FF",X"0C",X"CC", + X"FF",X"6C",X"FF",X"56",X"FF",X"C5",X"FF",X"CC",X"FF",X"C0",X"FF",X"00",X"BB",X"00",X"BB",X"C0", + X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11", + X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11", + X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"DD",X"11",X"EE",X"DD",X"FF",X"EE", + X"11",X"11",X"11",X"1F",X"11",X"1F",X"11",X"FF",X"11",X"FF",X"11",X"FF",X"11",X"FF",X"DD",X"FF", + X"11",X"11",X"11",X"11",X"1F",X"11",X"1F",X"11",X"FF",X"D1",X"FF",X"DD",X"FE",X"ED",X"FF",X"ED", + X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11", + X"FE",X"EE",X"FF",X"EE",X"EE",X"FE",X"FF",X"FF",X"FF",X"EE",X"FF",X"FF",X"EE",X"FF",X"FF",X"FF", + X"11",X"11",X"11",X"11",X"D1",X"11",X"D1",X"11",X"DD",X"11",X"ED",X"11",X"FE",X"11",X"FF",X"D1", + X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11", + X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11", + X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11", + X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11", + X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11", + X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11", + X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11", + X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11", + X"FF",X"EE",X"EF",X"FE",X"EE",X"FE",X"FF",X"FF",X"FF",X"EE",X"EF",X"FF",X"DE",X"FF",X"FD",X"FF", + X"ED",X"FF",X"EE",X"DF",X"FF",X"FD",X"FF",X"EE",X"FF",X"FF",X"FF",X"FF",X"EF",X"FF",X"FF",X"FF", + X"EE",X"EF",X"FE",X"DE",X"EF",X"ED",X"EF",X"EE",X"EE",X"FF",X"FE",X"EF",X"FF",X"EE",X"FF",X"FE", + X"EF",X"FF",X"FF",X"EF",X"FF",X"FF",X"EF",X"FF",X"DE",X"FF",X"DD",X"EE",X"EE",X"DD",X"FE",X"DD", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FD",X"FF",X"DE",X"FF",X"DE",X"FF",X"EF", + X"FF",X"D1",X"FF",X"ED",X"FD",X"EE",X"DE",X"EE",X"FF",X"EE",X"FF",X"EE",X"FF",X"FE",X"EE",X"FF", + X"FD",X"FF",X"DE",X"FF",X"DF",X"FE",X"FF",X"EF",X"FF",X"FF",X"FF",X"FE",X"EE",X"FF",X"DD",X"EE", + X"FF",X"EE",X"EF",X"FF",X"FF",X"EE",X"FF",X"EE",X"FE",X"EE",X"FF",X"FF",X"FE",X"FF",X"FF",X"EE", + X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"D1",X"11",X"DD",X"11",X"EE",X"DD",X"FE",X"EE", + X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"DD",X"11", + X"FF",X"EF",X"FE",X"EE",X"EF",X"EE",X"EF",X"FE",X"FF",X"FF",X"EF",X"FF",X"DD",X"FF",X"FE",X"DF", + X"FD",X"11",X"FF",X"DD",X"FF",X"EF",X"EF",X"FF",X"EE",X"FF",X"EE",X"FF",X"FE",X"EF",X"FF",X"EE", + X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11", + X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11", + X"11",X"11",X"11",X"DD",X"DD",X"EE",X"EE",X"FE",X"FF",X"EE",X"DF",X"FE",X"ED",X"FF",X"FE",X"EF", + X"D1",X"11",X"DF",X"11",X"ED",X"DD",X"EF",X"FF",X"EE",X"FE",X"DE",X"EF",X"FD",X"EF",X"EF",X"EE", + X"11",X"1F",X"11",X"EF",X"DF",X"FF",X"FF",X"FF",X"FD",X"FE",X"DE",X"FE",X"EF",X"EF",X"FF",X"FF", + X"11",X"11",X"DD",X"11",X"EE",X"11",X"EF",X"D1",X"EE",X"ED",X"FE",X"EE",X"FD",X"EF",X"FF",X"EE", + X"11",X"FF",X"11",X"FF",X"1F",X"EF",X"FF",X"FF",X"FF",X"EF",X"FE",X"EF",X"EF",X"EE",X"FF",X"FE", + X"11",X"11",X"D1",X"11",X"ED",X"11",X"FF",X"D1",X"EF",X"ED",X"EE",X"FE",X"FF",X"FF",X"FF",X"FF", + X"11",X"11",X"11",X"FE",X"11",X"FF",X"FF",X"FF",X"FF",X"FF",X"EE",X"FF",X"EF",X"EF",X"FF",X"EE", + X"11",X"11",X"11",X"11",X"D1",X"1F",X"ED",X"FF",X"FE",X"FF",X"FF",X"EF",X"FF",X"FE",X"FF",X"FF", + X"11",X"11",X"11",X"11",X"11",X"1F",X"11",X"FF",X"11",X"FF",X"DF",X"FF",X"EE",X"FF",X"FF",X"FF", + X"11",X"11",X"D1",X"11",X"ED",X"11",X"FE",X"11",X"FF",X"11",X"FF",X"DD",X"EE",X"EE",X"FE",X"FF", + X"11",X"11",X"FE",X"11",X"FF",X"D1",X"FF",X"ED",X"DF",X"FE",X"ED",X"FF",X"FF",X"DF",X"FF",X"ED", + X"11",X"11",X"11",X"D1",X"FF",X"ED",X"FF",X"FE",X"FF",X"FF",X"DD",X"FF",X"FE",X"FF",X"FF",X"DD", + X"11",X"11",X"11",X"11",X"11",X"11",X"FF",X"11",X"FF",X"DD",X"EF",X"FE",X"EE",X"FF",X"FF",X"FF", + X"11",X"11",X"11",X"11",X"11",X"11",X"FE",X"11",X"FF",X"D1",X"FF",X"ED",X"DD",X"EE",X"FE",X"FF", + X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"DD",X"EE",X"FF",X"EF",X"FF",X"EE",X"FF",X"FF", + X"11",X"11",X"11",X"11",X"11",X"11",X"EE",X"D1",X"FF",X"FD",X"FF",X"FF",X"FF",X"EF",X"EE",X"EF", + X"11",X"1F",X"11",X"FE",X"11",X"FF",X"11",X"FF",X"FF",X"FF",X"FF",X"EF",X"FF",X"FF",X"FE",X"FF", + X"11",X"11",X"11",X"11",X"D1",X"11",X"DD",X"1E",X"EE",X"ED",X"DE",X"DF",X"FD",X"FF",X"FF",X"FF", + X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11", + X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"1F",X"11",X"FF", + X"11",X"11",X"11",X"11",X"EF",X"FF",X"FE",X"EE",X"FF",X"FF",X"EE",X"FF",X"FF",X"FF",X"FF",X"EF", + X"11",X"FF",X"1F",X"FF",X"FF",X"FF",X"FF",X"FE",X"FF",X"FF",X"FE",X"FF",X"FF",X"EE",X"FE",X"FF", + X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"DD",X"11", + X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"FF",X"1E",X"FF", + X"EE",X"DD",X"FF",X"ED",X"FF",X"DE",X"FD",X"FF",X"EE",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"EE",X"FF",X"EF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"EE",X"FE",X"FF",X"EF",X"FF", + X"11",X"11",X"11",X"11",X"11",X"11",X"ED",X"11",X"FE",X"11",X"FE",X"DD",X"FF",X"EE",X"FF",X"ED", + X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"1F",X"11",X"FF",X"EF",X"FF",X"FE",X"FF",X"EF",X"EE", + X"FF",X"DE",X"FF",X"EF",X"FE",X"FF",X"EE",X"EE",X"FF",X"FF",X"FF",X"FF",X"FF",X"EE",X"FF",X"FF", + X"FF",X"FF",X"FF",X"EE",X"FF",X"FF",X"FD",X"FF",X"DE",X"FF",X"EF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"1F",X"D1",X"EF",X"EF",X"FF",X"FE",X"FF",X"EF",X"FF", + X"1E",X"11",X"FF",X"11",X"FF",X"D1",X"FF",X"DD",X"FF",X"ED",X"FF",X"EE",X"FF",X"FE",X"EF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"EE",X"FF",X"FF",X"FF", + X"DD",X"FF",X"FE",X"FF",X"FF",X"DF",X"FF",X"DF",X"FF",X"ED",X"FF",X"EE",X"FF",X"FE",X"FF",X"FF", + X"11",X"12",X"11",X"2F",X"11",X"FF",X"11",X"FF",X"12",X"FF",X"2F",X"FF",X"FF",X"FF",X"FF",X"FF", + X"11",X"11",X"11",X"11",X"21",X"11",X"F2",X"11",X"FF",X"11",X"FF",X"11",X"FF",X"21",X"FF",X"F2", + X"22",X"22",X"EE",X"EF",X"EE",X"EF",X"EE",X"EF",X"EE",X"EF",X"EE",X"EF",X"EE",X"EF",X"EE",X"EF", + X"22",X"22",X"EE",X"E2",X"EE",X"E2",X"EE",X"E2",X"EE",X"E2",X"EE",X"E2",X"EE",X"E2",X"EE",X"E2", + X"22",X"22",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"22",X"22",X"EE",X"EF",X"EE",X"EF",X"EE",X"EF",X"EE",X"EF",X"EE",X"EF",X"EE",X"EF",X"EE",X"EF", + X"EE",X"EF",X"EE",X"EF",X"EE",X"EF",X"EE",X"EF",X"EE",X"EF",X"EE",X"EF",X"EE",X"EF",X"EE",X"EF", + X"EE",X"EF",X"EE",X"EF",X"EE",X"EF",X"EE",X"EF",X"EE",X"EF",X"EE",X"EF",X"EE",X"EF",X"EE",X"EF", + X"EE",X"E2",X"EE",X"E2",X"EE",X"E2",X"EE",X"E2",X"EE",X"E2",X"EE",X"E2",X"EE",X"E2",X"EE",X"E2", + X"EE",X"EF",X"EE",X"EF",X"EE",X"EF",X"EE",X"EF",X"EE",X"EF",X"EE",X"EF",X"EE",X"EF",X"22",X"22", + X"EE",X"E2",X"EE",X"E2",X"EE",X"E2",X"EE",X"E2",X"EE",X"E2",X"EE",X"E2",X"EE",X"E2",X"22",X"22", + X"EE",X"EF",X"EE",X"EF",X"EE",X"EF",X"EE",X"EF",X"EE",X"EF",X"EE",X"EF",X"EE",X"EF",X"EE",X"EF", + X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE", + X"EE",X"EF",X"EE",X"EF",X"EE",X"EF",X"EE",X"EF",X"EE",X"EF",X"EE",X"EF",X"EE",X"EF",X"22",X"22", + X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE", + X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11", + X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE", + X"00",X"00",X"11",X"00",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11", + X"00",X"00",X"00",X"00",X"00",X"00",X"11",X"00",X"11",X"00",X"11",X"00",X"11",X"00",X"11",X"00", + X"11",X"11",X"11",X"11",X"00",X"11",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"11",X"00",X"11",X"00",X"11",X"00",X"11",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"55",X"5A",X"55",X"5A",X"55",X"5A",X"55",X"AA",X"55",X"AA",X"55",X"AA",X"55",X"AA",X"55",X"AA", + X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"A5",X"55",X"A5",X"55", + X"55",X"AA",X"55",X"AA",X"55",X"AA",X"55",X"AA",X"5A",X"AA",X"5A",X"AA",X"5A",X"AA",X"AA",X"AA", + X"A5",X"55",X"AA",X"55",X"AA",X"55",X"AA",X"55",X"AA",X"55",X"AA",X"55",X"AA",X"55",X"AA",X"55", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA", + X"AA",X"55",X"AA",X"55",X"AA",X"A5",X"AA",X"A5",X"AA",X"A5",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA", + X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"22",X"22",X"22", + X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"22",X"11",X"22",X"11", + X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"12",X"22",X"11",X"11",X"11",X"11",X"11",X"11", + X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"21",X"22",X"11",X"11",X"11",X"11",X"11",X"11",X"11", + X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"22",X"22",X"22",X"22",X"22", + X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"21",X"11",X"22",X"11",X"22",X"22", + X"22",X"22",X"22",X"22",X"22",X"22",X"12",X"22",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11", + X"22",X"22",X"22",X"22",X"22",X"21",X"22",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11", + X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"22",X"12",X"22", + X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"22",X"11", + X"22",X"22",X"22",X"22",X"22",X"22",X"11",X"22",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11", + X"22",X"21",X"22",X"21",X"22",X"11",X"22",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11", + X"00",X"EE",X"00",X"EE",X"00",X"EE",X"00",X"EE",X"00",X"EE",X"00",X"EE",X"00",X"EE",X"00",X"EE", + X"00",X"BA",X"00",X"BA",X"00",X"EE",X"00",X"EE",X"00",X"EE",X"00",X"EE",X"00",X"EE",X"00",X"EE", + X"00",X"EE",X"00",X"EE",X"00",X"EE",X"00",X"EE",X"00",X"EE",X"00",X"EE",X"00",X"EE",X"00",X"EE", + X"00",X"EE",X"00",X"EE",X"00",X"EE",X"00",X"EE",X"00",X"EE",X"00",X"EE",X"00",X"EE",X"00",X"EE", + X"00",X"33",X"00",X"33",X"00",X"33",X"03",X"F3",X"03",X"F3",X"03",X"F3",X"33",X"BB",X"33",X"FB", + X"2C",X"00",X"32",X"00",X"32",X"00",X"F3",X"00",X"FF",X"00",X"CF",X"00",X"FF",X"C0",X"F3",X"C0", + X"33",X"FF",X"3F",X"FF",X"3F",X"FF",X"3F",X"FF",X"3F",X"FF",X"03",X"FF",X"0B",X"FF",X"BB",X"CC", + X"FF",X"3C",X"FF",X"23",X"FF",X"C2",X"FF",X"CC",X"FF",X"C0",X"FF",X"00",X"BB",X"00",X"BB",X"C0", + X"00",X"33",X"00",X"33",X"00",X"33",X"03",X"33",X"03",X"33",X"03",X"33",X"33",X"33",X"33",X"33", + X"2C",X"00",X"22",X"00",X"22",X"00",X"32",X"00",X"32",X"00",X"32",X"00",X"33",X"C0",X"33",X"C0", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"B3",X"33",X"BB",X"33",X"CC",X"3C", + X"33",X"3C",X"33",X"23",X"33",X"C2",X"33",X"CC",X"32",X"C0",X"32",X"BC",X"22",X"C0",X"2C",X"00", + X"00",X"33",X"03",X"33",X"03",X"33",X"03",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33", + X"C0",X"00",X"2C",X"00",X"2C",X"00",X"32",X"00",X"32",X"00",X"32",X"00",X"33",X"C0",X"33",X"2C", + X"33",X"33",X"C3",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"C3",X"33",X"BB",X"33",X"BB",X"C3", + X"33",X"22",X"33",X"C2",X"33",X"CC",X"33",X"C0",X"33",X"C0",X"32",X"BC",X"22",X"C0",X"2C",X"00", + X"00",X"33",X"00",X"F3",X"00",X"33",X"00",X"33",X"00",X"33",X"03",X"33",X"33",X"33",X"33",X"33", + X"22",X"00",X"32",X"00",X"32",X"00",X"32",X"00",X"32",X"C0",X"33",X"C0",X"33",X"C0",X"33",X"C0", + X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"B3",X"33",X"BB",X"33",X"CC",X"C2", + X"33",X"2C",X"33",X"22",X"33",X"C2",X"32",X"0C",X"32",X"00",X"22",X"00",X"22",X"C0",X"CB",X"C0", + X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"4F",X"44",X"EF",X"44",X"F1",X"44",X"11", + X"F1",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11", + X"44",X"11",X"44",X"11",X"4F",X"11",X"EF",X"11",X"F1",X"11",X"11",X"11",X"11",X"11",X"11",X"11", + X"44",X"4F",X"44",X"EF",X"44",X"F1",X"44",X"11",X"44",X"11",X"44",X"11",X"4F",X"11",X"EF",X"11", + X"11",X"44",X"11",X"F4",X"11",X"FE",X"11",X"1F",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11", + X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"F4",X"44", + X"44",X"44",X"44",X"44",X"44",X"44",X"F4",X"44",X"FE",X"44",X"1F",X"44",X"11",X"44",X"11",X"44", + X"FE",X"44",X"1F",X"44",X"11",X"44",X"11",X"44",X"11",X"44",X"11",X"F4",X"11",X"FE",X"11",X"1F", + X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11", + X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"FF",X"FF",X"22",X"22",X"22",X"22",X"22",X"22", + X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"FF",X"FF",X"EE",X"EE",X"EE",X"EE", + X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE", + X"44",X"4F",X"44",X"EF",X"44",X"FE",X"44",X"FE",X"44",X"EE",X"44",X"EE",X"4F",X"EE",X"EF",X"EE", + X"44",X"44",X"44",X"44",X"44",X"44",X"F4",X"44",X"FE",X"44",X"EF",X"44",X"EF",X"44",X"EE",X"44", + X"FE",X"EE",X"FE",X"EE",X"EE",X"EE",X"EE",X"EE",X"FF",X"FF",X"DD",X"DD",X"DD",X"DD",X"FF",X"FF", + X"EE",X"44",X"EE",X"F4",X"EE",X"FE",X"EE",X"EF",X"FF",X"FF",X"DD",X"DF",X"DD",X"DF",X"FF",X"FF", + X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"FF",X"FF",X"EE",X"EE",X"EE",X"EE", + X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"FF",X"E4",X"EE",X"F4",X"EE",X"EF", + X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"FF",X"FF",X"DD",X"DD",X"DD",X"DD",X"FF",X"FF", + X"FF",X"FF",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11", + X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"FF",X"FF",X"44",X"44",X"44",X"44",X"44",X"44", + X"DD",X"DF",X"DD",X"DF",X"DD",X"DF",X"DD",X"DF",X"FF",X"FF",X"44",X"44",X"44",X"44",X"44",X"44", + X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"FF",X"FF",X"FF",X"FF", + X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44", + X"44",X"11",X"44",X"11",X"4F",X"11",X"EF",X"11",X"F1",X"11",X"11",X"11",X"FF",X"FF",X"FF",X"FF", + X"FE",X"44",X"1F",X"44",X"11",X"44",X"11",X"44",X"11",X"44",X"11",X"F4",X"FF",X"FE",X"FF",X"FF", + X"42",X"22",X"22",X"22",X"22",X"22",X"22",X"22",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44", + X"22",X"44",X"22",X"44",X"22",X"44",X"22",X"24",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44", + X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"FF",X"FF",X"44",X"42",X"44",X"22",X"44",X"22", + X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"DD",X"FF",X"FF",X"44",X"44",X"44",X"44",X"24",X"44", + X"44",X"22",X"44",X"22",X"42",X"22",X"42",X"22",X"22",X"22",X"FF",X"FF",X"EE",X"EE",X"EE",X"EE", + X"24",X"44",X"22",X"44",X"22",X"44",X"22",X"44",X"22",X"44",X"FF",X"FF",X"EE",X"EE",X"EE",X"EE", + X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44", + X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11",X"11", + X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"44", + X"E1",X"11",X"EE",X"11",X"FE",X"11",X"FF",X"F1",X"FE",X"FF",X"FF",X"EF",X"FF",X"EF",X"EE",X"EF", + X"44",X"44",X"44",X"44",X"44",X"44",X"F4",X"F4",X"12",X"12",X"12",X"22",X"22",X"44",X"44",X"44", + X"44",X"44",X"44",X"44",X"44",X"44",X"44",X"FF",X"44",X"22",X"14",X"FF",X"22",X"22",X"44",X"44", + X"44",X"44",X"44",X"44",X"44",X"44",X"FF",X"FF",X"2F",X"FF",X"FF",X"2F",X"22",X"24",X"44",X"44", + X"44",X"44",X"44",X"44",X"44",X"44",X"FF",X"F4",X"FF",X"FF",X"22",X"2F",X"24",X"24",X"44",X"44", + X"44",X"44",X"44",X"44",X"44",X"44",X"F4",X"4F",X"2F",X"FF",X"2F",X"F2",X"24",X"42",X"44",X"44", + X"44",X"44",X"44",X"44",X"44",X"44",X"F4",X"F4",X"F2",X"F2",X"FF",X"F2",X"42",X"42",X"44",X"44", + X"44",X"44",X"44",X"44",X"44",X"44",X"F4",X"FF",X"F2",X"4F",X"F2",X"4F",X"42",X"44",X"44",X"44", + X"44",X"44",X"44",X"44",X"44",X"44",X"FF",X"FF",X"FF",X"F2",X"FF",X"FF",X"42",X"42",X"44",X"44", + X"25",X"55",X"25",X"55",X"25",X"55",X"25",X"55",X"25",X"55",X"25",X"55",X"25",X"55",X"25",X"55", + X"FF",X"FF",X"FF",X"FF",X"22",X"22",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", + X"FF",X"FF",X"FF",X"FF",X"22",X"22",X"25",X"55",X"25",X"55",X"25",X"55",X"25",X"55",X"25",X"55", + X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", + X"AA",X"AA",X"AC",X"CC",X"AC",X"88",X"AC",X"88",X"AC",X"88",X"AC",X"88",X"AC",X"88",X"AC",X"88", + X"AA",X"AA",X"CC",X"CC",X"88",X"88",X"88",X"88",X"88",X"88",X"FF",X"8F",X"FC",X"CF",X"FF",X"8F", + X"AC",X"88",X"AC",X"88",X"AC",X"88",X"AC",X"88",X"AC",X"88",X"AC",X"88",X"AA",X"AA",X"8C",X"CC", + X"FC",X"8F",X"FC",X"88",X"8C",X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"AA",X"AA",X"CC",X"CC", + X"AA",X"AA",X"CC",X"CC",X"88",X"88",X"88",X"88",X"88",X"88",X"F8",X"FF",X"FC",X"CF",X"FC",X"F8", + X"AA",X"AA",X"CC",X"CC",X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"F8",X"CF",X"CC",X"C8",X"88", + X"FC",X"CC",X"8C",X"FF",X"C8",X"CC",X"88",X"88",X"88",X"88",X"88",X"88",X"AA",X"AA",X"CC",X"CC", + X"C8",X"F8",X"CF",X"8C",X"C8",X"C8",X"88",X"88",X"88",X"88",X"88",X"88",X"AA",X"AA",X"CC",X"CC", + X"AA",X"AA",X"CC",X"CC",X"88",X"88",X"88",X"88",X"88",X"88",X"F8",X"88",X"CF",X"CF",X"FF",X"CF", + X"AA",X"AA",X"CC",X"CC",X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"CF",X"F8",X"CF",X"FC", + X"CF",X"CF",X"8F",X"F8",X"88",X"8C",X"88",X"88",X"88",X"88",X"88",X"88",X"AA",X"AA",X"CC",X"CC", + X"CF",X"FC",X"CF",X"FC",X"88",X"8C",X"88",X"88",X"88",X"88",X"88",X"88",X"AA",X"AA",X"CC",X"CC", + X"AA",X"AA",X"CC",X"CA",X"88",X"8A",X"88",X"8A",X"88",X"8A",X"88",X"8A",X"88",X"8A",X"88",X"8A", + X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"88", + X"88",X"8A",X"88",X"8A",X"88",X"8A",X"88",X"8A",X"88",X"8A",X"88",X"8A",X"AA",X"AA",X"CC",X"CC", + X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"88"); +begin +process(clk) +begin + if rising_edge(clk) then + data <= rom_data(to_integer(unsigned(addr))); + end if; +end process; +end architecture; diff --git a/Arcade_MiST/Nova2001_Hardware/Penguin-Kun Wars_MiST/rtl/PKWARS_MiST.sv b/Arcade_MiST/Nova2001_Hardware/Penguin-Kun Wars_MiST/rtl/PKWARS_MiST.sv index f87a3647..b9ad1388 100644 --- a/Arcade_MiST/Nova2001_Hardware/Penguin-Kun Wars_MiST/rtl/PKWARS_MiST.sv +++ b/Arcade_MiST/Nova2001_Hardware/Penguin-Kun Wars_MiST/rtl/PKWARS_MiST.sv @@ -103,7 +103,7 @@ wire [13:0] gfx_rom_addr; wire [31:0] gfx_rom_do; -wire [24:0] bg_ioctl_addr = ioctl_addr - 17'h8000; +wire [24:0] bg_ioctl_addr = ioctl_addr - 17'h10000; reg port1_req, port2_req; sdram sdram( diff --git a/Arcade_MiST/Nova2001_Hardware/Penguin-Kun Wars_MiST/rtl/PKWARS_ROMARB.v b/Arcade_MiST/Nova2001_Hardware/Penguin-Kun Wars_MiST/rtl/PKWARS_ROMARB.v index 491812b4..41ad1ada 100644 --- a/Arcade_MiST/Nova2001_Hardware/Penguin-Kun Wars_MiST/rtl/PKWARS_ROMARB.v +++ b/Arcade_MiST/Nova2001_Hardware/Penguin-Kun Wars_MiST/rtl/PKWARS_ROMARB.v @@ -16,13 +16,32 @@ module PKWARS_ROMS input [31:0]gfx_rom_do ); +always @( posedge CLK ) PHASE <= PHASE+1; -always @( negedge CLK ) PHASE <= PHASE+1; +/* +wire [7:0] gfx1_do, gfx2_do, gfx3_do; +wire [7:0] gfx4_do = gfx_rom_do[31:24]; +wire [31:0] gfx_do = {gfx4_do,gfx3_do,gfx2_do,gfx1_do}; +gfx1 gfx1( + .clk(CLKx2), + .addr(AD), + .data(gfx1_do) +); -reg sd; +gfx2 gfx2( + .clk(CLKx2), + .addr(AD), + .data(gfx2_do) +); + +gfx3 gfx3( + .clk(CLKx2), + .addr(AD), + .data(gfx3_do) +);*/ wire [13:0] AD = sd ? SPCAD : BGCAD; - +reg sd; assign gfx_rom_addr = AD; always @( negedge CLKx2 ) begin diff --git a/Arcade_MiST/Nova2001_Hardware/Penguin-Kun Wars_MiST/rtl/PKWARS_SND.v b/Arcade_MiST/Nova2001_Hardware/Penguin-Kun Wars_MiST/rtl/PKWARS_SND.v index a6721203..bf8fe036 100644 --- a/Arcade_MiST/Nova2001_Hardware/Penguin-Kun Wars_MiST/rtl/PKWARS_SND.v +++ b/Arcade_MiST/Nova2001_Hardware/Penguin-Kun Wars_MiST/rtl/PKWARS_SND.v @@ -124,6 +124,24 @@ YM2149m sg .I_IOA(IA),.I_IOB(IB), .ENA(1'b1),.RESET_L(~RST),.CLK(CLK),.ACLK(ACLK) ); +/* +YM2149 sg( + .I_DA(ID), + .O_DA(OD), + .I_A9_L(~CS), + .I_BDIR(bd), + .I_BC1(bc), + .I_A8(1'b1), + .I_BC2(1'b1), + .I_SEL_L(1'b1), + .O_AUDIO(Sx), + .O_CHAN(Sc), + .I_IOA(IA), + .I_IOB(IB), + .ENA(1'b1), + .RESET_L(~RST), + .CLK(ACLK) +);*/ assign SO = SA+SB+SC; diff --git a/Arcade_MiST/Nova2001_Hardware/Penguin-Kun Wars_MiST/rtl/PKWARS_VIDEO.v b/Arcade_MiST/Nova2001_Hardware/Penguin-Kun Wars_MiST/rtl/PKWARS_VIDEO.v index 3efb2f85..461318eb 100644 --- a/Arcade_MiST/Nova2001_Hardware/Penguin-Kun Wars_MiST/rtl/PKWARS_VIDEO.v +++ b/Arcade_MiST/Nova2001_Hardware/Penguin-Kun Wars_MiST/rtl/PKWARS_VIDEO.v @@ -70,7 +70,7 @@ wire [4:0] PALAD; wire [7:0] PALDT; col col( - .clk(VCLKx4), + .clk(VCLK), .addr(PALAD), .data(PALDT) ); diff --git a/Arcade_MiST/Nova2001_Hardware/Penguin-Kun Wars_MiST/rtl/pll.v b/Arcade_MiST/Nova2001_Hardware/Penguin-Kun Wars_MiST/rtl/pll.v index 99e38b86..d4032490 100644 --- a/Arcade_MiST/Nova2001_Hardware/Penguin-Kun Wars_MiST/rtl/pll.v +++ b/Arcade_MiST/Nova2001_Hardware/Penguin-Kun Wars_MiST/rtl/pll.v @@ -102,9 +102,9 @@ module pll ( altpll_component.clk0_duty_cycle = 50, altpll_component.clk0_multiply_by = 16, altpll_component.clk0_phase_shift = "0", - altpll_component.clk1_divide_by = 3, + altpll_component.clk1_divide_by = 9, altpll_component.clk1_duty_cycle = 50, - altpll_component.clk1_multiply_by = 8, + altpll_component.clk1_multiply_by = 16, altpll_component.clk1_phase_shift = "0", altpll_component.compensate_clock = "CLK0", altpll_component.inclk0_input_frequency = 37037, @@ -180,11 +180,11 @@ endmodule // Retrieval info: PRIVATE: CUR_FBIN_CLK STRING "c0" // Retrieval info: PRIVATE: DEVICE_SPEED_GRADE STRING "8" // Retrieval info: PRIVATE: DIV_FACTOR0 NUMERIC "9" -// Retrieval info: PRIVATE: DIV_FACTOR1 NUMERIC "3" +// Retrieval info: PRIVATE: DIV_FACTOR1 NUMERIC "9" // Retrieval info: PRIVATE: DUTY_CYCLE0 STRING "50.00000000" // Retrieval info: PRIVATE: DUTY_CYCLE1 STRING "50.00000000" // Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE0 STRING "48.000000" -// Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE1 STRING "72.000000" +// Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE1 STRING "48.000000" // Retrieval info: PRIVATE: EXPLICIT_SWITCHOVER_COUNTER STRING "0" // Retrieval info: PRIVATE: EXT_FEEDBACK_RADIO STRING "0" // Retrieval info: PRIVATE: GLOCKED_COUNTER_EDIT_CHANGED STRING "1" @@ -210,10 +210,10 @@ endmodule // Retrieval info: PRIVATE: MIRROR_CLK0 STRING "0" // Retrieval info: PRIVATE: MIRROR_CLK1 STRING "0" // Retrieval info: PRIVATE: MULT_FACTOR0 NUMERIC "16" -// Retrieval info: PRIVATE: MULT_FACTOR1 NUMERIC "8" +// Retrieval info: PRIVATE: MULT_FACTOR1 NUMERIC "16" // Retrieval info: PRIVATE: NORMAL_MODE_RADIO STRING "1" // Retrieval info: PRIVATE: OUTPUT_FREQ0 STRING "48.00000000" -// Retrieval info: PRIVATE: OUTPUT_FREQ1 STRING "72.00000000" +// Retrieval info: PRIVATE: OUTPUT_FREQ1 STRING "48.00000000" // Retrieval info: PRIVATE: OUTPUT_FREQ_MODE0 STRING "0" // Retrieval info: PRIVATE: OUTPUT_FREQ_MODE1 STRING "0" // Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT0 STRING "MHz" @@ -263,9 +263,9 @@ endmodule // Retrieval info: CONSTANT: CLK0_DUTY_CYCLE NUMERIC "50" // Retrieval info: CONSTANT: CLK0_MULTIPLY_BY NUMERIC "16" // Retrieval info: CONSTANT: CLK0_PHASE_SHIFT STRING "0" -// Retrieval info: CONSTANT: CLK1_DIVIDE_BY NUMERIC "3" +// Retrieval info: CONSTANT: CLK1_DIVIDE_BY NUMERIC "9" // Retrieval info: CONSTANT: CLK1_DUTY_CYCLE NUMERIC "50" -// Retrieval info: CONSTANT: CLK1_MULTIPLY_BY NUMERIC "8" +// Retrieval info: CONSTANT: CLK1_MULTIPLY_BY NUMERIC "16" // Retrieval info: CONSTANT: CLK1_PHASE_SHIFT STRING "0" // Retrieval info: CONSTANT: COMPENSATE_CLOCK STRING "CLK0" // Retrieval info: CONSTANT: INCLK0_INPUT_FREQUENCY NUMERIC "37037"