From 128399ddf58deda60a04dbcff7cb721a5525026e Mon Sep 17 00:00:00 2001 From: Marcel Date: Thu, 21 Mar 2019 00:42:56 +0100 Subject: [PATCH] add Color Overlay --- .../Release/SuperBreakout.rbf | Bin 243374 -> 240989 bytes .../SuperBreakout_MiST/SuperBreakout.qsf | 4 +- .../SuperBreakout_MiST/rtl/build_id.sv | 4 +- .../SuperBreakout_MiST/rtl/super_breakout.vhd | 75 +++++++++++++++++- .../rtl/super_breakout_mist.sv | 20 ++--- .../SuperBreakout_MiST/rtl/sync.vhd | 2 + .../SuperBreakout_MiST/rtl/video_mixer.sv | 4 +- 7 files changed, 89 insertions(+), 20 deletions(-) diff --git a/Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/Release/SuperBreakout.rbf b/Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/Release/SuperBreakout.rbf index 8a650fe0e2b42ea17964890ecdb2b60396e2c17f..7d7dbffa42967b0bcf5b223c2e633551909acbf5 100644 GIT binary patch literal 240989 zcmeFa4}2Wgb>BO?9@A?Yl4r+jWK7W#W;LKeTC!wCaa5;?XO^?nN`|ZjgEFYhS|S6g zjuS_U>!gnJT4A7B8Hy>3Qq)%YXNxT5C`oO}j@sn&X;Kk3_{=s+NGHmBYT8t?pVPQa z8i9_QD2{Et@9)kIxB!<+fLJATwTHy~xqr?*_uO;OJ?GxpdtZF9H@xq!4E)94eC==k z=Ie`p{ny|8<~P3it-tx^H~!|uuYZe|7rybrH@@+WuLDmF^+xo6e5?h`0G)R|58&K5 zV7H+&cOJH$yYQ8O&UF$wbjAyhAZ$ZkZdS_jtK#zV z^sHEA6TUor2+(_Hd3x>))3cy6O(zX{7H*Z%37ugoN07&h4&m#hL-F~0epi@4@7dGx zG&#P`yUNX>GhEL(-aJ09N8SgOMsd1#gFN3H&@Eng1l{5lt~}lDs#_keG~$o$owVd- zE4&xvd3vSK)8za(6TY7U-U}!`r|C>-`xP!;h^`!;$`$1Ax~tuC$YRfaRr=0UIli1v zIZyKNPTr_(lvgsF0y&K<`-S4q1obv_(hvcKGe8+oRI3enGUYi6+yf{|^emhOo&;7V z$>|V2K`wte9~3WMIBn?UN6w?14~i2VopB!5=`%YfpysZ4L zJOYtb0rLEc7wvgl9Rq5B;*S7%y8N!N9P%)w&*da9PxoR6Pey zrvcIMdq7^!neNX5d0bA5?zaFVZRn&&&kEN4-vHvN4~RD9QG4g%iqrdlZG)b3$iuqb zn=9Y|&=!SdH_;%FEC>`Seu#eYQf(qoyXsv$(OsbDsWxOGS=iHUP}B-Cn#&4rzq}gw zOF(pV#{WVa6fPP>llnp4c6R}Jzxcm_{CVa5sW#-{!qZ8Y%5;Iwdp9~1<^i_@LMb{9 z1G)>h!tyd!bKePk01!QDcgcQdxhtPHw;>N#9d-j31Bw#6^e*|3ZWDAqXCdR`f8K^X zPUY|IfUDY21@x#WJ?HT0Ht2mDp!+8Q@u3qsd86>J0C~Ll^8k>)>#ja15It&hK}Xju ziWaq#%G3R0fJjlAjezn>4n#{1z2xFdZKU!$LFEZDin41Kl3o8p8}yd*ODq;`IpjR= zyo>*xpt#QWP8#&AcJD?*9;Pux<>jH!54YQ=n(*j~@j71dyzK1khbFnZxsK(7PV<@ce!& zpfOwc@-X$=PEcGYsP3Kb8AYdP65XOBhhJ)g-bJHiRQ*Wh>Hat%+Vq@*!laXOxUvg~ zcPcA~PXN*v`MbuWoVITFh;4pSEFTnCKAvlQvx10eShIchN7%!$gPrfgz5IWVW+kboSG3 z&zk&r4p95&AbL8;==%6*8$^c^h%-JIh-wO*sv@nipSl8>CamO0Nnwv_Wxt z*I2a+7;eMI0loh>K=(;NwCFDQ2Y~K5eWF?RV@`+otLMCLg#U+ zWb6I`pnjNx?CCSXN*({-0fpssAvy^AlM_m$7N zT!{}ks1M|Jn(D5yayk^J_smKg8WNAT01DF>Ed3@>yGn&z1}IKpKMTlixeidgY&G#x zVY1QkzNT~vp8z^(QrQCaSKSq-yYLId8-bo>JL;}-ezgsH{$)US+139E(ESgAp9A!q zhv_{B$y^SS!5mb!Ag4p>PVYHSSJI*Ssq7qbx}-B60d)Up8-5%3`8MQXQfJ@a2Jzr$ z0Nry?l%7R{Ah***Zx)h;d(4MPbf@xy$kfLupAVYk29i2b(H=5iVn!zCl7ipa0!rytKDt}6eiI4rFZEp+0g<$ zzX!O*!OYx~m+4o<)=D@%w<}DhIu^i$G^kCk=X*?B(U_ zuJQzWmJXAANw-SZ2-NTY2vArKdOsV8N1dQPtG=0o%IgNKBYZ=BuQN`zgrHlP!k=k_ zp4S4M_y*4d4h%Rj;J|7*ApIwOx-9+78J8KBC2PM_Rs=rr3&y(Z2#q_Q*Z6q+-le6P zHRe1$`Qi^utOyL3=JHoWoc(q28_RV2*)#M?amVXl*(-WYai`aEP1%%SXJxMJBlVtp5NSK zYothio}JXTK;j7NGB{9s$aN_u|FcF4n>vJd@wa|t#xGb8srVDs>B<|bU}%={Tq^cM zRdUts>upjr<3`QeR0u1R5M+g}Qjy0bn5iBrTXA%Gt+uT=;unH9$E38Zn`m(Nr{{w7 zQljT(M~mBvRyY~>4}qa>FW&j{AQ~xHGa`Sa;)5~F2!qsyVwS+?iNZz-2y;~oQ_NOf zm3gLAg9{^#A`EjXT>tzx72hA|zjXA6F6-NXFg4pc;kkc%;W{y#%6^_}jZ=iK>uI@M zg!HO)!10fD=I<-Abx=jt&bEx!hYDn$JhWaEr}8i7|EchEdFrg?x#VA#XF%98cC6xM zz_C>@X<3qfb8L1Q_H%y;3s-#nr8Kh7ow9F-aeN)MYiC7~jg;1pCc{uU{#zURg-Zj; z`AgZ_oYY=CDgUpZCVHfR@$A=hY*r)%DWPr?-tg9{Hbd-7)sb zVR!cnt?K*yFMi-Rj~;SK`|iuOeJOh3F6CKquU?$qcFlR4y;>CQ)$teZs$F0G95p-~ zWG|onF~eN7-TL8~&um-=k`=z?C9i+g+&Zu=&-%?x%iq`Yw(i~?bd>(?zv_5d=i|Bw zTPmN)(MMlDu&$Q)v8spuv46QUysE-`C4#WNjgytdFaO70Wvwmld}i6&YSJV1z~%43 zoYSJry3G6M`zEl~;v0XpZ$@{;Ir*K}L-Lx9=rw2Pr`27t9vy!5X^cMC0Ex5gv3|hI z%ZYy}ELe3D5%N?zxpcKrWaMN+Wo>u_P)=b zZ{0k0XxP2{3mDuo&au8T`+DWQ`ekE|pRd`gC!l?q!QFnj=&$;!;rh`TGaL-beKNbz zQ`Ev1B?(Y*a&v`+nNCFjR0%y%u^qMpD)9gNfC%a!( zPW(D;)vnpUEoZyKgP$Lm-F-B>`@Lw{w^<4+TN+%C?(WEYo|^u7JpkA z-Thxv?U#2o_{wCxA5XueUzwnN zjBAP;7%^*N7m54LvB5~WrWQD#E#>~H*nY21p1+jM^lc$<@S{ppQ~w(JW%SKj*LmM{ z2EMd&-5NAr0=wH9{HXFg`cdWbagl+qf4VZ8qgYw^ATDn0NvA8Sg7I|)A zeX{%b7#_IeX@1m`ZAF$-bH=*l3C?(b_Me+gNIT=RQaVFc`+WSzUbWh!XHAz$MxQvK40=);!m_xMgdex5qv15!Vs5_|@T#x24CCGtH7OrRessc=tc=7Q7-X zTJe5{*Tso1ogwD*;IY$#2qznV>sLtHIpgZ@&qpgK`n)IEI_kAN>%b{q&X2Rc>C3>gCR%lZezL!E+Z)bL z>2FWtobktyeVYqEuQxPVwM?8P;b6+Ut3|!~z?atcru9}&%IZ^A9{r_P47Tsq_K*Dm zDNAEIv+2a@VEM-fEAm{isc&E9S>@Z-up9N6-G#Q1ed6~!Df3s*y~fuYzLIBHCnC@+ z{=2v3$j<$qx87qvaefYcf0s)uVWAga7a!o5x_&`F&6s}9;STKXHMj8j+LQykd(BO0 z?C$go-UwI=0r++Yw%yhAC*O>HXzp%y}r+v76 zW;#HAS3ijCTIAx*U%e&zUz~^Y7Vjg``?+uVkoVNv9*=(T&U?%`I(zQC#~h?H{PdJg z(%F-IN?Uq!Ge_ynzwoj4Q98Q6#k)5AaS)HSnZ&QaIK>dF}VsC)hGwXU5Oj z4rfyFw6idcwSV$2zuKEmYl-c**6i1pZr1I;_VSntLT=V?i+4A3U+=!8$ZwfL(7Kj< z`h55rJ%txr7Y;x5eUC?9p8YXnneUx7hWgcSd%9sx+<6<8IY;j7N$xRc?hHTuVV!k3 z{Pf$+p*#DZ<4{Xu(sKXu?8(e9-#eRG>feS)u*`LI=f`T-XSLz|#z!vbTUYBm+hNTg za-61Ye)SJ#x*FA|C0s?osh?}EpDybk{lO|qTvZasUfi`3nH-SiCj6OEIV%|S?8%pM zyX>`4wvy&Me(bc#Aa&()0C=T5|PHJFwAv^XK%~ep@uBmvD|D5cZO&>~kdNRJMNG)u%A8_X(EC z$mkDn91psy>sKm+S@d&a@yqA?aSeJejj8+XJB?m>?(X8iNb^FDD$d-5A&%0M2`fg|pC zKK-#XcJZR)rxN5CmqO0|Y4cK29wO_pKX@r^_0F=^_}ts>S+#8~aeyaJ{9-qYI49{e zY-f_bFvIfPFP`Q`c^b=p#ZZ~U(v|E;& zzx(AewcoAo=N2~5lWXN092;3N%eqz+OX*)eZthZ**Za_K?ccYFv(vn&b=4FpJAWzb z+sv7c(_t2zo*5x0HE`M8=r{iVC3{?4hKGzYs&c_ZrF*o2djAx$PQx|GOHd)j0h3r56 z(L8F|{WOj&i#@~3?f-twU&pq~=^#kB&4k^(-@9f{wT*2B@dn#Pzi|eldj_}Xwn(!K z=WU19Tu~LXyq39;EdJ@g?n%R%B0HpGHTjlHkaFZy>3Q*nyc6hcop63w>z&ohE4278 z-;h79|GvfsI8|Ub%HH|EPsOkI>6{7cQZT0f$SIxDCBd13g5_NxOIEq<;l6$de{Q68 zqbxl6#!JssmEPf_#V+x8e57zr9qN=8>Ofk)#~bGpR}xzvDvxeL$g*j z|2NZ3+vX@EmbRnNcKDYC?ciRE8dlBxvqmbFLewZn702H3{72tyrEYo4Q?vGgay8g- z?16B;T3bxZ`-=x^+Z*?dZGGjDG+A_`@r~hF`AfB@|5npI<}MtDyk}MH%~#k^u;=>y zlhwx(fA&MQx$7p~u^sO7X?d&b&3^E%#{Af2^~PP^(8b#yiJN!1<+r4lH>@}KwY}+s zQRT9|@w46+)8dKR)oJDK`)h7I_a6(FM;9Nq_WRW9!CG>lyrpp}>3u4EDFw}QgOX;R+$mGW-{QR&{Y`xTM? zkA609n)Tn&=rn8IvTb}TWv8Hh?mBv}e{m;qF%0jKoncU)jaPHbDJeF>1KS-P8! zlwG`{6&C#@Sn?#&RNw5XOXzR$u1PD3!?b4t<$t?++bjr+VKGe-@>^k}*y%2(`mECk zkW;Fp&;3?G#hjH;n${`$TumGMdh)H*Z&M0JYHt2Ry;HjFQ?EU{q^n#DLIW_1Zy8GK zl$K6YDxRT6NK4kuzr7(cna*+vB-_HvJ*-WQg(X6K)fz}eW(O>`ZZvPJqF3^nIE|76 zOVwDJyk;DQR#2yEy%S%3RTu*{e;q}g9ff-vzPvPJpg)Tc*8_bM2W;c?uonm$z@ zEsCl(s8d>|r+@MLFMzzWHod8)X?8Gh&pT;`Pt|TeEx!2TEe#8eWJhPMwvdE1AxqO# zYANw)w7}Up+io5xPEaAl!fzgd2cG-hLRc|;jn2+ksV1?)LAy0JCZi?wTz|A=!h{i= z5KsRwjmCBHofAjWy4{THb~t&~8Z@g`_CGAPrsk~l;bJ(YAg7c&tZA#%oNF`ZKI-F~ ze7<4ThnjKh&P>Es_n#{kJj?NG3A&(mpk`UyOXKQLh=4m2xy9G-t&S4cdVAwQ?QpAj z`~FRTSSz(kR*?EhGBPoDccD2ayp;UNj?z}!x-vaNUSQb80BaS0^b4&G*_ z*IPFo@-51+&~Vg3mC_%)6NCjW&wOe_Gq&on;hhQYd2xz?+|RHSdYg(ZniuR&jeBY> zF|3W?vA@1>q_i5T2Vnr?ks{G~xV2Ffwi<4cVVMU{O|cw#<*~oFfu>$%a~r`I*?|yK zM8Ft2>J|gvNH63{3XCL(OM=9D?mdPt2vc-+wyR4Ru6reC>Je(=6(Kkfwl-gQRZp=b zw}d|P1FwxK=Ik99+OuJwQ46fWD9mY|Luwo5@22w%Tc6oiGg?ZVW-^(bBDk@(@Sq&eO+?IEALr zp9(_Hw1*c*T`z^&_cvT=vU>RU* zdky}TQ0WdgOPA#G|FSI6x?XSy=Hjz=Gvq%T9b@_q#&3YFu+el?#_~~hTnFli%#@~8 ze(qnK=4N!K6Q!09yA&bq%vE8=M9>o+Ga6xW_upIQ3n=CcAPiAp%o)a-<%C*J3>R$( z7BKoe@y7*6ZN$JHox+!KL;-DbvZ$b0g~%YRxec4fdbk)kwagh}$Q2V{4IR_58FEv| zhLGgBr?LtO!!l$U$`#ipbdz!FGQI=E6cYwZ20`FVrjG4f^Hcy%Q`+Lf|AUVdlMHK& zRGqj(1uTP6Y)0(H=I1bN6K7Lx=U9DeHY`PCb2iDxfiFquPBkKDlE%fgi4%ScMP<^9 zeuxTn@TQH|5PGT%Ka-Gf1Edz?gO+SO%p0r{r&e6B4#JwmsT9Kt!$yHYuT^B=@X7wW zPoKik`DAL|4ro7j)GBR`Sa+ZPw$lc@z^Vd2rgxUi#1df?8ZoDvgDhB>3yF3m$2nJNe^SF~uv zaAO)WF>v!muZb-m7xS#h+g30%B4DXc?o#q_r8Etn>5XX|=nhxH5{3-5h@H))r#M>T zF&0mZu!zT^n(j_GZb75;;#C(?&T1f_k)j~9TVST`vRtAnl^#(qmHU*aNAvejKg}>t zx>blDr1}U&9BK#(Yn#x15Zc;6t0fpWE@nvT6cfj-c{Ml#9e!d}Ui>T8z*jK??=kWOVoYT9exrTJgq%jyE|LB%`&B zezP`O8`|eiZb{}_la){Gdey!nCbE6@@l)l?1Kbj!UaR$*7gs7%hv)YdN8QHMWrycS zFP_{|cT3G}K@+`Pjh(64IKuH^Vr^=RGFwT|+A&pYHXe?LT3@;ILWBhoiK8BMHc^=y z7w;>ElUp#1D|Y(uuw9?pW-a`2F(EijMvG}{a!WYX&;x9i=NEw;pKtP$1V`oLbsJKw zdQiBb5?O0=?VPLd?TXDk|9J0cvU3`>^vJd8fm-XPTkH0nQ;%GpHutxNn$x30tw!T2 z_r#w~-0Dny>P^+r`^M($8(JTT#~-L29(|X6*G-2mPs8^#oW^9$Pomg8{?rBt#VtEq z!=urBoPp-_KDws{Xt!7|#o-RP=i@=~R&i@nmj!;>oDLfeI~^Uj>(_58E<9|9!^P%) z>yD4x^$jX2J{zm$F;P@~*AA-=nJsT9-1+Bq`>=yvriwOxnx6#q;o8(Au-d|akD6m& z+S43sxy7S9FZ08S-6}ewS^El<-Q?TQ&EDzU;HIBomfopUG>JfOq44KaU5 zD|Yh7uALmTj=C2zK&O?(AOFc!mLkp3WEha4gB(bm5abl8R(*pXZYnk#^$jVb=O4Sp z!w0SU^(9fhc^BBmbi~}o;B!?b#Nh@O*a%uX0?7Q7N zoMZc~*5vP6Y3!pV@2Fgms%Otc%zU5b4|XjtaAqU4ZRWaN9;4>*-C$jsP^Y^K*m9J| ztlon=oGEONC%p~GL49fr<+1qjuZR4YV-1B_Ga!tw9;0#NAF`X#Y+E4s&F^DLI;Td+Iw`BpIf>GW9Tqu!7GCDmxz&28EqZbCEHjK400AEUJ zvnGG5Whbm@G`FQuM75Io3$1$$9`QU9N%ewdP{$#9kSK^Ot0-edGq%A&D~Sy$PKka` z0_YW_u)f548cv5ZZWOfR*^3|fxzM%c{TKsAl&TH5si^|pe5*{!R(KO{;%_k~dAP~8 zMxjoD#~5G!vIPBxm26cZea+nH?O!P!nj zZDyz2u%%<4CT21s1lTe%@C}eEEM#eP4e}KR+7@i^C6jwb1RShd<(r3N50VgeE66vXNBq>+P&rSi1y?S7%|lpkl7Z zMc+E8+Tf^*e+HaN2_cYwiJ>kplhOD?*$0AQr9wqOXv7GlPy&<0BmOIYhJzw}&DLs^ zAL1%sMJsL8o<>(GA#QirU~+3nwBB~djkPT$F-cVeGBoNPNSI~qV>a2&=_Xo_S?MYl zJ}tq*5?_>}UVi?)yG)$<7$HM0UWE3vA$du_392FTiUU9@`313Th$vMUf{}`9)gdCT zblb0>oeZaA#b-Rnq$WF3ehO3xWDp|T(jwgux-qS5GAgAh*Rkous`KJ6e1~HP219`7 zm{l;tpP@DN*WLkO#jA1XEaIx>A5?P2DfJY+K(o3w0>ayKfALBXqnl#IDW!syQf)*x z35ceGhBTVYH--E10>(%)PfEB{Lrv0-s8Co!@(h{ozq&85_6Wl%Fy(?6Op!{;6RU8a z9y%WcQuOpZI_y$YCNMh5u;VscaWf6$=bw7R2#&7Nm#6Ualu4Kga?BbQAt)c;)I~Vq z6UHtg=}4TEBbz+Ylg;xd-to#zVVOwz?74a&!Op6Y2BM%I5yfXziH3OCK*ee%oCHvv z)sKeMTSb#=rQ;ua=iaeqP0pAdMVclA5iP(~x+P{+aAz=$AI$}q)d3v@6Nj%{9NjRA zk2qQ!t+>Ted$hEW22_p~aCX*GdPU8qQ0C##S_}kfD#pdFN@bjWTB&S){<$A*ZV4hE z7NWgv%|=j$)*)po@B-!?80XB+1nO&X^Sv#VZ-*QCYiuB8N%CB0p|BCxc8|sAww*OH zpt8fw4cOsnj(MC}<^~Qt^$IIq-OO8i&(Hq#4C{VIWi)Xl;A+6jq-0SGi4~r=X!yzg zGZ~O=h%vg+G0TNaiWF03OmW;FMg?U5Ns_A3Ou1Y=yUxTh_0#|Ijh}yYkg_0nq*Aw? zQLPn@*jw#-#hyKq;!Y%SeJI>;Y2mVos^8pmEly=^SL_EnCgZJ`?K{khAZGQhX+PW* zyGPu5W#`=Me4h|F|AZ%&|7Pr&hUVthGB1 zuWH0wW3=Z-=8mNBIjK)Bz!>*7&-_}so-Lr##w>TrJBsD$ATR-w5k>rao|}J0*Av~% z0B;4)u&&@yT(ij8IX7_Ax;=N%S5F0Tm2v#(KXIsiO9yj-=26-Ku;f)_coD5J9 z*jbItUJ_>5I6$(=W-|*O!io}6^8g`+L zbc;>07XBG9P1U|i$MeHsw>WJzk6A%$6YGJbrtp~5EX7jplZujyr=H$yU^~=pdfo9q zu&JIIXQ&(;G&NEph^p_b%GsuMn-mQ*#SWMUc@CJ(lX=1AM+ac4AqV_d1L*%e1uhu= zrBYp{>s%7N5*|)OQ9m1%`JNfV^m@*e1&Ly*rQca7Cfsrh z&lNnB6<9M6mVNLb)^tQ1n1vF}2Uz=wpmr_n!xq&?!;MRTW#Cty`PM52Yoljd8=W=M zuIT~wF?2tgCZ^9Tm?5^~AF{F{q%$^qCU3UZnqO@*mV=X~q%oOHdB@GR9u1(nPkYKk zMu>CxQl$q-4c`72ubAVG;9!kKdRjs+^TOm#kR_k_4{ipKFK8(-1eZo@C4Y}^@(Te~ z-xJB`2#ZbQWO%mopYKJOM0zLgtVloOlMquFBPy6AstIWsTzzBKWJF*&!lI0|sQiiq z9y@X;pMgf`G?;A^{3+@cEl_sohJFK0zPvFH3AK<#kyjENpbT+t3mAcT951|hEkx5# zY@?tyZ;Lc1-e=_Btpb zmq2i9He~UsC_@gbj6^a`3}Ys@#1Y1wScGI%_{t8Sk|hp1)*_d@15;;L6nq!9-tiaf_ees^xBKE44zWakh0KgX1uWF}G7?(}m$f4j< z0L?(;7~d8P+$kb!pbuz94VmOjNMLX#S6rkyjw0wXwkvC_Se8;L$dsGP*_Lb05@n6- zzA`gMIaM3M0tF-#Kv)Ea0^}JX4lxb*i>%(MP$Ksbs}~?{Ul1Uk^ohM`W-{1&Oti?+ z&_XmBOme~#OR=hbN}u>jX1(T-#I&)W%8=1-V)6^4eFBae%PKY7_X*~MpDJBb?c1CU z?PtrcinnX^5xJ#oQ?<4bs_QXMWx!pJOGQA3(uPo{jua>r^EaB)LHr~xg)6?GADEgP zN}W&lC?!A;pst^RT>1>MGV+-gie&;J0TheFdCC80eo`BeA!yS>i-hz?p%U75`{bAj zSt2{&B_q0qq_iss&6f3vKSC#P585?VLP?*lpfzzkEMZba2gr4!E<{5?lG2x8gXJ?k zEdJ(iXIwS94pT7lGbAjX!eImC$$*+HJ!c_-R?#UwG_0+`X)~V!_CV}7{zDh$0wY&; z3DkI4aHb@<(=I2EHX^J*u-eRsivfmSC183f4PSVhtLHYfB_eyHv#%u5Cnn=M({Ruw z+I;buj44j;SgR&|67a$L$p^oxq(-l|@!9HQf;hV^UC~nE26YTUc0>0RGt`n_JWE46 zBVPSA=sHkvq4yhM%`T=D6)d_9Ciu)TM!z;LD*eS|XBEP8t+K|L@ zZtIxG`?Ss~GUC8$*&*w!>BCyn#|fUg&N+GLC!Ki68Yx^CG0Zcd;>V5H4ZMljnG&u9 z6P!fefa}|=E7lIHne)57G=~b9ic`9ad!Q2e&UdlkgOd_$Zzq_Vn`_3S#iVZYapl$S zi9jFJUImiSt$iRK+FF@9~X zY;Sifww>H7HN=z)P82#YV7aa;^v1`%?Pl|Pc%tyR zR9JS!D-{8T{pLGc2vLb@6hjn6#nZkzKMy9RRkHRm9LeXQjJ9x7SWVr`2i>Dzc+H6E z5AC{jmV_B3j3&s`Ix->yV&p8ULmdOEW=f4CJ!jQ7Kq%DFs(!l&D{bL1sEUX|Qdyk- zZ>9!NfRNheS==O>KsJD06=Mq2(=>e6-PFgj_OaSb1|0Kn7k@bPu!))XZK_?$_`+(z zW51>9iM3F?1hERpfr1Q}4q+}?cL>B33J`OURX|>GQQT3?6DKo`j}XFgNK#UuU4g7l z>K=q=ZGdp{3KR1-BB@dbSw)&PWM0@|3A37dlCrWEzxjHNi!~*vDiD zdi21>-~WqnoPs>Ki6IL*oZa?^y(X(Hu2wP1zXImL(3$(7(bkMgM*9V%+4hhi2#o_P zR!m^N5N;IH*t+CrE->d6E?dE!!SJ+Fkj@lfYC^SfG*5k3tWaZKw@Wd+2xbbjlonJi z=fRrMCHLF~lc*2Bn0e!|mwMZn=cCHE&*3UvmQ#;k^vYSy#lU9`1&q}QAR~?fXbn6@ zIz0Ma|JzC)J-_td&LU{ESQ$=(+yB&P&(p$t2Xyf((E--t&mN!gZ2A+qnI48jKTz+5 z*npt07L!Oops4`1rV}X)NoIJB(}3~ckER3J$(kyUnUiNitq~>jDJh(r-kUn5GT6TO z>sJX;?gxb`P1Yr%OhIley(frufffQ-Weki8V2V22XvNp@Ih~C#`}_f^JkZf`mysNc z#`(tne<+&t4~3cO<}9H}&HO)&0d}WgYC_3bxau)#V>Zj+aEiD1q)NldT4XKMODtc4 zZb3`|<89Bs!VmC+NJtj}d3rmRMSz~+6D@-cMeN78Vv#Y~a0pOcRnwF*!cuEqb>nV& zxz+}*bQ-O`bWRO#0T^nvO z)~WYyyqziKppvQ>m|@(AWd(ly6QV?azBNs2cpuRG63sJ;J3-wj$%*-33}!Nm zSuuViM8X}EjaWp5QY&f|<-0Q3Kv`ZXsDTVtUCQ(&((&8M=~0yF*0;T16@^odNY zC7sYtX&zkLB#sv1Xg)|W2CwEf!t*VAi}(ERU!)phjOi9e@Cnmw0DfrzA%3M;-XeYN@roDoEPsz#<7qnxRT zqV$&r?Ra{QHK}%FU~DjPp&!4QC`2J(r5TflVxdO@h6q~E{Nx3&Y@}ETvD%meF^Tib zG_Gg9I;C=`&MALOiS(_tK}C&uqd5~;F;XCOOX->K8xr$L;3F^vC8QaYfe>HeTKuv@ z){hU4dRj$JnV?Jq^H2D!$jmKByv%3JDnOD>#_Vca?@o*|Y`X=N4Uj4$r$}?t$Rsbu zDiS=3fnTG{V}l|{rZHkS(mRPm(r9w=1t2|8S-cS&C|^AUyNJ$oRr?+&K!EHQ>qY8k z2q%+7SU81H>tI>3_Vw3ch%Mn1ZH6@%f})=MgiwrLd@ezqmJTagU^032OGYXPQdLv< zI{;2Sd#wG-SYa~gVv|6`)64nKt8ONqa!}A2n4j$tfWVl*b~ramL5;onag#-aIkkex zgE(d^34|3e8PNl&UKD0hS4<-)NT{$G_{&og_Sow-YJw}IPh^?&V(84I2hRWmv6?T< z)Tk1IgYKz$HMC06$EnMHDTof2r;D7^jYyUt!;5$So@mqmcC`&*2FD3Xls9WaY)+tkn4IV59jxqqrjDx5#(L zrHD?~iBy!L;UVJ2Pj48FX?(X_CU4sC8bfj^gopxlXR-`;=kHBT8x7r zj5kCSQ}nN9pp{Z{c0mC$8mKtlrP2eE#G|#{Rn~H5g9ZOEzgyd}F^Gd0#6e7r(quZA zrci#3QS;@{50}EBg=8Vd#g5VPl)FIp<0(zBz)Nv-@7$~KIUN?`wup=0MY~WLeQIpn z7UDYpiyhhjgv91Kg-ovqIzH)3M~lrlTuHv`W+?IOH0IYD`R6LI8igN~@3^@*y>BQS zuCf$+tMBaLr<=8%-|6Gc2BSN7+%h(br&-65k*~=D+*Pc_GB!v5xK&{L4;pA8R)>NbZHaEF!Vn;X?T}#So7OLK7QnI#t`~D)I3y-0WmX>Vyw|^0u z#?41+Ak<3ZwR5dw3<<}aDCPs0;N&|#&Y05-_VE?bt#lpy(eFg=RJ2)m6^(LH^FOWc z)e+>#I5KJLrdo6Qk2e(~)*;itPg!0~cxLEg(KOuoyR;o{8+s=u4U2p+6i>x;IFVu< z9l|O&%2fX3f>u_aIsOVQ)Z$=ZK`1a`4)3|4`m=PEPa53Qp z1erxlJ>j((8g{YL%0eO)3Ld^`2dcFB$f#Vl;hl3W7IqUp$z_4p0v8LtEUl{6*gtrK zv?c4g`j%HLh|OxRRcD=yk3o+aw|k+o_|K|*UM<%VmyX&SO;EQX12h>UXoMJgrg6C; z_g6?Fr#OaF4O-DHCNYb^77K3RE&Aa`<_Apf%&i|c7!hE!AthKZ#;=9%C^fxxmKU|l zgNby~tm&J7?(-=_bGoI5x9a@R_9&~Cv9iN(R_@_1Mwz6fNkK8S%l?bm(%MW6 z1i#g+&`OoUSga9&@vK!9q=d%6c{PcGeY^NT_ouC+yT8i&QP_NRH*7w-o8JPknl6`D zE4ffwYw8$Cjwwz*c0HcFy8a$MmWa*oT(2G@ZQIF&=v1lz zmn0t5O0GnCtIbyehwu3qPW7j)`tC97=(~#hClI)LY#eKPnZ){7ss-KVLf|KLduC!m z?yLEIEBT+a=z)BQK(v0F{OnyD4m0$zY%AY9ELfT2EpJDICB-w_abJ}-%n1tu8EN{U z7#*4vA#$r7SmA$4t-}t#Q6OL@!T@QE#v2Y*4noXEs*@Eq4BZ||+i8qgH{dQ7*OZ&o*$G=uZDWaIU{v?eS z<`=S1lSWYqAJry!0emu5GKjne9tn=v;@~SCTWZK~5N=Sgz_?UpzCT0DAyIe`X;|}0qn>`W2tQ&gVGLhLQ>{M6_{&;9Nm^7{)u}4eI*?G2}P%Tu53=#5m zaPN0+k_kl^RuA19{QMd~7Ao$8aD+vuF^e2pq0uj#?P#9wJ*U!?KWsWMCnE)?G+de~ zms~fgmqrT1a|J7cQSsdoqgot|Ck5e>yYN7~cwl$1d>{%{L6n7Rp_-W4+wim4Uj!Wc!)`|f? zdMo<-RLxiTqqZONGojhYj~JD3ZfgyeT@h0%I?oJA!*+(EgtnevNFy-ndsLc#e<5*G z>vnH4P3}(of@TRSL(YPSi!)q`#-p?_QsBD)E14+_+Yeaxe(=KAyA|&N*BN$e@%;hc z5tuJWwI+|3&?=7FdsNj(KX5|6TB!J8zVZ}7*c5!xW?A!M8Xsp`UXmX1Fy{PlY$8o= ziJaZ^v6uyl*Uv?j*o!xN8;9`_JkN@}=7ZpoPRtmU?I{~U>pL9 zHSFar*bSLaY{nMnc`iOP+LUl}1q5!(e}D%?_*)M(QXDkU*SXKKm4Y zbtAc*R(f5zluTMzRv-Y`$|VmmM?&Xu5yH|uHTHr6zmc^mzMj!X{^S2+L&XTK24(dI z?jY5Z@JMKYw`Mm@ZZMxi3IU%U(fZa%L8V}C!3`=>x{}Eycw|LaeAxUvn2zZmW{HXl z2U$jhj&%I7Iu^x}!PKlpBg#1A1PG8Xk}$9#_mp!>qW+pCqe#8EzXAYD99Au z&=8o+ZL-B8lnQ0&*963vnG%dL{M6JEK!Ys?(+sPG)?lI2L@D{n-3Hfn#?)Jncq4wfWVuMcGpA1K*shXMEoM4D{F^2@ zPN*F*X$ii7ZfpB=oB--`&^Hg1Br%}eBnwVbaS#5d(B?XoA4yWQ1nHR9Cu?kGSL5Hg zTdp>)2dO2ha*#v$m_|ABB`g_~DbCs*%3@WinewDMYY}3kpv;hr7}3g*p<>~n6+iZ$ z%~zn|&M{G@pNPkJmf$n(qFil}Aq#j@h>g|kY61ZoZJ5v*n%UKwg~flEMGQT{o+$@~ zcgh-S)l8pqP()%L9fK6U5Qr-(S`g7CB)qY-o1PL2y=9^-Cq@2ak1NFxA+NYi-x{O0}lRjy6q#A76gGG%D=4Fr<+|UtPQ2{$x+$?vK!r z^v-C1VPqn`E@EXG9M}0}iD0z-trd??%|iRd7i=7KtXMv?#wX=NTzXu#=uh@VW|{K*THyT%4kc=xTTui!ejuE))`1AJ<^ z+4Wse82B*2_=BQBZev!Q_FKxnQHFHm#fcfEfb4|4Q8@I6EIA~@EHNPWAaLygnEJyz32utW=Jwkf%>G%{JxcPk_ni8 z58E$MDr`86rqDMOn@+MZfc*UzCtgGGJLke;s}xWlezQDRA7(g%Sko3Uo;>oh)D{$; zWKi#}GHT9ac`NyU05cv!;#?HbpULuEb=vEENf zvFna|0rb1WvfWto45(9V*6`#L-tyJ=H_d(|djIx|u71c30}eNS%;0=Bz)Z<3_?hsR{L!fIcYU&1AfYj51E|2r(1ZrYjhr9q7i0gh>>{g@ z_grz98aY?l_7)6J115_fSKN8s!H~+rAiW+5~ks7OQlQmVGfqWyb z4f-i0FJc$#j>IC=DnS~8TL##dR*cbP4eJ9kERSe=bgbfymxUf_CU=B9JI}xAzXX95k^`9@Uthdl~N(snmXLV-XI~R zFaE_oZkY5T?Jyl~h&0iWSTRh#fF$OL8shuj^E-YRgew@HM+ZN)e@~a)DL0}cRkt!KSlz_0L${;EAlbJ|8 zgI`m67F{CFOn%grJYT9o85D0>S9)0d2fQmQN2EHhqlJd!(qn(g-p7y)P(UvA^5l)rFu2JQH6{!K&0(rlM^pw8+y@i9xXcZZThqPS!J}rsbKnt661~etaFYGj zn`2esMtxEs&*+xu(f>eYI)V1ox5Q$a=r%wm!!E;YI*-%)=1tY7|2^?~hqhIgeu^4{Ra@u#Z+A10*RKeYc? zy1jIFQvMAu@)}<%&wikMkjbR-o45bH5Bgu*8@-`g`*M8jt{q=nNS77j^&`t;)dy-@ zKD#%~%DCYlGHOe222zAf<DVtt}f8R?-=Dh>utGx1- zR&Pyedn;QvyuY^n7iw3gmoIcrx&4d&-r+HWa?8~VTkY*n*M`Qg**{)No-8fg8I|1q zg*W(jmiM^VR91`f6_-`-s#PD@dqN1G4}JsM`vh#7)F(_#od3W?-*8z2!q&>m0mlX$ z>%xISuk6B%x?tK?9EUWKWmK0mr&9rDxE9V?Ei^C33*AE==heG~ifI_H>CHaI6bc zdIk+R){{M5A_pAn!jzst1CI4%PnXC6$GR}3XV8FSJ=xPGa=@`JOz9ak;8;)gbcq~r ztP4|m1`RmYlRaG`2OR6dl%7EYj`d_um&gIfx-g|@(12q-+0!L*z_Bh&=@~TOSWotJ zi5zgO3sZUq4LH`5JzXLP9P7fAo%x?tK?9EUWKWmK0mr&9rDxE9V?Ei^C33*AE==he zG~ifI_H>CHaI6bcdIk+R){{M5A_pAn!jzst1CI4%PnXC6$GR}3XV8FSJ=xPGa=@`J zOz9ak;8;)gbcq~rtP4|m1`RmYlRaG`zfCyStd4R9@p|By-R;BS3=+2bUJo+shNst+ zeOczgPBhEdv5J=g$5z3lWl07cTgHx6y!4r4&8p5kVZTRhE1I&UEW2m2e=hrbZeXWV zj$W`i&PAKHvCZRs6?TJV+smNfG&pC#4j=I1VUKMOwUzaCY$a&1*Cdx)*v~FHz;Pwo zoh{;zE$=Mnga0q5rm}+@yS3Tbo_?Iqz&@mjcG1(e-buvHr0fyLe!@C3M5h=e?2tFZ z;RxxS;GHRu%nJi{g=E`24zd{W&Bl`?(5`#J%Hb%NTxWKH4ziv2yawC)hLZtNN#wH| zDm#&iBC}=RNa7dR)|X;*R>H70<#XZ&TMS0jBjQL1v%RY}>0@hK6~P9oI)#PZ0S$^z z+`nwswU{#@k}O6W9s+DPX!dnw(^$)e+Ky1e6n114!_01mP^G-w*n;g(9@Q-ec4yX+ zcXhRbHb2av#pZe5Eg;R#uuyPTe47YuF=)6VN_hU(yObmYwjhk7(RgP%TJv`6sH}2)5>5i)xF3!b{qxf{ddx^UhwE9a16Z;KSp2njne(G8&Y6Z?De}@t zVrQez&ihr)DdPC#h<)KXJtGR;(gHO<=A9Tz3ZE}T!F2@=4))>&H%)Je*fP{(S7k3A zfkW3JK@po_bMQ(SlovM7BpkTnu}gGpgCf$d)tqqg_?KR(Q#900F>**xDMif`P?K|@ z5<5|HP!s#-HaO;z{UyT(IXS}{i8dZ^(}bO;qst0O0`F4}^P!)NB*vwf;F zxs3^kh=?I6rF=c`%4Ws7slyjcY-kgE$-mGkWxa)Kd`K6UUf=Nv&Knfx*F-% zcFJ^=PBXVh_HaZ7`pp?H-2!vi)%%%m z6}5+Xz?P*OnpL(0FFG;O9O@7hLINwIe0DfTV{p`oc8d--Muwfp5Asi8h)_7k5!oYe z-Fzh?QGy!hy|gvV2+DRe5=o)jY}?MUU8U&RYjr$Q>PJzCY95U_5(-ukl+ zP%)=OhZWTDcmE=I=t9cPnB#J~FlD8v{u;-~dz^cgh#jC#B5w3UHj>RG0v#No0*5%i zhGQ~ehBysSmFy5A&X1)gj=lI>@26bVie}~pmqI%+f&k9M>@2I}(hX<}YUzI1qf=wF zo3#qIIQI?grU3CS=ES2mL?8b_^AzYB>KHqnH)h@_k!Gg|E+_$=#KV)Rjc$w$T+LRn zL?dz>1|-oEaLfe{PU((!aB3a01?$y2vVZC{Ie=GHQ4!R&eP$8Qut9@E$|DIBzMw24 zW!vn!>)4g#`#z|gLY7G1=z-G&B*{t%kTJU;(YF2@NFda-pxH`Y4SmZJGc=mgYNf>o z-e+0!;8P8OAS2$Ck%dqcRfQ&lmbzxN>dru}W|pO3=oeWgfpza}!O-cmFYK6bhaxpH z%n_xqI}tuor!fH}fgm<~PpPBODNi)Nz|AAbgm}i1;qi%2Zb&(DfxZ=iAH`GT#^(Me z8Q3y*=uP?qn$ni-vgNUfryq;wuHGUOqI8lO0L|#Wc!@c=tIYvJcVHs(OU7PP)9eK6 zJOYa+dvqi9cK5aClzm{T(niDLd|6eYCkp7ayQ%Fn});`N)_;l z%dcn4a(ndp8V9;_`m^t6$5;A(5Ct5_W{yiU>~_2%?JAFMOE}~TjX2R@gK`=od(R0(>P7Ar&?EgBlDY8SNiD@FR3>kfK73!^%=Ji78mNpQFpS+nS46JUUZ^% zrePnqcGYzF8z&*q5Gbn!&hIihII`wxT~ryu#9@%+ROtX`QQ96`YJ0JppyxUJPXF#M z$BpUvI61I+rZ8T(Ac85Qc`~De?-DCb*b=*8FU)gBa>~(L zm6JFAO1Sfo-~3A8roVG>eYiGt_m*0+Gj@u#8BVh)&IWVVzF`*)$l*3K1#3dbUq^Mj z^>!V9?M%j3rZbO}k8=EJR4*-V-prv;zq2`dsQfR+zFbaraxhcX-paP+pD%GzP^*Nn zZaTSnbKTa%M5|gEtCzwLjF+}f&K(`{?!9|rZjQsW>Lu?+PRp`xoS%pv`^H9dMAA_m z+0Bu}2r_3DJsBV==uw^Bv=8Q3buK!In1@!$+^l$0ndY|^E1MYu$2s>13PPP@C3vWu za3a$~2g}_Q?T$w{u*+)grUIPw)rsnFw1V?>A%#$OWqD2+z_OCtz89J#dJ^G$o{j{Yspx;wP zKA2YG)GQreCAM&`5?slUak83?8$+cf_|1ikA7zM0#S35)!a>VaD&|?bm!q~Qb>^g} z)2oipPdv%4@pYTC&g!px;@Y9Ww}K#S)Z7|+rL{?1;5;c>qK>MKPJuLq!>0DiM#r3)7_Sd`YQ>?&D}J6z>F6!fsdZ`@Nnij)W@Rdi z3P>ZP&0sT?6ff}yi87^tUFAskx-`wE0^BkGIXE$z8XrN{HcgCM?_f-9&K;&U-L0TlIo;8VMe%AEOrx0V0Wxj#Kj0&!p-DOM}p~TQ(^HzMk$?A z#&KoMxscux7Q_2%KX~>Fn z1VMdja!f?V=0GYAtJ2Y550z7$O7`L%8%V~<+CiYxs3@U*{uO#QFHk3MIVH}&qCgH< zqZM=}$^kE-4LD01vE9n1^op}|+Vy-q;};<25d1yRCLY!&mi6pEv0;4CLxHtvmFGg; z0@E_!h;6at&-XrOd%5suG^O_zlYsyxQ_1 z@2R&v9{u2*%g?)dQ*ux1=CMP=!%u(sH;*1#e%{rWtN&-8cXdg*7^_9H{~U?X9?Yy5 z!vGL$$? z+PqSn6(QZk;&x$*%3I?IW$8Mj+32mxG|EYCxELdQ5@upzR|hl26p|rc{G}(9$~Ffz z^B}i?z9B&_$^<4|55u_SwkUMQ;6LU`6{)f=~9 zR0fID7%l~%;B@aIXA2g=3;6swMQkVBt`xzDg(Jo>)w!@b1XV1uWJ_Af0kTACa%X`g zr~>+F3D=#Guf&bv5g+QX~zBbp8i zDn>Gjv8qOKQL~Yn@>0CQ7(YK{IFR8MPNs6ZMj|}O^p+YU%l_IiPI!vvM~X)(&Sb+) z9eaBLzjv79hp3=?{PEY0ZonC=xVx&>L5eXmdMT19fvD+hYgH+x=!GbasU{5o4bhbz z?!^MAqY;!Of)*5LpF7JOajHYpn4z(e)~hR#JXGkW9is4oO8OojhJ{6`PS^z63}`Ps z=!ULLc~rFgQz~IAS)@P?OfVP7yeGB%!}N@za@B~@;Z6XPI=WG^ zh)>&f@O@m`&E}wzn1~4dY@9^3rBT5)XfndcIZ}A^pIi?b1^AG}jq{mJZ$%hEN%>%p z?FF^HK*iCGY{XV~>Vu4d7>mUmwM+(zbT|S;e2Ajt#y^elcy$7LocNrj6bK8mW0}rh1@HN3*E*%2{lPa3yhs!^S1jO%RF9edg-IT z`AX9TMN8&=sgGBnWD*f$<|l;)^HJ~f`ev3x`+sr5xg1o@CVV9 z2+JRqlW0&{MmtJoB~n!oI=EAMv%CfTWt!%PZwhCTu7LSG@S4*eg@Ia7ijc>=>Q8Ef zS6=Ausi7>yAC*+dORq-GLJ&ERKwu{E^pTLG39Hsjaq++Y{FNvs8#fZ6fzi^}6oxjI zIuTN}1SwQ^9*u5p*NwxQO`pfbo(gmm~|uYqBa-O>2Tss!; znU13Ah%;T|2fYKXccMCv5#VEAI>kDUbJ3?j@-e{DKVUYFr#RHoV;nm}C}b1~>M*7Y zCJ1yglH5Nw=3aBnJy%Ej?+>1=-gjAajdk68<+uI2@4M`ttM}hOcEebD!`S}&FH6Rf zd#+A4eeN1J8XM>IqTlnr_S7ZL=Z5Q_tLzz{sK)i$Q+G|whEv;)Y@+48neql2Rtx6) zYK&6wEv6@jF_ZV4Lmi`0D<0>7A}humVhut~Q1_S@{2Os+asJf~AW5GiCnxiiSLACYa@&7A$pq9>W zv48sw&(?k#r!TnQi|UuTjnY;3e$Nn706TnU6zY}Ybbv(poa0>Zw{m#dv;M^NK3fu2 z;n?UMz8ru3(IG?5inbDLl+7h)cf%r#=1c4F#z1pUq~QqT_os)d^$Hhkd^D>+L%iTKh?8kA1XijolHw_Tpij>*HUV*sGJG-Pveob*p^j zP50y8S)5!Qhc(X7o~-(u=FQ#snVb$RZxiP|Wg;R?t@`c-O%F^xi6@Sah!WAXg_Uwh zc7cc)2pZc~)XmT}@np1Vd*c^^ZN;Nc#fPdFD-0it6cS$7-dqJKnY-dQ*e8 z{WG88>dj@w5xL4PHRZhLeKr$V&}21tm?;6{y4G!xfa@xH8bjh=deoy$<+RGnZO$>V zX4u6%Qvk1nq7xLYZHLNXnoK0N3QZ(4gYKPA6cxp z5$(5WAIFClX9|J8sW4ikeiyrFJ0>Wq*oyMv4I#QBHzBiGxX~#NGXgyqSx}^g6LXF- zZ{n3UeJPn3uWp;gji!U!+uYJa-`R{k4opu!>y_if)ikOfhDFxf-QA6csu9Vo+wa_n zL^r=mo5UMj&5lsMbUOMG3k!u{s6ciooPu{l2i7}EkO=^ubLpXrnUl0(>6qbH0?$xy zLBTovI(i;l7oTeMSwFQE>pfQDv%ge67gjK zL`;S_2TnI=NmwzR+OKhu%9;rVVdYQq@!7GRDVM7}Yg_T5GE(vOU*kk>$?XEs=|h($ z$=EhGPQN^hh}`&HC}6pwKsCBV$F(Vb}jZb?*Zw z*LBr-zIuM{6rGM!_3~UiI*rn={7NY)a%>sLYeIJN?$fPyNom{Fow6u|CRU4TqZos2 zKFJO{OmN@UeNroF#ZqvxUSJrv)147ah{2x#v&`~|%2Z>Kk0COX{j5J>8OO$E_!Dr; zo6oL82H5YpCHYU{FgrW5yPtVdcUQeX_nv$1x#ynqyXV};6!>6HE~!AM=RJ(`W5?n#miL!b zH_c*R0&WCbK?u$s<0(2*Y)xLSEoR{e0&y?o#^_PFkE3^w+fy@QlDyM857J)1v z#eC3d-t%pFC;3D?l-GVoKlXDM5sFFoD(>_WLh&tcc@F6Po)PaP%sz7ZjOzwb-m^$7_GSS_X3@+S^Y zBpCOjeu1$C~ z%qd_2iql#6%;_sSX{PgToeFf4j%++98H=XHHq>mjPsV2kX}1u zdAw4m8e$}(cIu;XF>yoh9xTs8+~p|oyf6L!o8q%!nqsSYOV#CSi20RFt7fSdhckYX zNIi*EOVc#q0Y+R-O)MDc6pL*QzG|=G+FBn4HpF2TS%1<$;bWGm6TT;JTfVNTrhV_K zdg8yuub=Rns_7klG#XFQ9?OIUBzzE;RnSji9+JTn>P|KSAr{V+6_``Ei5U$JuE00w z@d3?1AI##mq^OL&bB{3>&@$GdH2{by+d>y}qV8R=zZSD;Ngz_Ix|VK3ywt#L6v#t61`v;2C?&-(~#oOEZsr1Qq_~kau#=fqv`b+-Vuo>b2)tkDm>*~(jr#lQ(^( zo2@ub!)Rhp$z;v9U=Zl-^bjh04mo1wf{&e?4^)`C{zbY1VYlX7!PQD$&e~zQ&l#;! zxzB}EjD)5##`p=ggJX0o^&?X+A6wV`9iKxtnW#!Xkpl5Pbn>#i$*>SP&8SjleTx|L znR;|fagCXf`hmU~EtyVzuSumQyRk_T_<|VX-qxQ#BJn2BFLEO8(<@FEg!=Fi>A*5I zSx8NCP^S2ekV}2uQBWp%-a`r*VH!d>9eT~!yNv@8X|XRmqt!iZ5zr@7CWu-sx$@>Id$`gJH& zxqfj)X*nyMG79KXG++ zxJX5gK6^|!qxjMGV&z;hqR%-!U6LY1vSi>P=6q1^{mqi&1)K(HP9Z=Vl=8tHv^bSk zt5hHx28c?Pu#z$Df-2li6%?yk;yFuWDzKF?KkbLukzREPh4c4!UtyVWU2uYSJaG7m zl-(B&Q6-<@CoGo!=N3RMC6k_fWzrqSXQP4CH4Wzp!K3Ho5wA&Ol;)qez5B=FI5f(Q zas zgBH#jRRr<*c9kAs09CGUzAw2pBHAmq1YxP!WFCYw9xh3c9rE?Y!{$D`7-Z z3G+PerZqh^znr$>aIDo{9)z2I(t$}?fEHgs5D02jt$BEBErAbO_a5VeHJf=C2l=pB z;2dLW$TRYTTGAjw!O19G#b2nzedV1f?cAN7iWI@EQ-mohy_d+X*~{upPwxo>TPYpd z)2!eAYj1?d4$}z1I~=s}Ko~YaR0ZsK-o-g+JWMEQ0eg%Uy>sF^)q+i&481^P!e<2P z82vAB2(`HPD&A8fQj+ty11;;{9HEv4FiCyftxz}XwQ_SOSVtCJUf1e-Ui^67TTilm zb@kNB-1e`UxnFua;ReNlJCgO|_MthP=?R+r?jd}N;E+&k9sD7*B+|%oC#`!E*$2Vz`e5^_&!91kY zLI>yEpUl;%nya0!5n|AqzXO_Zf@^*TwlEWPhF&)5|pEhc1!yetI}7?VQ(o=c7BmYr5ePhyZ?f>N@+kXc`&g=9OMrx}I%z^rt*N%ivA6b+U3_0!u3@HhDFbP`9 zXJJk}SyDScT~!JK=_r6E9M=qjA>^U@+uw$+O4F>|@VCX8`0F|01luMP#0%@bm=rLB z@|ax$mIGD*c}#I&1|+KUwZ!WuNoN-QCn_9na1xop6_4$JXMl)y`a*4FOG@v&tRPaQ zN(araOg~K5p+$CvbmDuz|8fx%g73?X=+U^8A|;6gHAue@p%;R~K!Z?$oV1wXL=@Vt zy05Kyt^IYX7gH7=RW4c3l(njvE(uq*#hW84Bx1(NiB^*(VMM`@%4MpM4tZyC&5LhD zM6BQstq2h+2w$M}d`$#c7=7gG6HZ?Wzp)`22<(u@AZ>HD%t<4UQAnFm0u8i-DM4I` zlzNUX`PF!>`cVBIke)%j86mFKX#M*pL3W6ka1_BCDfprK`?&A!A1?D?Tt2^2YWc^F zVuTYAQjvQt!Nm5Xcz9N6TmhGu&(wfFaL(&;d6etDao- zjDsf%nefJ)7q{|XkTm1ULFYeyv}a|aQZ zehN;&TJSjXxl}?zPUE3vZIBfprgN*z%bs1M6Cg0tUr8Cn1h3Cs}1ir!+@J8Na;vp7qqk}v#=%W7Z#M}kA#>%uG!FFQ=* z`nP{exWz>jf;fv;!Yv-HUqm6^@qZJ{_|uvHCosg@^tZ--jEDi#_?5?hc-PL?K}6pF z*2X*I`_)Uw-v8Eg`%AIC`}ClB&9)~u=(n&3Vc zih-OV5g~yVdlLkm+>@98omen@!4!7OJYl@`(SpAJP6wAFO8SlmQt-+_{0=d6pF4SK zxe|t-U|k2pP2;E~7&o$Hn4$ZmE`D%ZaQ6X}v+-#nPB#5@@7l>i)|V=A&eE9ibkUa( zC4`kkhZ|LhEkt6_ifUG`k8dUyq$KGeDyVQBo1fzT&A(;HPw6KY7iCPhHBQ`1@ z<;X4<)h^VSk*(-Z4Y?vrld3AVI*e?rO#vv|tTt8O^h1_fE6Yi#qDx|F;=cr2-@j9` z0EB~u&Sg|fEt#L9`niP~6t%@=uz_*4r-Z`JWB=4-!Jq*FAmcz>N6fX85w--HmK9gr zbXibi>J?a#Pp%BFcH!f1mCtx&8pF_YW71zpV4zaC+l^n!6A&Wg!j2?46^2(w*h=^m!XnfV&)}DF!q?TR zci%t^>$H+ zRHoE$%Ebqnmai*eFwA^RVFE$|s6(j16foxTb8yTkFg08PO(1}X&X_J4Vsuro5aRM# zT7dX_cbQVC_NoWtU4R_t+okEGnyRR4fBLt*4r{qMznK4+x}Gz7K-j1VKDa-8$enBN zVn^CDqNU{mKQz_}2snU7WVB|nb-Lt6qm}#&PrdcuWFU!6Z&4(ot!MTHmEcs2VN%8K z3iX&bF!ZcQktu4PeP&!kmEi9Azk$Q~GH5U;R`39j7KyxJdt{tQz`;ulO1>u6z zkDiQ6I2COD`me}o$fRL?p2As4_$Vj!NJlvgL&`%+fw`16mHj!b>^@eRx1*?!KIJ07 zLWK-838SEV1j#2?2T2aL?)WWQFq7*U9SC7Uhx~N|NFEYKrA%?U4{J~`c>a}fx!^hk zt1(AoOqb-lc0XoswcS2q)*0dC2WibSN2?cp_m8BFqA27T-APqKg6K@Ar#x%P0ICFQ z2ns}4?lbsB@_>6*l!bMDs2^yqb{M4vE!Q-O?r(KO2G5Vc~pwlx0QISzTk#HN0MOmUF`;?UpBN^+`;t9LN zkVGnou*qI}`fI?yGU6aWBzH7Ec#fWv&T!pKX$DGhYBS%e1#2J@Ts-AGWdmk}Qem3X zL@9@Zg$i^x3gnRT?)hek_AfNL`N9G^h}nULGUBvK5^q5x#7Z@SMh#q)f#{@*B-}xw z8uQI!43V6yY5$oPxWZ!CYi42hSm!flm@LplnmhA}DBO{h-mVsyD$>eKP}7#^K6QwM zQ8G%4t}Q+l7k3x}lL~!(lxmhBif;V$k6*UX@EhoSBp#*-5nzab-2$SiO3l}c2!G2b z4u#oT7&kfFh9LguQ8~ z8VEq_xAN_!n}H&B18JaGZjxM#Y+g^dKe6n!0+Vg?TeW&=uZsNJ`rMMX{Nm1`+R;7p z{5Jpb=Ej4|oj+@F&-`Zyaer>{y0ADmiE~}uJ|CW#Khvn?66vACv3qRziRE~Y!tj%O zE4nT-e?p{3D=4m;J(U-|UEc9COXYN9TmUz~n(&qvI{gK&bBD;87#b4J(%LVw1~R}I zPGeTcN=nP`0AdseKI#<*n%?HYkK+vAL4w5o!--8Lw1dnBTx;Nxv_S!B!#=YxcSka* zxPkZtDT+b^WV~p{;{W2jC73dx3g;hxGgWN@7BEoA8ew!uIRuLch5|8yq~J6|3YY;# z5g^o%XoD#~-H2U2g<52>d!B@k@`!A4D|t|eNg#phG0(kikqkS0c$z7m=H!7H`kNZ4 zZ-#T#+y8w@sxLlp7;-y(Subfp(M3AaQN~DL6km&>4K;0@&Hpti6*#UN(nEdVgzij2 zXb8;Y4(Hh;`Ju1U>A7?Pgk{XJVZ@1aV_tWfhSqJ2dy5hjRDJJbm$$;P&Sz7pnU+Hq zoIs&Tff;Evf|{TRn3|*cmCadt->@#19*;44%sRx#}Dq7|`NXDS*Dob=`kuSTS|O6g zEJvmYbc(P@rPSxzQFj3-v_rx{XcoaiQl@mC(v{x1zASt?JC{J2`J9JAhmcF%8vClE z;T0eW$>tztX}wBR#6#83&OMw=K9i`BD7c3Fg1D^{UnCL%(E%frV1~pyB}n3>91oom z+SH)+*P$?d?}Gsb=J_K89f+ImRUX4u$_n(pM>dCQ1w?67x_z$&pWauc$X04f(ImMS z;birxJOvf2C*kUohhzFi)gBg{3G~mq<}b&rcv8zHrUQe>s+$=J67*0BvR;Z|u&n$f zn-Op#v35tW4u-HQQ=cuuFpeaNBdK(+Z+3=S=&uB4?LxS##LSFgMX4@KLozv_{&1Nd z%*N^2_x{uvqpFC;V!Blzg@6V!5#1%B6T_BIYA}whJWFER12fnqQQ~O-8%w}8P{N>kA3b_<=@#$B`O1wTW za83PUQpgAy*mDsXAO_<<8D8Mo|DOxV_qm`Apo$S8`7Oz`?{cU(GG39i}oCghS>^33mx@#Wx! z(UU1uGE`;ul@+=q%f*Qk6LCE?68AGA5U(S^1(`}qwOW6mYRuuoNR|mzT9S4eX}Pnd zZotdwEK_WT*2%}wNzB1A>bT5JZs9#;09t2SiTCAOM14J_0OXm2@hyLZ2su${rz%i< zWxZu|+<*7D)Jd7f-RGX-leo%qT6w8FC?t7_g%a5sMAhZ1P~(NkP=$vUfPbVRcxbZV z>8xIIzbHTltW9jS{v1Oz4`iN!zL9;KB+!84i-yNs244tqx7%?AIkV6)X zdS41=3Eg13L7srd940j>gF5B@to*ivZ}bRuID7=9!U@V3tRM=VejhPJ*Z?16o|nS~ z*o#%lX8??39p(TvQ*j`Bws@6Z(^?DP-~rT@y{(`AqR@l>O{qeBnxK!hq#7UxehOWP zK-xiHu|PQ?3wUunzwEd->Nc7)LAjvAzDe-jvhtED7vhFesaZFMy7p<`CPaI1m)-g& zZ(h(M>IA==gNJ;U5Q3`j{ zWWMU;DL7W^rSFNC$_bN5z;JM-Iu22r8!e;CUHR_U%X|~+#Y>aFlt=m0dQsZpzIT6k z#YZ`*l)D4D<;mn?(ejAS#&FCKa(bGt3T2R{7RTcV@i8_{Wz~}*gi#hZ+N{NP8$z;h5To@GNzYu$l#ihy1`D5{TxCS!vC66@2D zt~SOJ^i3diVu`O2qyV>;|K8cyjVOA6UVu~t_ir1HVqqNJl3c3fQVo?*GHyp?aV}xx zOh(%6azwloOB3=$CztvwWgu82+Owt-UxYur-~O>t@$wt~!vQf1^u^*8EJ*24QCFUf z8F&RR){$U80T>C~eM{9QDn+rpP5XpFkdt_JO>XzT^Z-?5s1AO^Ug>u(s!;`abn=Bk z$7-}opJU0K#D7#HOo1Wte;6f+BhT^Pn@-H>_-K+OCCV5z{no#@f-x*g_T@uLrYCqX zapI;$TcLfdH{`o#8$rgL0&-oJ*~Cbn#V+Q;(tN%-j-tt2V~qE<)0ZQ?utlm++ydD& zM)LSCb+;_$wyi^bxM!p{5ijJ56umfV`|M6Yv8W5s@ysW$4+`C#(BVYp*6JJJk5EeK z?h}`RGTcRXFWtjKjy8S`0SjAV)~A+lX=p<))8jlyOQlRKb;gBgd%l%f*JJ_WBVVMO zXFg>W2q0aHx6KDcIEzAm(Qgn_P?wst1RJKhvMrhzn7%?_Jw1Q{gue_I=XVwU#{9Zo z>^AK$++HSYuf|5ErCK#z}ZKJhT?qelD(^i;oV-)wDJ- z90(TOd(B^#vY@YzL4?NQ^TqiW#7#3N;3h;ah#Yzv$Lag&P*6r3j8II&IhKxc1TA@d^GDtHU-*&{ELl zae3<-`B{s1|05C;3=c=S8YErRwfO#yF)jLsTV#QrpCd|Ai16O|+Y_I^fsb+t2pHi5 z@l9rf#0drl&;TP((HAwia`8tZ(R)pr&Bdp?V=F_iKV8~2H{)GdXPbsJNz$A2sk|~j zbFFPGm(#_FK1@8oT@~Wb=O&*|J57fVthcVX))wc`U3i79vPt__si#(}IL^tB)*EL2 zv#lsUto05(-);oI7_MJ!@p8U)D&AIG+;&}je88RvPo0@d!&-cA{cv?M&+Bt%X39JI zrWn6x^}w0gap`bN}I7>oAON*ZiwTn^STh-oT7zna3 zAxk@i$+QRv0Y{*9_}BYnQ5=s`%?+3MrI!X%{lpgWr@}Zr6&^Prq>?OhZCo%(t|dSd zs0&K_lgsf(Q`nCp@sQY-jC3fXUX;KHrBMnR_*o@SWtCyVXp;N9M$O-h{pgDZ0@ONtl)*4o_<#wdg7$9|J}Cl$8i|GPG$ zywFLYV*-ldoXhEK9&dRD7O2h{_I7c70@wP?2$(OS&-^$amLc<=zcfHr22lzUsz*!_m_M#d8PRTrY#V!#F_iYS?bhb22GO?Q}559;Ho}yJp(=?nu_jd{A@j=`*E;o*;zCyjxQJe7|G4{gAmMb_souf z4L1Tqcmfp5;?4Ve(E%#w;9%-O-srIIk?wMDJo{vY1B&HmLM$%=SwP{R#RwO=|m zN@w`b%8B@|tdMUDXjo4lIdFwoL2@uiIj|uFuzdNue0tr(*w;OM&wJjy{JIz9B;)e+ zj=G#g4o3I^qW{XV^~qlXhw2{2E?>i;JIu1~7^4R}gV8OXPVS0S#3PD5!`iQD?89|! zi!!H4vaU46T9&pZ3lySUFR`|ubg07O`cUg(tbUIG!4Jjxk)&2W$sToifWRF#*k~Bc z+1J1Hy;y(BTh`E|NPI>`VWMHRvzie zU?9;8Ow#b;{4yq*Vb*eX9?jl*;``}@1`96Hq@bxC#=LgZn6OR?^;9Yj3@qWg^WZTN zv43U2h#{lorclzSuPTvm9^c5a)&V?YCgp-KV;UeaIMq6|LM!gkqwhp4kPlQ z2m_*BD_3-E)?L;>0)&)`mDS1Nvyy)c3yC(yRHoVz>dxv&+gI41V!(?9j|S%ViRy|< zO9sCVPevss>E!W3=P?VkG8Oj?vlwt?yS)zwYo+w7SHDSnJdgK*Z9brF8QqJH#s>Rn zRn84*Dqf$sdxBgz$#9T^(Uvw36~71Y->Y0BKMk+eXiC)lpjaYFOwKVWeCr^@wg8+g(RaVc1u~I8Y zl*Uc8+7yH<1V`0ssgoNl>I8e}x#2onsdn2NL9#)oS$niPUidmO-eNn~Uu1vOtvmKn zL3FU*%C`k9G<51J8?(d&XUJ6A;;y#d5AUO>yX-B{X^5OeHuTcUWDLR+`O0XRCJvR= zL-uemkW-FL7A&d5D&CL)3Br=-E2B2Lc5o^h938*{COebmjUo#kF^`=ABKEzz&^8Mminl6vuQG2N#vhxgr=6NDL`M zZf8(yb2KTL`#w#(Ga(z|!eLV*S1xm%_!0bd zqA$2Cn-VBLPIWk>8?h2-P?S&k*-BD9EM1-DZQ93nbKiV()`HNc%1RPz;t9j>BzzZh z?#nm0>a>TU{mjWcG&)8a$WE8!D}hgCJoQV53@~Z6zWXPxaII&1SQ;zB?_|QMhW1cxgjIM#Zv@mM-;*R{J^eox_U%0IAImlrrd@{Z9?IVUPsmvO7Y&iJhmeA zG1jQM5-{ip7DsQc}LultPl0 zPL>*JnEU}eAkanbfOlDhTzuggiYM%fP764UB<2F5mw{1aClbN^V!%m8c*zkdi48 z;nGf6pc*KOy0w)amPXKd@+kZ>aw{VdcAO3Ac%j1#D|xfZtp*qWH}lHS^&~XQ(g!5Vb5i29H002M!bB_87-0c22PrbFJ*KFYlH#wlpWkQh zkk)91h&Dh1^uA0;3GJXBsfq*bfS9F(bi?K-k#lxL(0>BB8U!=kF z)`e$@(BeW-zEG5wX&%!{E!SwB`F3KoSzqs`+B7B)ZYLh_VBP=%MDU1);M!1Wvfy;9 zX9x6yDY{2g5$Zewqp+N??D-_b$u(=u@6ELhagg*<5saE0C&v?eb9id%Yz#20h;L(^ zE$%&>g-v$Mj(+;LXsqOTfETkp1=Em%ymoJwUauTDrWQ5X9rse{ml85)TXCbhe)b8mj(f ztAj|gs2-lTtU8<9K9rKQ!Lq-^EOgJP#o+NX8((4bYe`k;PQ#%bt2#-K z4^QRn25`@6@66S#AAy;~UF@<|Zj`O~PHfj~fT^;dY?pUL?eashSpoiFN5ZUJ>*;Gl zOCDqV$vf*)=KW_{%TJ!UcQ$@t9_KfBe1+x9;@}Ff!qj1NGM>KhH-C-8DC_MYe5@Ns zzziNFtu*FmI%hTxSLe=YN-R$*oTb(k#ZTdP z?_WNTC}SygR&gr@Zpiq%&@G+Y%c(5cr>Ug-L8Sy5imU z_x@h7OFJw51XIR`VZxzg5qRee5Jc+2D>m5>L2;$qcbhWiWE5Da?{J5G9zzF?&`_u$ z{CJZU3%-+b@=*16O4AS&Zf*<7^d$`@;n!w#1K7xBISxm}bj~puP+hxSy670&ECcfz^P#33O<=3<6WgT9z>o!^WND znXv6Cn=Jt0kj5elh)7ZqUms?1T2jn6{w7f@X@Xk%(&G$S;e&*Sp#ppua%N+juscm% z@Sx{VA{|(jispnl=rSMztS#3ojCD>q6)sY<2Yd)Nm|GWs`-r26Q!g&^Anxd|CM9AU zR=jmeVIJH%^H)wyYMLLs-1?pc2>IfIw;=IJfO527LTdy9p-{ z3TecO^-fNi>5_N!!W8g%ui3aR3@um)GNBiLBTVLOD_yHTzl~ke7g#{_DazZrrY2Uz zQkAA#vKJJimsA8%9_Q&`!JUNJo@^1?2Qv{!L$WQ_8?~|Y>SJ_Mng|f7)KW>}4ull| zBVI;FA2&3;%)X{mgcmSi)~ada=%=fj`|pS+)t6@i2{p?8@Yy(E5EQa(^1|?P%Q9{b zbbys~RNT3%bAF|>vCr6O9cVM#EtOd6i*{h5dsLKwa$S8)R3h_sToxkmElE>(FsDyK zcFt7HaE5I-k_7PPD!`#%BLHJRYDIt0R@+m-Sv#!)Z@utOn9|m*ldKVD!4hW*fj|Q9 z)Qs0x5)46omVZkn1^}0szfrp%yvQk`eu&Cu=L*rYTsA6W^G;N*ddlrkA)AI;7=oC} zRX&rlVhCw=8d$u53txEQ(B8jt0SvF*yy7+a9)CLXpOmQald0rb{MQ{x@%i@4TUY$m z&m!$e7c14+(`{?uq?oIiB%EajXt`?T*Q*W;h)aly5@Shp%0;V+XLt}JQ?pONHUH#I zuX^g+KaLEsZ#h4*C|`Th-T1;2Z-!1*7H8tIEDmcrM6h(KJL}$Bf+>%!%Yu|r@+dYg z!ep?zaP=g5oKt9{XkT;-%ZH?~U{M?ym|A=;*0!jZ_MDCkDxsXzVm80FJJf^Qo?A@@ z@yYOn8b4YxjgQVPrz*vOYK3w9&7U|C(u@m5?dk1Y?X&VvE~iD>Hr;&>tW8b*w~Xh*RbE=bR-8 zY$7YTjXavwR4z4eNY9C#*}(3QJw&CC_}&P-q3hP4iZJhQjMc>kf_Zujb)X5aPTY}HR5`5OX`i3C|kQ!qmF`SzL^t-uc@fe0)oO`@c^sjwZ^ z#$r2W(Zta6p0SGND=VVZ2H}`MFN%0nX4>QF$tca{+hif4QA~C&ti&XJkZwG-r+R%< z`TEcNyG+f@1X^{js$PkAya@M$ti9J8f~ z30S#e0XZ+TpIW{L=OEe+8&kb@WqEg$JrQkuz4r^9yp!uziG1>arID;e*Dx9=K5FY) zFhD*sOl!{~CI!oDhQ)Mi4ChchL4t(fZXbY338!o0bmDz#YgU;!c;SvUf1((+!BQ!$ z>D7|SegWdd|LN5K{x=BR%DP9gAX&pP%4ROd08C{V1e_0u|G-N|V5dv6fCAg`&i&4^ z(kU%&0s9AT#)m#e4^KqIM*A{eyggO_1bgmc{If4FirdDa3LgsHN1UvzBm>xr9)M_t^UdRTzw{>L z7?sf3Q@Jvb?Nb=$)sYVgiKrVZ5$5<^uUI|QbgFHnpL4UL7~B3Cc|@Bv3m>VXMr!BlBHvEV2x z294-$0~^`SLL6!tCe#JPz=6p@^%D-tNo*3Yy!$hbIVj`-5$kpIPPvYmC5oF z&}zA3fAz#=o~fFMlEhkoBp?s6?@?7$NX2wN#7cKb4@%D&1}L*3_s~i3lmIN{?^*y* zmp&2-X>egNJ|!S$THX^zYfI*5HpHYcO87>u0q>h3hsw}UdTVq*0|GCf6)&@)UQW0M zI^p1#hPUG(ez)|NC*U^+a*P00#`}+)W@8OJZf&7f*afxOzJTkI+((lr8>I}Y%Keux zIO?E&MN{LDCW^ZPkLfMyFp4XLR$yfby1<@`sAq2Z(SISL3J8GGWXz((;LUpG1H4g1 zg_+gSPPifz^I4ds>8?gQ4i9cOf-$4@RU_zwYib61C0OWK!!(AF02iXS%jZ*xX_C!( z51A!X`iU=0(^@JLG(=RmLcymdtycDtZATDI**K#^pkeA`@OvU|*?412Db3I!c*9{% ze#qkGRn=y-ZW06-j*Ls3$PgzXmZ;Iu%gqi|-}=i;)iuNn0T%=_HC9~-+t64HcdCFx z8l^6qa?|F>Ej%EU%-WtehvADTfl_+NrpMGlmkT&fKSO%F_~2hu`~!|Lx5` z+MF}Wnn6`%VQV%9lGmxN7D0j5ucqFW+QsTBK61pJ@b2i24mC{Jfh7`>;wUxQ;jaXZKcxPe`Trl zfx~Yp{r=SM-IWh-XED2V+f4v9U%riZjQrr9YwhmuwZIH6aD}ZN(-Jt1?8EWo3W+ZH zPwFmVD`jsP_@%|))&!eUE>i!+=$0I(Da@~HpUSgvd0|Jg5`(d@^`)_VA*|BiHyo4n2a-@UtY?!(b# zdI311_2J0>WKVe??%w3>u8PRxANM3|LV_zWqVQg2(}PNPMaw7L_5LCd0Z|;iyB2Yo z<%Skc^uz4tQ1!pMRt>|a^xW{FYD?ZmLS_Q*Ckc-kTxFlV2&UGF>VF=KLtY#Kv{SNM zaeO>!jlJ*(Bzjy{lyAE&G{wHRKq+Hl|A)L!wl7axw|BF=TGAGZWR55#D3C}JEm1Zt zdZmmiXaQZ3{m?rCbu3}Ag#~eWC{U1`hpW4)eps8Yqh`=nMR|Iynlgm?{e%dU+4X91 z;4c(NlYf3i5q!YAN-mIz@#4=M?(~1Ocjes5rp`;7w?NYj`6SnW$Sd|j(+@hD1_E_3 z(UX3GLTJgpnLLmF#%loO=g9JK=3dc{3yORa7m zhQq#qF0;Y5u$W@Vbu}g`J!n9}GYGk4%!{rFb&g`gPvKa})i&|NA`s;lNu2RH`PGcyCa-th4ei z@MO>t*-eGrMCe6hz>%zh+5W;;k*FAHUQQ5q?lse;O)wolI8$T?zH zXsIk4(M7z#L<7PBZX*|tEt`Q6wsZ*GIs+7P#_?r-S0f-MD+GcH{Z$qEGW5XcCg<*I z*=LN}-~Xy1tBOFPjoBdc@4@Ji9tqQj-kTkGi`Ut`J5JvPdNqID4tAy4otRHPxhLSv zWyM$it>nXj6yYH*2{X$eKC{Xb#7KGPhlnFYZZc`s6G>wMeKou$hn+I8EtPk2m9uBO z8sV2^c@qm1*hO|monsFaUU~Dt3|x|IozNpiO$gfh+&8k`)Nb3a?v6XP-Pyr#N8Y=> znwaQn$kPgUL+ZoFZ4Px1{!s+0J!M=3Uur zm2Es066hhsZrkz#Oa%Q|+8s|5K$UhUj}n-}o+zgz*s38AQ4-#a$-(@!YcAa?{>MY- zu+Gq_w29qMMRFe?4yH{DE!(@|Hx_I$h%joC3p5W91Qo!-hLKMU4iEAtY%=wN0@+kh zAa9Lp=o!`oyoR}|q?EHM{wgkBZSDpF%UPsKCOIxkF zQeL~SNPbK6wfmcXzWw4=gY+1lI4Vxm+_xSYvl6%T_G zJK3_U9z&mq^AjaA+HP;_M}D8XU++j?ds0lR*BodqjBJkAJb%p}-@F{_D^lzP9GW4a z3RqWJkV07^-UBesf}@w0nqgdJG4YtPIaV2)6JLvXSA8%wW{5F@*(7jEFx>EM!uExR z_m}L(g1HyqJBut>q#8!2;@{(av1+g3J!KhlP7Q+<@9{0=PyXR=y{VG#(_?sreGiK` zsqAChaTflM;Ktw(tHjZPSqZmBi=<+n;|C5ZxSN(WGK_X6x_o@y+mY~6v{U#KEasp) zi6oA0j83Th`e;?*1J0DQ<+;bMjkE=5DgN-^O(cDKMNDMdS*C-?L7|pJk~VMx8Q-vN z$i|*H3JEU|kQHXV4kKH#CkS8D&6Vz># zWhRc-|1UngvU zp)e+w=@?%m5k8a5r1^x)o$eJp1vD3fKnHTo6#U=?%FdmFL1;DuK(mV+6Q@JpO)uc~ zKIj}_@z3x7^AhZjItiUYio1?guR*Kwa!0MD4-65Jw8i!w6hvt(1M-R92QZ;$Jy^p) z;k-X^m8~&MAu~)g&w0ajW7lw}u}aWx790yfqPK5iZ`kT{KMhDtp)$HKEW8IQRdMvQ zGIKT{3F06+6z^SQkNLR1LaZPYZzu$DqQh52};mAy2- z3k~8eK%ZO$PasCk=(NJlKDgX82{z*1b5+0<91Hhw%TKI!dRdSe=?sG+E`oLTDH>ZU zQN2T@B`L2#d_p7wKYgL`i{2i9np7}?B0zo7C2azhSkI!RXa|NfKY)4~xXm;IuLukc zHMo>S;Sm>SU^8s636FZ`3I9QZfJbPyO6C*);YvuXFr$gVYO~T8-uDJ464G8YqT|bW z3b)YdXbqr6vi?*u$hs&(Kue*)BSI3ht}V~V!)Iesr{)l|xZGDPBP~_YF$s%UL%CV* zLH)POAL)CQ8knshW59Qo7%`SbeR>u>!Wx4>q=X#x_DM6@ss=vLd|VK3fXdELGzdcg zGo{bul5eh>t9u)O5I(meI4Q**qv_COANlP!NYxcyCk^yL{FCueJtMw*3$7;F&sED! zNKD%xi|`bbRB1l@C}3f2f*2g4=0tnDN+6{)KH)=1!UU^%UW(>LSpM#p85{&Zjwvs7 zR5Q=eeOdz9paFqH>fo&m0pXamP#184FcriHu9o#Z0vj=P+ho1QA}>9~mN+35RvwIy z^dSXhY^|{M6`?AV2SfA_wK<7nFo~E6w2Fc@R4BdI=Hks?ewh~Nh?VR4ax z1(^Vgon^Xzny*)ts1^YY%zaIN53I*_JB zNdno2@#Mt74hYPVxdwHEFed%ZE&`w0@_u#=Gy(Q9B#3j!JY+Y4kXYvcmBY-?16Fk{ z0Y9Kf0|sD#TBDfuoo26U@{f&w4kh=5V{cCordltpwQmg5qa`rTiQ@ze+v?=}_Sx#b znc>ZMN|KMSH@8S#YjT!M!9>j`Dx*OQO+)VM%n$;!(%=;}!=emU@Ep$H2kzjNHxb_X+KmP|FEwJ)oo)&9r}}gKtCG1tn@-gH z9mG^TRJD!XOuTKfF)j$l#0e6D`ib2ZdGGysW>(3x8Bqu1LD&(iRGwXoL1UH)8a|ew zCv}Uu5OsO4Ea5Z>)>TlHNxo zEudR7%Y5(EcbK^|tz%EFfU;EL13CucD)Wxtjc8dimJ)maptNvZ)7H zRdoU+CT6v@ryI+r+FmxL#Sux9)!to6Xx66Z?s|s2GPe8F(O185h3w`cm_o)DG-WuG z7<#W2`!acs{sVY(CJ;z~9AV z)podCT4(zuwhuAM^jwpn(Nvg83BgHQ`TryxlI`!y3Bg&?MBXQivO`(qK{gYh_5j{F z-_hK}r<_@0Q}9nx*gz&x>75yf2r@bem}a`a4wufq77C$}4cSx4vir zKG-pMLckzmrykjTx%5AH7LoO^;XqD&S?F7@^QQ}v1lA%gihv!N*gV;!m)s<3OdI2! zGhi^-{W{Fsx#TZldu$3LJiAmX*r|1HWf}otgVo6jP)<5|I$lrSQH|HrNu&fk?9B6B z#KctN^upA(=Y#Nl)mH7%nD`;@c6$Y4!T1@2kDW7%Kg-sDsE{4OC~D_$Iop4S_gn%S z2y9_Xl^qh=c1qUylgc>@GUQq82>(P$qJm^`YzHh1&!1x{kBFey95Rzt`XnW5&RkD^ zpPA+?z|2_yV;ydr{FPP3*n0ko`2GQ}3!W@}^+u)>ruyX)w%@@Unq;nJG+8_JuT>t zzf@W(O`GcM8oBLEc)WWzb+6o^Ei4Rq_kH$?WsL4I?k!6N@}e>Jf|9BDB$fbYMWd4+ zh0(|UvZBQugu`El{R}Z17F>Xk1{U)qw8$HwJJ9A*@z|TH(z~vSq6WXp;&G4Arp`_= z7qTb+(dDlT{qQatdw28m>fY=BGv6ljD$oX)<=fxj`)K<)7jVW`*MXh zO-LK@$vGv9HZWBK0u}jzc3*3gLJUzpFn}dZZ)ouQQfVj#23O_DAqG6?kg~?|gAWG_ zl`_WS>Q(@#m{3RtbLTlj7iNJSdf)pI$4JczIR$~@h>b4pJdGuFj-a5(hu8)9K+k#u zo8AnXc5$UUXAN^euh3m|x8QXYoM78#zD9A;LwbPv!}KO>mM)$caNYBUU%hi&Bh}QT z87Vpt_f$HYeRao&b|Ywjrr4B4cTGMkh?AvEYYI00X_JT)3^Y{|xGx|P$y#i10o~l7 zTZGBeCX3KAbx#sng>wTn2bc8#H>sF!v*sCd6T=M?f8q;AaGJYmN6?qf;~5_*o)@~TKU)@MuGnfTygR-LCv+Xk#OeX7E9A>RzP zW4c==BXXcE&l$@s+Ab4{&$dF$k=oiX-Tg*^Gn}6TzmNwJeWZw!NCP55XRzH2I-SOF ziC)dvP7(l9GXk`tv+(+`NZ^+^b`Bc_0K~`7>Lt0Knz#P0&Ickw0I6^eaINC5VooX- z{`JHfWWMdRy#<(3)@uY83+^l49()k!qkSK@qaJga-Mu#;__40()8_!!1oI!j(IW#}S zRm$RnY@8sC;8!YftlIngzaxZubP#Gp4Fr(UY{W-Ssv-azg&>}wi^~k1?x=Ek5l$h> z1f?s`fP%9aI0sTI{a}f2pcK~%sekLY4`wNDXh7xCQ}hUbD*;l3$^q(t)o3SVM2KW% zDrGb#Yf_X+IfZa$!3(Q zg^i4MX*Rl$O=eQrw}rFxD)>V~L<|;53=Eq-aSqi(T#)b)6a<_DqnaQ3BQ8Jk-%L2B zh-^`&v{$Af9u=!z?}sFCwhgHeo$&@7WD|jPP$Imu zJUo%{$|Mxnlq3ctOr5&%Qq`xXjJBlN7-aAtZc5+r2f=#gQCz2sY}4)vmBL`yCD%3c zDdfN_4X1G+eD66&CsqmPW%d{~S9%NIqB2fmtcQ|heA{F}jM>UdcYb=hoFK^jM}U|n zfafe)n!(eaD3~%4Ui7)3G!qTfx@k=kt>yIrghE|@E(^QXEF^0HP7k-*2iqI^U=vlq z%jNRUE|VmU5M!iXAzzE%^M_Sq-+bCnD+ltmjWNo~b5@e_c)pJ5v5@U=YOi?a7r%>` zs!<<71mQVMkgQtu+5nqfW;ia%ETXOOcL;>UACTfkK)fEdPm{#b+3s?}Z~>>8?e1ga zSwckH<#jc%w(ygU0ErwZgO&}a!UJ`kH1ZI|_RJb0y)ob3$eD?WDLtGPGGygF0XP4v zvzE?*_lz;}P`FX>6+(fGLtVfHUX8|Z1Jj-KoMc%!ET`e)Z;)>F@)wU79pZHS>>wMP zY?uEI_o;hEZsn>kSzPw{OIT3#WACZxNyfUo@}|=QVrk;hHXj#+#d-e6SI+VhVC$fm zb1i~xe!oUi#^QEzr)C$U`7`cLfh6v+y=CHZx+^Yv$FzK4n-%tPwR79&LtZ{Skx8Oe zcV%(^4ExA@l#HxD+t|jeKEdpMLuh_KT*R^eaqc}4l7DokW*gfTyKwDl@kNUZ7z8R-dc}Iz{K*@^Wf)cPMLsZTws`e7{9)#$ zKr;5y#17I#60BMxz;u#HMImj@j>~Q=8L}!b1A>Js6vPf9=_1V_KdZ_9#%Tl3m5Uz$ zOj=KPMNi3xDtARu@GqDRcOr&NDETNUOSyLY?c6oBpo50%BzO>KxI53 zyx1H+wUQ9m;rU1-z$vCYwLwwcxNFG2=ZuB(tj(1u;LMBSMs5 z0p86-AW|?FSu~eDaXM$N-{JR@gdJ(K10PMYulz;quP=~r1*Pdy33b9wE1Lu>0lc)w ziLZfAo@JDvY1kzfK9D{7$vOz$qTd;^;cZ`1nMTwL!u5qKp{YWw3IYO3gI>ED>#`l3 zwNS&AaNkQdlygHJCCg+>>~!~cMqKt&u*zi&q%t}XhRuhTLDxC-Vid#oFHZsmB?9v zTktA?Q$$(2xV%;1o`T(SEv+5FEj1zEG6e>NAuWN%c)D@aM+$&@P`zw}BkFh9@+tB5 zePgeSs{9uWsCjF2!~d(u%-1&wfO+*RhySBH;Qq#u5x(;%e_wu0&+V(<303*$FF1>c zu5c!Y`z!M$rh*(OmscIHe8Wr`-M}qkdbo*{PnQ0W2j1JmH2$_Dl{08Z6vt~1``WMH z`tAI&H@t^w$7T_${F=O_Ae+U8!Gdx+I3Yr1w0~X8FJ@-u3BGRYZm!lE2bUZ1c!E_z zdDL0`g%1YIzw8eq3W> z&*x$$;j$Pq04Cd0NIwoDEShG>w9A8=hFK|P#_OXu0&P&MQMFA5q!yD?X_RBn6375_ zo!z3x(<1B=8<7vzRATv21KRDjc*K2^;O+LTju@QcD??JpqMUKug{`8SO%Z(v$OsMq z3rrB2uFTdy{YB84s2gfn#~ud+vY=&oL5s245=pOHVfQhKPkCn0m~R8CF!=!r47jd_ z7~K*a*3o;FW99tk@@7rceAlY2wt2fAsG=dm8#ma;>77u^~-hO9C*Qu>l}ggq0l< zqP~B9z`hS{JsfoQ>Aq0|Ue*C#HpJ?kisDJ%+5xsjoir}YTnnB93S|ezsXjY|hZ;7~ z)(UAo1t*+Oy8p4_d%6MHy%mWJc zdCWGEKc{f2sX;~(SPq4={{TKwNzoGk0l0lc(}A-l#`twbfcPmw8?(h5aaSjRjq1IWlg!a~A!VOqq6XZ#}*+#vHK z>@$Xn-9eF>tS9m7ISj3m$Ei(PwRQNBtb{5D^uY!|awcT&9Mnu%uHqd!M4||c%;c0P zwb3Y=Hbm+u8i-6hT5+DWBTvc14kf5LYLt7uOnrUrW8Pe&L`PL>is7PIg|4>axtzgU zu9Qro7g7k8{(w{Xz`RN-7|OFCYpy<&uMiYST_Tu>s9c34fq$eq)Jhjih+O;YAKt@* zB^01MLb)Xz#;P9)htQQgM;WL%q0r)l?DG*GIXgT0gK`g$38CJVtr(n6R^STsiZmh< zx9_-&SWX48?{d*ARf7_RUyu@!awp_j57?4K3~c%V4^Bv-?mz`v6pp(IDhStUU%bK} zeQ}=M`oae*!Ih&~k`X7g(F8&nksK5gaDqHfmDe3Zy*sQjQ}-3KPE&4$&3o-jXjSRm5!exDtT0l zk@3UF$^`Pvr-I&>F(*exLE3xz>amn+X$s>MOoZkOv6gac;2@rcW|?#pj)>k4GS7ITW% z2R>HG_qWmT6QbW)gUBW|6OT-W#Z0@qyqQl;F%t#rQg}4W{K|X+@?jaf$cDwnkl5a# zNDcCYw|!f=)iX(}J!ed#*M5@u zCkprH1*yhmwuCa4Ni9CO4m(f{Lt|mRNq1q)_25li}-^_lfMA~MM z)&GmV_m7j~yz4w`+GVA!OzfVatu$t^-9wEtc48c|Nr3RfU9G3(j_BaFGV#tS1I9uM zNg(TxBal~EkV~m{I%XV&C4m6h^~yV9wRr&sUcMvTLFDGT!>|bKu(?I-EjWPyS;*lr znA=%?#P|MupQ`HWkpk?7KXzYtB~AaRdg^(;zkfYXJuS0_jN+jvi%=#WkAQ^ruC0)T zJv%$~Ln~6q`UuNaQJL_kcl{;& zYEO@;<_bgk`b*EhTVt{#Y?VmB>oc zAF{{skyR~MN&jH2{npLKkDcoG{$Od5MKA*vtqeZMJ{ZaCBDMp*5DeZ)W=nyDr2eJa z?6-emqAkpmNrMW_s~2eZlJ>`kN7x|sRlWC7$ms>YGE_5GNhJL-D`k4oGwqKCD|aoR z3!S^)aP6GqA)>k3dZRPP^FY@^xubD^JlRi5T#+6`O_r#TY@ML?ptUL=JHg8-(z1J% z7_$z5u6Y)UlAf=A3_D?(5_WTbR6`13CoY$JdQ>R3xk!qt>|7A3{*jP;k|&@4r&o0D z?ie(zp1Fbr39k!V>-B57as0ZuWTiXTS^tbuV#rSFOO_Q;w`o_f6h}Yn`scQSXiHi| zb!0QvbLH$3W028`&3=dIERhrtIAjV?H<&I28Uu-JTAwJ|Y?GBM6}|5dpVz-T=r36P z0)2$8*VeBjFeBUUcP9XjrUM5_Z?vL~eHmbDa)8})SY-CiJZNW25vY@>XYFjvTgn4P zbWb@9I8=NB4wffz0c!CmnSNDQKy=nfs6~@2&mXKF=g}N@?H5&!pSXL0RUlBjwZ7J2 zcV9{cE9(nXm-fLZN;)L{ng|iuJFbGsNhgQk4&{{32FDQ}bek$okD5oQ-eDQ$h2P_C z0S9c=<~nwcEMBk+kjVxs7{Z{_3vW2{e17*(4jKo^>(=UmrO}v69UriZT1`7} zm42^@;v^?1gmw3~)_;S|GV7HDbJo8A#d}mdU>#%k$G9hqw|J&OXfBxmJVYuO>l{*X z&j;tC1qp@fEftDVl?5IvfBRhDy>4!BSaDQAB{$I=Z?NM$`!S z#=0x=Ajra`7BJ$Zzzquvsd}J*qK~1EGc;6*vYMeW#&hF>Rw~iMTbK8z%^!K~R(Atim!KOzPsD5O*#ZJ#uCU|9?NM#F9KHcQ*%{j?}=CruTew6Sj zrbai<^GtfQsZT+K>_uWp@kPR;ZGaIVKoC9dySacSOj~%tz`I`gfcOz*pkT3z z#F7Qoh(a%cY5k#=&u|%^tHr!7yy0V4jfp<)pMz-$@c^q;(g6hMHs;{x7@wtwq#NCY zweq%1MYfcx3LZtV-{u^F8W<9Fy^rVP^wgDE*Fd)gExU^gK`=D`SF6^4?b_Mj`FYW) z*`Fi+&X@g%_1$+Ld-KfNk>6XMectD`|$0oD2 zk{`%_>gVvE{n*b%5R83X$RbuObZcqWijRog?9oC<72@bsDUV&{6YnwUTRgzMGw;j? zw3|W$=UdOb^QW!Z*1X zj8|7dn|y>Qgb=Aq$kUA$T%*U&5;w5$ORF*K2-es;@7mpe@dlnOXJ*{B=7M{P;$qi- z^@KHYqgI8OgJlOiI25@KyVw#A$pkD=dvH871tcy)L72F91g*paC?D-N(CU77tP*mX zj`ZLG8JN}@69+lPw1nOvYY`pE_p^U~2M-NIc(R02k$&~SMQ8@=yPT%e8WckB4IG^e zDsJ?tX1~j1F9Xf9??Ee!TtX3v!=gt>gqa$!l$f6uBXmnriW@i?saujjdlsW7DX{TC zKXDoKTR72r&X#wk)*T%3Xrz7O|Jq9_g{EiqP`?qFq1#zrW{M}nl5 zF4LCavKhI4J8duGc=4OtGs(LG}FLkj*;a}dQySG2y4_k z-8x7A3QwVRO6;ezgw#aE0mKq4c4BA!0eHB84#Y@W-BFVU1OZsnYg(}{Ftz%my(ru;M_dW7Fl#ciY zPX?qaI7;@&z_gNsZW@PrI9wEpu2ZUSGK1vSj77JIDB{AY5wpXhLkng>l~k@=*LZje zw?)rmE@8PJeUWVzB}kn(19qw?pn)`Mk_e@ieTjM^7EDF0MK|YCKK4(+eNT6i0gVRb z?01&wz|jqRrEl#cuWPD5E_07|%fnRg-MZoiQb=3@?n0-y2{{K*eT#W6gbslTkr1g> z(1Pv)PiHxvimr+Tya?i(>OIzYuuaV6LV}E-Ym61+cld_bagbzcKzhzP(;HOfN>>2X zp8eUj+U+^)-aY5CuUS9YH<6X$X`c#WjLOdc_7^P`@%?d0NASR7B>@He+`?t;0hY@+ zWv%Gp93b*PIQd<3Q;R9+?Z|q{zxs2!Yxc-`DJUt|hhRk%e0-bQv8E0pMp+sR+O> z{!4yZqPX$r+NFy;F*noe%th|J^PA@PdRS1s%n@I5PV5sAN=NJ-e)9{6;F|$^FF>pp zE&^`rSoC6Wd1-p5d^A^E;oo)@pddr-JDZRphz<$h;NWh`NHwC~xOBDU@}A$DT@7}= z;d)B5lmIu0DmHx`G_f_fp zc)Z{T7TAUJ`sV5j7hc7KuP<)je0=%EhgO%PZ%n^q_geP5mOY~&DT5}DR$E0H7n{^^ z`^5#yxLnmn@DY;a?+7uMOe17>6jqd9qbQ%g5f()4o#tP)j=lWmL!VfFIs0QUv;KJc ze{83uhW$<2WTvPxNQ^lF!pohbLZjmbXT4iE0@?Ak4?9EGL6T zms1q<(m{9kD?dUQh6YRz_TnB=jX?bAhc^CJA}$uR)ZCKBXHn0_FzGBg2C~uo_#wlO zC*Ae!iCfzoG&abjXaF{)(fLMzP5`Z2-~0mMG#wfh;5_D>S4v2fQQ(zWVW)M%ao)d93NuGH7d|XCdt8125 zjxL`)EY>hI^`&Y~`cT*t&aVw8OY6PK`UQ5S*c9$l{9%t93vt%=b%4W-1c8Ps5KM)? zk(4UM?uWhxyh`ZnS);QoA-5J}iE5ZrfG{iWgos- zNu@YF9h|~?6>JbJWMcP!y{pXl7I6^=z)IId`&{Sf8J**0EV=7-)-GsuPhCP^jBb?! zKe{+G)MPfJuuE!bcu_-VDf0{YJARV2b5qj8_an<<{v#{eGo0}SM3VCsC{TTocx@Xf zCTrsfIXpm=2Vs_zy&_EN1{<4$jh-n%NkAYqStMQCACCL{6VICwKq@9H?e6+5zy}$m zf`FT;eu#F7|0v&JZM(C+iF%F1-GU6vxl=n6mvkd+SnrOZM#l1-&o;M$3|3A5{yNe! zh2mPX2?UFaN0v3N`WCpE^#eUG1>k)FDUb#%^=M>rW?h&xOA3~HvV2%5PjDLLLy+BP zbk*eZJBC-x5FmTzFK9r&0!(JI2(0C48M#V znhj9*m<9&A{Y6YQlm(&5D#Az3A_V{_BAFX7@Xh>79!H4crbLk)yTF!SrXW~W3wHz@zE;E z3>)fN%_dZXB=h1!;eDn~#ft7^W9EwtM7)m_0UB@9EqcJu zh%(*ku$z z3p&ySX#p}eq4p+SpK2-<7?jYBjV-W%;iV6vbZL0ih$%r)heFE#==6*ZUGqXstFA?WY> z_I(mvqp-aIK?T**bP8@rgvzu)j*!6-*}=weK85%JJ zIdb@IYz^V_?1rup}Xz zLa2VQoOH}tlfm@%r)IoSgtWJLhFy54h=F`!E&<@*GIv05(~&ha{EY=3L>Xc<#U!7J zDNx@lB#h7UN?C7pn&ItW=04VZW( zlmuF2VX*rk*aDQEg3!IxQ6uTMysToqBzgF5>X;?@NB_lfE{XT(mZv-miovUceqn$I zT_V9O7?}0LJ`{nx!kh?LCVGbS;wGcRQ9<$aty(ZXC4J8QBe$F-5)}RhrrjXW3mu$D zy%9nSN9n5MieysIR1sYC-ymjz7bPR1juH=;kd!R!{>8m}c|g3p!q3IZ3@~vxwet{5 zAS2`!ke~xXw4+{1YG$U;O=KVkg&{(c_^#pYFb_wZ;Um72@4RBh5^D~pj~$dH)l zhM1g7B@jY0P#15=tyn`XAQC9VY993{D%K&|Ev|n3UJxSqfh`+W(o*x zV?@C#029_Wyke254VDQErGO+$CW117qIZG1xk1IFx(g`o{DFOC1!N~jA^}9eCjk=F z0st?EvD}P?-tcm$RS`5Qh9Ik#5gd+4%7{q3rcI3u0;*h?NOU^^Q0y>zi^A!}OP{Qeh!1L{ zqgeUfzx?;eOn#Bem1t{AW=OsYr{RgE>y98pIiW1?#*psu1Ok93tHe#~LgWMnjb|cg zNny!Q(TGv$RQ3fo@Yu4RP_SF|l7|8-M;;OW`e(``b+JYSoTi0qsrY~v${tx>Py#~U z5LJ*K45SAe4pPuVnF{zre*)rRm3PyR&PW1-^>5);@j*&sKCA}GelzK2>?Q#jOs>mWt|JOlWW(wk`%P~-M?sS&}LR24Pbm9IcRuj zYvV7iZBH7+#PkpTBJEVrh5ytFE?GD>D}+K{T|)#=JH5mLkCF^Z4S){<8r>AS73de5 zNLJDy;j!^MqV?1r3dmUMxs4gd0`$gv#8ik#x+LNWu%{=#Z%e}pD@bAaZH#RZ$aHi|g>hY*$}^06eUU}NN!G|DpV{E{9ND)9o2SAiaLAt@}9 zQ)~vdNHrkTOo=zjXvUxvl2O6%{s;z4xYtXe*g6$M`5TELUV#rcYo|<6I6Fv;#3soK z0OppE8CS;AKSN0ZZoY6Tfffz&(3fB%8iYK1MN|WbRAyw8o!|M;j1kmt7Kq-S@rJ!Y zq<-z6&$5i5LO?9^APX!Egy?Nrm+T>mwel!ygq?Rb%L7aA$po9tjMMVg)!g{OP5!}k z8DOQup7P>KDb^BM#t??JM81K2zC`S@zzBIwf9>9xbS$DKfCE?sFD{A(e9B2L*%0;d z0vp>C3IBK^e{cOysem@K*ivU{0h89z6i7mUpzaB!NJHQ4bdrC*;Xj2^oV-cy5FR;; zLlB*%cet^l+Vj zH9MGv&UKs8y2{1i?@0{r2MQ>L&nvr& zJbO5L%L>^8ekKzVOXIwhWPqSB7bcR&OKD-c1%#d&dN3dqk#6)U#t4W_sW0Yn0)xxh97bCaj)X;!5J=J*X!Mtpg3ZuOR7~D< z^|^!L9!f-n*N_0Sjd{1KMh1ceQ$|eMxQV5tU}OeuTFz1Yq80+d5@p2@i#@)Fv_TuGQtoHYblH{v#};)YT@p1VxLP5AP0%M zYBqFFLe==pkIt5rDDemV2UXjYG4&qQXeL5hRfEEkc?c{Q27yW^+EX%ISbQ%ML>gSd zj_UOiGpci-<_Ac==HVHEKtHl*Qpk%CNN`Cx&?TY>bC3hKfjzebKI_pqE|HKYAHy*` zIVBj0ha@7`W15K$I*F{=hvd17fIc-9_?1@s=(#O}-@{?Kpp9UqbirXMt)yZAYfLhW zLGV5FhxjPs!H#R%<1%wjmf8bI7PIaA>Z@h~n!;LGrAdxW5ld#?d~~NVSe_`xTZEaH zG^>2~LL5t%sr+}D9qTCs@0z}dW>f#>Rn>wQ)0SV@W3?!~uj5Rzz!~eJ`nOR-wH}pJ zpf^(=z}D+{MCBJeM^uu*ZBr2%5Cp5StCfyAf|K>$A(wU_i zhl#UIjbt^9d)5W3CoSNTVP^i9an{BXi#?$p>;O#6nFfP*Eb;-rE0bI$mC#H zsBKjpyYGfS+2cMm6Cs<(0bVK@ub|V$R5B=9T86fo3ZZ+>UT3FA|W3 zYtaB&D3s?UEi9=2%wJzU6J+sp13OdxkAn|sm_3|Qphgnf!33K%fG-esX|R)JXe z)n243hrt41o1V|6M7mO(Jub_y$53ow0nlJ~?T#4}nVE&7%PSN@AcUOeROM-E%;4cE zat}#6m043yuV6+lQ%E1nU=%Q5SV@rDQw>o*WB-(k4|d=6$1`A99Uwg`vtSyd?&ZU? z0Zbh5;HZLgC!KTC3n^=kEu`w&ilx31o=w#oOV`p@xVwvcR%tIGiI{JL^X+r z9zT+^LVn<94oDw|0VtRsRK7PLkZ5dJxH6SYLI@b=<2sCo>IRaD64!u%{x~D8;}?ej z@8R26HeE7Nq^D836-jgi0%8GUyl}Q`YbEq?n~2T$VNSd(nreZWPRERab|9W3KFwA{ zRH5`>$pJvb&%A4g>uNG zp_rQ#3^yl!0(sB8OwPnG~(SogTf<794h6dO%F0 zvwrm$2Fyr4r3&q`9`$zL7r%i1a><}p-?o)2T03LNK>FNA03kh_Zm+pXKDPLl(S(j< z!9i53Hn52{^t5!SQPi6{*85XGJ)?kJjUolATvlx&*E>3!$j|78Yip5S8iC?mD7E?Gc{q(@s)PF8FBT?jG7Bd%*e zav-#8bU71R!u$N6&Mr7=Hd*+l1y@#dfIfINkF?0+Fr!-+d>BKJm_G` zF#3_UW=QwGeg{~x zO~HuXap%myrq+59vn3vWUW*&D;pIC-WMj5RyQDu;Ih$ym_a&OIPE2#W5q;|G=0wQ+ zVB(;qMUG~^mDU-QcGct;)sZ=yP8LtmCd_eKVCH#@uaw0p35q)L2zl%Mq1k~olPs*M z@+iZwHo$2^n_^G>5)hc4O(k4NYkav5FJ$7585!$9FGfnvTF>V9f?MicUo;%7+SU-dQVaIpUWtR z(3{ScWi4fpl?=QMT{5JnKcfCTy1cTrH`Q6M)jm|nf;ejd+8H^`hF=n-pd}__Fu7xz zEwuLxo+~v3ed^t_)2zm77AQwZwlJ2CO)(RgCf1h^&y**3rs+wZ=+8Rk2!+2(Ds?IPa+)A|KnT#1^+a~9Vmo7CP5@HRmkw5pky>v!*jH%|MigA%) zfjAJ2<#Kt(gwLf+6{`hFU~dN4UbiXmO;BMmQuVptk<;-wWv>~+0;`0tOqTaY&S$=P{MuU}X4C$CY=;lojtGgCY6RUx5_dweO(& zQx`88R!I6=GJ@NE*31I@OtkzM(~jjj{J^$`KDSg;z|>6!iB9f3_oI77T9-u=vr+}X z1%v$>w9FX7?;Im^$p;BaAN{oQ9)vT&Qvauaj-B4)f)x4y+w(+94edZ^kZ8z|7lmxR zDHWx^p8E(rQwJ6SUtWEo_W9RbLx;X+|7vk9;FwjGKlG=)P?@kwfwEYuprKz2<%LzV>$(3plTUC_rcv6BOigW-=WU@wdBia_3z{78A)v7}PiRX#P}kP6 zhCTgzvqc#$<`Z$2KGBj>98(`8)9l0blFhJZb2J^Lfy9VhsHTuvHz3S^dcEO8(#aV@ z3sEzF>VImTGv&m~NINeH{mfZkL+N<$oGN##!j7wI6 z(kzd!f|Z?|Y6GlaknE`h2F{l)bkeb?m?lqt-IX%E<%0{1Xp~mG;V&7fm7f=8LvZWQ zUoVu%ikw--HuYC(M8DDx-fsW*d)>XrY_%7SSZI+s1uy|mkFBTT0QL8yqC<+U5O`)% zEMK%>b~Zyc8wtKL8tuQn#9lF)(bouF9$GEM^~|g5<5Xg$z?uP+&SpgeQKyuA2tv9u z<>P(lO)GmmQZCEN83d!DlcKczrT{d;ZX3DGwoNl9|^cuE86s*GTmwesKqzpZz{1)zz zO*Lti`VMiXbxjnm4ITTJ^5o#ii9`sTzs@7yE@VTbjoygmW6(tVN@a&0i^?YZA=vre zTz>;^O}bO3q4ki#+UxwyoR?4cUiVLn4Yamv&^Klf7wy8TKtysFu5n z1FrCxVO8Y3^KShxXmN!PpasvkR8x1_NJR&zY0@YjY^41ZXEb4;3^(fHz~Qh~VBpp+ z#I{0EH~U)=1T@U#CP}}0A3GS84`k3Qe&k{1Od1!X$-;ncst9-I6K7at=Wp|`qP-sRvh8)WCpHIC31@h)LYqRI zrxU}4>@Sz5^MIXJXsYWPEHlV7oSfsb}dwInn; zkPtA0to+PMXKfsdBS}VX1QuXih?Gf4M^1u-3{0`~s)ro0PT=D4eY2ynwH>%4HZl|0 zaMEOa{s^%QV|K4VeGLo%iKUl8ik@`i2|Jx3ZrLT?ROcd@pwhlcYmM%1$IaKfNl3o&eLzsAqOL5-=Hpl^~X&Y7<7{JWRT#FJLo;hE4| zR;~jr%L{X+D^#W9G#F_wGdf^W*nl)nfoZG0#C}s4G6W@uUg`~Xps5K;lHA_YR&x1e za&5A0eqtAp=ncpUm!MUmaS*2+7Qrp`*ojTTn~#SBl&xX`2=}lNH$xO%!g+yUBUh7$ z|KyrkMTdS!5KIHAlK!AB7%4>#^NF+N1x&kJpKJn-m}#^N9WEvg5y~#>HQJ#$~JnVaHMo#$!K1XI{0qi%z|bk{+gm z-OqmSjN{1}_hJ2D9&&76gQgx9Y%^L_W%1tRryXeNI$Wmw9)RMHw&;v%! z#drKwwJe#rp6l5)5EcIb5lPfx77U4T4OXXI&=0?MkdA@|EL;Im?E$#f6#+9qb*Hz& z2s(ZK{{C491RGeYB7ZQ@GBq{VMsAV^Hld7Ns-5hC*u#K=JIHnc{bw^nLnEgM_gQp} z`;h1*$qj3B)?4vHFS3?gH4E)ogb!6;A)1FeP=<(ewZrW)HcLO)b930)7>f3?RM{a7 zwhY63YjWpb?JMUtQ||4@8j1o~0&I)jEPd&OiGIt1J;eDrPFWq_ZA|D8juZAuSxeoh z)tD~`%yqaXV~Nw167t;RAH(@LgNHXiXuyUfSX0quK*vSwt7rkQ0o~%-d<-VJ;bCJZ z43UF#OY8#55BW`5r^n_Lyr2)eyW8ar_ROKD(H2y}_#p9+p%87<90ayU+L_g2F=&|Q#iYn@W;AfDuyaTZE} zvVK*Bn~JeG&G0Zz&V~4*yZgKEm;M$Fa>nsgj!ZQERdJ2Kj4{S=q8%>9%fL6W$S!d< zrof1iX46VRSwatmVKjJ8tw`?tML%*G;}YP{@pc$LdG)+kvTK%?A+PK-+o+<5qEx2l z4r@Wwl6I>6Gy>Z@OQX2AKtyZutKbOHYP+v`uIw0SgIPd>=#I1iDbAG%Bgrm=&@Q0Q;(7nSlOGi1CSh5&$r8cic=uy7rI zt0h(qr;ZT?12~-BtYi%LhH+7C19bA}Lf0XM385GH?(XWR2uDipFW39!mFv7LgRfb6 z-HeG;yv~9;2N8rCG|$M6P>~Ri(`1C0hHj*-zNdthf)D?7ezcs zp@!qoT3;fvag1nyp!!rOnT%#-8mx(VYregQ-Ti?sa)PP7)(^4hArXz6U%;|&4!ud`rB&R#;DcP&jv)=3{w1}imrXf$HrNSY9DXS>bjQpI@4sayaSu2J22$G1YK zJ>zLum?Yffro3bzCd9j9W1YNqoWeC?3G^--QIiP{TNICyM9%<0#sWo}C--3n<+Me= z(jYTE((B}f>z9!m*;N7{I*?q`&Td9jK{JlilqH<5pT=@$Iq7ge7GF8cHHj28uMJ24 z5>OAZx&TB(P;nGb`@jDeg+zXocq#3NN{Vs(RZ)ekaD>Gn=|wA)J7%Jc4C0O_Z1c^A z-xQEH`TZYMG4EES^;stJkv{QQ#bV3+D)vP(ZR1S`V*ogY?!&Xq0ZLDoo||SVV+Mkq z$ZIT9`WYVOpV|i~}BimDt9hxB=?6wXT zmw;nQiH!6#w+&px1*u|%w(z(6BMX;N$Fr;r9;ykw2fq+T&@ZEW)cWl*w+fYDON z2;hkF>o)>Wg3Puv8eEiaS#B`cecN|`KHj0KrfC*bPQ5NX)3W@oOt$h{(cD8M!HEHI zJmN_%)ey|QWHf`MSWBc;7C_=Q*!`X}gr50jy+bvl6|~65Q)){d%?8|YM@tR}0Se!M zVGyyY>y4b7=x47WPeyI)Rw#<9L2LJC-#BA$cv_3i5>K5mmx!4&&@vXP-m7U7*WXqm z#g97FmrS#*Pgw^v2O#t#eGUPU6PSuAS_C%%pIQ%s&*qpPF7FqcJLN~TQQi=hQgch> z=-rXmQ!d1Jj63t`h)2Frpe%inZsTA2UG^qOCj{eY9{qdS5@hH$pdbSbzw`Q6$fX;= zddXGt?9pX{J{1&D-@=7-FxyylqF2N&G*e{IfTD|4JLIPG&_yGXssgNIyB9u=JEB2h(?qZiq# z*JK)H5hUx_tJ9yA7Ze`;@JyAJhv6{ZmU8571NFj9qA*C!c!HXm5z~!gsdT71AQU6Q zi%nLuKBWynf$$4m=hSqyk zAVH5M^#vC(7#hr+6Se36_!{X}>5#Zt3m~^z$_R{1CRvOwk6&DH33c0`?q85r}gv0G3iIAT{ zN(XmOc#3x(O00AUZp20zic9$2p|Pk~Vx<&J7C=h=ZEFP>{(}M(MM*Ts>oBCOm(#T~Fxc$#sRPPJv6^;I40p89_-r+|;FEDAFXH;oj|J()P2D9J`Xa+#7*Aq!6^ zcM;$8(&Y>I=GkRKA` z*AblzVlHNmKn-;OYFv*jD)$s5x4Z(!BB>;78@%JA&o9M>{PZb58+7*QEg}K(le-^C zgjZWxT>FVJIT`FHNRmIv#^j<>E9QaLur-8jcm+f&w|c88`^pde0e@-2`MIpdY zV=Tp2R7O+Xi0BkPtO#v@QxO#1ZqKMz|tB`ZHDyA1wb17yPdJyf2El}L0uj>iQ+ zQJJ!|#UCoL6Tmh|^+!(8NKO_mOYMw@x@C9x8C0`0o&t#qFYJkf+&~zb6Ha7!$aiC@ zF)cl;v3{+H?rcbLSze0$v#V6*s6mL0g*bzpq)DcwKYZ@nUQnVbx3dsZjuH(^HXpV#SzSudn<;N&SQE+5#|a1$&Z)IU#5o9%Fz#t z06wiNf)mq2RfytoqTlN&y2RQkEoO3S(BQY9bE|47Dnb%tNVWUJr~XlhbAm{Itc_C1ze4p}xU#dnvk@w6R5a?pjurew}FU@xdOt1yT zm=Kiz;DpkLKstI1J{H-M3vNEkD zez1(hR|u6xMC~MXi&8!GNCAfq2~8_xg0;tLk<`_C zrO1rIG%?CAEK@M44piMx5@7e~g|XnPjjAO7?DI?bX)iCfo0R#Xi3XiYDOQaYD&qvZ z=I6CD8;KY(8b5`{5*pYl&n`!r9g0q zP4=X9ouAHQ1{nHq-Fi@%gKj0zyickI?F#$Db!i}HWSF0P-PL6!)J5t}x{AQa*IRec z6N1LXu+WYTbZi@dQMv^+#;jp;@6H#^HnGqHn3t@pLo)BjbLIusa#&9!$o9nQs>NkE) zZLL%P(rO>Bc=f|zux0ynl}oh+#XpRMDeuc zH<~AVt;8>*p_W(@pacjCuL!Nj9|MPlrZh|7LViL5R*X&q@2H{R?MJUJNu;^hX7lV} z2@n*PrSsikb6N}lvY>79;Tsl2tbWCHz`0r`#tZBDJi${`T*uwBUR4uhEv7 zMimW;(=?Ey8A0o*5Y$L8!=SaKxK@sg-ijOana0)NHx8l|)6*yxdzlfV$-gT_D(sDi z7gmf(bSU78v37`p3QO1}OY!WiK{VFdK&M}H)l7zkXv6(Qo|TkeV>}{>96oU$Yk-|s zLB7Zj<$?Lm5+lh5gHP|sF-U@kSFgyw@J^7$j9;W6#0mkqQ{j>y0zqbG5925(bch_% zSq+0MGbVnyFd^0ih-%fHh0ocS>?Mj!f#tTTPi@$?Q~ZL>~lAajxbM3wzJlu$V~&L{7F1KyXeu zu^9_Jk|hmJj;_qm(OYC2^d2E&gh+6^5FaRq9->CnEQ!Sxhx*%Ol*lZ?w7*RNHbHd@lm)TTVS-PfUJ8L+ivV*&jmsCR32K@!rXq^M-~tIW zgGDrc>M!_}(DK1<$H;+^K;||B8@W_ZyrHPDFXy&nI+_%t(PIUar%}FlH%zz1m zMin3n8r~-HMFIu(#CoK&LeFD}0N@dD^QxkIU?I@-&=`R9+&x76^pG9dK0rKIjC+E0 zS$x1%$+QatEaZfui}^#Y`-3!^@W@Fl;6WiXe37UMp6~f*2B&Y%la0h-hY!;lOyG`( z*lHl15N+ax03tzybGm>^$iyTN>o@3;isA}gdc(=!H+(feLY$4^-ykM3NvMc^PPNl& zL^rVm=oI*g%+6X6zmq@CTdC{W+V{t{o z@GHMl7BGtySLRpk?h?b|lBFvD0AZo~u&2n!J2d)gT$4P2Av4~h4&;`bIt8e{- zE6d!mAs9s=joC0EmJ=K;hrum=sG8p_2C1A#GCi^KDQaD^So!c8fqNOXR{g^{neY6V z4s-OwGW{~##K?4NEnt*IS-40dT=WLb@dvRl3uw_6`^gfRVmIgAnr_lr8tl}+M9}7k zACF0-TT+UVa+Wa0k7YsT${|d%hQg8I{R{n7Ap`BC`ifMDIoUndui6RlWas1WDCcBT zUTIkg@`=Eiwa(<0HKfO4j0$AK_{7LY$&o1)hM8=-yfm-KV8$-M3Q2pg^V(ngd;?bC zgoA}?D4uRmC<$)+MYfyI>6ouQ^a3&- z5sMPapkO(F5P@UNwNYtBy+iTGBMmdiF8~TFF{+6i1&t+!h%Bh__S~ZtlyN$oF0OYc z7JDFXg&t9nTju!=ZWGs}>bdCXYtVtk*g;7Z9`4Z^Qm9 zdbN8fW#0`~n5WWs!Z+_zdZo55Ul7@9ukT&WRmQ>>Y=jH(!KK53?_I{ z)$ArI_sW5_M<~IY+F9(Hp@71HAWLI<;Gm$Or2X^+w{)0SKse$l97mrSx#|7vBqqDNC}0dW46`-_vNZ8p%+WjC>bMm8OZviL>- zwZcf4m?d;7rp}T3I;<@jJ;hgr0CFi)bRnSJd$+oC?JtzZ$|*>Je4D{!3K8C^#ar2T zVv!h?T1x|a#^iBstwkDEQ;{~~f>Gxjkro$DZA^p%$uAg>A49M4& z$_etS5YeGOguvg6c*wXg3aizi8R+u;Ho?L0O!ds#5-7R>9ov%alRT-GDE8f5(B z*K(aKQ4qkzfSQ0Kg<^hZje?zGHQA{tB7zHhdgn*^b@}4(<2}O9#Em`Qh`=yWmV;O$ zAdgQ}PE-bXP7^yJX;&-`5`xHyW5I+3b4pKhmV`mFpVZ54|N2@bn*Pi;uxJM~DAsBy ztk!*L&}>@Ad}8f8nS;jh)Qqi(xRR=gKIjj7_3S@=Q>#)VzR`J(q-mpw-qtjeGs=(j zp;-gHBYsoD3_|>lu4zEgkn16OQcZV`UdMellDg)yzN$rpk z-Y)xY&4XYmd{4TJs(%3_!2Rlw)Th6clu5NFfA=@RbPk!Pm z@T;#ywR!~`62g~p(ArcPYI?5uvKy(j2^L0tVut*Q1PQy3&OgN}Kh;9W6ZlQ~^vusaWZfU?zQ@|;

BJri=~O|T3IV9K>IZ;}Izl^4Wv+m_MQ#_Qk2f4E#u#IO zV}ucdI#0LO!_gQ%;LzY5FvsZ@d}e03Ha`FdsF{uuL>|IHpK#}EAA|336hcEBTBM>e z!_ha^V+<<*q)l1HBL=!$r?P>I*BU=92Ld_z5O5#>rCE?*-iGeF`?y{GrB7~O4KJbN zBK&s{5{a>zL7bQjN)yNC0|+bNeex}gBlIOUjEHpc)dwcLMkzCS0-XNU11!Fmfr3x| z*40hkI8R^P!L6zfVe9XYAysfMj7K~XQxt=DF6)3C{)5>BzDs*hZRFiF925{)bY$m= zr-FXl+Mj16E% z2KEhq5}e|qq=5q-Kob~5OEXPc^pwy=0)Si@U`#X!f}}tga?l;!(p=YT^9;n{qzj;$ z*4p$GxSbDt$j;LYW{93bKYHyA47rfXKR_Iz&I*Cq{9J zTz%*CioYmO;9x>W@NkI-GhB+oK?}SJA31RD5L~VJ1RDHdjQs7up#voJfs?hc8N|`? zAUOY5*R*ipHm;y1ssuoBn%)pYnj0#R%0yh_jd=FAt6MlV5YQfD?m=$gA@VGfg&7*~ zcjpb)a6xR6(7KpDbqn`nQnGQ8VB-y(Mm5Mm;V!Kh3nSwIT}eC20GvW12MSQ7WX3OD@I;_hE!_E&6R?eNgq#d`w12Q>P>O1O)`z(DV_s(2^i&|RpT<>?FwNwSW4=d0M&tW_gM z+71q16dbqMUoTHDz7wjg4V-vNjAlVK8ygUBd>MyxP6zi0g!dcQRi~m)vq=wa4PN zO*uX|vpBf8xj>Kav6e%%>{iuqDg2dT$`YeLVByGCv%h`gGdF)P1;fQk?36x29F-4o zZanQTPaC>P6}}Ag@FB&O*7_z_1tAsSP-z$`*JOz#5S5^F>R0_CX=IBZm|=Kz)!9I} zf^?(^Bbaa#Prg!Yr3qk>UQx5PL~pRsu2nk+jtpIJ`qNhf3#L}Ez)3m`)Xn+@zN(TT zP+7v^f>fhy$~^JVA~mlI(s87kMTBSu1E3W=00YrNhJ-pc za4LY=e}c7SV9?p0VJ+wN6-<2GPAN zyr{&%?XSP0L)3A7q(2g1_jFqRDPUdB7ZtFMcQmXQC4l9E)WA zP1FXTC)Q~a6N^&qpId>hV#GZcujt%;tf^H&234qrc-js*y`6+yA|KI?qdz8DL_vBO zGag{{IK@LFV5~uOs2eV_$~ItujYu+(pulXJA{jOVaDisWn^3M3p8xT%bNxPWzJGx` zTZx?82itV?niQV}zI|#E4Qz0IRUq9Q;+?nCfc1zr;{A6qtS$vy37*7WK`59CpbgX` z?YN`@oWsuhC1S1Z%@{WGeFFBK@b>04X{XW(?_U6$HFeXX9jIN93&j!5XZ?fXROTec&Z z*>G4kkZmcQiin%E#hweb+g3gi&bh~4ZRni)ThM7Aeq{0b^y$?t2qJ9ShyUz1UV9_N(m{xiB}?^jG{!`eV(D`p z55&%2Llkjmgr%aqHOO9wqA2ZBuwbH=#V*;uuzvFB^UKY?pwB!1_^$ic+YW!{!pN-< zeUQJbvDAg67@v#R4Mya6NqnNZLQoJ9Vyr(9)UIEmOnCr>#x`j(1lLa#KtsZnl%z$W zfc9PQryOk4LT>~gHjT%#3?X~XPzBe;Ele`;*chtJ*Z!9e%}1nc1X$1kVkIrIQ%tjS zinL;-p#(=3Ik!Tb(vlWBA(rGN7}T`Z!=i*huiSP}Cml#IY!1zfDf1H;4Om8xQ%uJZ z;}LSEj1r{x{rbL|s}L)>c3TO#<%EIjJ->FJ%ZJEy@p&6JawH|RIVF=iQ zek+U!=R^ne6d@re5tb%P>XH~P#Vlz8MQIkJlGYIN2IDbN8MGVaGI8hc@5k>zJYmWa zsimHbFuE#HRRrv#bu+zO#~NUH(ir1Jif>75Or0UrBb<0XFcnQ{)Cxy&?MnPg&_AhA zO@Ig;X2Bc;h4HLEs6x(q)sc+hFu_qW1rF*U0eEI6I6H3jm|5fLVzNr8KnCSfny9>t z>qWp+Cns?l5g-%CwL*G0`OmL_d?<}k9mXk2VSrZva?4fV#(schkbw1nnu@B{+TCt@ zjhP?_!XtJ;jUVBuS4W_!P!#B_DoE#Ur1}?W0nj0|3L3|NoU#t-*?;x@I1La5Q--d< zdf=~B;ILDk2>#Gk^;<_E0Y%UNDeGZH2mZrHhH?5BxJO@u zIL378n<<0g0?4I8(2327U6QcSp*LSCNJAr|fd)VpB&XIj9pKOTB3a(qevl8=PD-nD zaSCMw0;JU~43~{5iDD4Qj>dusJYwN6=>;-wqyi0UdbCb`mjj8xAf%U_+h3m{Dx~sx z1rYHBO%QrUi|9Q}BEXHfBAyB)x&uHIipnXLqt!xH^h`>BxcBK6A)?0)UauI_7S13Cm!esxPCMU5o`dVgj#KtP}ro z9wK10+&TA0cFNQY{nz91~*t>+RTPOl~-bZTSDfaoOP@Mc&A zt&jiCzLqJn8dhIFoFsx6M>z@ZiSj8=9J~>T}}LV$76?>jyo88 z2O3&VGlDtE2oGuXCH#{t6#zjZTx}gg*?p$_fuMhr1~>Pj!MoLw+4nd>M_FRjSfT)M z22XY-Y4TnjEb3v|Mx9^nYIQ3SGD}Oj;gk_#1rMx6kS;E<(Gw=0d2$K`jw_G|ZZ@b+ zgqqrLNI-Y~;a5@>11ix&I#m3pHB=xFCO@!GL3+j)5YT$JrFKgC34rrIrYxbL*evtJ zU3N`s>+gqY#5r(1i5Kqq>3wWD*K*NZZ!TE4$t7*41byAztb&In=wvC>A;$gTr%&8; z*YKh1$jv_Rj+@pN-*aTxYz}S-Zw}9{ySEP4&kg(M2K)QRBhu*`?;8I4lF9=&xsMNz zD9d>#57muFRaInq%ONwhH+h{}!7cbmJ`t^aAw38!bAcHqMQWDES53Ub;Rg!!7 zGN>*-@rr9Y4reG=fU_bfVkVotff!DCKd06s09wDWa$s?Nc%sg8C49x5KS-xXJ{)Wf z_9vY~`!VUmj#7QjLY#r-cFDb2;!|7ORArz>+6rLGN68jxX@B#|Hpwk@nJ&NJ?5@7OD_jhh!)q~iN#9P*_TFrg(;$nL?E}Ei&YH(0uO}pPCx$K&L90kaF9?$gz+Rv$b4C}3T(>#9H_CzVqdEr zwvHUHu_Yh(-f|-B5B1PBYa$SZWE}MQ-@}bFREKa915WY4AsMnYvP7hv*440szAzRZ zZSLf|=R0^~{&SV{j0ydP4bqM*hQV==!|=FcB18b{jtm=mr%$p+(mRrF*r^ePE+2QU{cAodQO|2GzWPG? zFHVbfY-q^)aYjCLpc1X@qkaIbqo~n5y@@U4-$T-TTP&np8wxTH1@R$; zia4dQ;(pI@7pK1IS&}J?QiFJf99Hr+Q6^pw9|r{BU#j+dQM1umyQx`Qf7#9a5b^qQ zvqeh2zS?a4=CLYI2?SebAV7ou>Z=&@!3Pg1z`f|G%Mf@|uW`Ca(mkL*pXRekBYc7p zo$%3DUp4Ni{L;X7yFmp&+^s-v5IFLB3OL;pmB!#ueX)Dw<*Uh7q&yo|k!M49*sm%= zD`B~9KtTWq0DU}?HJWe<12tBGp?0wi-MJJ5W1Pg!$(u1#2$}~Co2Ys~kUk_gtk@&i zoTCffnI<=*J(bo)uw7p!Os&v0l?IgqDoIe|MwvkFr0W=dKi&XS@J)PigfZ16-v5_I z{~ss_{Qc`2mnjIO{{bSF4j&wpPUs_gr8FQrMD|24Iyg^KPJ)e4^P=s2UC8;jzPNJA z8vHlBwC48QwDXd$xxd;xQE~Bc43a}^vBz4EjFBRO(jK`%G&;UT27YFArnaBu1q7k3 zBdeYLTm2}G^w8P}uU%haj=0lZT2kg0l6R5q5u&&;xl}M7r$pjOiYGhR z%x|pOpblGrhM_v+j7$*{9Gqyc-J;nra(pnyIFfM@6^LT!lEYnNkRvW6seP!q&N=rpK3`>{J~uD!U{&T=^iI9xGY(Ncvt3`-a5-7};+AXz1n$0E>_KWY=e zun)K+0SHwNha_Z*u|jL&c(9@it?+hIe=im*5R-#2N_i^1_{CKH^yHa+e1nJ_%uxfQ zeG~vI6`N=VRcS6PfQ(d)yEJt5`P8A%1dVV6GcUsW@Lz+NSh5~KT_xc7bay}S$Id$E zX0U}B^fRw2&%Mb?o6<`&p@PDb?m=x|aE9jtx(a5I57sM)xs+sLD%oTRG9!>n-ID`o z_7#kpiPK2RYsOE%o&n-Ba9-q7v`?I7Ii!}ZLQm?tU1HNVTfRjYu=apYnMx2Y_X zY;-Lj?9`saI=4@f`d|zVE8xXd9tVtfUi;@bi35EUZDl1ncu*>y12(0Ky6=e>&53?t3kq?VI^}?ICW@(P5hQb| zl`WP85@6BOA$EhZQp>IMO-I_W3&GCX&+|Q|cf z3BAT^3)c)o^$LsiX6NW1Qr;Ga?v&`F?rC7}V8!Ri@sbWeX%K?EbK3W65z8o!LL#L; zN>ljiyNyYTO=tLOAbIAr=Fb1SD|MsSLdl@Q9R{a=q!MXEd8E9gA_yrOSsgc7SYz~b zq7$P5E`!<)so>L4e3jVnktVh6W8xwI{o$`_j8qdks6G>88Wgbn`<2`*+Io8a5Dw(2kg3hPBZlo;I_`@XHJl7Xy-iF&_tIB)%e zE8pA{a?YBafz058KD8F1Wrbiw`YE_{!wATo(6F2v^s*xrM__n*-#1-pr>u4A^-IMA zj#eENrnDJyErJQBpqY6nSkQGLHWtdzjpH_8Wl$E{qY4JM^}tL0_LZxp?bg3u>?$(i zxEOH{ps@nplL5xY5shO>zqb)aW(MJi#RPx5B5XU(Z{cI^Wn&RiU&(;7+XQpN0J8X; zhzKv+O!Ld_I#hvGdTZSX432iAByL8@7A{0n_HpXQg!6o&q)Rq}rZSNz(X2Y0uPVqK zY;uGi3QJsN{bX{@K`nY>YyuCVM6#qM4)^W#&^kT~DcJaGZ4kClKvsy2ZmN^W@J* zS&?vdfB#%(e-eF~+?-#cK-Nh&NvM?C5zB0nsrSfB2>ESdm-L3Lj*~;Paw%U@Bhb!I zond6!SssL7W9pfOZ_p8KEI|ue`v%d>5#!e_!CqjXws;tFUlndc_qR6l466oHX<5nY)2xiZj{ZZfNLo) zRTNny(Owv*{$WQh@4W1f#CkJ21|ejSG4}1y7P4n-NrguhUF*n$NB|BVhbdf94T>$n zSdGP7s{jDuI%m2zioswS;m!8W)^TB{w!GMUzQENg0tz-pa3BYD)~qOxUu4gt*5cjm z){$FCs+U>lPDw!sY2AVrIzW(=9j^%3HIwhV1W)5K@AjX;$S9vlG=mV|KNl)T$;Np!sfef(v(-j+?`yR}t?`{2Z+H4W%T-T_K01r!FUqwooSSJ(OmSNMHx#0fTX`)}Ym?S&U6>&KT?tAl9 z56HbXV5(Ad&;leb>((H6ypc4moT0hm_qXY*rKxWv@{>EySRKb_ee^~1B`7iq`t!Mu2nZK)z zU9bN=TD2+QR{LcXHk*9uK1a18_!6_kgU)*;6n79Z)UNeLiqMq>ex1hu&lrb5vW zfmSL-hempp^b?#V4F=UrFT-&8_FuY^QlD%)&sM*sxNlRzeK#zrQj&u z?MjL{5Ip`g4!wHo50Q(941n9EVbQoa1(X<9Z zBbGvnKwH2p!q6Vt?JRth%?QN*TV1EqsVuO9@1r11lX*Dc5oMd+ZVgd z+2OB zqv}SvDg_DpZZA*$(th1yak~*7;SM1-)8}HMAIw?dKxHm&g5ZFd-7Zno!1TyDUOg24 zZRgqJ^sVmIb4q8B(>DbKpiB%pbwv}LUj@k%1@*bztx(zTpA!6bWIfZ;5dGxao_8af z7b~>npE5rIOEmy368`$817+ovE~5wmsZ~1rHA1(-^d1+sd<&6;za&wGLU(c0cV76c z-pv5eAsvzH8ZP}eVkO!QHj7&%CE;GJYtViWTa2Rvhl`Fw8v0}`VNWx$Ulg+@o`!dR zomDfkFU_~ZvB{r(gzurw z8cE3BX=H?{Ego*qH@UN^Yqd5|G}#zV%QKigl1eo|N0mfIZ3->e>j%&c`LXlZ+xch` zq*E-z@>h6sR-5x!`jbHlHjC~3OiP0oKv$Yclam--8c41AM5Q{>PPd^h~y z5u)kI%z2%S_?OWMd=Z{PCOz?tBqbaD$?L8@m5HSjt>+;O8#EyIDU1stYj_6N0)xHq zh&}YkL`T0`-rK*#LlGL)?-Oy86k;P|vp3N{4%uSkr zgvb!$5JUyKvNJlVD>TcBR&e$whDg7(6p>NhOJaig96=l`D08nkx{a6g+oP2O^~y@+ z48pJq?yG+1~nTcwszLp?Ff_uJZ{}9ce{x7m}h zX)7{+{v;@aiYAW`Zx--#lDNNBaL?0=2PQG4dQ|3vjh5{tzr z9qVrw4l<{W|6Q(YMtj@|4>q>b{@4=aLAU*~#V6l**P&+r=H->qYS4Nc>*8@ncdSOY zrrK05iO6uGFPm#tbX zG_9?r$Cbh1MP+{QR#T_sVT-m5UvdtQqO*&%sA7pwR^^?Xmg}*sQYs->p8C40-2Q7|~VY{@0#4UobkV*0*8l@t((X8N|$ABqAZh~2@E zHWE}7w#`oCA4D5?xrW*J0zHo@sy*mC6S%tx=D2x)DyIUtd48;-E|oQBnp1RR05 zT^{x|w2Zo|XBpP0EqV@2_LmKWMa!A9W2uw;-)3+eDJsiZ~Y1_y|A?oj{ZS29R_-2V;7Lt?uflh%)8nM<%#CxOkcHR9TzviH zkIWzV#MeI-|K6$ZwAJp%PTAu3m%s92mnGj{Qhz0@-QRue&G#k`4enWegvIag{Kd&5 ziw7>g>M`D~-+Y?2?Y2yu_uo79j9=`2?37>q{y$(Rtai6G{O!XY8@}Ip?ggh1m(O>= zz5j}%evd;?{(%lHaM!{gCpAYSv@}F(6k8!i2g8n-NbV4QV&f<{6OqXh+7f@Z8*Ns| zp%jt8w}dZf(v4J*@0{aa%nurmh$Rm{@d8YmqfZ?Jx<(PyIYVn=6wq9MS7LT z)jk+aJr-?olfxUD>(QQC92&{QD zb*9Eok+zr~b z$c6fNW4%5XcNgM1P@iu1+H2|J!cCtz6~1p_`K|5Y7q>qU9je^6jYqZ?{0tBcO362Gc zQZ`Pd6jem**w~U2<8mk`C%Nn@{vn!6OiVerl;7)~nVs*Q+3i*Ka??8P_VmZ=*RNl9 zzxVo0@9Q;f=DQ~z+tq4J%=~oRc;3)&Hwss`-aDggsMPnhKCi!~RnyUsEKDEQHh*$rbmPR#(eV?{DXrqu3xBBY{NsyJ zXYP8yzHFj;)$Oev7nZK7syio+*Obk_)+*iq*e(S(0u#EZ;X-0i<(kD&w7Yn?w)uj; zZ#4^BjNe=q?8=>x#ey#@gvvkHv>JQ-7XE!b}urL_Qw5;Uk*o$0|Li2kAz z*!M^ffGl$y+aZ=3l*cm!26gA9_TmOuTnXjPbEU>27XYBqKoCtJxy6lbs!mG*4~y!d z&yEnX%v}-I4=bO4In|v+o`N!HmWTkjh*2FpA@AEa0}(4ssyvxDK}i-3tX%%ZLD&fv zWvJgA9DS;aIrS_^2X~>dJQGBPzKpC%mDWP)HrR<*by1cecmr7|cz~>dZ#8pqXa1&olFMRTv5nfl%gZV4O z4#e(sV~_=f)NV@T9~6lQu#o{WNR07=vCI71y9sxLN;`yxCM&`S&JvJe!K|P}1bB25 z0e&ukLQ!VE@KJOcU>zDFLcs8!BTwaH1CT;>QU&qF7-}+=9eHEBfPpk8=xPO`t)L~r zMicmhLh6+8M5rpjQDB7a={sQ5r8am?!r0&_VhBKOQII}$ZVKu=yJDjIHdKHz@7Btg zn5;sDcw_WIr)G>#7(R>yoBlf_LOdAwUMrGI2S2fvP_4kG3(PbPJ6}CtA#+bfV;Y{J zC{(!xhG61;8nCl9yTbre@A-t`41n7OmY&xZz`7+>qXu-`8HL4cwmMxeGDI~)!w;me z?YsA}$xLu+qLqMEL?oZGkE`2mu9Br-JGmck%uj!K`>*7#y0KartL!S)^kTiLLjS4I zF+X&?CLJXf8}I`F5Jjgvg=dl7S}EsXKbRzA1=FjHj1@2y`~%+OZz_jpTR016+9xh~ z7ZmdRG$X~FrUbagQ0C_FJ-Z+0kt4JF4~#%_wJ1o$r1GL{kgP}{mD#|_F&(S6l@^Yi z8NCK>{?W_8mtJC=9)SivyC3@()cNrd5K^0i!#j}Jy`6SS4j+1?P}DKnI^h)(pqksK zv`%Yey`EOh&pe{vy#R>V8@B_}EJG*2Pvc-+@XsuRt!Y0p!6@7`fli55@M|C;4e=zL z!P7TXFPs#UYr?C$Uwr&supuz4v(rG4pyEtnSA7!v0-FOGrdbHU1XHj+S_ds7sR#9! zp)9=-09~CyZ8nrwcBn@_y^g8?+I|3nzkCI2MR5cxFM5Yr%I3g_pb`;{nxa3AM*|-M zJ`s+(k0)SUQm1ZsH|Ii@W82dSi`^+1fS`705$ke0`apf3qd;_-$ zGzmVpkDV3L;<;2pPVUoeF>jDAO|KU;AAX2D9WbrQO=Ih2Yo8<221)@Yb_%jNC?AJX zqMTqtQ}@Dz%@Umx#f2e)FymqqwGTT2&1!O$#~T*V{BdpqXQ37|?_9jCP24p>YsWRz zGaXI=ljG_s7@hFI&5(^8IzM?Y)+$0oQz#E66O2}5=NLC#aI`@RSP+1_ao4pMWa@s$ zdXZk;53p=(!qQ9p#3r^((oC-HGpjVXRs>8JAE(1?ZDNO1 zi~=UtO<#QsQ;G+g)^<^}fR~i%kv3yb;8LVuZ95(HaD5KRe+0OI3sXkT?N36&(*2d8 z)H?t@=%S)O&~6J=1KT=R*A(UW(oZ0(xWZUYz;GqSMLFi^89J4t&m#}48?%2%!NH0$ z5(VZeMTEQS^>tn#1zJ;+29o1YmSd-L?3VtEZmtro*r(}8@T-^301>TQPIDFgmu%vb z%*IQdFn{L>r$D-h4a=&L zGv(y|elO0jR04z6fYZSx|KWUqu9~&U1{o#X!I_lq#02rN zg1YJ7*Xp&=P=$na6-y(j)SHl1>m<=^gEE4xp#^Uk$Uo6aj8#Rv#z>XmvXA>;#!A}q z&(HaBqY|@rCW2kxI-3*GXAdeeSHTdL?Bqtp zY(AU%jgaB$f*N3UbQ_L|O`sRQ3=?`-KmogqD_hXXl|WHJLiBf3nZI;xcT{}UeUCOY zF!PIlvbG%7SznF#M}o(@y!8;j!pJoc@f8EP7*i5Kt+4Yqov5AE>vvO`e>~S~Wq-X^ z^Tng78<0s{e8@0gs&nJD5X&R##w9~L zz?ji>NiZnG=Kl&T{&=l$hx%{R$`!RfFy`+);%o))Dbm}*{LUR*uk#INp1Fr_7$m3n z5@-$>IGN+s0kO@@pA`6>(JMrvEx3$$4EhI1BrcTp@*=E3VSEuxzif%ALJUJP>2p^2I}JK{v&I&Moy ze1|}eo(l&|GbKJ$6!YWPHD*|90gw=Kf{h1lTk|ikoo#76D+;aX&Bs;&nwS(;FhBhl zb~O37&*p4Z1a=2+m1`Kbq*xC%5}+AE8S{Z(w<5Hx~?kYX-e5t^Pj(LK86zX-CW?OiO`)bfA7Aq8s=L^e;$8f`r{^`y8#NJd|Wc^RM$wULu0V%I?~NBUh~94K%hyF^(I# z0#Ow*#G)t@mA;B7*6*GjVU1kA@l#R6O`pDshJzEwZRJQrE&q0)DW?zE+j1fRDC9O^ z`05B7?`z1<0){*dC^ynNPi_!-_xH{B@NZO>%SZ$LjHGu*ZK(Vqc_Rnl) z0rGZB7+UGEG`~TPV=PfXW-rOFOB%;2<}bg{kj4H=;mrrgh@Z$;Hsqi@)G|iz2!`9= z<_~$_(dfo%arrU_hzz9C259vxDI^C-be(lMqwcNbRwi(L`FsDInasMG%;M&Cs=Ogz zSyS~TuJGW6L^{#!A(%IOzlT~8RYH5IMHKFqyy>Ok6b5Lo>4tmaeA$~|;)c5wB*bJL zLMeCsbvBgU2=2|7%wy7IBNAS{nD+C8UP|LJMfwZb{q)RT_tcS`o}FrE3yPa3lEgtV z@J)884O)3#bUU}WHoNFzMP78F=jS&iJJU`wKa^i=OjImUq0WYz*oqzv_m`=z(Cz*E6xc{O;%3a@gk84lxB0`jx|T^?phN$}RU!*Iy^Ji8B4d~llP1=!9+Ov! zk&iDbo5`dJ!kOo3{J;frX~8d?`_f8uJtfr5C*=B1Ws6FHLt+!KL99$uw=!rWeI})M zlhR`uD@`VLBWd$i+5si^Eg4(>)jdgilE{lyIlWJc?NxZayl`I<#FCYfB_?tO;Lg8H z)@YYfyqBlG&;3r5Xf;hgJil|0qP+Q8f0^E&lanl{M+NkxQ$Oa{1q9N2LT~GP`nr3- z)22*0v2tBfC~`9!e+-p8S=gS-sgG(r5IPT;DZN9BgfW>al4qGs*nVU&X^0KN zPAl!efIMf~ku*q|k=^0I2}YbFZGcvb``ikaqiOyO#*;qtcJcfu?fLpymvY{}@XKkXYf<^};fA)O|0^1)F#W^}?}>gU60N*_)+ zkto(>EU8H1AWeX!7Bj>W)7>gK%oKyLm0h%UW7-joEi8mz2&vLCilrhK z3TG6{C>BNmEQ*oBV~Td(TWX)?IR9fC(jp`@wbrVrAoOt>P~^|JywhjT`~} zVd@PFn1s<(&OOK(O|u(GWlj(DbLt(rCUjZn(yQmU1?+L`|J$YS_6@9`iSBNkPs3q$ zN0-8|yqD&V_c!ci+f9O=;@Q=UoNQp}vMide9_KmQ0SDtSGU&HD2Znie_2Sp}Ty^Dn z6VyYmUUWp3)GOlFrHk6BD@9ZwCqgI7_JVg3(nj`f>QPP%X-L+d%Rx&M254{F`daq; zA|V47lO&D&Xk$3}0uF1rI}gW@AZ6BSpxAH@C6`|3H~fEJ$Ry7s{$dm4mF#x(Ohiw= z)D29~9r(p7_d$AUKqe^F&}+}#iT$b^rL*_vvuj zN>9Tn3lRA-iUpXcOTw^HER)c?3|ugKScg&>>K`x)(y`YRnQCBRe*L>~(WlF+`suv?AT|3 zBZyQHT>?s0k2*gy+GiJ0eJ~rijJ{f)8_>M^A4}T}#7!^eeh7_6y~=BT^Xky@JtfNA z!9xZ!st+z)4IU2`G!K4Ag+?@`{?%Z^5%#q^X1K9$>C{&C&Ke6)x7CXoyxvt4J)5)@%6HZRAXyz^|0f*0!*Rstc7H+sb79aD`_7`kbOLL%A}q%(AChtvXRu zrdyi&bb(SuXf^d>zJW@!OsQ2j<`zdA+H+N<)#TYvGX-@XXlt$NGlki9dts)2W&XBi zlWof>8*|FE`jNL@xJREDE$3l7o^6XYh}Y!vWK6A-&&Le39jiI(i&{quXvdRIjq0O~ z3a(W9`Xh=)c^dUmkWF09OZ_5(5eVK0z5zyqT#V{9v;-1afm$!ORU|e>XUc-W=9VYz h?Eb$cPJ;o-2>thQl_J(oLpeR?Ep2{l!#Qc;e*vO$ztR8z literal 243374 zcmeFa3xFI~b?05(YMaK$&|Pj%%8esem&Q(O{F25bnN_~T-J_Nn8-Yg-0fmrQGLV=& z);3A@BP6@-nyEo8JVuh&1P53=BROC~f^BdTvPrN6CMbbKHaJ-)n}FrbgDhY0jLd=n z6YuYTZ&i2AOwUUiC*t#5X}YRz-MZ)8^SbApd%ODCXBRF%`wdf`{`T>2ed}A(-#qc1 zXTI~zZ~yBv-~88aKl`okeCM0bJagiiXP)8Kw|?yWg**EH{auIBq@<5JUo9s`fm~eD zPbYP!a#VkLO8S_FG5b7$rDs%zDBCDWy^alv>Sh2~71fI@y zSC6T`q<$?$*J*e0sd~{ucqRP_u1a#PqxhB-dw20qwNJN7`b+4P z&?Ko((?-0}vy$0}lHfR7FLZytvNbMg9O{(JJf%p{t+BWy&GgKtDYsK}OZYszNckLP zc9R4T^{0}^UqT1n7cB~LNz)^tQ9=jZ6CUZK=%6|sRj;D+af<3yRF~k9z)N%|8E*nB zIqDe|p;e+J&+EQ$Pk42NGDy+=LzJXla#USXk~+0dVS1yzB^~NVkNKo>hM% zlnKf^D8k|MlmyOv$0sPsy#yB>cT)Vgr18QO=6i-ydS6z!HI;!Y=M_g=;t$RE!x-|=p;Tgc= zix*P9P7xmI`|pd3>V<=Fk{%?ydpjl3i$9?x=d+KG#U-g%KWV%K)1{=3-SAMIN4c4z zE``TE6dl#K>Jk`BIbKJ33q|;d?~?sA+}Y>n$0e!P7+z0VN6{^jOV^SQ**2B*xvkl@ z?W=J~?g{=4snQ>pfTB~~(s@!o5*J_mt$m=yN9}xz7(eMF1nY#PUE0+@x2=kNuA~t!Ao$HZ2c=G!9hn|FIHyTleDhrJb^dgF@cxd z7w#HcQZI4|2h}BIF`RUNHXfQ|6J3~18^MDH?By%N3M!W);;XMYn{5 zitvy>AUrjF+!YtiJDO*bqI=1?j$et(>^kA0@{4geu}QYNYU}t6yc(y%8yZWOz;!V@*fjCL|>gJx{(k=*Ro$a${&(V zQ<1KpN0G1lmlWw?Qsht1S7zJzf261`!6P{eUb-Z>C3uPMze7=*gkG9|Lty zOZqtbJdrEWAt};<#81=M1uMZr_jGN&w6$wRql+l2(;O`OrXs$|gj_<=J=OgNMSjcG z6y2AvCR(aaK3bw{YNz_`lr&C)ts;HZQTKFI|0<%5iq7Rb>L@rLj*HGeMA1=x^`B96 z{2R)9C^}E-be$B*Tv8;1NfB(71P_@zT_-f1jfci3*hxw7lFhi6qT_9G`7GtVaY^cA z&VDp5qQQTq=$I7U(z$R@N&Gb7Yin#>!aLDQ=gCoina*#bTu#xwS5j1$%$b)_G@q+T zhvjET1{NyGv!aLOK(Zv+*Sw+mMMc+(70sC{Njvo;Tb7iMQ4)Klqv{jA(NSYk(fP#` z9lwtvSy2%lI!d2)l$}tWj=EOSx$2Ulx@4XaJ{qI^=QXL4@F%Hy3FQJxQZK$;Pf?wU z<}Y2#X339M(fLnN?3MjY+h6fc@Y5xMC!CU!)afWVDmoWV8pjtXlB=ZXdUknaR(X_? zu1e#ebID!;Uq``H(Yb7xQw)wxafR2CEefZ`6>lgDX>a`rBXolPxf?1`{umM3^SU=7o}O1553QLcd6P& z>U^1}NB6duW|sNn+~!lS-9D?b*3RY6y0Q4>)H5?|`{EBQ)Z*52&sga8vhK|t<+2{1 z*?8p<^T-d2>O-X}p9Lc-O+E)5?j)s_<*e>dRme57u44qK7U(%W?6-0}+$v-Vr5e3X z;M{j>);y9tZ;lPe&+`5C7P8fAl!Z8Y`Y4m2wnXF|{) z3Eo&bFQ9|rB7sXOHxcmA*4#BYS`0Ua26?bndNuesHCL^8#x!UK2Z~Oke(B&~U)HZ= zdM|Dm5U=aaIR0d7$6z%mXPVU=!32*swz}EAte(C6buXePuo@C3gGKdg)l6t=Fzup2 zNsL7#e2&!>A=qri&O@~tkXLv?|Msqo7KE!KQQ&H?_45EOK7&zdbVPWzWHOPugzQnU6NH-_oS!bJu~wd zJXt^S_S5(A;1O^SJ{jFqy{9+O2(O7RUiys{n~|NV@BMIBIb2rIQbRCJdFszzuwus2 z`A)ejW=pPYW5pBq|FT4VzB0cX-t~$1pOdOyild%tKKpd)5579P>LjmAByXPjOS3p- zdsxI5UKGsTUs*K1t}fb1(%>uKpPZfgaS0RBX^YsBM=!g-QZr>ObNTz9SLvlCQ+fV| zOAxa8AD(sRw2UrHuJ;#a<|Ag|y}Zkn^5JVZB+k^fA6niD(L%Tu|K%OrUOD%AK7ZVK z=%4US-w@+upMwWp8(wcO!*h_n`ODn9;%E{P#Ih-zV7q5bsE1bf4 z{`%cUGggextA6(>I^*A!es^v1Q>zK@6-;=Sj{fnPi(A1Zw!n-p;&?ByBQ>*Gia8Hd z=F}{uV(PJ0)bkSTuWCpdn0Io?I*=ggY{mOb3A53HJ#u4GxL(wdV~^s&1>{@gm=jHxTs zQ>@e;merjm3kl5f?jc?)HYqsdV!C80=Fch5dxvk@;CX-ce|H(n{5w15?ai#IPTldL zF1?*()#*IPpX89{J~qqs_O$@4B62QkBa(S)YWq=hT#=7i^q-gWO9FQNK-XOulEIT0 z=8wN=NkEs>h+(dn_r03)UJ}Vujb_Pz>dr}=&XU-Z=%Mq->5SOQ$t(HumCNEha-Xc% zd^`yr z%K6>T_dVV#yVvCT6vLllp1AAd&uzwAlg6pJ*xesr-QxWmZSkHbySJPz#*cj9g8h3561Wun zm)2$_M_Ib*ywfuaT9|&#VaV}(&2~!?R*=uN%rBg#0=SYEGp5)n`l?+Ye{Oe}!0fJ2 zPqDIe-v`&My4@?6?LKv5nReE%-?VaXMmY`B-Lb3w$lwcP%T}a32ex8G@S5ep7rS*@ z%XX{nT6g{DQ?C`Tbq#3d+2PcsyQ$Bt?^1h`Ri{29rD*fe=F`*jSoOP?Egh#W7afo* zspPAEcZaS^JTrcGG|yKm!&<((M_$OEEhikv+4Df%rc*tlY5^Z4?2JQN+8)4OY8 z+s*5|ca7d|-sj!(_zu0$dsp~7`XwC>=8fKmPrQ>y^hR$TFZ1@=SA1V|!ZW|I^Ih1< z;5GVTpr`ni9Xp!AYmP^MvvR6-n!lZO$;NEqhNn8=+8=3-Vchqr=iaqWp?w;x> z(?C|ztysy-%&gcUjq*vHpUxCpIUQep%l9%xV^#QU%E{|Wzwrc5rfXjOq`h$R zjB|b=)A;(+U;pxQDK~%nl{QW*wI{`SzWlN!a&zjQcy}lFT=zNcd}j->>I!*oihP-I z!n0#9?s@D-9;`n(a*OfHZx}Id`pbUc@gaT$@};F8z%S=_E_a2un;*LDdHikq!JVGR zH=AF&9DgeQrAr;39GSLm`3)o1Pya6L1i#!w8-v%_(Qtk3y=SkOs_9jN^IM2z-oZ#W z5$TmF_DxbN)7SFnTfb|X*I;w=_{yylEFZB22(r@ld;w6)yS0kg^60htGK1yPYeg65 zS3CqfZLPJxV%GY!PV?Y_bp3ASYWjTndd3sapKqWn({){jL;T&FKQBGCC%fvPcjArb z*g;QkEk7x3o$(N!m?F0oDe)I^R-~^LpK@0QD|%V=(3eZfRf?@rtQ!SZqrh%dS*XrZ zY#Cm~a++T7%g?)wfD6%j3HMGf0X9FjVX?mdUGrzFmN2dvO-|iPt5%y}fPxb;0}&v$Ft^@~Ubwr3gT zkvq;Y;{4qW26>1TS~qxOnvKRfv$Ax=nvCDTOin>-`gqC9XQct!1f^}crdAb_? zFI8mXcFZ+$3*@Sll)&1MbDTq()#I6c=39uD*>vi=cI#Gm9dHEfyb znc2SZtnb`Uz3-2kOY?P|!lLa8(@*{M5|wHphd=J(kqegQ4uh98uuJJp)!lvH*-qeB zM_Sp55hpPJ&}C)F=PPx7U^&-t>inbdF{pp_A#*I`s45n6#ahO>>T7rWo)fvniyj-v z?JEYoR~@;tb~qTEii+deeS=$u_E$HYaVQF>-1@e&YSrSg!N>ni+uiRzd=Kb7A+Xn9 zo*RD5D;~J?_0ErO_|(W%t!jO`bkX3>9oyV{iyM9^8aiCPq__TX>zI4#_HFOT?DL9y z2agPn7Vj#q9}J?a8-HC5N`JrOaMgM98;4$9{ZMb^(V_e!cRV(9uj?KfC~o_WYsL?_ z#dX!*Yd+cfRQ+&pRqriP=(Zkk={fv-HTT5f^RmCQecP8uGEvz*99Nno(xPHdZXnvTjbBp3Kqd_xU$W;B~>;B5|3?$b~IWw9p?;>!DPV=Lm ziC0@daaqNzBF%bY>Xm;tt7eIpT|2wgC>TAQ8r=*EQ@d|iA}VpS+5+U5dh)NA*VzKC z{o}9N|6HxNED*UbfKlhxL+4`6DD)$VGg8;NyWF$)f08HsOpKJj0DYIx^VC~EXt$v* zq3z;L9=bT69^9$P+s2~bo)J%#1GVWd{;Ne_fypVCqyOWDHppz!8zW8+dik9nsyy|@ zGwG>WF{X{qtYKPyPstNgAN-oUj)ZFJ_+*PxfW^Dt{i0gFtZ|kr#mWuEs^j(!?i+NR zE%|ME92ac+if(0o`X_&VqUi8nHp?q#nv+Eb@F!ceDBV1M?r+cO^JF`;&sBH-urp$i zELSu$xfbJ-@3a)$^fvpV6MCae6i;E$JntWW-_Li(Y|jk7Cy!$Fp>xhCXDni6xZ;*G z{;4rXxKwZY{THwEL_U4g!h@WYGbelAPwl?44c$!`-nfSj^Aj#HAmFkbYX$j9YT|2KY*7OrSofvR|*+*t-DM8@J zo@oQLcA|ZmoYa#O9VM};GW(HRpJ~ssrd60~n>rP8wLEd%!XI1962B~gX3>3VQ@HgJeo!>5lqYv*Qx2*3V#g`%*VL`%~=I%^S@naSM{?& zHE{eV|1w@?D`fp*UJy*N($!Sgwxs%u$sA*wG|34)PqaP%);7(Yoj?Jm{8R5vqz z$B#&QX@l}D5!Big1NhkwFr5h}gO?9lYR?69ZDx9PTIcQ_IkTpT9-RSM6}C`qY4Ow@ zSqrskC5i{_Uw{ zfzKCgW5me_C4zBH@nFIqfAD!{GDXWmIQ>ULV4I?o2du5`yWD1mZ}ntft<9Xj`Y zKx?+9hnRAf2firL=En>O7EYJXDpai2rnmiae6P*SCI-*1*dCXKpD_Sazx7&UfDmN5 z@YNSX_OVHj!SoEOoTz^x+6LPN#dcNG7`oDzKA)2ex~4Z|$6|+l!T`CRYe)jEEu)$h zAS_-GPd{6o`pTc;yYVPSA8*}E!aOTeAODXp4AM)&*_jCXvdsg5bKddSZf@4|@>~6| zSb4G-u5s46Ci-}VcPMIR2tRy7C9fFtaI<&te)kM#Vb#Q`J4zC(A__d(Y7utm9AeU6? zxC}(^|9`TYd3nOCg(DegXoC(Pi!+|VE~u|knLEygdys`?`t(6Hl4X4CSLJb_7M|OV zJ(`Cj^n-c=TqlVd9LJ}6IV)a*;5fg!M;?~#C;(@L=FYY}Zqu%iS_T2&^%_LP2O+xv z8#*m%rOnUQroaEO)kUa97olXA;ihaTvg2V?m#>C!PNf-)1akFCm;WKGT3+#C@|6(*IL?Oc~E6mv@=9_F@0Yo5}~V*Q=M^pl&+Oe7dQVtZxU>de+np=3Ui zo@PN#s%a%Pcdl%`q?Wf+6avi5=gf2;JTqyvRmF5YJ9wG_LY^~Opg%;5&D`eGqY!0^ zlA+VgXmQvXx~4&4D9^kT`GaQtOKPUEsaW%QbC%^8YZ`Wfe38CP#i5Wn`+pcr~K}tPA2!)HIOz zr1O%7hWEh1^&+>HFKo!v^0gr2c{{g+TZ*l0p;fFN9#WSrW2(ijh8l0;XR6Um z)C~jf`|^vu5s(zZ`b!Ep8l)hw6YUIDO?&!7r(*Rpq(fiYD96vzZl`;HYDSOgVs8V4 z2Zg&Ku)&w^(MwCH*}Vy@1wjH3HArx5qKxXrkQ)i0Q&6LKFgECdc#XIpMa`mPGrOfv zD+^ZoE`73@Fpmy6PfBuXG++3k@UnXpGkPazcdRZ=iL37alNW%BRq>=7`-~^8q|i~# zQ)j-g%rMY#^?`A<_ti$8vpKduZa#Vj?YUvG#4;HxoyJ;KvUC1O2K4sGaKx=SGYm`j zX(3EKGwur)6%$R_Q*)`0jb0>4aB4#)lVFGtP!Cabm|0AX`I+_q_aj=8<*pgJ_!AG_ zn5M)y#w0Zd8v2#lg9b=UXw&tTH|FnvWR^@&KoJRrsZ^el{opRAdp3E6p&P@|P2Tvf zFboT=5FsvjExB|!ZLW_EjzW3Dgj$8HidBJ$yYi((*sYc*C%IGICxb$v-i5G&Tv8jUdpePKk|NmZC z&apKP)QNr6B*7N21j+PURssE#6)xB1Oy7ZXTwmjy z2xLOcN1sT|=;L}oLJ2CL`tq4JF4A%Z0Ye-!06$(>lAP;w+CvS-fGcPd#h!e?+Qxz6 zSUwnT0eFlBu!&$Zay17oXasE}7+~N`y{!~44QaFhtuXLrMu^zV(gZUdXGJex-rkA; z$(Z+hkhPqt?j3nD)aP|AsNUl7iA_$mFMuT)kYZxabO4ZKt8)czec95~zx=ro41iIv zAV{<&fxYH4oG9+ep!4No9@zv?RRbRuFdx`PXpPkJVT-9V05!ZXyf3b9A1q}>T!3*{ z2Qec_>_jm#JOmUNUm-;Lvfa4XW~PB6)?NDvz9)+AX&^Gt*2HSPNs*tcRVZ_DTQOqQ zDDRc)`F#k?6$r@jfq`}2M6h>c&BPUbYt*uDgpj#!-aq`YnGK+&MmoI*%O%T0j7Avf z?HiPDe^|QXv1Db_9ql!%;$4HFStf|<$yY%KC8FO9;!~U4B;C9}IFpebiVQ0w08B`4 zvV?__p^I-2OzD-MjYNU#uRP0!p~#OyN1yld&B+WwzOn8!zU)=yp5rhK?6v z&3VAtHwZswx2Kke6$j!{InLc5$adar50Uo`(yy!d(Ey=E941DN7j44;S=bn(A^7c@ z<}*N0+upuemE|v8{)x5!wrg1T9_Z=3g!6Yl{n6^N^h@&Jo4h3dy}kcFFUjw+iLvLf z&%6T76_PON#ld4cgBDK~*nY^%Y&$}PnEHoVs?PYgXam!nK=YH8r>TWL zn^CMjs_H@{ty89Md$8G(4D_^Q@Kul*iU!wIEGOr{uMj_YuGu}n98vHFJgJ)L6liMQ z%u0AVr3sjQmHX~Bh{yqyu`!*~vjM@peNH9olN1a=xEJseaL)O|vUR#j>{_SBnX301 z6GMPw`xUzO%pL&-dUP1~8HGIwdUWSHs519FVd>Pe@dB0-#m(dY^=iC|CJ`Tn5t>1o z?pgm)T>^$@*HwFPVS@daL=jhb9T!zASwJ?kI1!`a$lJ3Ut8y$Tg_d!q`s51Ypq%)- zpKu#&To15c1So`_DIo@dr!s0@A4Ilr}rD9{GJlqWKWJ zpBduWLNzP5^Zp-xF~bm})ScK^D^-m|nhHK>y4C>z%UyjLr{B+Blz(OQq9Lz^^^Ba+ zpn_#%EI7nLB?xW^caBuU>dp~hQ4g)~5(e{u*7gb~UiT+7CD6s7tt^Y+LL!&$oKezi8>fs8QBamkd8 zrJX9h#`MMq-Af#s3UjvMSL)FwtTLT*ATNM-U@HAgc_heyp<8t7WjZYg;-^;g&GA}Q9m(9+_pYVg*m;0I@>w}d2d z0M}-TS_k}1edS!8nlb8RR#6|eA7}gyHcENXIKN60K$@K)ASiH8hQMBM4XOc2G~K)V zTD=)#c2-UqmxRzYsflST6huH>2rm^~tHv-qz@rFuj;Q7vuhnOoeJK(MBhxJbQPnwA z#e1+L=nrj*1iagi5`Sc?1{|#+MlV9wDJhc%R4Mqz{= zxcP|mkS8(0PM84-YXHmYHv`sXp1LSEB92Ht4D0?MJgWuZn4>0UMYUv9MDJZ!vwtFW{?f50qbi)vGBkR>?t&TwtrNB6&5Yq;qF5i1^#5b z6j3_8gr*HIfB*Z6N5Hw7tyPbE1EF7kyp`D;Tt{FUg?-uE%cJ)M8%jG`L9k^<>#~Tj zEeHrSB7Y0*`feC`S9LIhmp#@;vs^Q~C4X5|9d7k~vXg8Lh^1K+RiA{ssg0Z~1;+CR|0JLy3s@1W)4tq!3JaM_R zud0ZSO7&EQ9DN4@soM3QTH~8K3!x3OS&6yMQ*dky!6BJKf1?C4gF9Li!R`^Eac|&^ z-Ai|4Rif=6YYtG^w7AHGk$u5+w6@*zS(^I8Pqr^O5j`bKDS%Af&i!5nLBioUKHxb| zDEz%0Qyj_4IQ1WJT&434U6Kb9Jr0qV!2G8bd{;g-bJ2~mQH zB1f@)+ZCs&~ZhoFRp0RYhtwF#V?AC}6`S0I{%gW;I|iSS^=6kMT}UJw!j z_u*Dm(n8*(&_Y5Yi3v>B2UIof@Q(nIFziyPtdYjq>vc>FN*|}IqmV^G{{Z3UlQyC) zRY9YV(DMTfj+5KR1Hk)0uS!1s&+_FErG{g%TIzr%;R$xLHpT|7${Don`Dh02&|TGw zyySyQ~Ng8PRf>f2}DqTU|^jEwQ60 zds;_Qn)SPwSv}t!s=6K;JH!kf;W8jU{6|chS>-JP{`jvVeXQk+m%lb=E>&Z@OjC)R zmtV}WM$u$BtQxpYcc?}GcG04lnW!YaHR+8Q2hTzs0T*g8cYk!<+GMtgT~(BeM4^AU zWHarwBF+gpV4q}~nt@Eio??ECNl4YwJys(v*0B>*s|d`^7ciJt4;j}e;-S!~;|j>| zQpQT9T;w#IC~7n_4epY1XA*vbpv~Jk5^cdo7BIz(8AL|2quId2p^qFtxM8G9Fk*sn zBqrKO)X0dN8RyD#Y*In)K%tQ{VF`XbSGAl;J~kXMt>sJv;uBq(xS@FmYYTfaX5~*J z2(L}X6gLtRDqQzb~HDzsMxD5@gd&$kMfLk~N@Sq7cTdbsZjkvmPX%=F{9VM~i?t<)e_>mcfaP zJz^@F(hfAKp8$gy4d=bG1`fGlnZ-q;LZ$^1mF z4C#axws4PgpLH8kBQM{?Tanxkx$6+GnXR4o@z7fI`uy;7QG8ORcg^!)`3Q**g8%%fR?D@*D*-Rvt$s~L^i@g z#?*7%IZp`oLvPDDmYeFW<39_WYD^W-g<%2|R$=^j%otp9K^c5~>44fZiRcjB^jj}T zb!Y7v^&3kDGX_gR^pI0d%u=jsBzfkbPcPsjei9<9gj0{-CoK3qr2>h(hkyoG7=aA{v@&wdsbS&PbeJ=jAS z75O2FEcZrsB)C2I(i4{(JsQY-k_ln-d#FNVF)$>?^6xwTwx2FUq(hl1=@tXlDzdf; zNURc&4xJA?R59dkvmWs;PaE#s#yDAgH8k-C&>0tNT@Ci!Fp8n7X8g>aY@|&nnbFaa zqUQ~1;u+8!TMZGo6Q8)JmP@2k-5#kh=y#Yci#sMUi>jwG^t zbAccA+R+lt9oI+~5fUNq&d~9D!$^pCkCqzc=h~kMF5g;7;9zR-60!ckKxP*?pR+=`xfuN zGefMag~EuxiONGiea6o`rZZ%oIZ5}3KHrfSJ z(l7zv``bC%lo2~5ODlKBn75yRA(2j4&I`2wJ$A2#WE)HZc%t~}wQ4Meadb3vqFje1 zPHC=zujfR{Fhi8+!6Qo79M~57|*CQK^Fs7^P)_Iv~xLzBx+!Y zy%uaWfF_h=7<=fKAh?J%V<6I5k=PL3(<2@RBu*1N0np(V{d`N~h^_z!<~UMC zItgpN#XM(zhIMB(=04|UBe9NT72-|B+(^t!)l)kyS_97o04wx}ydFd!MP2INGL~hT z7ql9{3p3)(L~$LMm5;%bhWS(l>@H`Ju~!rgbmUs}vw!rir;saCahUO;`fWUb=Y(4I zh0jP9)S;#RgeYu2KsrEw$A9H(XfZ<8q%*Vniim|M!hq^22n)-Let!@=Ql)I*sGWp=2bEikiQnL z@w20K69v-~(m?B}&mDjbX37;DMv7nEj)iFy#^7;&HOtW$^BRL0WcgV2TBE=W8{uce zaKI4bWn9H=dTi=_-}rag27Ep5z36-cu*;7r#$oT4m&QM)7>B*f`$fiiV&?@q>GCrx zw|@OBE!`3yH|#O>xu+81Z`+E|kQFSar&Zw?|0BwaZ+4DwBQ6RwY+M%-Oxu6vmXGm@ z7klrhUex;hlV>H5%stlURxMa_Qzf@0LyKsPxGZ88WS<2tIVQ;~iU%yz_QxJHw*I-yVv4$5JnI`_TfC3Q|}JF0d0-ZeF$T~l+A z92CDkl=qm#8AL|Dd4ov`O{4=94x%d>X1lBz1GYUwe$4a`SYVOC&AI<8Yil`havHtd zRiXRwTf6{=i$x$Z3@tpYhSQ6S#Dl0e#xyJ96(SZ4Dr`K?K8$Vf`nCPc%%h$w%jRb; z(eBUcIe9kTW&cIjuwS*pXY9?DE+Y$qB9@K9rKtCi52(3saiy3 zz0nVK*tp@p>%W@M^8?xP#b6-2d!FxvRtDKd@Wk8zC`F@qFln(yX^K)Pp2q z#Hh_~dvGQsbZSRR&Lp93Z)RVQ(?e_tOS3gHDeU&8+d4$n;wIj=)7%fOGw6alb-~vO zd0~u}KvBGqL;*o^Gc49~&L#Ml3^Okb~SGdv{C5JukCETZDoIYqoVt8yl% zgaUXk%hQAi&Fs#R3tqpLBu^<<2VDtM)G(^ltB6Eepu;}5F+9YHDJXw7!gEo;4LqD< zgtXt>0vAFxNsf&|{58It+xvz!tgRC&nd;}P5pdDPLms;kb$_pAi)xZ$) zv{Ny|ASGTmjuzPPOfhe=W^i9a63XBKlwLeG5HpK}7vlHXuVskH!pl&V+fzR|jy0*P zmL9TBT{C>c<&%!ND&>L2LzoMbH$gxO$k#3ngF*mCJZ9+BYp8De_fhJm{5&+Fnz{L# zCQTPV;e>~Um2*fte7#0vG}D01#s*M=`kdaMGmlBdy(0i<8!9O5=JrKYfoW<%%Q&f<%Ha~ z&51NVmMi2)^3>~fdXq#T0erQ>_|T3wOn_U@=?0J+D1IT|hyczL)G>&mvj8A9xu-S~ z^3@DAb!cEDz{q8xT73Ku&SpgPfRGY=7fM4Vo(Bs=oymi0IEf`H9`P`-VXdUj3p=8a z`dpxhVkbd;@aRz;eE^P940cRCa|AhZtz0eiFF#x_5OL zV#eICC)Z%EW9l1ci}hjIYd#T%vBq7cZJF`<_^!!XX-70Xw3W1FHB)=5=iWFOe&3`! zTLa2?UTF!fss#i#kS2E2C3D%wxiC;f+d#UdA?AW$7+6AidpR<)zQgj$>ho- ze^V|Rdv!03hTb$%Deefoo^pP}uJAXr?Cn0w>v{cUOxSPAdb>ju!hBl~q>^3V@ltv; znkim+>lwq5v-Q;tXWRBh!Mmlm5oQb5-TRq`w??mSZOxS*(9$>o)2DN{6|b$=*SbVR z!`ld)Sup<7pl2G#%T)&Ae)mC-$n=4`iY=R4SXYW_H%?aEN4C{_26z1P&jc5S*WG(z zzdKgVmH%O~=pHB@{ZR3f(bW0t{$bsL;yQP0&xp3J6VefVk!K)ga`#T&GL*l#uC?jr z^qt<=kw~e4ecF#Z_EzHg3vN1dE7Iy`w&t8+*|9N5mW#ILHWG2u&8KrWv;1&7Q5+HF zpO>~q)Hx!FM<~jqtGqywaI=^rSleBx47Wc0`rb;k^|~7>wd;ZkYpx*U{$`fXa>Y4% zZ2MO$6U8^>w1ePwkJwJJ{)8ye;)3|n1i0wN-tZPLfAhD`2B)pB=MUQ4axMzVO-dr#$~R{3_iib@?kXn&v9Z?$mEn6q z6U$QZ_D&YFPwd)$QRRus_TGwuT1SIcfUMleSpJa~SrUU7A+)fnWTxJB+i>IML!uUn zERq$@N08Q*cOj}7`CppD!#cewGeV|;QIeHV#}S!|?VmH5jJJ9{(7KgIDRRPIz(S{2 zx)=6j%i9MPi(}dehAT0ZKtY@|(KU>WyBf+|aG~tQR^%F-CW94Nm zcFLNTadvo4Bxm{qD*^)#1;G-L8iD!B0et%P4W1kuLc*N#u}WP>fBX2@T6digGie5~ zO2@SU;OkCT9dS0ac^C)PCLRPh0mx=ol|dG%SEB5yvQ4?%3yc8sIC zV1Bz&9ZUsAu!dV(x8({30w}o92Ui7^C`)z(_O_z8H8*x+C`{@?q!!acZjU3ZH2(n9 zQF4*T=_V#CbTJ7O&w1+4&WJ)J3Q3ML30FB_q67yT6tW*DN7f9zaqCWF>loRDW@bYU zBl8G?t@H*AL@zyd)35UyTPHUu?LiBsNM#+Kz(+6Up@jYFGWtV$gel;WBXNF&o*iBa zh;c$fy;?4e(;1B5Pz3pugu!O=kGutx3^&MDYWd#fnMPO}T8nt(hI!qkq(8iL5DE4( zpUabYChE+2e6PkloP`z}BzklknFLDcT>hWV#!fR^zR0HkSr?mTm1WK05<5*^=7y@6j;>i-{0FAsm`Ge2=jU+P=KTP|pPwD(y3#5=dVG}(au(%zSk?5&@8JGbI>|N0Wv z{a@N^cMr_n$DZsS=-SJrLgq)oX~t&wmnK^fUfO%n2`{>d^hyeqcime3K-Y~7rjxn* z*gs!?iCOMNY8xz{_2e5{^LA6%&cj#ZdDc8HN2(WzHw^N_N|@0Hv6uVXmBVsXP<}ku zD2yEc(Q4*5vkvNrjKf*21j0zQw~3TJiaNQfKl;D&Bix@`l>6)yx}Q~KLg4nS+Up<4 zwm>2ZK^HHqPNpD=3NOP+Lem=gJ#0V@51;SU)+%`IX=QqDlu$b++SL*_?-D9pgOfF~ z9}lX>Yh^SX*X^YN2E3QJvQ#k%haT?+I_GsmdgTy8NC?K`{vAbU|K0b#ka5dNqXraF zDO{#zr9Q-#YYda*((N7V&5VM*M+0HtY@uBv^!IzCEQBDytaSDs@~++$YK#rmNHTbj zdf^ZUWFCOT=YD2mu{Lz#uP(=wLXeO+ZBWCm2=+m74Ak}WeaS!-$b>Pt~$;uKI1g&4e!hg#Q}%pKrJT% zIGAt65DHl9-tgcdB3tZ8HM28p9Ky*X-YVpK!E8&W>E`#43eCHfUPVV&B;v*m13(>EVWqQD)weJgb2H$_`@K#Ez@&n6_IN+`ZD!PGVAND zbKY1XGOUhe!>~%I%tFWDc*YCdJ%iQEJ{GM<+y;1!xvfl8b+%-8)(2gGzZSnPb8q?& z`C<3_D*N0@weU;5*?y8K1YZrZ&bV2Hp%K~V=osUA!*(1k$hLdc=T+-%*O zbho;V+-2u85%iR*B&vFgccO|L-Gb`{gE{vqHuRKmRfwe-6Wc*<9E60BmV{ibb-e$u z^ZnTivlXb-5B*pf%n^OcBOnXokg~zJ4-)Tby|eCIcxQ2=*E2%8D2tQgU?~0+{zXne z|LPTq8sZSL^@BYfxRknz1gy@hFn$cMM7(dLouA{z8>u%XLSss#IAE>n5u_}UQLm#A zsRyxkYiyt(%)4$R2p0aoDDHD%~;mb z2vox;oS*R(jqWq`n%}wA+tiosl0v>LIQm;>I=v;Qx1ks0i#GYoKN zqdPpPj_Gj=4vo$lQkD(wpm`Vo4(X?QSbg|V6^3fMl_j0(|FBbY;Y3tt0F*-JLN0qt zCgi5KTJ~^7^;=z9Z^)Q)r{3G}Ai26IcwTmAFx;z0bD>)9suXS<+?p@kP*ENSJQ(=Y zD|v0LiYnq_yGpLiQN5UAnUP%a*sR9?U6{))-!@*T;Pn1_0ShCyly4{rClf zE9+GZ&YcV#uXnKbVvyOAe?`lIS~G4Aw{KoMM7|@Wk9zzlFnh15e-u6DQSKJ9A$}GN zWd7c8T`|BmH@M5@?5QYH$N1C!^!MHd&FVQuR71`EOa+N57U~(k4Axr^cU6ev`2?r9 z=t{~)_6G4E4r*D|P*4~|giWYCc;Vm>)x&>8=-@cM0;b|c3=bk++$al3- z%G?2MAuaD~=emptdZ|la&;=wHRVrhv#C;Bm_~rlc^6}%mm7e zg4&!Ly4ga#e#aoKQ_idLX=`^3)*a^&rL$^BL?gQP#DcP_3=&<;iK9A~0z(54WXu6Y<0GJSJ*uXz|m9=Vg87?2@c$*1?q zAhJ@rIVxs`gMzyQbVO)FByKsiUIcuXo?x(s{vOqZY-7Y34u(eV7>V5^U;T~>jcO_w{rpMY@%&k0gR)tkA_tiJhb7APjHE+JTa{Pw+dBNaMH{N*F zTN;lAy^Xg6l$+YTDHRA;7TQmCO%XjfZHywUK z?^XM6C|x?Z<<7yvV}p&y2M5Nt<-^al>H`F>hrQx?EKuxn2VVBQ!B2;SHAQQ^qA7s+WI&zx5oQPa`|l{fTM)$JqgrtNNbg=)LbtIqECOi*~= z;Ku0EhaZ`RLejMNlHl!w!JW3(zrQCp8cr4oRBmUd3tnyLk#cbL7Yo}w2i^q1_pEpU z!AMqTeItCBreq}aG^vOK{ngEr#q#9(fJLAn8)&buyu#b zfNeO>XVn1XI|+flw;vezrm4~uWxf?x9tnek#c~+%R)_kz&V~%nzUgP^^Z9oEi$4 z-s!*)E?ZtNN^j~5D;d5uriFfU-(%F3$>OcwK073f%MLX5qut^iAvB)>-60KJD`B7J zl&WAEAsf-~4Y$>Z^+9#qWQN}iXiIXywjm>8NYbXgCtf7TyF*5NOu2-aoUS!WC6*RQ z@OCKzEr289BT^JIlLW0mBjXisb1*_?3@JmrX=Z6okjobgrbk`{uh)4lkQr<=6EM>d zQA*b#n-Lp96R*v%4RUZ14)Rf%bWy8K<xF$@O<`ZlcZFx<);{dhuQGh!~y2GMxzO~y`U@|bOb3aZ-~0lGUToA zAQoowobmzIb<@Hw9WRGZzv+iIdgI4JCFoChM)YJ~X7U}UVIHO@;+{2^W(uyc%+lOf3*>mT||aeQkDUF$Y*M^?2@W6m1;YUp68 zs^=x}A!fCFun2f#UiyG;8*o!nebeX**)of~v5vqTwxeZiUCOS)ZsV(UqdPKYxee1( zvly?{6>!A48hD#0*T<$!I-y<=bZ$ZPr@w}%D|devZzv+$f0txUEK88Y;GIX1TsclDt7b@Yc$H;%wBsQ@Q`;Xz;%b>i|e@b7N7#( znNlVgw5FuV$^@d0hR14_XgKDtQpuF3_pG-n9_JT$8vs-To+gLNk)z^K>3N{mDlBD%wc#ro=^3qXyw42zz!jpnEK6cjD@KXKr+@I*7B zU3t5f@gcD4u9%%nD8`2fr+@bg(r{VTkGGw+v{5C{>ZagGx`D??XKNT}%Xi5zhfHn~ z)n(R@532~;wSxv;ej>|C;5t}6_Bh`PxW%((EiLGpznRSDaogl88eff`!9;X7QfVzZ zistqi?Jgg*{<%>N(?X_CZsqjLOIFDdHe=cmdC8`nKGTpOp9!U#v`@&M8Mww-KF~35 z)=C(`IdIOvW^~<5lDZsL+%)}VB z{>``&n^3bd_9dG@#;7u`=5+NJH){4YKBEk5G}~L9huwb1w20O-<}vs!$N?t3yxxMA z{}9iiX3VZXx#`O9Sm$M2;Q=;X7GAQF&>J6}tiwEBx(SNRzzyuSWy4~_G`TPVo>Tdt zmAJ}C%Cc&n^TVfq^$s)g@?jhoh#p~Pf!v|_u#9>)ZaX$EY1@`W#|&s=BA7-rla$47 z6Ox%X5=w|9O)@XnzW=OHv-gbz35NQ!oflb`t+;R9lnp*JQ|JSqES}M01H<5B42*dt zU?5!TSeT}{U&#zS`>#1e?!#yt*4-KyiMy3_l$>o|T?cQ}JQ@3J!>&1NWc^6xpyVxW z))6>zbzA{y8NH?mvMR?>~NNz1&^CQ;t{ZW=wB)@;uy3gN=1 zzx)A)&s?&-g&%>Q517G&dJ?HL8o2D;nJ!LS6T?|->kPs^Q3&>nu_JSv%98%lSq%hDz-cu)28f8J1tCsc^8XhCX{ zqI?=$>RiM&gSFDu88=x7?3Z+_Z{#5Pp8?6bL@f!C3~9^LKl2T-yl1FuLIAtoO~tIg zY^P?8R7e<{=o73Z;=w>aFgJ4zSuBPYYbenSr#HP>P_Ziv)@4U3pQ#DFyJF)uLE1R6 z2B|x)0a|PRRYuk`k&LV#)u1~gwVTC0_;d1@*!!h$Yz|EHIVVHj&5p}Ew6UO0tU=dc zng*(doFNKaKPocgBDWQ~iD=1<+;rvFUOZ|-UqL5A=mc{>fjUDIN6)6w^=xve;k)2KaPkGJHyKHA!3 zZl;ucVNGks)=dnslN$uF+*e2X10h^UW;EjCbF6Vs@*xN{xYDHjg|DBbDRr+LXe=F{ ziW*I3B8>~H5#3V*#)VGPBsIr%@Hjx+fVs0oL3R?~CZ?J@@a>ECAr1x$0yp_L>>rK? zk@%CiviLY%Ib*TN8e$l}!2MXSm1QKmiqY#QMbI6CO!Eu(=F*~JLMV#>gFvvX(%7Y% z_&jxpHLELll;7H1fe+V@Rx09CY;!HEFfdP__VsBcBn%=L4aAYWcm(KRtQgAvC5 zw2fb^m$C*V=K3)S#<%S-!8m~voBrY-EDpQF-6jhyC7F$cU6x9XJvp_;MKCQjq#rR0 ze5;@W&zZ~uZ4wgrMi7~ir~m4!fPa95Xed*m?^_3`l zZgrr)OqNXc0cvGq9{92j(ucd>dloVv@HSOsgXnbHWWH$5j@S^rW26kiM*55d4fK<* zkqjsap7rysRgk?T4>Cn-N zd_Bwg1h(NkQzHTNIRnfJR`v}6Y>Ln@#_FC3ki*&Q&VG^Jkl!Mc;yv@6w4P|1#Hb7q z5`gqUf!J6we6S>*y|ActACh&CYf3bep>8D{(3~(|THa3jl6@QN=*+NR0R-w#6pg)R z%~KKH8u*_~{&Ea}5FY#QYZTuw5o=mXLRzfW(%5gI%H&xpwBeJ!!T8Y4`jOzkrt&26 zw|gXoUwQ(_tsG(MNe)*ifk0y7&XHXwa$>%GaOq@)j{Pah!*j7)zxK}^c2 zu$qkvj9e4t^dIjavL$tJsQsmXtSf7^nN<&M;0tk`^!KlVV7i-T9l zCzI8aY0etNu=(;cpZ@EQiuKA*D}(g7^?_0`mehS-Z_vmbAT@kxUZQhwwj%H>L>$2V zt@a~^G-(6Zd<=f&=?nTzMuV@#+hv(eMkHqNT?=ttTv2{B0BBH}PStg1l40k1jJ?YrxypKND)g-`L(LIcEkZL)*W(GI_;j zf&i>df`Jh`m^&Se+SO*+8!#C)IgM2QP`-cHxZb35tdPM0iC&xS;;E5poGp`7kmAYm zwkLZUfFWVl%l?JXUUNP9OHdx4vj{0e-+fsIk2-6)f3m)#+T||KO&@SRn-rNjZNI+ijFj{D3+$a$} zi4~rru`A^I&sYfdjd5`YuKmoJ#`IMn0u~@y5}joLhGqU{jNe{#e15H2fPO74kE;nV9rsbs?go~*9jUn2%!vQvhCevdz1LrAPpykKZB z#pBK}<26|bUysL26o{6R=1=A(f!F^{&+b)HnP}W(*sQysayw-O7I3+Y(Y3}E0>s8$ z--Qi)-IibAyK~mrzz3^-x9t%k@$pUEsgJcgVrRE)L{Y%|-fWcd5!)T#p zgNBY0(o3efe$9ZU9?~tF3@(ZJHwM~;{hMz3z*$OojG9#=<{(Xix{(myaK39gUj~Tb zF)Cq!R3$^Fa|s@KtN>42iKYtPUVHEercC7YO|RRB1lZ+^IGH??=eSy3^-iy;vA#Rx zHAWzw$yqO=;}LZ6;QFdEOmI5!F~yJa^#8n2X&2I%Ces7YXJoaMPn^fv14zIOm$PQf zM#2~hv%D;wF?d>;lLRC{p#-ZX-@3*Ql>HVal?YT56PO;5twnZQ2%3T0nc%c2s9yRc zSqB65TgVKjq2kcJqHMBS8fuSS4D65>&|S6JEInH|VrOTdhP3FfocJo4aqNKcSEUEQe5`qH?o}m$Ds)Rc;k5oxaWFDR(F*9dG22{Yb*|uJaW`HFLHh zs=&^ou3Q8rB{q*(Axg4T+O^|&@BFoeh-=v}_fsIOqo5%&#*U_FASlVEe#=hqN#sWv zN_@eeIMa|*zF8cK&9TYzg(Hf@y23R>5k1KQ1he*wD1(_{*TyecxK>3?GBz!@^N`kB zS5Z}JYOX9b&`=2xf@2kBh76g3PQPYdhnd7(vp`~hiMqRPl~4*eh9yGl$^sQ_5kpx= z<{x&)=yZmNNmjh?+-?AOUt*BY-QDlDN^0cQ473AR=E!8V5L^^SrZAh#IWoJBck5;B zGn5rFiwb5H3s*c5T+7dSr#dULhPf#U%NChbGjfY88Co0U3@xk{Prv)8<_xu?r>vc6 z#dbdT!jhO#&Jbavh^$lxY_AE$=>!>GyIk23C9xEzs#{=V_$sOz zn8rldu^^yKFhYbh0wQG+IST2rmhXZ({iEws^BOBhLbPKW3`@JtrvhlAxsH`Ey|#r3 zIci&n5!obDjDSy{^Jmr&vP{&?A{oZ&qx*Ob*tT7g7TLZvXQoN4gk9S#nJM8*Wo1mv z@|32Ty9`r1qs3!gjK*#I-yRNAH7uWm!m@|RZ+m9r4h+2eU1u7Y#^}dWTSu78+R1!| z$(VejtFlljAvQ#|WA(6zxGxacPbM29#FNFnpJn|$-qzHWu_VX332}Rfv*pQHfwtYZ zR-$c&ji`E0UHBpyB)cRQ4;C3rW;p4M25X5o^RokOmPEzggPCdMLMScKOvWl2htpqg zb!_77ow;}{yvv}wL)x+Yf;nqaZAxF{ml*;FGM6)?_}ItghARx{xM#+ZG~t??J2Sw>i*WJYS# zRZN}bK6u`(o(75)?zj&ro?0!PkENY?HRV8PUbSw+fuix1y7(;r=b^R+k(rXO5VX5eAp8=?uS|vfoPnyk99PT@Kv6#%k0$zl^a@3_jS?jROoLcQ{L+HJ! zKM`FFM8_5a^RaW=?9_B_Bq%k~F0iQTD2p+MZ2__(f-}fWF6%2@nPC?I_)IG{zIRzy zNt+Hc9f%|lAa1T3T-ki1oJNLH_Q<*|z#?fXD~Q{YP0X_KlghWeg|!NWGVx3@7qI_5Y4yC(0DEA zN~|wt)E26lRb}$YQfrQz;i8$a?Qcih9F2`pXNr-6cBicwodC|3Teu_o%C%>7hNWrq z=XhWGYTg*#BOODO;%7HTs!oJ7!!qzXE|3X5B{v?M;|(tj8EiN5?XUfwwZ`eR6Pn5V zr(K5m;2BtkdvjET6*V}A0~pVsGlzEpZ@p_z+H(my54^Ul>cl8XX9UR{rpQohN^Ma^ zC{d7u*BjF_BV1|=%{<`Z466|sK450jspx54gk&a3nRMg%-SRgtk=n;I$4`*tHhA6dQaLUM;sD8%Gf$@BHQylYJ zFWOi)oG+f751OsF;N%-475cN)m@*kh^yh?kI@jK-Q_OjsFb|{xPQ?-TE z@Nfn+Bl#K6>?XgH|ch>V(bA=8R4t8o40FBCx!%Wf}S?Ex6` zt;;klzY4yRJcfOuZ%1gb3C-zOJZcott{g~Ag{J=I8C_i685W}8hs`lLr^J0rhjo0-^e@)9yjGc43*x}p_q18Dn)j2R?Jx-rABcKcy(yCL ziOPg_wHP0YjYswt8o^u8o_LTIEkCT24j8R7Pw=kV_@yrMgYknP00Kq?fTmfKoeO3q z0yvfaGFxXdZIN_zQ|q+T?_SReF7LT#s}>fHmmVZJ*m*$l1CPL&u*L;fB~~j01O=*A z2mH$VU`-h--nmC;h8cIu(?5SP?{nDEBF9o6(96!6i|9BsVKYLunPsO*OgZ{8kTx^t zq33)@R2e#pYcs0niym~wifX`C z-h)@L&Ydwr8IK9U4m#Y+UPe;#*N@f9&L*_&o)o4Z9>9_!q|m7^8`2|ULZSA?OV@2 zRY++jdD|Voc@<{Lhri%N{7QnyyH;DgGbUVQSeiTitS>IXwXDTmUt{AtOM2%^-{d)) z4^~Xrn~0y$C-y}A_%}CtkF@wTk%+gmp=TD0`9EP1{Ud*|jKf}piJXgkttw7JCD2NF z+yQK=RHonhYa5x!*!8fz#k(0pP2KYYFn6$T>UZK|*9;0EB&k%%q!A?&Xt_krhIN1mKLji&eKaGkT;KbHY zP7neCVUK@d%o*R_+8yqx@b+|1KY)?Qxk(Im%?)B^B&FVbED1{9m zDR2bt|F_@9?Dd#!Lu^w82dUvAGz63qxPkO3VY=Rb&nF_j z2ib?PJLHR1QmVvGEX*t-Z;~U(1u7g12fJCBaRQ|_-HA;al;NkS=VPkE=?8z9_xP2I z)%}PcKT6;y?%IO7D-*#?hrNgu3hF@*984JBY`6o4WItvL0+MC0y*c^h&V+S#{8cegaj8sV8XCnX%yIT$cg0> z?>TJ`lhLs0X6kQV$FIG!qvKduuVTox5hu1p3-d_aL37)>367MI<+UsX0U3S?%V2Bv zml(h3OaJ)7Fyh_1W33`yWhfUcrrp9kax^gGTr$=P!lSw6$IjqQ zr#o8wkK(UB=?l)b>jfFc&nvSyCSmtsQH+arU7;!c?C>vV;Dz?)*jva3^x~Z(yLmHr z7-`F027flB(UN$E2Z$W&W$D z>bB%BJU9m*9D(y0Um_ulfKFv`2%4!+Ujt4vU0RHNKgEFpk4XCnBh@5rTP$X!kU^$)fKGIb5#X@H zBVjmtkSQ2B#jmoxNtGbk^i?n8w;K4hiEvLH`H=P}60$Jk5HmbJ0b`~~Mxl4DBMh38 z**n-5u+2<~O_*#7Ljh=I`agc#=N>fBFy!MLk0`~i%JiYCne%iG(4 z$#I=^zEw3HyQ2(oce!XPomr)-re;!_$o8l$ymJLh>h#oDt$2)Q6m6IddE#kV4q^%G z4|uX6`{6p>)m9H4p^xSzj1_R$gxDdlEU;u+9$^K{;}3GdcN1=G6Lte+i6pqJ z$z?b9_g43e{2@EA_dd65b@y~vosajt=l%Gf_dO?H4~BOp{5A(_3FqcN!9b$CsuzFi zL!3{Db-lsn0-8OW5z+Y#uRnB%dkRV~+n{I{9$pKKDdsB#9+0n}6~GL3|JnZ`r|%(u zWov(pxY_E^>k?43SZ)_WqOEBS^@U7&y~ZI$qSC}y>R+@0)pO~;{2WPTzG?toudLJ2u@XRVNQFx^B~ilA?6Y<%`6Nv zw;?0F0sEw~LH#nNSP7IZEBt+64}GI@P#LO*>2=}%`j{LGL23mPe92c5Um|m{U&8B7 zI%YLi53&T#+~Ne`O0kn&lE>C@(S(d!KQcJrN8g&-0XZ{dNtU5c5d&L|z4AMyi=EGO z+Zrq$$vFevIk9$QVB^DV!zqxJ4#PKHNJuE9s{p09tj?qAYZV~S_Cv}R5Vz!DH7M9POB-&T7 zAkuADA)>wSCE6MsM_`-#&OG8WW@MdKIhs{&`nyWURv%ZuPK6)kl3vkNECb^1+2R2R z1BZ~AcX=SC^xOY{9q&)NmDB7Ye_C3&)wjHmE4+v!E7p|=$HCmnF(Lx^Ct9+*?__bbh-ik07;qn1>nE4E}9~c0<7G105PGVkw zho8iuKYw!_h7Kq)Nur!-#+--Te;4h{-nl zZ(6 z_U&epB?=I24C4(tf{2ySUPNLWGD0$L=vM(Fi@{U9^n&l9ILvsV+de5`;oFG@WP+#z zO6f~Hv3Z3>p_Oc&in`mLtxyF~xaQw={>@c$QD>PPTct1eV#~IcUG86T$s$5NOW}bn zS;jD@+JZC?3gY?5rvYz(6VeJK&|QC2z!Ky%aFML^2Ymrs_sd3(4>o_|%n%{x%Pt`s z%!YWg;NE_@C~w3ks2YA(RiqwCdk}aqiG;Rv`!nYX93N6?ur0|w0LjQ%!|QWho*c%4 zOq?H?{<7K(AV*nfqBL2i=u=YM6#9UT2J-e$nQ)o%6b>PFPybnQRK&`7;YSLbmLjVq zz&F?&TO|{kt>#4jF_y3HApH}QMh54yUSzz|H9s{ckP(I}{4wYp&Vyi5PyePR1{*t} zei&NfT}m6aYE-i5bfxvCzF{p)xNRRX8Bpmwp!k_;O4j$K% z-_2%5Il?Pyt$*QxQQ51ne8^hs5TY0Ow-RRZY)L>q`aSHBvpVc~?S`yMxeQ|Q!A z5>`#EMapas*x=Szy$MeXg-|12pHMlnpt1j0Ut-0WT!Fk#@??r(Bpvm?aqF8sm2cJB z)bW%UftSaNeFz}rt199PtQWxoc;B`;nV~XXc7(`f(@g2dmL5Y0Vp`ttjU5oKUB)z% zvoXEP9g?K1*NRLP8wnN^ahP9BWzlcqG1&WBvTg@w^ndg6UoL#CP$6&6Cr|+@3FwrOo_kCtn9P`V55c3$xaq zbxw0;pE#LYEYEt-73+d6JosI3LdxR<7f(?iyaE?OMwC6B+?vTK8kXEv%b)+{YhVZt z*E- zY!@xY%wjH^{n3%!0%B{goUoD`(J&&k1gGzJ0Op{3%wRNGbq&$p#}}nE_z;T}$H>3! zx300t%FeA%PPJsrLB9*)Cjf%6ac6A`sbAF(`CIEys8ey{ z+zbCQDUtWQ%pr|pxg#^6=9Zyr$SUQPScG|%%Uy&c)%9D35I~iY>KZ&$lg%;3u-=lt zbU_Bn_`#n^0nSm<=UK|5nwQY=wYG|+Gs0%n0(bXo65)X6pkHQ$>3{rj>hF;N9$7U^8M19G7-_pe~ftrK*QiK7l2ShAz|wz+^% z*JkQpymflTy&G-WE+5rPoD5|m2e!++a&$0GabAqb->u<7M~Tp8GLJIJQ1UH=VqQ+} zKN=T4^nV)o!!BobA$2dycX1z`*VuuNexOH0J;@Yf*z)rFJjHO;NYWo=1q@GUYd0Kd zh!YOYZut&S-^Ai%T*CyD6f?fZS zzXR$D5-J+xr~iiAbaqU$y<{$a2eswt@2`~rhls*@Ve}ytUF0 zx&0wdAs5CY#97mutq!5JUUMTAk%YNO6nkS(iRfXUSd&oMjm-KdeR8enkZsUo8~WFQO~y=|71BcAX2YLT~08(M6bElTBC z1y`1QVpxdcW8!YLRcOov4wy$&ad|F_VoA;0-&No}BV;R&#dwJ~dc~N9zF)xD3*z1< z64T8Cw}!8B5#Ik2(+Cl}^HYYWx?1B~i>JHQ{wuGK$b(FlbtogMVtWi2U%U{IVWJhl zlEg$C5Kp`u_ibNek&{dlZL-L|HPDF9!Ddlg&3Wm&$TdtJOo3b`36Ptq`)|Wk)=3OFQFjC-|#ymXBwGi zV&G(Pw*6qfUs>Z&BEnLN7M9!|XdCH4l)A)mio+{6<#p$6zVO}Oy#ggkKR? zn7RX^Pz9}*I9nN1s>vsRUY1bj$*+jNLO>bk*NY&b>aFX_GXw5K#s@<5Es`)G996gK z+fiz6*^V$dQpI@N$eTHo(v@{R#NcHgMHET_DYaGCOW&ErDUD|iZ!u^p;)6-e(l)jf zvSZLWOd15&#eem^LMjXzvy{7%15)L#>uZRp?eQF#x*~T(dPv+8t3sFAS^9Bt^k+(( z_sHDqoMyPi8OqyBB4&S+dG*rfZ+#a1E2XDfg$Y5BQj9_kNNWp@<_=Ff@y%y2rYcmE zX%zFeVJkD^%CiI0Csz~rpxeD+LeV;FwHyHj%2;nr%UlS4>(MvR&rIishuNeG?ShGZHPhv4&k-{RnQBK4%6{`X>Vr23wv9VuW3aR@eo z7D^5XB~xzee>HgEWp6ZB3L3C&{!?mt)&z5m>AgMYBuMGP>EA=>rC5q~J{Ted^XqaI zSI)!cCIRKQv4C7zVq*EsJn7$dPnZ)Izx=myj4e|ez3uBk4gKhYen(!zPZ2u`w!*rV z*ZhLkXarcxmIZBitN%5xBE#*+|8of;3+!5vn8ue=Oyw?0rbQB59y3D#Djq-x$}l6l z(?L~Iq6|3oJTxkEo@Q+QBnIJ+k}xVm&k6Fp$*M!YEiWS-2O0A%6>hh)1X$L0WBVMj zJ?B%T2gWY&?gT3}d2ZoWb<*p*-f|5BMXJm)LkzmtsEvDHT3vpZ7$plnNZG6RW!J;{XzPx{Q4xVmV!u7AHPg{XUI0a+3HIlhK?0)`>*HD#&A5tqz zpjZrfeS;SPv->r@^jl;R!kfx~9T4JFm|rr#B#{qpgyBJnwx7ZBzVKbw@h9t%Mg0<> zL8fq@lpKbeN045gciT_nQ^=sn|AWTMz=(}z3M%R|V1p~4;ZOgE;S>sbA~r6wv>5$4 z5!qG`$ozEPtCy3n?_5Yl!UOpwLI|y42`_ut=Yx9kVlVu{MW77USs^Uq686cjS9GaQ zzE@S0YasN)wi`nQ5U)i|jMN(XcdIT?nY-Wi2F;1(usf~reSwSmqjbf(UNOO>B*-T| z&479|6RVXGL{(w7LDwzmE(pXoJX!DctWP>w%z`64jc>@tr>J6f0Ow{n=RqP0TC%e(j(So9S^=hMGr=dQAD@E z#&7#tpT4;{j)6-fSftx83H9>%d=(0Io|FN^CvV6-^tHTEm^)n87XIN3V_Nf=|(9m zx3Jku?`he_q@$BC;@Ib8T44o8NupCL@%=M#68NeRDxDeQEju{HL9rb9UTE73Az_fd zpS|r`&czN(2Pag=q`Nk!)z>+5+$fjJ%80Kj9Sn>!D)SS}=x~PPjVqa|Q@^W-dW9b}lX!u&AS1B`fveCHkl^9(;e6v;=);Ev!C0%N^K&n%Jc3|n-$$FUuRdQ%kTF0I`OpS`+jV*F)zMO z(vUSkO@({xF)JCfnu@=`@KK_sU z2bD`l{@-2~eqg?Tcza$D6nLF0yV7rcdU^$9i~Rf#9(Lx-i_N(#c9aPdoH5dQ#R=)L z6^8Sru4&BehRAxkd^GG7jwJSO6IM84AJC=|PgSSQv2nj#b4D#a);(EOD|YOh9r0)N zN#~PK2UfTkXm=$H5~IURHFn+O78VKFv;DbNYORdJGiUA6k$M1P)B3 zCbg(WM{O$$z4;dW+^HwUEN;&p19+N4o7W;59!)y&i$C}4#@+U5cal}vY?~iuwuLQqrO&?PG~2L zwH}*LMc1#`!-$)Bhw(wPwCNz0AKT+B>VM+<^K{!?i@(?~M|-v$DZZrY$tkB|Z#sNz zHJ`VybLXNnZGFn|uXm2jnv3d#O)$L`prf9xRhFrYp|#t!kx4hiC3uK4nw!0L&3)&; zd-IWG^KQ4!S7Mq!!ly3fD>xrJbd}`v3vNe8G$HNcUNaQ4igetVBc82Qus$M!3 ze&&CBV}eouemfXwNZ~2F02o(rXWrY;I=0%%vV9}2xE6BTWrizMn`mIiAj^W&&^KJB z8&l0JIrU=ZDJyoZ>zokvvFi5>@35{Ecel*N7HB{`g>3g&n@EtGv)6vkt#^Y*|3hJ= zylfsThuK_%!EUfXVj2fj@u@NY9y>5Y+t{$5y1y(kC<)`jEL^^K=dmUuW`vb`awrI; z70Han4=|R`l){yqbJAF z)rnql6tmNC&QM+Sgte&ROxDw~v6guzb5%G~56a2u5tm{ka}xGkLIc@_jLA4&XcOYG ztlR#4gSjgc5jF*2v8HXe=s`0>L}`|w)kD)L)eCy&#Y5l6?1%nFJX{*)5hdM(pioIL z{G41o2*b4%Brba8qAhbMEf1IM1{f(5NA%t7NkVz3fK1~o$^gYEM;HfjXuHtNE)_hp zcH4Ijv&oKYY^o$xT^zm!UQ>=~WMZ#~>`E9+1Sn6YWj8b71VoFGZH&+g3M~~XJ7VN* zA;aL?VBXTDEHc`dK}C>HLxi4QA|`G~>j$%M?7oJ^_4J~~TA-Nx1s+7Y+LV!+wvaGY zjbdgP+$8^8rIY0{LaJL%&N^{1v6D%fh!?DaY2SoGNsvJwgR{f6q3ItM6ug+F&6e@V z$FI)dQ6qM-86@<3#$7=4oOX`+u3K^{g#%_Y=^-AVA>f5J875z-MlUmHPg-Qu11W!%ZjqRx!v_NCwWP&`spX?aIRvX(ik;3|7VJiolZ zJb|@i7%HKYrGmRiA@~9q^5r7ANMR{)Ys?E+varH!D?9U}>={fq0;bC{+>?G0rLJHv z7ykC2m8{stz~$R8HGO7lQMsFAR@?|wahG3iX(l@L8O8y~3>WapwJQk1P#$p+tFdF1 zDhaD#8_u+N9WfTJsgzKazqsgLsYnk}Z9ei91_Q9cL@!8Gk=Sssh{dl`9v6S>EqBe3 z33`<=>0_#c{z*>h_c)uKWOlZ@$38$VpQ#^es?Axy=TsU;bYl0C zc+)wi#*Qd^w&!fdtaWD8R4HU_G)}vz5S?x%GAnN3K)Gv{+}T6f-FCfk+PUMT)0{0I zQI#Zky59838`)jX)X#>G)tvZH{WuebtP&Cy>NUg zvLEoTf6G_?`Wj#BnZvz`y>N6c%-VjldCYI`sg_Q;Yn8)I`&g6V8a2n9##Pt3_S^lZ z>OI#-(Hzm}jNxhJY`X5<2*v4>Zm->Qzd%BLqsW8}-A?^|*`1B^)zIF*wqIwv=R?)* zImewz7ZqBMRCJGfZ+L2?GH%{!@xbwL(jnX2E|JnpuWbrT%Z;e#*ljfxEw9_{;Et9( z(QJMGpT8+-#vgVMjmHa(JFN;+9mIbja4Xf~uBQHkjd@CN7siQHN$zYl{X|jNSizkxGhgm(weSWkw^H!x zl+tEm^$U{1?s42k#)s$uAiL;#3V5V^>6;&&J96jng^`_OH<2cPX8r^I2|d5G|L_gv zi|$nCy}>)m$K7L_GiT;ctj+)8orM#hoL|2#ix2*puXk4N+!?6%2czoQCrkn`%KPh; zwLNo*&7mHJcc^9Z2i)`7Y8f0-!o52OxpU#`zW+e^qaPXnko~-V_mMk))m|$2NR z|4)Xi&+jUq&8pA)^B)-ftb5U+{Ppr}imdcootZP`>b3q0<&#rV;zt_AU7sV^$vCkz z-|ce9_d<8Vs+tq`x?j`gg+F!UgTFo3eX=<}K3ZP*+L*mg^2-sz(fG-e;{ z-dY)3=cjNid%8P+WbCsxx9C;&)0io2*nVn`eKu&RgNOa6-0DywTBT9U6ZSjB z65A^fTnac@UJZ!)yzt;p&K+saNwE|@^L`#IH}XQy_^ah}MfT0F-dPEret3S}zc4Z~ zV_OFlH=a8f&}3va6ta!G32F;b^GGk7_HGg0*XQ0J$iO~)XXO&E9(1S88Ihm1v~{(- z84SQcAEgm~~bDzqc_x_ph?sR4fRrUT4_$+iv2)v9ctU<%N z3R+`4$j4Ov;jraYKDVT_@dS=2vZB4Z~n#h1h0!?ap5ftlOF}KA}Js^bMp~ z(vC zW(wI%fyD*cS2irWjWgxt`G&`Ctm*SgIB2J%7(e!D8HS@fjhpQ1d?HM9?oeVMTl+-O z7OvsIjWdDG*vG#%Vs1q7nex6Nsmdb^3wi&DOP2{zjZ$;s($p03`pJ$`;R42MmXI43 zuVZ=|Cv>?$xirSM=AHn&u7c)WDBE)tMB4*HMBP)I8=dLp5x=cJQp8~txKqW{s zw>*>SdC1Z!stbpFhJN?q)! zF4mG52)Q*F>wv*5N*APTTjwSOCN;%4Q+A@4}w;j1cN{Jzhr7h3nYU%csa>Tv6r`qAmP?!D>R#*6pY-|F1- z?81xx_V7O?db4wTv|gz^`;p%~w{BOS-S;`(b}oNo>T`>^r065UwnS?U*GPv!C1fSb3O+z=1FXCg!GVOoqv%8(%!~libn2#E8r##o+Jl zw=MI3>kAN+{A0iVt#9-93t#=Sxn`0jYy2|+zAAx_mI0Y5d>Vl=R?KG0UF~bFjw(a1 zV81Qu(UY!+DL3hM!ud#$$lY4i?SjI=n``L=7h1f)F?LVF9rkdbc9}ZeGqro&R;vV; z7~ZMKSl0TacyCMk_ZzIBJ>a{QDYs)OVoc9g?V0Fa|HZd-C(8=24rwaOQR;UvzQx=f zD{88!T$PqjJ0*t$E=%M>0ARD~HP>2JQ_>>OoT)EkZK-4*@+9?rGo9WS5l9;OL^q@g zBkE}2#-GB4mIdZzj6GjtS4%=o`yQq-^LxcscJQ&k#$!qRinCBR$evc*9+rw@hl0n- zJ7}0^PWN(4-ShQ)9WQ`I=Yj!n5C>+Gmc?;S@D<%oAvLqgk2{dV<6tEmtXd^J3_fmX zS`cSu6hG+NZGEu8H&oj%dXgu$!AOc3%1H)U5XRkW8Cpu2Fdq8nnxeQw|Fc z-IGp2>9cxW&_0|4Z$9&A>Ugoz=$0c{DD|7-M8aJx0ImCWC9;%wyhCtm>spd!p~cUhfcB0H{|J5NWni-n)<_c!s{XHBnJM^eZle+Y&2Ug0FD9W-qkYUjTmi*7Ln-(BPAKwL6)9`Odc2v;tEC!6s536 z?D>IazgGtL$^T_2nah$-nKOt*;QAw!ZX1>Go}ggIM>USj7wdZ*qmm>~2#U^{56BI^ zqbyhD93Xlsu8nA8$NnG@^x95Z_MJ%*|r75Gb8&e{| zpp#kYH8s4pU&o=$!3=mbyM( zdhD6fFV75F@=wba(LTdi3)|oU#e_Z~PWVD+sj7>>6NR(k!cSmxfI3B2 z2~ATCc{hNVxb-GT!#CU~|E7$HF2|WQ#cle+@7@YMsil)Z!-k8HrPCyG8%^4tD%ODM z3rz>031b+r*sBDlO+NvOMOn%IV)RX5O8_}cBkBtH%t9iudW3gRhF-G;1O&|X+-EEh zF@fgd0w7<`7DBQyRBU1(L1#|_FU)0LPa2+sX;LgS%=^I`jnVRrQMI6{XVv)VAqNY> z5uTO$mXSVl`_(A)(15GtPUu5R8!WP=CcBr>SV$t!9@Qta3JS`12Gs)XvtCPpPb$+e zV1{}1$P#=GaSpQv{D6-ya4WT59RDhal&DBwI4*ND%2_gf7|{}~CS}q$WD7umS>Kmn@g{A)bIHJSMY+ORNweC{(j7 z`gGqp5~k@onTR=E1Y!HLC&?oH3vk&;Q4omuS7wS!%L{_hbzgP?oQif5ako#idm3YW(n%)mq~a#SOX`R|P!3fAb%tU(<|hP3I>mp{1q*%QZ zprQJFSN&|OI{(!>i|t1pMNawemH`0HQTiH~~ChoM=7MHTSKLmV*jWUF)OA)^2_{c!xTsXTtRxf6}}@+xJj;CR-oHfoCs% zu!JXn(jY+|^oqVL9J%w~*lyT_IACz^D9=$FHDh8`-usLA;?+*|vz+CFUwp0*OFuXu zPyvKh75+LP<4j>m;Wp zAAKMSNKb1{td*@r4MyVvB8)f*Fw=W)hIwY*^MO$lAdcPP-Y58D=TK5DFJQ%Y4!#Qs zJyU33ba~ZDLUTzO0?dMSi27NT0VGv2s0sEl^_Tp5qIIyFwsExKkG6Ukuyr8`u=v++ zoJ%0RO>4ow-f>OUhgmxB{ea(TfNYXYYL8Prh#jv*P%u>uo~OA_MfeA6<8C*aRnlzUn0tIyHL@jDO(FQS;eK976 z&>hU{XyPpU5lz%x1RCu|&_WiN^KV*I*!h|=>7kedV5k6w#&gJga6y9{h=S2ZCjf#0 z9SN?}AZ5e_l12Du9gYMlWbja7qF#`O_)L(hiOlB}qD8!(Xb%x-x9VeeBEWTEgIO49 z@PaNsjIKl@UCu|NmwDi6oSaC0H6#em=UWr$U*@R2c^ct0YVgrX*KxD zz8&WqZE9yabS+ZxOaqU$G$d2?dkGUF?qH&%+1 zpg-1e% zjKRDvq3NEqBnmR4wnQJLku|S{Q?o0((M8MkGKH?qG~K`S-<`!S{pBvOsVKuKIbB~w z?^bf`$!C{Nm}3LuBufNVbM2M7h<}2FyMj&e`-Y2IDCV8Ecn9>typ-xOdl(ITkf~a% zhtTl5#u+0JLF!)AFpBfHZ~C@))+<1y|NVao&w71OZ2lFX*Z_%o1t|76TP@l3{~fZn zg^AHC;5p!{m%~|S!V^DKy#G+xA-SqJRzH-@1p-H5LfN7HN%J}w4=lyUO0@jNwOJOb zSk4VyGDs73T}6#u<(HB}VdX(5X(meE)U0J-a+&!*apTO)gF7%_;d*AG&e~@qogoS~ z+lMv&&iLslb8h&qt8m-6L2NmG!>W{*tqW7K0A_Ld@(TG)23BML%Tj}CsW^YlzxuZ~ zq(wW_n{yM%k!+^wE@YiLeB|6Zl`YM;SO;mBp9SQspLX050=LCvx7((VVHLKYZm`^t z*kkv)h28c46g{ndGZ~$)?^4ACuq&|-lnYO=elQg#oq|2z&GxdTKxV#j8Pphyy9r9c!%E*>uH$ zTUK4>Vk7Wn1(3K1xV~g#8P3|)+x4b|g{;_i81E?6>j%S6Nkmzxpyo0|Y2!f8$!BsZ z564cIErf`Lf%-v!H#Je-jI^gtN1Uv@Cz^`gkzyPY4E96cimkitFSgix`dx)=Q>d$* z@j}Ngy0>z)v~n9CcHH6^O+cyG1c3)Wm&vMQYW7n5+4?=Mn30Ue0T5{jT#4obz1 z1p5+5BLjYM?E`ipt%VWkY$tJC>7_obdP^srit{_W#faYsy`P3MwInq^zIk=3Q5jjN zL)Yl)-3W3q0h3aZT?5^E=+8$h;woxhGKCvVy;#1MZTOXfvH>5BnGf4$g1;b=Ae&O0 zjiEBkfIs$IdmN4SSYsz3GF_XB2yjhjpz`?$&b6lQ58gn;`$zvNWH91#l&4Ua+{o0s z^*pfTcjlgHX530nQ@L@T#S1j5y(y{*?}Ftt$`vEuP*ZJP1M^5y>k$qIl;|CCL>}-* zTP<=Ry`k%IxJo;MG{E--JF%%`+V=x9sztSb9^!{)rLLNfmdXn)^wFnSjn8)b!jPr% z4h_F1xPI3;HftN%CX=@Gpkp6*63;xK&D7i-G?meQ-p38994RlVWVYuXD(Z{V0kD=&$5qm`Vec)re22i+-yRh1tK%aiK_j>e~EzD z+|^uTIv-PdzrNL;A&$%k^DlRgHalM@%w?rwA2?|*0d8$L zOXPU_^rO-^>X#s)Z3imx*6buW0G;sV>-Lfr@P)f{is7lFva2l+)TuV%P?5W|Cp;D~ z%B3S3aBud7I?i6oBL8!By~jD=@7YTf)I0ufkwT)yy{29!=53e}A<_`k2)GwAz)|oG zCRvSJOfZfdBLRm?`htXA%)n+~YGzIl#oe>6!dFhl3t){nf-Zi%=bLeXc)In9N-sTs z1D<>%(K@@^O`gq^WsI6G0Lxytaxh~33qAln0opU-!%AQr!i{*Cfh`UDgmBx_Eb0V9 z;5;dYAXXxoC#)I4`FP?2gBg(~^fE3~lCHTF;6nrCG3qHzeA_cu8C2&pbq^?zsrZOi zB26FK!?I2xEX_K$Yaz@LtZ}5eLv%_UaU&sO1@s5lD?ODUP-RHOv&t`>`iL$+W#2oq zl$lc)ES{C9@va#J<*(cd23E|iB$nTp6(O?)dhjF_FKU@PbV@1$c!$8iBvzixPue|_ z8_uUg3TaSJFutgg(Tp66A>Wyib?64@BgE`O#T9d1v&J27PXbp z11-^KQnU;K7xe&_nDoxDkr&7yDOOBVev=j%#%_R0PYY#M#lX*W37jlrHCvzNODUATotPdWx?i^!7Q>g zZ4Ct~NZ;Mq2wCUKKr>-FBAQy(%3jJ8MSfv@&5#T=A&B%IO~8lqSPEDTN~rs?3)F+1 zq@X<204GGut<+*GrntZc2`O`5T0&G{6rYa*2~?BxW zSeKg|@SnN%`>l{jW2ouMjy0r^0Y+6C5onh-+MKzSBo*k8fjKkDVzL6KweQP_(imt! zLu$r!D`;CRpw+;EyrEicmb@_=^3$v(Fg5HM6;)gHXS2i8s)9Xx#*UT`w`POG_28x> zs&-TO$Ewx3qovw+^w=}~jQ!-FzF}^CuB$PJ+!Q~f$!Ft?E@7+5pQ&27zQjuHLW6}{ zmWaThDn)Dv;3%k`i0sJ!uNNjphj7kG*yAY>C_$n6|MIA;2|_u1jKX`P2AOMFV6oUP z2Q5u=&l=G}+=Q~NIL^gqzrI2Ghj6kHFZge-?*!C2*!Avlk7lb%wefN*uVfdm>-SmK={t^I-^4>xAd)qn9uqIfc3CUqvL0+_54W__l1Lce=vf8D80ht}>r$;4-atHB}M z)^qh8Gl!NZteGTQukCamDc9bg62F8RNLd_d61nBdhOD$!T81YNnDE|T@^uyL=jmBf z4sL;%@^x0iOP>SylTDm~Ck@&O<_IcAwpnc-R6x#kiUAnNKEcRpx6oksHbv~rUpmfA zxE8o--=!!b_qwVNCTxbI{wfT?QZ9r{tqH61sQ~9an)s~4MxDW@i8E&tK?u-3Us8pF7`W z(=A zw`B~<2GK$khsJ^+-t)*D3F$JxGxjT9JiiRmfV|D6kq8fCbyPO{Fk}o}OcZZ@kFmcF zvom}<9dG?c+t_D;ZCEqJ*-2oUL>cjfAa)k2p&Yh`NdCK}40%R=Hf3Nsx!7hb2yxOx zY-JK{l@k63P6V1%H8Emn18o(NEN!7?*jRETr4M@;LH6(D&ww(nB|Q|Th^kK~rpMzn zB%JRiit=G46ZWwUC}*;;lv!}liPj5H(D}?q-c)JWFKBG<{&qBgs$}u<56}&yn6kPc zBOF(7Oy8ye{g?x#qQFSfnm#rOuls_m@Hf2`E`>V2fz?A`DBu&lNsQa5Z56h^@q`}Y zBo+R+(N*ve=qgNvCYZRF^LYb8w%{Sfti0>b*?~6nfuuH&u~pNFj%iITD|PAs`|V`} zauIz-_>`o7(|}l`4p-_PAAyt*ATl)hv$T~0ssj48%w1t%dPB2CVjUs$rlM))>Wv(W zV>s1Oxb0dy`B5kajB3sn_i1RE&146=l|xDN@n5-m*<@}RoTmkU=tIb?3|={17em;c zWj&?9C+sTu&%vyAUBSolcqJCRc^DT)>>vlIIUqg>*eA}YXVOtYu&F1AmOKM8DS>H< z1|w2|z(Uy5o-NiOX=dzX-@!{C`_SUj7`??9v?VkO1tax43BGm(a#J!s!ylNe{(#3k5(Sa%$D~IKF6Wo@Z_bkOce$c<+ zm%c;5rvq0GrrL^NdVnaZg%>*k>o}i&{PyEH`t(;44<+GLf2E=vu$g{qcs*$1i}&C1 z)^Pkq;;M*S?m)AB{G<0pKmU?q{_qEPql-R0&D-<67k`R}UtS1bp;IxMJMx? zm1qqspSt4XvhEc_-!L|!U{^4mVdrZWfT5FjM=O}LC!@qSJX~(672k>gtA<@VtPDN1 zL5ROxx&L$FT{rSfrulCzBZX$B+w2*satrf(9aCQD?qXV{reZjVFHE&+opfxkM=~IF zktc{T8<^JuS#2J`_fWw!zcat%5Gk8lY8ulo%CPrNJm-@;CFN#BRMS#{ADzl^;hbNuRCT==A@sRX~ zbO~7bkGPwN1kXh4Bj7Y5kr11Q`8k3wwXxH;9R*Ah)c)cZ7@TFlsWIlW%J~u2wExui zn?1maVcNe*a8V?IpoRV1A+0McH{mrn=cHq##Q50w*eqldW&nT&mhvfBwcQgK6Lf$T zJy%D^)FQZ18~4wS)b!9Y@Fcsjv`$8gdP*B&3RDlb9;bZ~8W>8(G)5KKC(Z76_6o7^NwQA&n^E1_OUF>40kJ0GpBV@lPSWyL{;ZzV0PZK9m?j zf!S=RL-mHc89NU6hcqS^Cn8~KqJ;X0pl2v@#~WBA^)28woO80_sE!U>204!4QQ)&b zJLLgLD>VaTo@fOXL3mnI}eT9EpPU`UUc;f-y6 ztSrcw;=Hnv9zl|PJ6`U<QG%E_pt$vh^OcWZEih7^ zS}4XCeQ-0Gk)Z7RHKQQIkx-Of3aOMoP`DL3D;GgIa2k*ESw;v7vU3_wP`CiA5=!|2zwy2|AoPlwd7_Q@$6ZFB3Faz0NqyfpAzhZEE0B}I`t{~th^5Tbk#EHfV}fj8 zVxbOFrg(&{g(9+|r9cm{7Ae4iWa!x!zW;FqIa+O#C8tFV7)hclY@c7H;RN)C(vh$f znMh!!)Pg!PSifOV7(&5)gdBzuheH8P@DO$Q6aey6;04;(G=>iUbmZLiOBV4_!@6y* zApEghZDw#IVuh$U%j_;nYQ2I?`9i92ymUwCPC+odHWX_VREx6Df1pp&=agSP)L6zG zNLfdFi{+hVAMJ~W?_n6wTxO3pu3*0A&19iK`UHc-1uex$-=rb}lM^P#rk$u1mf!{m zBPEN}$(s@wQO=CCfv>1MGQKq9`SXp+X;x+k@X?hoH`IsS~%M1%T5G}^K_$0 zvY^Vi&nc)`l_bdr39yUGC{xoD`XEPWI8qf2Hgnp^5U`ak*%)aX&HUIDD|D6anm@IZb>-R=@#7PkyiMo!pEnPn;H7$+FfY6OM>1 z$u^j+n>@B6+csqGO!sgrN;X1wJRI`ctx*uCn(P#FBY`jhHv00qJ#o^Vss^K@L3^lb zwcD5e{eKas;+RtG{Yb)|CUON|es)Ga%HMiBYcCJ(0~cg61I1(T@IPU)!e>yFf1x>B z&idc?Uri!ryMj9rCxK@>RpaK|?|CKmq)z*|E7eO6{{%RT2upV+Tr2X`dM=}!c8TP ziCn3f;VQuvNxbkxVlU4xjenJ*&k>Zg_IRe=F~8G2M+Vbv1VhXcsH`SV0jp#w!7T`g zDT1EX_89yA*!K(X_%R&k)nK}KeTJ|4Kwzwr*>y>O6j%O)UMnYZx?7Gv5CtU8ah0qX z$qt+~*c^%-j%kYIUKQCb&y*xF?iGsXu`D2oA#^j(4Ob!Sar`G0mb>LM<#s=dN+~+4 z6p!%mirh{0R;-PO_0zi$VhX_0{gF2Pru z3Fu5R_$VoJpf`e(2vR|3(69vBR^eQ3Ct@y11y~|`j8fbgIAE?Tgf|FvDoG)VqI8nb zKwkLzSPy_Jm`^;xp&(Iv$b)V-y4mXJF`Z!~d&CeyySG@zTZ3}eNL@bdeNa6;=jA6kj6WT+TBatSO+4np>0o&-z zO5t;b<2Gk(@&LcZ-CgC9H|jcEzs}!$weH zgB--7S3b0iT(lKrU^@UF6bu7+24wLuTnFZul^$+s1!_;D|Ak z48|uEfC;!#XBO4_RM%v|jQ?pW2+CZ7x&XitG^HXxq9%#&C2tMgOFvu~OF+Zl8^Pw9 zDWovAz*>kJ0lh%2&4?HS=)rEJ7JLM!pZg{{L#V=F;kgl(XU}yLvIw051xtLDGr#Ha zB5`i#W(&(QWh)C$2p7rVl0_((6)(v8Tb*;mC1!W`HVqthZWdIlLzW{EkdpN`|AgfA zJ(1-7TP;7XN%*@5AZfKcEhAQK4dKwN1}U6dlU%<*=s=(qWHHl&gh*Xj{^r~h$x}Eo zPjw+e3^+|HGvPSKjNmeJNkXvS2<81Qsg1|oo~l0GEjQNoK%PSh0Zav(RANC_&JD-I z#3)IKl`!d?6CHQy0e`CNlDl13x-eUGpX}kT5DHiTwOR#qSr1|z3}I6POO=bkF?~Es z?{ymyz!GZSxbOZqWMYs22O%8ZCxv#Bf}LE)1Ud(6&l3177(ULRj1-m#fmLEUUWZke z(t&EO5_5AFit6<;kf2r%-i$Y20I*`G4x=(#U=R_l^d2K;k09Lbu0mXVa=sd5EXzC;7nn6 zNW}&66Ws(6FW^$jO3)~ohgdzO)Ab-rtqn9SV3c507yjFCFRK5V<3xGNUO4{YJcAE_ zC;K+o%yl_R^zPS3iC$I6M{~sL?*}!#s*nNf^@G>_J@Bdl)O6zDAYSUDgG|J4leza* z=hAQVQI9x8BlUNbmR+TtgfS(14W|YGA(1zRDK&FOz~IazH9`L4fB*tvw*TW#v^m&^ zu3+i$h#|0)#0zYB8Av1Jg8H8PCwcL=KfU||Cf7@@*c9{bHLlzKYA7|^d)Vs0bvPhy zQ^10mh3vpdLXW(s8>UZ!M1?ail&w76QrUxHM3)-Nz8r0^gmi=}F|#UGDHNfF3mK&} zxKfxDd%W#y+*T~q#7(A6gGh;0UzTJzriApm8XhM6SueJS_`v#laN9`HSZp%q2$}>J z*|b$WZ12~&@tQ@mW{(XdFhj9nF^A1gh}F!hzwc8ZEM15vGmg7d4Uwd4Nr=h*H3fo~yI+DP9En zDN7YWPKCB`Em%DgktmtFp<1v&nty>!GVJPt|c`_m{ zB1;3m#lx2_p5WGuXbi%@M(oFW?!4V8u!xC!P+_|yQ!uzbfEh90l~hW{=1>7dHQ+Qk zMcgQG8Lcs1Q1Ik!!*a9O%mm>O?Vp3E;X;NyV<76W)5f!zY0orVUiCECeIh2Z;z<_AQ{1Ca{3W_44%itwHXze}dLwpgh$u zb&Mg&GHIbl@}Ja7R1NZyWCC`1DMj{q7vA&M*x}7gO?t5jax^5)(6o4>vULk-f~kpY z_I%UxEjI}=S<^x* zib8Cjkd55MNv6l+#S`zi<|m`3MyJ7*Y{Eu%oE&w%Y|a!n2@Mfrh4~5hh&Ggd_b;B6 zg6WGknGrMvAi)xIb#PC{7vlA=xP&11L+pKe;a~rOS1!otpk_&%CMb)ECKP0GvXK|d zAA`Y>Kc$YrCDT;e?pYagAoEz8mk}rx$4P}9RGE=Gd*Z(hDhY=MBGI0wS5J8l1R&ys1ywuX<%OX#IJHIrcPpK z0A(sp;87FlTYI6blX?n zoXQ#`x5Pj^jG|;><|tdgOi6LuDptOs0e!0F(oA|0(B;&^pyS7WXMn0W>NPW_jE_HD zWEN~n#w84jvXFjI3R{^K*~2O|6F5rdB$MRwHTWa1STkAKZFb#HTlI&1X(z*i?DGgW zBlXyTTo>5WmJ4CV&!AOM7~z<~_v| z7y9BuUzZ0|}PL=RB zrS&g5BOS{Bm-wM2kq)GjTONsOwW+1E(Go~Vuv{ndi)kF8;qAs0huE=zBnOQ}wc662 zsmjCl_=}gm*YkTLu=J+x>~-{h-EOL0B?-%4&Q7`jIX(TDU}buAiW21g#Obf~Ls-T?t?tCpZB|Gp+N)_75!Vy50OGPf&ZI#SwCse)(Ulp3LxjZXgx;(;a*sX@jX8{KEYzIt&r010XnCqqzB5X2Eecy*NE4cX_W^RU<_57ic1;ZG1imQ9(x&h!-r@Ov~s1*Q@OYfe-`-i-Zg=8^DbJ+4p z(OVk?x+p`cMeA?Z58L#ad8>Li)9@1iPpn_-rY&-*o)ZL}ddnSL%CvQ` zYXGFM)zMBUvd=UEBf9F*|&!tr&43T z`$7V_R?A@YbQQMX3aY>qwA<>)CO8lX3&mquRua=DE-?m?;7u>IyLH#(xRZ<@Q56<^&*s_7uuSN+CcX@?R3OR@oN2Krm0fsS*(=vbU1Nl=UH_e8d^~ z!Ns5apU+}LLR@6f=;*=j>b20FCs%_=Gf|8H+)o01=z#?H1pn*dMNJvpL|RcKkibfv zVm9dTG&MIhd1VEHeqg$I4Q8pDdH4+uJT1;2737GY&?sa{F)aBnvhfZ{RueSD?lkz7 zL3kv%Uow_){ecBCWblRWKNuJ9cBgRBVC$q~B?==R+yb0o7R*&Cui0Z8U)Fb*ux*Lo z3FK{8sJB4}5ed}pi?|{N2d>*#MyR%MVK+cSQo_VqJjV({t6n6HO4BpOBq#B|`kv>s zXkLTVK@pfB7pw`JdJEKmi&2-ILOKuZ9_y_wi==~M8RlA^2p@J_%2?4dj*H0$d_m3w zd)BcS2A0CA+sa|E!lv|EFm0SIV3z2e-}}a$+5a4@@plS-c}+y(?-N1u|6?KbK?Kd2 ztq7WTUHbCL0c$IU7)wzyvaz_~6a0IH7EKsLVcq=N(xdz#3RY%N{vsx+{4u!1GUvMM zzBjeDzS^F@`4=HZ3_P4)cspCyON!X~>hilbK0j-z1LVl7HvamuI@DmfhNxtux5AHS z>D^S? zPuWWLYQfy-!N1pveVcQyr zMGhHuFyImUKd{?FggT{a=nP4SRqv5ul?J+H8Yzc_HonFtG{8QBTDa+{DGoHTj351w zM=h0ujby|9B*|U}d~>!Zb{aucU^f)3Y+-I@pqEW16(rcEKJHlxjY8~shIB)SOUrh~Wr;SPo>G&ehi zGqZrbZlbkp&LUeHSk(gPZ{fSNYxl%0VkdE28Y1Ki1cYl{7WWzOgoq4w96}m;Iz%!+HCzjK08B`gT9((6ahz9%p8YzCbRji!zBk&W zfTIr4IVK4(v+F=x%jS5I9g_?^%3^fim#+rrXcT*fhYG~nVK<+cA%EsrM4Ig16`%+rZkN0zNKopB}-T%%SJd5*X^m6T4s#8$0IX1 z4$O#=F+5mo#k`m#th;)utr3i4Nyx-JNNmYtVuQ0b4oNo4%Lk1a1(9cB$qR{-u(3@b z@kb0%pU<(jo24a0vm8kYi16zw3LcT;^Mj8i|rP9n5XD_!@1w4Sf8y;@I#e+}@W^ZE zG5n$Ffu`5=A&=(4uL-x(RD9ITF;gcLyV%Cuwk#iMshi0VLq@vCcR(E2(3dwN+5khM zA!HChE^dbNmUF{*n25y+dF%b~9L+%lmwGel8w@2bLOabFa6KbT5Le2i5zHj2#G$Wb zva+zVMmyyqmX6^lA-b48;16v7$SkD4kby>Rqght|-*2~w5{{B3T7zGm46A%GI?ylg zkjI4&BF)zlJ+g~x|R%383J zN_R)G6-zGl2o#YaD}o6GP#nGqCFoBoh9M5XkS$F(cTR?YibaCZ-Z)0g@wa@I`c+jB zCTW8aNJdhjpK<}G?)%5rzy&bDdQ%BS7*b|DxeEiW4mx@CKIs_j*hpe<5+hp1jfp&# z39EFx9P$_PwFE@OpkA zM9Cj#=I3#iIq)<)4|4#}IK9N5^V<64Hpl(tsm<;zULiL-6W7P(Z>($9*V^;V%G`_+ z2X^NMZ;x^=I2!NetdTkMH&tf~9WYodzY(b3dmaP$MC73jUh_7cB14!Tik#TG>W zym|ZAURj(B1Yp@~NoJrQ0$BFPF#$pM;p1|z6;7_p5A9vmx!D^Lb=51@-Gq!Qsl!a~ zTL;UeHM6wDgcJh>XB;#^@LfWZDG^+Sz2WqCoMjWaC6qX>x%4Bsg)f{QxDTVE7iJ}x z%R%*9|Igj_qI&A6Nk3-Sf->CkOaAi?J{MQr7d)kItPkP6;&fI$Rk0th-bWr~UlGsj z9QGveg#5D~wRg|V}pT|4q#H9Vu5qw>6j~VHlL&E6EWsKEb_&RY6$*@hD z>sV3(-7eLye}QSW`BNA=F(`)^oKMB(7O|eKJ1XL!103*8agBMGQ%jC*85D$Fv_Xz#5Kir@iDTMaZ2b zIbl274L}OSr$jtG$R9Mdo5Z^FtzHJ?T8>t0?T|x?$TEUkuu3tVF@Kp=EpP&2P{g0( zZgqS6u-CfBmntP5h~y|zt?%6CVLQv;7TyTIWLAZj{7LLr?^4 z*}TLi-A#5SC~6Y0Qyr?%e(?^u&%YZAmvqoZ0mqDo_9@NJfA5N2x=yB=&O~Iy3S23; z5FRkqS|nq00~J>kxeArzlr2$uvF9T?ip-%^01zigNDSb|=$f@$avpm&G#T8%al7C7h=e57u8CBV1Z(jl zdSGu(AV61exw~!DH6a=ahqkM5B2w{VkOTaM5?#wl4jsIs*u}xMi@7!rl_RMS&C>|+ z0OJ?hK-ghAro=P~*?9F+b8K9vSR93*ZbDOCF@U{5V~&l2kbD%}&v@tRv&0=^dGE^b ziY=swddK!~>V4pE0tK?COtv9Tm~GCsW>hV=u*6Y6^C!+};rxLk5*{|grXa2JDEdPl z&?+^Fya%NN6ku48%8-SH61HP$fOaeqDT8gWz`$g~jtuA(YuK&;Px=6f-HSKLa=KVU zIWpLld)Pr)EcX$Q) zxqyZaR>}13oPxkTmnE5)IeJX`AKveD?ySO0UM@~^1EEO4{(@ox3?=S1X)X~<$=&vG z(*7U_fQ;nr0JuYb93CANQeC(Q@2}QUvVlDrHmXs@y8rylGzsz?O*G2N#=JYc8l{4I z7D+gr5SFV{=y{xH1i?w<9dkTYVoxPF%j8`XXo$UvA;~L#00&tx9Usk&criE%N15rk z#$c%a`5!wQHHDpL5~j}Jd{ds=6USwC zu|dF;aq0@>xfoaIMU1=CAU-RP;^Dwd`fa5Z1ZZ##NjmI@4G>fj^hRR+;4n%dguSzAktMY$8wc7NJ8qD1HyhZmMbA3 ztQX6*{)Yp?ek_)&`Y%DGFJ6Q$q*INEflo?Iq?d3ZG)hr=MStg#XoMfX;zYEnRG*SP zDly@>C1nD`efFEVAHQGz=3W1yCX~y5m(<*?|5IQbz%iafhUzG?D2_qgvT+Rv{U)k$ z&5z?5+nK{xf+V1@6i`wi@igO3&R9^R_O$qcdvC!N83i!LoaP?963`#no_RX9j(ZFC z{7gIcT5~HBuf;AQc^c=)j^f~by+v>w^xivhiaDlAd?o&x=Z{<-U>G3CmS9t{Jj%GE z`e@$?RLcPf=^+$qZ0m81AXGJ@IDd$}5Qx-@*;>Vy8l7KIp&^2(D%i_BfW1!gTV7SM zXd{TtD4+)@2ym&v^zt|0g~kS8_uoE-KZQr^5o#GLB6coxE#@{VQ^hwFSy;Uh#V#z$ z8|V}6Ia}9w$#hkt5*y><#^7C=LogyUO1O2PVdrtaUV*_cN#a`V7yzJBnwbMfz`$=| z@S0w~|AXv4T`oCd^6H{plGu;OxpW=uU9j zhz=Q=MB{*Qcr7Jt^dUy3VMAlslM5gjfhN7Xc~Wx7$o{`7{3QuUkpB@|<4OI+pz(06Z4^gsmtv_+=Ogz_o)90nRfEhdjWrx9dkaR}ND+ zhyN3JTRyPKNE_9w9tK(|jupQLRiN3JJV9Tp+lx4XZJ4Q!D<`)h#->rl9Io&1X632a z_xH2`!#-D8ayKY|v5K*!d(Zi3M2$s~x-rL$5XND+q2+N;e&;W+j~w=gi!RDyle1gw zN$1UcjsUUHuFE|VJ%CZi;D+uw$P+&mF~_kE2_V41rTxtq_z{ScjdakUuYOnRg%N-` zbPHBNZOdCc?ab+1j5%t+wDGv+93x{^?i5s2szlV0OA97sCV0sTV+-<(U%siW1Trwe zr~>TpxCET}o{uv^$$EDFm7{!^%TgkU9S==ZJ*6Vu3(By1V17G~l#CIZbP3zx*Z z$gL1FH;K1P80dg^xVjl}42o^)$*>Te)r7GcUTEhMml)ULsBI@G#M@lV#w3wIFS$$v zfJ6Qa%V>S90^~(f6ytx-ZB7DxTzt*2+5yfWVtSz`@!l5Aq(ln?$;B?HYogH6TFo!g zdwB4mo4no&XWOkdn~J_g2Mj+y?;}gd-(hSankRp~)1AcMuGvr6iVawPuY4|Vi1086YYnUa}#P3lgZG?v+L=;OH z$LL0ra!Q`@;1d>PtGh#udJ03*8-d44;&IS1-8E)tx;MB$xk%4hJ0CCEj2(l>O2eJv zNrRh0V7jp#;$;jOjs&>%xonC5iVH5Xxw6x@Iyr2B*hx3?OTq@c1}Jw36qdO&)CpJ^;FG(4<~7iTLc#n*VSsql$WWwL zDx!&X;uh)5+oe-y!mbg3xk6Nj4kQ{CPbWR+xQW7rt$v>>?P*J_qfn(}dD{$T-*soT zQ)iVH(}mtp!~~_T@g_8z*R)P+Pe$nmrWeP13Fqg{C}IfZrS#F(xdOr%RF0_zhEZHp zt;6X`V$>w+u4U3UM8iVcYIwU|dzM7-F>HLoh3Y`LthOVEY?9qIyi?w&eT2BpQ?6>d zoDrR?F=!l)BO5D`Oh|wLxCi?YQZsoFE6_R2wX6ep(-P;0^G-Uegj%I|Uo_MnYobbj zNfXmNyOf~h&T%}MS0QaPc!&HyU>2s_0+V6M{+NBEXC6AZrg-C;BW?%(=5xJeyU4_M zqt&`#x(&C+e3`n^o}7%DbQ}3lVsxwXWwIW3 zX6NwnV)ujGJL88&7L2$QcvqiyWrM1^HvvJ z*NyDmTI;KHty$;eVAMWEP3Ukt=Ekg*Mm=ic-7gy%gp1I1x^P4_?JgI?C5j%qa55`7o=7+un1!fQtur~7FHp4@< z6N&9Q)%2Xn-WSp}s@Z07@`xQyy1g%sX_{)5bm6S9(2-|nH0c{=bPczk{rtL)S8cnL z+_}7Kr@zmes$-yd^YW*}Z!J|`4-`Bv*&>G4JpU)VZ*V8SrJOG>VLfx)l+%#J(jOg- z@3w>L!3pABHZR2E_W_vP(X{Wj3ulue&|Zz6S~$vQr`LVUUfR}-hV{N$?MSsznDoYm z&h3z_(~hrn3uomgo2$*8&8D5-TXid6pTf0b_)jMbhpL?^d-uKem*?$kiL4?!vcE&u z7X6Q|1@s^<&rWLmtG08tJ6wG+2r9Q9y)nvNxDV)RgMZ_~)corgFIzqJ=tJsx*DZ1Q z)v$TlH-ocw=%{q#$Yf-kVDAZY9^*U(l`!(fognVe;Nhn2#virag6&P&a%|FMv{@oT z?zY|CxyJ6@fl0{C?%g)H*KUO2w1buKKL7RG zKU6n@F1Dd+gHlf4$7X!KDA;=rD<$NjS{x zZd+8B)=?y2FHUOMX2opa`$C%uOu^e5(l^|KG7C)vmz#%eIgS(1kG0pfiN!H?yJq7= zfyNc?rE#42K<=N*oXKcImBh@@w-zO1;zUK(8S*M~)-=c!pjKsgwY(opp#rc#hUE)R zMKdJ4q)VKK7j2MRc-+1z~=BrGFr8;}fN z6{8lBvc;(7jD~x+eMiCUpxpr*+WDzyLz{e7&eTaw(~{caXfN|~m;$gwBxyCp1ZbGCW~08e_wQD$vJ5$HnG|x3U)Kbz(G7j#0iVoOk-;qR$r7|^|9X_?+8n zIk(hwX-VF!aq>8SH}14)(AR5bqX}zyHluEoB=?TtX}pkqmSNHZ?T7qrMhu(=`~k2C zh|T|idKE5ufOf<%@_Il=#)&n>pk0z8+As?CGcjy4pA^*RJdFn{OH-?9!%Hj^CIGBa zFb$iP#&z&KXF zG)HtiN5R1=Z46IJj%n2Dytr4{(iZXPi}!#v0iGDHO$r{PVH-s(IF}Y1ZpF}`$)Diq zj)&Lb>64fU`2LX*+Rd>KO(1!N1^q~sCX5d~6`Q^f9USAyUs$=EXV+FXywmhL@%kLd zJ)u-Y`t4-H&}Bul-35Zz?F06tTluI>@MY6|Pc9?^``ixG3CIU+Pe(Qx;@mKbE&BF; zG$&=An;1Lvl99xBgBW=lO|DLG*tpfGuU{nl4-KghIMqyIwA`mQz53(eM{{;gBo1iS zyHa5<*?t5ml5y$KPk4Y_Kg~L;(}xm;3VXa`Am*3Zc{IJyG-|Om*$k(qJ_P9tiGHH) z;p>Ow2A;Z^nJXJz{t9;+BHiBuaN9jEP9-+@k4oaFF8UUKa8c`yHp$Vj0LRap{-g;a- zog7+2Gz}3;u@{9VrbCTc9-(I^gx2TROtK442tDrFgg;mbjw$QN?pz@;1O{L1 z%$w75=+qTlB6qaIMMtlzn4bMNy9^K&=KwMTshavxv`lg$qo!eg!QF;&F7YqMv|V(W z(-GF9oj+S_Ze(z(gX<83Eh>ra6O~qsnZ_1>X{;K6nX%u>hx83&cfs5opu9rOcYlWM zW@KuG<4$llzZs-14i7%k(hgB~2Q<9jD(Z)2Hi zmm|FCa7n<71od9<$9x6Xa#V0Rg(fjZRwsv{+dIEob8=C^9SWB6L$09^>f!^EOEwaj zcjp?cRpU*N|7)7uPxu<;2%QmmY6Py`2)vr5Y-2K?Q)A{d`a4Y1(%NEiXFI{qSMNkE zm+ke`ajv!60v6~zg2}(ZR4u$(F8buyjB455M4bciglc1^f-bBwFc(ex)TPQXn*8^M@s7Gu0Qh!bxo zd7qu|nV&oB{f>bwYg7}#%y@w^VsRL9%EX^}$*eZc@jMCH9 zL*AwHcJ(rjIII5C-@MQg+uTL6)3I1}675+LCsK{V7>T`K=i7(uWb^CtlUp{Lx2f`V z@yyIxz1^Z?Wz)XE_7tv7uGX0Fu^B{$ZL6?qI>{ZA$&s3OMQodKh*j=wxzbs%;^}HU z6Z#H3q;|#CTxp`Rs|`i(-?Nqj-5zNvJ6N%~@;xZ01GfNN$51mEd<$jx@Kw>|$xgx* zYQG-@hM@=~V>~u-gis}NUD%NPat0-#Wpuz4ScI|4#TIieXk(oFMhMPpV(A(_ymef% zTFrTxVFf=P))9DNvrM>yt1)l@7i*5-F)SWoUD!mo(84e!icJoL z&A(D+2sJ}*jiXA$+&!v&1l6jis!Zk06<#AeYK^NE;frs4&>(Op;(nG>91v8PORaF* zP`p_yfiCh^-inBZL8Rarq@jeg75*aaN8YW@le?UYN+rG8I*tlnZ{Zv$zLmx6=WtQL z8-UWgw!Jb^+=&=t<^j&(E*=%(Tu(KWyLQITn?;+mK0MbR(N+jjT#osh_vFn>B2(~@ zvW|6iMVM$9J+I-`(R%^Me6uo5s)9|3GZECt5o2B5f5b;-wyn*czXgS2Pun~7y%nW8 zFfT0txK+`qgdiEoRs@$xLSPW~5S)c_Ua0fQl1s*G+U`06U=qNaKT<@nK^BDEq6~yE z#gK8-NE#e;dQ#@3UqXHfA?P*K)h}H7+u>GcoMdewX~dSDF=eVHLa=DjP|UG|W^A~- zt)1XXMccO4+v8^|p`qB-PIFJ*s#%R{DEMUn%D}Ny(7-T8ps*DP(cd5LIs8N%pP&Sn z0Ec9--=kv_w9wE-i&15)zj!xD+!-M%0WQy**~5R_X`go3tlOHq3^>XXof9=Li&O3)};Jgk#sT zU1J8qfR=z9@gl>7P708T=*Cykk{DELdDkz#;v-aJXfDPC4V9@buGuhCJ~yVfPqv$M z2zg+0Mx*JCq9W`S&c|j=TOG4Js~`oPbBku4xzjSW zP@Oh`K^n?d0VLrH!bixC9k9p;MnxeA1a&JtQZWv+5sWh`wAgzhlBfHLiylhsiQp?U zy2vRaiB5{&;H-pp$GzFxI&!7=B|X9k9FvdSf4Xg7amA!Rx%S4w<64(*bj`_7-|SrC zZL*8QLu$@`*J#NcS$gf8np=yHD}BhmY3o&oR@XFmGOi)3NA1FOqg#W>E6>HRh*-y zni|ULq22RwsM+sDMORhenDwd$aMEmhfiUG(5ND-Gdgd zPj71m&iauH}$*K*y&4Yx|?f~ zhB2p&CZX|8u%Rlt^P9@{^5uo7Il>NnN8sdoIpo^~0;EuOP+-1X=DEtN%D zzwms;Ij=IedT3$AdOq6kFZ_0eBPsVi|LR?wG@7OyB3ZS-RP{2LQZM;X>PuBjX5xD- zuQ++BePBlK6<`YJs^P9t2kRi2&KGeD9GnbIm^FrZtbEs2yEPc2C`U-9tPD_(kc}l$-XRg~Sq1D-wY&g89Q~gN$KOb=x zNk{Y?t~{%T+dA0iZFBXO3+mz30UHX00pi@!d=z&f>~-vN(u|DYM|7{%<)pKT)ed25 zP5~FDM+;Yx0%~gFa-05)6!G7=?SqFLNAHeR^KF;pptZS+*<$I!Zjho`_jvJxXN429 zsxhj#MHr7IqosmCku182cwdv;zEMh`uJj&=OU9{?kWos}Wt|SW&^eJ$`P) z3slm%CVB(e%4?hzHG7j0X=Crz)oE35NIX1QP0^#b*t=JowX0X-c4HM*Kni2=Uffka zVFdSbI!AO&NPEqhW`1;SSgh6^mIEI|=_BipDMu+#y+2mN6Os1!$DG~v=_BDyx2`!a@R}5=6G56Na z5?T&7tB?`=EfO?ow`~Qrl?`M>Y&0rp%Rq4RWed3NFl`LVjukq`wvbtfp45jR*}dD? zQ&;NLqs{HA66W^L#gpB?d<@LV^=&+cmIP!xaUSWTNvPAQ;~-RXS3aPJt?P>GfJ}C< z-eyxzDFe972$VmDw_#=fQEB7DKF0*&1eM1{tnEzAug4cFxzHDca4lK2*Y1hQTk@c@ zs{(E97yMGQ#nl+mCtEx zDVrN@EEwTL<%SbimCRthYL1qjJs>^PinAP@JKm1R6x`@RIR|#~A>lr()aHDkLe4(( z7bQ=YRg!sw45+OHpfR5O&a3mqWA-kM1{{f_S^x{+TL$KoUwOM?%Wv48e6eiqP>SHi z1+c=-l)oMyv}^W&HofCInQ+x=u1I`nEqblhXcidg89SU+PFE&JX`=4I|`EZ z8RZ;kexjgG-zUHBiuGZC?ndtlY3aj$TTfJh`xyF(dDM@xb8K{>39B~dNwGd!ySBQP z^jfvtuB|H|8b9nYwiS1fGg- zP~4T6TaDu#>{1jU+{j|neFG5gRu1`dFA-n9`8R9lyx}{}#xe2qN%6yzO#TaUDr3l; zOIV?=xpe(8+9)x2Ic8EzKfY%uocJ!iKW}B@QQiH*SEiTBVkVPoh|DkbL#(}+kM9xR zt(N`7Bcx_(ZYZ(XBI)CRppf#4BreYZdslt);P&HAtqPL)=0rKVyn{e2l$>Z1->>|N z;3m%gbu4$2Hq<5NDV)QVv26HB8BfnRC{?eyse-fQs`b{vYNg?~)amLrLOzWTci{zv43LjT_MmSM;ca*@(N>9!t^Lr>up@X9>E8t=uK_eM(?a7 zUcWNAx9wL?CdM)_8+h!f7e06G$p2DU+wWdK6W`W(=Y0?JlT6?X53_mpTVwJwP2zoi za^?^@@`*o325^#h?`ca)@Uhozz4VFti?>!^=Uz%C@%?+=o7PkL;X~wJI6)q9Qi1lRgr}UvkqwB`7|yoV#8JdZli!( zjq~vRN}Dq$7+Hjwg%u(oMYC)wypd4$9s5)0fS5~D?gAK04ib)Y6ZStQUNtANXuLHz z?gSE*ZscFQ1vg;N=;ZQ`V!4DlW230}l4duCI3p;A>T8N^w zBIRtHFm(P8H-<~FTSIFjPH0N(H#f*v%~I2Ekir=o z%C&Rh5ZELsvLDCm%?L+^Foe87`??6w7z0Q0Jh>i#67F>0!pVCME?N5f?|Bs#^2+=w ztxwEotVVDOY|)l(o46YX81;75(gFCIwro;y1B8_vO`<>4du?Ls7_2$wtgIBIc#Jk}ro#6j8GTE+G0ZhrAQ}bSMD>a|AR+Y{RfAGHr2c0s6 zcv@ql5mi@`q~au6%|#q|n6TI62X62_vru=28tsj?cg10EKbqJq%!+|IimB_kSE+zS z%dyL5Bgn5*hk`s7OS2MjEV>*v*K7mc&1%W45*@JJiH%Wko3pc78D}&q&2{;A_{CK~ z)$bb()|=}llFFFX{l)(j1gLvZxKZIyI7Yc;;^F8@)EvVhUYi_MzZh=u4mxTx?iox8 zHo(MXLm(?d#k@?UgT^#9B)-fL>j^J59N4g%wL5V=H>$`O+v9883!eY$cVYXIJDhOQ z5>F^J2a#jbDrGwAESU%{rYsXCT7Aed8h%u^$`1FsgxH4F2wpMrxFWaohn%SmG>G-j znA27ewgvJ5d&*k@zI(^LwcNBN2w!;nUx=i9nitV2fIsPlNJQ?TGnzld%k#`0WGHX) zT!0p(xhXV9%t&rVKIn1Ecrs_`SR2V^f-pT|D37~1ge8V?%PlLyt;6upDuSrB$J?IYjfbQJA(6R^w=-FI$C?SbI^%ES_F9rKuuT&*i#5xkGoe%@MUo*cE}b= zR|P5oVqR@}r`DQ)g#4#Y_82taJBYXwlyGm2=`LG4;90ZGg=G$nM>a@n3F5M=Q(pYw zuqjhhBKjgDCa>8X1GgMUEwgpnH3`j2VOY@X<6ft&r`j|~X1F1*>%CQCLrk0O#XxJg zK-#)uSF4_DC9@5t63C%s`xCmrm5Q|E%11W0_WerEI7Jqgx;kp8IX(s{5}tALz8N$? zf_;*75Wzs6nf7sdeWt%HMEXf!Ljtpm(Kvz75rnGeAUGGEjtMp-D}<*? zK!Ve8_r;%MO$bnVxB=irZh|K3^&<}5j16OYNgjkZV!BA+9ULY&FVj3Q5Z$XaGQR|> z%+kXQLr~;EY9dwyNSe3GP>W1zIJj!#8#E=+WU6-ENk1Mp?>80xt}J=gDL`jjc!g)A zot%n^D_V)(uCeEUX!lo-Nj(r&|83gYA*J15EZiPkb&OBI8) zYTZ!s?Dt-yh*4!(D$c6F37`l#ZLVg`8XI+WC|+YPJNPV0RxRW>gD85&C?f-xYjZ74 zlq-ms8uZbTX@ywzWHHKn0gNNKaLX(ys8h1MqKcz7gwabYPS<0uAWElAO;*$~y3pQ;1)H>T`Qtl4j$ zaK=BeX6q-+_%_xY?@NcgC3gC}5rP7pViM~|yeo&<{2TQ)7lW7u!1A^XKTO7iJ&(k1 zan_yO^z6B3Z7R+(MVEEWnXKRD20NQ|_OpocTRY0ee?5wpabkBmV_V#VuCsH=3!Iwc zeJ$azxZr?3jO8#)rPFGS$>ev9H$pg1rDRlgehF{vW)QuWIJR%-rdO!ew~jc4JMGUU zNzFeU*f)Fa(UC@y-~_L?5F@Y@K_%d}sfw{w+(4t*+R6oE9L5jd};QwUGK#jTgVKtSfnXMsKlxW-9C54va9 zw&SpM+j(lOe&PZ9VaN7na2F${aXy$uU49~cf9Ul&z`I*!kYpo z{;Iq8^E~h#zj&zf`K?bWl1c4VK_MPZj-ygsVgm0GEVYs7*H&|MeQeK=bZX**wF{o} zhW9V;zN5PTXyK}8__gAb~cj@?TJKhdFMZzjmyU~Y+hPPi-^M6vL#uF^M}0?lM$xv zcO>%7SCX&%7vIOx7oZkwMEN@i)vQHT)lJg1;z5P|{$2bYYQVI1?U z=k0#mtk*cX`>eFtxZUJAaJ@l`pYz-(KlRLv0*8Pg=80>!uhsY?0Y84*vpSw*?b7zA ziMn!gbIwTa+22_K1T-gMkE-!Nt#}w{%Re=5&aPz?CnYJ;12Y6&*nuG5Y(_GGI=} z!{_Z$HXI-F4vpaBbdq;}CopPP&l(YjuV=+pSs0>*XC}0wQ+Q*)`Mr0>I3KPmVXYI<>4+5+8KJxBEyQV(5s- z7YgWAZG6WvgsLKXSYtwF_she>(2v_Rg>D z3;~37JS%j!c!VHMZJbe(kDASY;8}EudtYrV8jbtN9lN$|MgC`h0nmb@%%<2Jn~K2x zJQ=tla-iXK$oYZvfjG~TW!IXW$zQMb=HlAb(Xd?y%W13!jRIB6H&+Yc!~+h;E`nVF zCj$lSYz^xb5wV{( z?uCDXu1b`xL^0-hmA^jL^>uL*5UEq2*beMxop{G*K_rU^s;MBHdO)pR@)p4o3sa67 zW}(zT)JExPWmgrt$}!u))e8&_L~o1JXnycwxK0MJc~3bPmz@yAjpnX zJ@8IEvz(6i3U7I)F+bM~nAF$rT}j)C1h@m@5$foKKtDK%orj5X9=hGrNKf-PrxS2b zII%YL?i>pze4_|7*7(-mmCgfA!cgl3U9#N{6gMG&+^kZ@dzcU-RbLp5iud3ppOj_o%Rms=Yo} ztRh~Ll4?2#<9w`$uQtnjY%h>o##TDfhjM{i&K*y{Tk&-ynM;JRvVA2W{N8(%gT(;| zyD7rvTG@HL?s42zIp-9wt^3njci;Ww^j8#ttRyf}B^z){g4~l1iNK3fds=Gg))u>T zdU9j>14S>4_rx(A5AGO<*B<7JnQWDX#cQzXO>k*+Nn3k&@;nuB%p4AD^2-p;i?fg-un}fvokHJEcU$Js#o-S!{5*T?25K*m>Z!egFk+yUT8o5;gA2_)9uiE z(_bzW-S=Nyyx^tlM_$C#`e|3Ho$k7Cd%MDRMQ&N|eWJ}TQ+|o$F!+7qZCsM@zBr!I zB~-H{z~sHj*+4)R`lc5iwvCR>zRk>L7b7RMY*n+$RlzSfqJBfcwN!qKSI&W}9njI9 zr66eB%As9TL9#<7MZAJ`jN)J!E6HpU2T3bU`(t3iqCSUKV$41uE}__2%Gj%iwqjt< z;P%13H$AUT@B<||&$4WRnE=hu=~xGG5IW)qu${0#u)uNqu&1!|1e8<;BKF05RtGNb zb$NHvjc&s1z%0XlQKcnmzBuU7$4LC(JU16YTBBu?*;?Vw(}@vna)LOFWULJ= zY}RDyVPL3(0nu8z#<|NnK`H`^67xc86-f=#{o{XV_>E(eGl^Z;KBbeIS&pXMo8XF; zc`a^hWYBu$k}@`dcsj-m55($I9HN7tesosoR;|Un1?J+c3~5Zm=hqD;i|)0m1b$1= z%&EkTFcX*bZNMdbbCR95o7nDTeW%`v1LllARXr^ME8)=isH=YKk3r8&@Dw|-SrnnQ z=AY3+UrMG*>50jSZ3ty7DdHo3hutx=2|W|bPQGMtLu^KV0FDrXY=%eNk_lAu{I4Dj zf`tZft6kdrl^(?UfK72GxaN+W9a zj;2fRWp68ga&<^InRQ9oV!DAfJzWNKaiXYdZG<)90=h+0Kx(N{0qk((<=3iaI1lSt z9)K8bocP;FXcd+}(1a1TO?V98um05!gw9zp+Z17eQVB_9r+}Wx%tS8GIRf3JFF3r& z51EpDn4?Y1to7y`bZ%6*cdeO+gT=MUIG`Qe_V zLM)0=D1UG%WbYJl1LYiZC6Z4xUy;mC!DNs`$Dtg<_W<$>Z=<0Y!YgV^(uoh`TQcKP zGijOKO?N;h4uhbIm~ZKoSK7o1mrgJ^!HyVT7cT!dywH^oVj#>fPK>TwdM;~8=aEDRPxP$tN1R{t2c1zZ>&~ikOQXS zPS&%7fTgjZS4o;_uf)p|a)f;U@m|iebUxuuC#;baIBmYlG8KRf<(=VN`H_+EoN)va zSbfBnMKxL(x)W8Jgg|+zVGGQ5l(&SJ19OoMX(5hEsmEX3mp@0`>!1-#5+`s1zsbZ|CU&0N z7;Mwn^~7sxRcG=o(UQJ{!w4miJc(^^Jj2_mch+yTt;GcTc$=N_6_zUhc?F+%_x)aZ z@`}B87T;wryR*@Hz5UiDCzzdWRLfO&avhBfw@0}kTp6ikDLD?$eHEANBtFor6hAex z$vy6tM{t!&;^p$ABY?wmhkymK^ylnHJ!9+;$KRSu#X4IsY%yIQf9>*HUQwF}mdep2 zXT&WRB00H*$pREZa*G04it`+4!NTZ>XY9o@JB}O4e*IM^j@iUA;uECpt7G}qft&Jp zth3exZ`xQB1jC!uW9luDZrtGB!LUf-+K3+ zGHzYhT;~+`MbQzK`n|aw6}5?Ug?o!AiF;pd)B^L$Vr^yqMxRQ9;0Euq`oRftK5}+c zI)9W5pRP2&lYb&=eoMu8i1;8{-!X^HJNB*nL;JwkM0Bb$v#c-Foog2K4GY76lsq&- zkQ1$#j!Vyd+Y6%|AUBbl0COj#s@`da*xr(}8s`;Xl}u0<_J;F*Gn!q`abLA9j$}@9 z2uovSnBD7Gq$Xl=ZC{D|RJfhxt5GRe6RcbijQeV@-t5+j4|_Dx#}clW56k&j7lKAc zWyhIX(7;gt4#PHSVUd^2Zma#Wbb5=G2t&CX}PLlSi>e5C`WxCY4<|8xgmmb6UWgTg- zo3l!eHG|Kt^)JMq=25aEEteg@zVTdRPdS$uU2h~}rY`8Z^ZXxg2x(1YydZ&;+D?*Q zvmjO@MJkx}!)5@nP^Q3Eq5ye-BZ^Sx>UByMz8aq@RN$8zBnXQySP*phTk=_n^)I*+ zoZRM!+vu2}FBeL_?q7;bVHe=Q@?;sjf;1b03 zdBjdYowzm`tS2+rM1azg3u@bQ2(GATf!Jf)*CLlaWedfb8PxGUji;99<9Bls=bTzD zE)H99fbIT1g+96RAec^YqjybRKXtU(Hf~>%++rrju+^L`yTamj6BZ&w5OT;DzJ7PM zW|TtG8A20wY(CDpEL->rutE@*lR$hz6BK$te{_X2?s3CQ!vxD+GtWtZg1_D7C}IE= zXOf*Wj1aKU0adSUx9k;wp`62I#>MJmI??0!UfHI%E+v=jwKQ~2^8H5 z@HoS{hUJvE;wMM{T}wu*T(p8&ZYVpCfEEEz(E0Ss^`TrG(^_&;1!Y{?&J(gmjmo#L zjXb~{uNIvn^P$5*Y~9HZh3_CfGHTdf0>_52C49?i0}{u_+M7TQ;4tu*@MAt?l9J&i zSZN)ACrggv%~mML&D8*&Cjg=s?{xzG=kFcj^$d0BwDmPUxy-I!zoK^abt*aWwQ6p0 z?*#V&+zdC96FKk8F{mNovfba`&`8`ep+5Q$t#L!53JdrPopunyl2*KNjP{T!mB@3; zX>6^;!K~xpyv52$lk!EYb_KB^Et6r~J7+;GLL$RqZ)Gs5%o zeArvYhq8y)+^Qc*kf9M4B+%aTN4DXl6z0U!2_~uZdX5ae){B8sW@5K<#bStWY&;=X^F(c1`?^M|_!)J$pC2weYNhI*g=nPtfcV`v(EsniUkV$6b zoaq=9!+rAmulON+<^}z)IPdL$mPo>}mvdVEe-cUf&2^%rMST6gzryGCy+>+++xt|g zv%cttHxA|PI!7kflZYz0Y_<-u#F$STwQt{v2X&QTta*03j0-fA9p4^aOop^zoQysa zag@U-O634*rwUlQS@mO-*#Ik@*?|Aiags`z;A!ApwDJ}XUa-5xH zfRWx?bsN3b}TGIK@;=N>)(uQVEIf1;5%ov!!h&?MR zIaMowP5Ic0wI>PULlHD%J(Zk1YH&i;jx|OZ}3!BtVyE)!Bxzr>Hm=DLzNZ6QLfL%m@g}greaK_Z~J-XnXHqAs))F zG@@2hUXBDe*e;4zC8>P{wV+@lG4#?#6U!8vRAJ8{eei*qsG!0#UKODHXfxhUyiFV7 zN~5tsXlZm9TSL9}VI!_FHldlH;^a7NyG9i=b;*egd|EIn&e7A_NL1oQU>#$o)3aZ~h=t?Z4p4{5h+|zMoOa#&n$V00 zNNK#Db(j^$UMU7CCQO8*Opey$TNH%+$9#%dw}e{d90Zlfn3i6dpJdI%Y%9WEIB!iR zdH0B0r1x0FM!;YQ<>@3e7R5HSzRaOZ+OPxoPb@561_i_zgdRHmQ+T0w@m%kcF_cmC zp%TitNY?bGGik-e);EmXzGvWFyJ+Z3k8E^eOyxt`a&?0j8!+x9EegX?n>lK_B4~7VLc* z3Id8nM|+c5Y_`BTKm*7z!yPl+Sq3Ld`@-+jRI6^eVHn&KIz*wd?>1%1Uho&J)L;9?IPe*{NTiB07&z@%~eF;&ud7PlIm8+zRqQ?Yd zrMEI{{M5qJF~1}Ul`9#h1ZK|%rjpv|k?2N7$4Z!2LK=nR%Q#~mA5bRB9Bu3#`YNv| zFxBK4ygEQ%6r)A1Yu0Fdpf3JGy+YFuWC;I?BQcMVxeQ+RWfB*#CMOT zz}-+CDKX8SEp6=Hv8mVg-gjwthD(O|CtY`SQd#&iJ>hPlae5^`ct8e6`1B>ROHoRk z-YuX9Ke%qcNpKA5bL>MupT{;cj#W#Vis&0ERFIA@eGbzJ$I0jR58W>8l!l4rJw z_F?;lqv9PEJi6^gH$?v?4sUaN)=;$%EPT7G5gP2FWC#7Xt#Qmih z2N23&DeMmEwVWD2vP9u1s!Ku&nRll)ofRk837ifUuCmwNLmMLh^Ryw^ocwCS?Ud4W zn8ALnL(j)_T562FIL}+s{TUtwHcv~#GlkCpS)M6mUC7_4=v%&5uQB#a9VSnoeBB?( zR6t--yU!pC zy6BY*4{JZut+3>?y5#~_M@&Xxn=JX~clFs`I)47nlHGCHxm>4zRU z3A^1*QYsWrh#ss*$XM#igmr>v0>gOnORJKEpO~XYxTGMOv0f-@jK3(^LGo4Ue=DZ& zv`q$D{PHi1pzk%*B@mQ zJDdvsl} zBidB0F7B95#%^9XnT!p6CAR;)r>8vkcDudLKDyR(MlT$D<4wIss(&4wymkBY%gK*C zGk<8ri$7{7@ee;!{U3PdU;iF;;n@0F|HADRfA+1(`(B)XEAw2#JUS2o3|`6zRLs1& z+4h-v%xttCW^jxui{-}>W2{z$2Z(6?!6T?nFyc>t#Oc-b(1*=n`=LFj{H@XY9H*Th zPrh_A$)8+cM?NVnc?az+p1qEMbG4^fIdkLk`rOzP)pN$TEsPJvj}9e3y3T^RM$OqX z&Nla4|GK!k$6dd2Q+4c9)y>JK<(I1TGT-^5FJH0IzqRTgkv1-`f8pq#2;U95BvVyO z5k^dK5kLFh-u=RJeap`jItZcRm^!IDj>Vy-@AYi?465Dtt`-%Qq%L-xhtnA zOan=J?SV{{LPz0zVXDCtZQPfkPFCo2c$iIUFg|1-)9c)>08_@l*taeOP$i-o(0$Ex$mhyg)bFJr71hbCcT$g`clbH{&_n= zQ-d!VabZoQRIkN-AL(B3(Z1!qLh58X_>=l2CNuDD9d#D?_D*@Fo9*=Lfg**%#3923;bO(vdfXO@#)1uirSoZ*i}XIvo}y z_DNGV9YoJt-ulW6l?pQrQ?XReBpuMs%fH^k0{T}hGC7@{B2B2b|J~pJvn=Z;eMWvz zS(;T)-Y}rnpIg=c1}Sa9NpO*H6J3X)22}d&S29$J6s48SpcX?VBh|MZ-9yjzEk9Gp zs-a}rg#R;0`|kej87gJydt+W=K8Z{j(EIa$M{t8dS4u@hh@?;-tdbndFh5gi4?W?R3(ohx*7u z)%THu`}!82DU`tx&PPa#K$oT1L|T%DoLlC1SI`Fm=vOo0AR zUG(2F3{(ptdPapv`#*^H@A_H>q0h`0f(bJf2Y#SD`EQ@jRx50p4t&Z_R6nS-fBA;Y^~&_mT5bI6 znX45wqBn3dYx_U;#fx9n{{|_ArKBcV_%$}2>yrVPN(R8t?gTHo+Q z7M+Tg%AlpQq4&#AX~3C}pFGl^1Jm2E#%ZRQk*SF~Pz=5u5N*)a(|?#mPN7YT*J%Ak9rlOoRK+p6(y~W`706?`F|mL|*Yv5P*Tk z`tD5dq|AE$r_~PP{_nE4Kkx$al(CVKXAwXM3>f(Cuh$3GiKvoBimgg})8(N5Gtd5F zmd-OU$dofia2BoovCsE^ZLgHU>FI;;(ZF$k@>eoI0J5+!=^>_Az@irQpG@7K?PO?Z zs#m0QC|v^wLknNXB2fjZ>1mn0C3e6B{o>jTa0L_<2_n<|2aWFE!`pAlV795!TDbTlPH~dH5~${V#9nTYjcc1Y62P!kYut zrS79+St^yrNu^Xyqx-k>sT=#3_uiKdIZaGu(Ljd(&Qz9G(n1*;;iOb@_I;+if3$CT zuka<u&$@`GOZ4_A0&@X?aj1Q$dJpIFsYJ( z>U*94%UMLROiURh86eTW`&MZ@`-Uu5eL8v4*$&&w!q)eC=cQShvp08oJ0^Xr?9P3# zZ+WjUWgrnAsfs*sUOx1d3}y`OUMO?INsN*F?Awl0k7v-3(2&y^o^8}`xjBoHkQ#6z z-DP-W-uG>$@$B|2GF}>(*2&Nh7M(BrZU1-nN=0SEo!Pq34;Z`?liL>$9wxslb$;%WNOm^N0Rp773EFk*vcq z_JcV7$$!qm@+Dz;dY!Iw167c(XHk#E02Wg{8*@JNwk$nQClOsvrPE-w<{v-QcLdKA z(%myvW>|`5KAxe|Y-)^kZ3gU0O)L z$tN*LwvlTm%btHJg-=0vC0t;@P+xRymKjdNWIf$6B(3Zj0^tJK75>7W~qOb2b2D9OiO#-IO2F~^1uHB-AzmVZ%9iaAn}T+P!~wL z@6|0n*tfh_D2K`;DoeCbhN%A&r~W7dOQr)uO~?$UPX7wKH~(S(8`9Ersezct^}+Mz zuJ>lp-FnSS1%@|J{5^1~vlKqFNc5)ISoXuy36QpXgiM zEA(WH5I|Hcy4IjEdhj2!tYorm3lhUW25LappS?K4oMiD%DJrYDsF?kG_JP$|OdmKN zu_4%m3{>B%`{gh9E$`lda>hd8fX5VYaa^K=!p)6xE)Tt>UBB}o~&)$?l3`+p4uq1Juvh4P) zv3pTAzLZ%g+x)`r{jaC)$)HWcJg|>+m#;xW$oT%@3=5hFU#X9|gCRAb+fOA~BnC}G zYdM1%44RDHM{em)n6y+(95_7PLx-OIuO}bNGQSxTI*yE#p7*b?JO1tdH>9PgE-|CS z3>s{X8Ml8oi`w7Ao1mpJU|D;YE9O!Who z@VhR|((x3lhIOQ^g0l};!@JjJl9lLd(!NvJGT0M{G%6LSUK9k@p8@jnZO8ieqgN^%MD7=sNk(bF z1Ap*q18$Jwbdrl$OHJp#5B$3<+9gYOiigr=7K#Cc?B1gKzOh%xzP1ExvOrLw{M!GS zi!yC_$)HGWjJnA8{#ADG{F(kYq@}Wsi`B!#%&_fq(Vu6~t5H#DrGO=+bV=*mic{lR zS!8O9$REA|j3kUK}_Y0Xq6l5vv z>F45KGib(q|FJBy8g@+Wx^xcq@7AvWl1cp&~d;5Rve?wY|YLW6bVw^q?=yms| zU&>G=C0Qz5IPytgsSG42pno_kb35zk73*PNy5! zDdIa&jqaYCDaQmRLrKAoOvVA@a%z2+83W`=RjdeUQ~UO*drj20yjO_A0}%y~=Kp|2 zrn{7diu4!M0s$$bJYZaI|6~@;ndJ|G3*XSlfva_Q-?XzsiVT8G@Wb9zNzJ*w!(yEK zrT*nj>ir_rQ_;pXlB@-v5SP zX}aSeHu2yJfA-&Is8Gl#)gdLA-GjP)S385s1AnIojmXDA=GH^^Wtr5e2F}P(;ec^@ z=*w9qAQLY&V#M97e}&y|Z_7eNvCjqU5`{F?D*8OsoeBDszEp&yky+iFGcSGk6MuHU z`ZquE^PljcFycV<>DfbB#u$wx7Da5}?Cbva_7(4jeR5&d-8PpoK}^ zT=ZF|B%{;sdg!xRv<0P><`@mOiah?yQ@G*~M!Zn-0iY6m+@SA~QFhNXMk zpY|ijnKIc=VUtf3(1FV0shJE)iD)`Q3(gi z5-Qd{D(yh&@Tr;po#>S^8W`xJjtpRJW7qxt#Zj+55eoE|`ot9M--5r(l1V_SV1&~t zJ)pj~Wm#0x^d_l#oIz#!y(|)&X$)c_s@)*{#<(lavf4AsVpoYaJ7BIDe}7$n5~rmy zpJ5loD-0>Jtl#y4cV}v%bQUU7-$2fJ@Tx59DBJ?y7^PlQ`?b(8F0W;oe>70KORdbB z{uLVM-=D?QmrDA8ee0SGHL?K%2QmXixe=?p|1;fpKb$8o_R%EI$sFYA zwZk<-Nw{iSU8}7F+SExzw)C4F^2rwibHQ{}91eNj>_(}!HYCZwouPoN^g8ku^Fu+R zpz}<~!Fo}SlY)yA6(>nEh9}ETY=l#b59mLXrftF!2tBfuPgT6x*T0DbrX+QZk8<)> z-X2QwSLoGsYua{8%{s@xud^M}!=mVvbk0yEQ<0l^qDpI(>g0}cq+HvS!>Ee6MY1u6 z?p9aqa`L6pQu5z&K*45*{JSydqjRv~W_O8v+yPwM)n6p%y_IiXZ`-7v)N4=h-l~FP`du2|5*ZH^7YK}Og$*$$3rw5QceQ0Kh6t?+oB9+RI$U%LIQy9ac z@=DdIPE?9j_Z-8SYhF)MdO0|wq;#TeD~W<6>X701O6QBc$WcyIat#tbHzcKNjIR%Wf1tc|k!VMf0ojmwg zGW(dLB%GI@kq#t#J^AftV{{-H3_h19@;N@>zohy#I5&w*yE*=f`CgJk(@G@&5_fKLPr96Sb%4Vo zoOnUlwA?=AD6W}V9YwY3)yZPb^G3$6<&4QB2|aHffyJp?USO1~jyqYM4gPz3XCEcU zRUPQgLj0`b5nd;p4zN_*Cx!fE~y^&J?lR zu{T_0I$qY?zN?tEZg7wDx#gO5Q+!tt+!YL5hm*C5=(F!#lyXMv77q2_9#zn>720kk zDii{r9p)3e^{-u|D%U7$Ur@4xoZ)!>Sm0RMzzQzRW`;7j{7P-La0+QzYeq&V2XI$Z z2-fT!3Ceibxz=*bqd{d)fFmZ4t~(KW+4m2c>Bu>Z!zsTUj&Jrh2a}_d`^?8J8(ORB zFg^;S?urM(T}3}!r}p~RT5sFvrfmiveGc&s_QV%nhKr4g6_kve!#B3K?Mz>s@&|%- zf{kzCK{&$CL*P7W9X*gsM}HC&)5F1(VP@Z9t`T3UfQdH*hUwd33a6Q&g9n0A2Hy)O zs-rHF!N1NjaR`{Tx0lP;;fkRg>>V-u4Bwyjj(dC`{iuBHId|sThm?P5y26;N8L(c? zaY9^X4JT0A(b^!&V=}b*olr& zk&EcqHc?#CS`a3-8s{61VR08h6d$xVfe9V}CD*mv)9$(XveZT;PRfC^eK~7MsxYd?l@pvqx~V zvcZ^$q7O_s=54{6pgK|9mP_vlLVRp&z;y+VrqXsevE#zMhz$`UIUK*JqarjdT(3Yo zC=|&6CHPi(S6of`xJ#j9!ZZRW~q|*W`n22zNDUDtQDmhfmC>$h4cUxQ8JsgYO#flNauG zH-_Og8w;;2hTBrlye;EQGNm)nvh+6nt*Vg_L;gt>2y~OLnpcWW7@mk?e|(aONB&@V zx#%CR>RJ7zdZhwE05OqG-8x!is^YWq;-QwVpoyso))=1y7rc7lXay$-(kQBt0Czwf zoY}$z(zdL)45AG>)F2jtta&4pJIVF|P?Z{i4dMmv>!&&1;Rw_LNfHw9U%b}8iLzo#Q!;Du4oGW$;iMD7)cKwjg7ne(euPPi5gha`V%` zL7)J?NCV_AfS;gM6vo2h0uaSRH<@g3s5h-lAJ1iJRn6hIhB4^D3|VeBrgj(ItzO>z z#b5toH>e8tYa-HGc#eDMB&r4s13tix5OdH&_mN;O0nCM=V>%;fRO^f17rZ7R1rTpx z2!tJG`t?5$@<;{rJkv2zPY1fTTthl+c=Mgp^A; z4wAvmQ3p+h6q_gEpzJShd;eh+rY%zYRbFyOp9oJ3l`q@=&vuTxvG-%ts4=f(7t7`H$VfO+E{6=Log?WOhg-2?MxWS**8tCp zCx&jG4)(q6I0I>5=dK?Oe9a8QfiT=&6nDo%Yi@Tp?==$Tod)PWb({%2>wKdLAaqW+swz z!#ZH*Mv}z4qu(;Wh5G>`cEU>0zfcX`H~L_3FfxJx>wfSIXmG{bc)#loyJJOn$DZQI z>EAP}<1Q77?$4h;>gpu~{m_VNCN%t$GXJ4INz^{=vQ#rc7C{U7rSHsA%3jZOu2b`Y z_&60ur@j?Cqq-b^P77|hZ_+JV@#&lX3#OD=`6p^~aLUY?Iro*h!Qz|TA39EY_#_>; zHOBF%&y1KEvQwV3!Pq?NI+cCDTO5kU_70_M?+AB=!@COm!t~d*i_&BJ)54Gdj{{Km8mE&BfErK(|y0Y$2t^k8(`)w zmhLYOj_xht_4G-cWR<)lh0+Zt+)c&wYfpdijml>|(i<=~GZoA1GmANz(X-LR2S7@vfPaMV~iHk67_t`pyq(|m9A zsQ%Aq@PY2R3^*Jm{9f zY4?-A{y^?mQ6xO^cKP|7V{A1|>vN`12rNmrabg7Z(lm1KfIsZT=d88R^CJFQ>C%D z&`Xtrp{m_j6mL8y@kW|a57{Xw!WxcWUQ;;;weVAmOU(5^9mE4GZnskY*mnAu|LOXx z@4!=!0iBTS7EZ@-k|xN?z+#@JZm1w5revjYt%-E@LxzyYz@dDkp8{!CDJ?xnitdZ7 zZKd|&ww3BkxU5XplqI)!Vaq3n2 zWd|8XKvifx@+-j~KPX%w{}pZyN^2Y_9-qW@eC=xxr?J`9plxJVO@MQS23>}WO6xN)LgOU zPHLZ~fhaesVikNV71cgk%#Po!qI(aj8rQg%s*O*n4~$N!s8;?1w>HkekxZ4sLzzn9 zGY8dO5y;t$?5T;vc5U3_=O(joC<=D%RXA#qk+9`XoT+A0CngF$1p3%dcOT7NH8|m1 zY(^7K?jpyqt~0HnN#k%4bVl%k=lE-(Q5C)gDW=x&HuRkIqj%!xPrIqZ-K$?b07Lor z7vWp~F;Y-eQ50=FaEH5wQ(m7PapMoP-ZdujOgqZfV{HKS^Hs&aL~#L?Q} zqK&|Rau2b>1}Qkj-*q);x;SW3dv%JVPQW?Jrk_g@BU@4gPs~6jTjk-7bd3pN5wEry zj-6nQ+7e?(B4VRBwGVSyfAG2M9uBz1a{bZdDLdZJ&7R3a!I?W>lt2p84VR_G zosHJ;eEqRk9AQ5{GSDosfzAz4Jog_Sr0jYBWvW-%2Xk#%J}O-`6Z}&rkLic@$eGlx zH=R(E%+GxM<(EtaMis|LRYUE*3NMjFNRmG9D zGoKM7at*zVo4{Wqs$s>I2i#s#3}u0b00W8F4w=A z{S+D{-D3La*j|fdj8Mr(+e8h;2(dE$8e-5>Ly6~itC?qyDxY(Wkl9FYw}d0CCIoRV z)~E(Ps|G>g$-f6f(cU>Y5IIyPsOe7DB%)I z$1xqyurMYTy;*2P2qcf(Ra19}Yb)X1Dq9z+JW+#nhX0wyicJrT(>!EvI-ee;4P)8G>E#uiaUu+5;py#iiJtNIt-DFvvT zj-CynKouoKqQH#?X~M`u6zWFBa1Vn_(jbQ9ly(SzLV#+@ed0={3QPq(C;-j?Vw4NI zQnAn(;-EcoX$GovXrHhP2~bVqBNfFlRBz-73(9p>SUr8{K{ad$mB|-j+e*EhZ=h}=h25Ic0oq~XJ`4p8AYU8gP}Ki22|d>!T+nelH9tUUs23!DV4VG`xU z6k03oV5J;-kf%YSxLH3y8-ejAH<7{}t%RWJN~52I$4cg@mChz9q6Tr}9h)G#5 zl5P|zNDM(qg0zhg((5d3Q8^7vON^XyZ-5W7Uh0oOX2<}Nr3@C8bo$JX&nN?~rb{!j zQA-NR5D>#qE2RQ6umkcmvo$J_-|&{>7s!T=1W*6YAg7;V{R?;*!caq)gaBJ-^0Ld~ z@F&l(jILG^U6qIE^<7_vO(K#9+#rSh2>9y6Q5l`WjN)0AAmUROggJ?z_k7N%z~|s5 zfwb)7dUo?OG8u(B7NM!EE59T%6Q+=5CdcuZ9KhA?VnJ4#~L@<(8`YFkP$hSpDS9)|RRd7`yYg{sRJIrOSH$B+P#CPI5%%fL%Tg}Z*`c(mD z>~9vl5hPkfo1^^b)Mmq}{OK#*p>I#Iu`qpCe7IP{s^33be#s162K!#apBY`Y_jCG02Y?Kw1-ReB~mjJvU^ zX>G1z;QM1PX00sghyleoVCeyqvv$*VC$6yu@X&}C-1w<{aKo`YD-Nkc(da=i3WCAk zx5uaQ#>vY(gNLKJfDU50z7PbPQYFJZ^bM#u7>F4094tFHmObQSj0XM3b1hSu@;ou& zYFN@>M*ZX^!f}w_m8mRQoLafKrt-5As}xxHKN;MpaoP2s9J91*&jUFStd*_l++7h^ zo1kU-;dD-0sbR=g>!uVFMQ}t-%IPeoPzNR%GF=11(4pbfBg-LFH8v&o7f48@;3ea* zX3P2n*y%+Oko45aovA1Yvf~>k?0a%qjEu|nS(8U|Lu+bh?8`!|&EUzY(Wz2=G*>J0 z6Jq#+2ZnN-(#Tlu{y$_$$xLcvcEhv$ur%{P&a26WjV#Z2;3OPFCJcJPN!ArKG2|C) zro0LZA!$tDNTh1jVAUTnAJTPGAmOLER*f2a=JbH<_=G`01uiz_lmGmso(QRim2bl$ zFbuU|=h1xDHy9o=A<_O;f2bM?67$`1{PojHE$e)44M??4_M=uM8DKo=~fzw#aCGw_j@TC8D4jvDriNIe=u zyqLMoaS-;(yQYA)ihO}Q{$nx3!^B@J#IkF!(yoEwREb@Na7!qAq7)8A{6)$>uGFqV z3}fOPR_VHZD^5w()zhDU*X~GqNS1=cCxb?uj@&3ZY!Nh@kYj+g>Ew=vnnVO5~|Cz7<&gM;AwsCp(_?i1Cy6M?KU$3wm zroOlFOvCnn5dtah)GHX6`i<5Ucb<;F2f+wPa(SPmYDB^X&A@H@<4m;7Wz~tO@!v5X zm9tJ#xb@e_m`_!Yd@N62kUA$w2cHuV6%u4HOXz6hKmX_3C&j|^6f1MQ{J}=#!QD0d6~g1RQg{`-_(ea;vh5e{DrWsU<&m{G%2mTt<_UMHIfKic2B_tg6LN2g4tpia?nZFLH+C= z%Yg%LGWGMn0lEMcC7qym=@9krlqmu=iCDp zMMI_53S}N`DE*#{kkS)dgXT8P7y(a>vNhbe6*Yy3#-$M@zrpNn>&`7yQ_nyA(gqUe z^enk_)zbdi&{A*xqF$5m)3Zs9yJs$WX;zL{(1;CO+SUFRhPrcg?z50Y%RCp&f}2l0 zUw(Pj;3yaCB@hN`LNVH`LnOCoYD^IPlbu2Y52rpjS_Y8=ROz(bs0KTtKuUXK=uNqN zP9&zvr|RZgcx-``Tgco9+@LEk`RGS(sJ@xlq+Ba!lg1v_gF#&Vr{Ch&6y_mg`@k8} zhrP_Q^pvl0M*SB(f_A}QL`!>`w_zaG6*W811JtYO7HKKKn_8<6IKQbrKUHU)IV$Ji^3{uqA`{M5N&#g1> z&e>vENMeMh-O45HZ4bb0Vyv4gNuf?}$2$+`{DfJ*X?;_yG^9p5oYuV-BF%>x5CU-) z(PnBsrK+cfo{}fAGc~mtzs=Mp#(tx>bSAkCXfu$IF9eCz4|9R%jqBV({`B8mBt3Ob zIII1-g0@T=XxlTl(t1_DNRv|k$Qu-`EPBPK^*~GDskR^#z=%uFP(sqQxnCt;{pOpR zoTOx!Ef`qb3;`%SB^@8mDUdf@+Xd!dKcsWOpa+5iI?~*5c5^{Z&T9lhRDxWaM|0ZB z<=I~Tv_7Gw*`5~6wtrUSLD*U7&EDqHZ2BAuDA&wSPNtsu?=R=bWE5q~tnk34apb55 zwWaczjuNOMXdA*7X+^^W!IaV9hZYJn(~s~(6J?Ptk>Osw$#LB1N9L*D_)7k*QDgQ3 zbB2X0XTe&cL7#n5A`vvL8owG6tk%GqQ!P+Oz|W9e0N7^XYUSo9Pj5Q%024YB7p?GZ z5il*_C~8flnwMrJ?ANva07^yrZg@lkG?D?!mDd^ubIzXJV9MJt z;S@h-dWPDTL0QYP*y;_5S*da^+h1nUO%JD0$i=F~Y>B*S&35X|@ASebRZ4r?NDp*j zF_*#Yl6o!elD{j@nCT1Evl}gLzlvUoB^6X4*-| zH3AL9h|s1|qv@q|B~%sZDrm??rQDX%#I#Ca<484wMn0w7=bsi96N8G=v}!mKm8g|b z*WqjP2P+7}P@8{}DtniJg)yjr$8@uXS%S)rw)|(-QGa=L-k}z3DOM!DJ!N>*Bnntt z(6*ce87;er1&>Ao*3*osCaWM=YRw{$YZ$5l zlGe;cO~F@nz-zIA_F+191c?{{89#}?T4nZo&U)70%-~X#cy`{6L`Z&#Ag<)i%%@I3} zBNl|;kehHH{3;qL0ZtIXCLwP$u5G^s?z5*ZYYfutRG?uu)8S+UjMsQ#^;h4trdF9r z=Lz)#S2R-rt?}WpfnG~yHPR)GDrTUWVf9=rUCH}~&o1WmsRq=ue`mnA5^lLffcfWE z)ymMz^_KlYwxt1^ooAXg)*t@WR^2UHx1MLOQi@avHxrOFZHmuKw7UNF?07FJv_o$K z_`hFlLF$g1AcE0BXE*v=N@(O-MQ5+|TV~+RM$UWJ0jE~}`ZeEa-7f!n$Hgx}4kQz- z`j7r18At*J%n}18@5Uq+Rx@IgNwv~H_5I&V3YPB6)r;9wQtixs``@wm%Iw3jkv1b? z#{3YgRvRD67u!S0-WN9A5{sPr<vg6Ux(?zvvY439s=w5-N(JvoPN9_DlpD6V8_(kaP35^fyiLWeG zhdLL784dp2q~2q4Kfk;8LfuMqoWS!-RFOY1%zDYBJ5^AdGWk^FA8Xd#>Lxyrf2<+u zfBJEEsEVo>lvOe7h3TTskjutaE;Lkl=PT^kv^H|I;*#9N0dM=`_xs1);@jh+PPE~8 z@i(We4;(3);nZIkSLRMkvvF{$aBxdmUE&n?#3L`WHoNKT?Z~tro5?w7uiFxg-0kGv z8s)Bt|15ntw_#4!%;x6Yt)yr71!iNSeHjo2BDa%_Uf*(k4Q zKKt|LiHB4?U`W07*S>C^&gwC#BWv{tqm@0u*~&NSZ~I^i?sBgS0Sk2nyxO+N@Z6{8 zv3I)|R9!*8;mQTA27l3*9eQeEVCk-?oR#p1nW$`4^Q&0FS+&peXw~2K$$64{OnN~_ zKrh@;Ki*)>9^2D7d%~^Eqx>Zk7M{Y-S%{gZ;_LraW_~uCS#p~PNGr)d^`2v``{iHh z0nt0VhhEy5dt}FjDBz2=+$!NRO}GE~2i@_Ol-Zr1`SU5+?Wlj~%k$^zb(GBC<$cL= zHnou~Fwer)TUHLR^=x+C_x?oz_m>3xHSbrFjhyRiQ<-0Zu3onMBVf3Tg+YV$om z^Lg3x)Im6A@oG-1RO8x|n{ODuV1D^Jx755(&4n?^(-Esh3aFMuuiyBmovNF&Pc)mM zOY}^JXTCc_wwbv0n^vu}aek_C-$|di<8|{Wv$G%;b+@1aa4rJfcPrE@-`iGce$hH` zTcO$8`d8b(h*@1GuqRG5A?X;ML!> z>4jK2Z_+&0GXmWTfLNk)(^Q^GDT!dIC*GLxr#QKa;E}UjiEO8I+qALrr!M9B=%lfb;c&$7@yOJYL6KlS0oFUFQz)jIm-T$NAN zfBnnVL`19T=GjwEu+!3$s20RJprz5R-}?0y{)@Wi(_OL&ZtA%MOFLlJQLo(phXOL0 zu%M>irJ9x{F(xM5}Ekqy)@ZgS(xqcMcyT?8zrWp_upAqWDmAw>%X(0i6K0l6d(4N(wDEf zuk=#-%AI=Yzq4@G=dHTEd)y|3mUFxCfyXY;olfz$|F3EyOX&i;=XVx5E>kzLtbgr} zPWUb@ZUr>Ejw{(cV^_cVA9^m+wZMF>47!%;l5pic-A@T63am^0U89rM#=2J2YeFBf zKE*mwV7_75DgS(N4IW#W#kT6H(Ra;PcW-IQx4O6byXNjA)|E6}68aSDLYS`6eTsD@ zO_zkEDb}}byAZl_^m(DX^mGiVGo9LEljK-y|0OR=ievS@ePTP>{pB*<Y)B| z+3H^|^H*$3+q!;B-(y;v1GvsFiM7eu<=w7s$-2C@wUs_E=I{Q?Hf=q=xZ5O^l%#nq zu`O+Nz8n!-T%Ru@SJGXXlxoyJbGnGmJ3CAN%&AZWN!J%BXS2q<&robdkAEeKsoGIV?`fRRn>on|L|!XS zW_z+FS?5K)m&C*-UBRsW;p4s3J%8S$rSSZ<^^n?z*zzr#JD%!(=ClW#bk@ZBQlPc9 za%u0>wPXL;@2$>nnORQ`tWLz*$=^=MCEc$SUn~ik_Co*PBc?~rlm9uHA#MrjZcj|Y zW{uqYE`Gs2-M}Z-zFBDR`{muYNvGujqI8m6c-{yUjINdX0Ky8pvRP5g`=4%D=+h0$ z9EX>kdWOjo{yiK{{CPN>)a_s=^;FD;H% z=9wT83H_Dyp2@fb#^_#0QXH#w;r*Aa-rcffmTC1m$oGGvniTu%SB#Nn{ST}qCsNLv z({nNlHoUqo-TPzbH!u4iSi5iArQQ|xq2SD&+-y8!$NR5)IJot|z489S3w2I~iZ?wQ zoLL?w9oNwlo4r+%hh1b+sO zRaj zQ@5E2`$cIDz}8p88Jz6#5Hx z3SB<1yV!v{pYM<6Wb(#6)kF^tc9^4<5QWsQ-`M++_O3P6|75yrTF(iqan99^=p)u^ zU#?b)oi}fF_1a{N_1$v$OO}eu zIpvaGDLJQtrAG7->y>h=m14ee`v-e%OZWVJ?X^2?I;SK_F>zqgxt1lwCdsg?*PBvb zN7t+&ot?-&2hh%+jk&&#uB-w*KAcwSQCaopf8WLTi^RpZ9`|ScAO=?BGCEi$IZY-x zvo#UR_jnRLJd5zn$NF!-NA6@y^$ItY7|KnGJiXzkA-dzjg_L{B&o4SH2cKvHOR6Iv45|`^k&C zrSu-(Hq4h#xRv@uXm!ECz7PXG@-G(OncYVB3~_I{_?%%rlh*6aij7QnAxsvMOh1e+ zSO~?fYhNhF?qjxD%T!$J5|^Y38x_8legM#=^v>9wbc{+^E~-I;*akq~&UlWJLZx)o zeK1#O%-P!_C%Fj@xs(!B*(G=uGlD8E@tN^VpF}4 Q%{S)eu7C5A=MxM650DxFfdBvi diff --git a/Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/SuperBreakout.qsf b/Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/SuperBreakout.qsf index f545c1a0..3bca0b06 100644 --- a/Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/SuperBreakout.qsf +++ b/Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/SuperBreakout.qsf @@ -165,10 +165,10 @@ set_global_assignment -name OUTPUT_IO_TIMING_FAR_END_VMEAS "HALF SIGNAL SWING" - set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top - set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top # end DESIGN_PARTITION(Top) # ------------------------- # end ENTITY(super_breakout_mist) -# ------------------------------- \ No newline at end of file +# ------------------------------- +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file diff --git a/Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/rtl/build_id.sv b/Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/rtl/build_id.sv index dccf4204..9b119796 100644 --- a/Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/rtl/build_id.sv +++ b/Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/rtl/build_id.sv @@ -1,2 +1,2 @@ -`define BUILD_DATE "190307" -`define BUILD_TIME "171907" +`define BUILD_DATE "190321" +`define BUILD_TIME "004002" diff --git a/Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/rtl/super_breakout.vhd b/Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/rtl/super_breakout.vhd index ab233ce2..960aef04 100644 --- a/Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/rtl/super_breakout.vhd +++ b/Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/rtl/super_breakout.vhd @@ -42,11 +42,11 @@ port( Counter_O : out std_logic; -- Coin counter output (Active high) Audio_O : out std_logic_vector(7 downto 0); -- PWM audio, low pass filter is desirable but not really necessary for the simple SFX in this game Video_O : out std_logic; + Video_RGB : out std_logic_vector(7 downto 0); HS : out std_logic; VS : out std_logic; HB : out std_logic; - VB : out std_logic; - CompSync_O : out std_logic); -- Composite sync, sum this through a 1k resistor to composite video + VB : out std_logic); -- Composite sync, sum this through a 1k resistor to composite video end super_breakout; architecture rtl of super_breakout is @@ -65,6 +65,7 @@ signal Inputs : std_logic_vector(1 downto 0); -- Video timing signals signal Hcount : std_logic_vector(8 downto 0) := (others => '0'); +signal hcolor : std_logic_vector(7 downto 0); signal H256 : std_logic; signal H256_s : std_logic; signal H256_n : std_logic; @@ -80,6 +81,7 @@ signal H2 : std_logic; signal H1 : std_logic; signal Vcount : std_logic_vector(7 downto 0) := (others => '0'); +signal Video : std_logic; signal V128 : std_logic; signal V64 : std_logic; signal V32 : std_logic; @@ -135,6 +137,7 @@ port map( clk_6 => clk_6, hcount => hcount, vcount => vcount, + hcolor => hcolor, hsync => hsync, hblank => hblank, vblank_s => vblank_s, @@ -244,11 +247,75 @@ port map( ); -- Video mixer -Video_O <= not(Playfield_n and Ball1_n and Ball2_n and Ball3_n); -CompSync_O <= CompSync_n_s; HS <= Hsync; VS <= Vsync; HB <= Hblank; VB <= Vblank; +Video_O <= not(Playfield_n and Ball1_n and Ball2_n and Ball3_n); +-- r 3 g 3 b 2 +-- https://github.com/mamedev/mame/blob/master/src/mame/layout/sbrkout.lay + +process (hcolor,Playfield_n , Ball1_n , Ball2_n , Ball3_n, Video, hcount) +begin +Video <= not(Playfield_n and Ball1_n and Ball2_n and Ball3_n); +-- check for the wrap around (126) +if ((unsigned(hcolor) >=121 ) and (unsigned(hcolor) <=128) and (hcount(8)='0')) then + if (Video='1') then + Video_RGB <= "01001011"; + else + Video_RGB <= "00000000"; + end if; +-- Blue Bar / Top +elsif ( (unsigned(hcolor) >=0 ) and (unsigned(hcolor) <= 33) ) then + if (Video='1') then + Video_RGB <= "01001011"; + else + Video_RGB <= "00000000"; + end if; +-- Orange Bar +elsif (( unsigned(hcolor) >=34 ) and (unsigned(hcolor) <=65)) then + if (Video='1') then + Video_RGB <= "11110000"; + else + Video_RGB <= "00000000"; + end if; +-- Green Bar +elsif (( unsigned(hcolor) >=66 ) and (unsigned(hcolor) <=97)) then + if (Video='1') then + Video_RGB <= "01011001"; + else + Video_RGB <= "00000000"; + end if; +-- Yellow Bar +elsif ((unsigned(hcolor) >=98 ) and (unsigned(hcolor) <=129)) then + if (Video='1') then + Video_RGB <= "11111101"; + else + Video_RGB <= "00000000"; + end if; +-- Blue for paddle line +elsif (( unsigned(hcolor) >=224) and (unsigned(hcolor) <=230)) then + if (Video='1') then + Video_RGB <= "01001011"; + else + Video_RGB <= "00000000"; + end if; +--elsif (( unsigned(hcolor) >=256) and (unsigned(hcolor) <=264)) then +-- if (Video='1') then +-- Video_RGB <= "11111111"; +-- Video_RGB <= "11100000"; +-- else +-- Video_RGB <= "00000000"; +-- end if; +else + if (Video='1') then + Video_RGB <= "11111111"; + else + Video_RGB <= "00000000"; + end if; +end if; +end process; + + end rtl; \ No newline at end of file diff --git a/Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/rtl/super_breakout_mist.sv b/Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/rtl/super_breakout_mist.sv index c3a9c0b5..4a119212 100644 --- a/Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/rtl/super_breakout_mist.sv +++ b/Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/rtl/super_breakout_mist.sv @@ -23,8 +23,9 @@ localparam CONF_STR = { "O1,Test Mode,Off,On;", "O2,Rotate Controls,Off,On;", "O34,Scanlines,Off,25%,50%,75%;", + "O5,Color,On,Off;", "T6,Reset;", - "V,v1.20.",`BUILD_DATE + "V,v1.25.",`BUILD_DATE }; assign AUDIO_R = AUDIO_L; @@ -48,9 +49,9 @@ wire scandoublerD; wire ypbpr; wire [10:0] ps2_key; wire [7:0] audio; -wire Video_O; -wire vb, hb; -wire blankn = ~(hb | vb); +wire video; +wire [2:0] r,g; +wire [1:0] b; wire hs, vs; super_breakout super_breakout( @@ -58,9 +59,8 @@ super_breakout super_breakout( .Reset_n(~(status[0] | status[6] | buttons[1])), .HS(hs), .VS(vs), - .VB(vb), - .HB(hb), - .Video_O(Video_O), + .Video_O(video), + .Video_RGB({r,g,b}), .Audio_O(audio), .Coin1_I(~btn_coin), .Coin2_I(1'b1), @@ -96,9 +96,9 @@ video_mixer video_mixer( .SPI_SCK(SPI_SCK), .SPI_SS3(SPI_SS3), .SPI_DI(SPI_DI), - .R(blankn ? {6{Video_O}} : 0), - .G(blankn ? {6{Video_O}} : 0), - .B(blankn ? {6{Video_O}} : 0), + .R(~status[5] ? r : {video,video,video}), + .G(~status[5] ? g : {video,video,video}), + .B(~status[5] ? {b,1'b0} : {video,video,video}), .HSync(hs), .VSync(vs), .VGA_R(VGA_R), diff --git a/Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/rtl/sync.vhd b/Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/rtl/sync.vhd index 0e15e09c..d16562c4 100644 --- a/Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/rtl/sync.vhd +++ b/Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/rtl/sync.vhd @@ -25,6 +25,7 @@ port( clk_6 : out std_logic; hcount : out std_logic_vector(8 downto 0); vcount : out std_logic_vector(7 downto 0); + hcolor : out std_logic_vector(7 downto 0); hsync : out std_logic; hblank : out std_logic; vblank_s : out std_logic; @@ -159,6 +160,7 @@ begin end process; -- Assign various signals +hcolor <= 255 - h_counter(8 downto 1); clk_6 <= h_counter(0); H1 <= h_counter(1); H2 <= h_counter(2); diff --git a/Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/rtl/video_mixer.sv b/Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/rtl/video_mixer.sv index ce8fae05..af9b2c56 100644 --- a/Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/rtl/video_mixer.sv +++ b/Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/rtl/video_mixer.sv @@ -20,8 +20,8 @@ module video_mixer #( - parameter LINE_LENGTH = 768, - parameter HALF_DEPTH = 0, + parameter LINE_LENGTH = 480, + parameter HALF_DEPTH = 1, parameter OSD_COLOR = 3'd4, parameter OSD_X_OFFSET = 10'd0,