From 28b9d0cba2f884348900347aaec00546edf1a320 Mon Sep 17 00:00:00 2001 From: Gehstock Date: Mon, 7 May 2018 15:47:06 +0200 Subject: [PATCH] New Code Upload, new Snapshot --- .gitignore | 1 + .../Galaga_MIST/Snapshot/galaga.rbf | Bin 354025 -> 0 bytes .../Galaga_MIST/Snapshot/galaga_mist.rbf | Bin 0 -> 358409 bytes .../Galaga_MIST/galaga_mist.qpf | 29 +- .../Galaga_MIST/galaga_mist.qsf | 173 ++++-------- .../Galaga_MIST/galaga_mist.srf | 1 + .../Galaga_MIST/rtl/ROM/bg_palette.vhd | 38 --- .../Galaga_MIST/rtl/ROM/sound_samples.vhd | 38 --- .../Galaga_MIST/rtl/ROM/sound_seq.vhd | 30 -- .../Galaga_MIST/rtl/ROM/sp_palette.vhd | 38 --- .../Galaga_MIST/rtl/{CPU => T80}/T80.vhd | 0 .../Galaga_MIST/rtl/{CPU => T80}/T80_ALU.vhd | 0 .../rtl/{CPU => T80}/T80_MCode.vhd | 0 .../Galaga_MIST/rtl/{CPU => T80}/T80_Pack.vhd | 0 .../Galaga_MIST/rtl/{CPU => T80}/T80_Reg.vhd | 0 .../Galaga_MIST/rtl/{CPU => T80}/T80se.vhd | 0 .../Galaga_MIST/rtl/{ROM => }/bg_graphx.vhd | 0 .../Galaga_MIST/rtl/bg_palette.vhd | 38 +++ .../Galaga_MIST/rtl/galaga.vhd | 259 ++++++++++++------ .../Galaga_MIST/rtl/{ROM => }/galaga_cpu1.vhd | 0 .../Galaga_MIST/rtl/{ROM => }/galaga_cpu2.vhd | 0 .../Galaga_MIST/rtl/{ROM => }/galaga_cpu3.vhd | 0 .../Galaga_MIST/rtl/galaga_mist.vhd | 44 +-- .../Galaga_MIST/rtl/sound_machine.vhd | 74 +++-- .../Galaga_MIST/rtl/sound_samples.vhd | 38 +++ .../Galaga_MIST/rtl/sound_seq.vhd | 38 +++ .../Galaga_MIST/rtl/{ROM => }/sp_graphx.vhd | 0 .../Galaga_MIST/rtl/sp_palette.vhd | 38 +++ .../Custom Hardware/Galaga_MIST/rtl/stars.vhd | 47 +--- 29 files changed, 451 insertions(+), 473 deletions(-) delete mode 100644 Arcade_MiST/Custom Hardware/Galaga_MIST/Snapshot/galaga.rbf create mode 100644 Arcade_MiST/Custom Hardware/Galaga_MIST/Snapshot/galaga_mist.rbf create mode 100644 Arcade_MiST/Custom Hardware/Galaga_MIST/galaga_mist.srf delete mode 100644 Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/ROM/bg_palette.vhd delete mode 100644 Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/ROM/sound_samples.vhd delete mode 100644 Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/ROM/sound_seq.vhd delete mode 100644 Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/ROM/sp_palette.vhd rename Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/{CPU => T80}/T80.vhd (100%) rename Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/{CPU => T80}/T80_ALU.vhd (100%) rename Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/{CPU => T80}/T80_MCode.vhd (100%) rename Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/{CPU => T80}/T80_Pack.vhd (100%) rename Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/{CPU => T80}/T80_Reg.vhd (100%) rename Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/{CPU => T80}/T80se.vhd (100%) rename Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/{ROM => }/bg_graphx.vhd (100%) create mode 100644 Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/bg_palette.vhd rename Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/{ROM => }/galaga_cpu1.vhd (100%) rename Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/{ROM => }/galaga_cpu2.vhd (100%) rename Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/{ROM => }/galaga_cpu3.vhd (100%) create mode 100644 Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/sound_samples.vhd create mode 100644 Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/sound_seq.vhd rename Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/{ROM => }/sp_graphx.vhd (100%) create mode 100644 Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/sp_palette.vhd diff --git a/.gitignore b/.gitignore index 06fe373b..fc217d13 100644 --- a/.gitignore +++ b/.gitignore @@ -2,3 +2,4 @@ Robotron - Z1013_MiST/Z1013_Mist.pti_db_list.ddb Robotron - Z1013_MiST/Z1013_Mist.tis_db_list.ddb Sharp - MZ-80K_MiST/mz80k.qws +Robotron - Z1013_MiST/Z1013_Mist.vhd diff --git a/Arcade_MiST/Custom Hardware/Galaga_MIST/Snapshot/galaga.rbf b/Arcade_MiST/Custom Hardware/Galaga_MIST/Snapshot/galaga.rbf deleted file mode 100644 index b04a2353daee961f5330feff6fe6f5df7e1783d1..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 354025 zcmeFa4|v?xbteiykTQ0hW(I<1k%=k;mzNAUmSu9psC;~V&0NeK3PX)8ijlo)p3{&t z?Z|3U%dX!hU(K6T2g<*a2Rd)n|7yopd#(FahU#ghztLl>z6(9J+G*t{K8RX#u$BIL z$5+cw=`A|H4oauA^N$gzAG83{KDcBvfI-73HG zyp`6<)8eU>hsK9!Wj^A?2Q}}!^h@bPl+rr3?w+5&)!yYGn%hJx+aNx!01+Rb0Z~0w zPz<7Ux=+`n$FD^+wsgO|Of7!sC_t;0Nj;A;AWE88rq*pL^9|75Ai72TEH2Z31+6?^ zdEH8H9b0^qKvXB`A@#K-7m^>Hr$GjY`nv{nzKkfHi1=7}q&`y`9VwlN&MB=$l-A;d zj#PJRezf|#vOPMdGDO6e32K$6do+erp3;5~M13Hd15w&aqO=yZ(kXB2oa$>4$)!at zzFK{tJj4%`qxwjWbr9*yG0@YXRy(hDJOOImYqd|uVUWE{EnZqjN~ZI#f~G(YhtKbdRq8WSQu^MXfYC{y(6V*MsNLG>BwQMEcM?OQ&1>(3~Km^p-qm&b8)O4Mf)@ z6FL&n`Oal(rZm(32=pw7Zk@-*Al1LJeY&PTe+5Lm&~=NxuuPOrx+w=-A?e&MnX$5LHQhG(dEux+$&I#)TY*KzD+OACdv- zKDF^`v~HPN_o)vzf!2fQ7V$~fq#tD4h*q9AQ>xX!S*F%KYJYSE6_$yC=#*~Jd5eB? zndmwXqT?YD$zdg}lntey2DR>!d}ctcYdX^WB_e)k%!yj_mX5>=jSsa)$IpU@6}m@y zN@Yn8h?f?@jyqqemz=gN9cUzT%q$;d#`qEwb!~&yi?y==_D@V zfzn#^dOXqn^ZB4Pwlx>dmkqT|wv&j?$$s1n`b!Y$8m+%{B%N&0*=3?@I&G!5j<5qV@PLeVCBt)A)YtJJ}YZ2Wd z9*Bq!@&|}dT08DrCR%rBooNx>Yn{{aua@cjG~$DZd|;xs71U~f<&pR&YNZh$r27eT z_#vI8>lUq?r>}HQ;Kz4BH2y6jepayYil+}Q6Y)VAh?#rYibRx7*R)pM2+A(g7eRDQeg_?QfQSb=65RlzBc%}$Kjc5Q z_#pYxd24R8ghAJ2zvxK*5ZN>$n(OZYk+1vTKr|0q^w?|A`8NJ9K$O(?V%Gl^RHs2;LqE&3X$Wv}Q+>8*J~N9q$1onH;2>t_Fm*o#$1~wdi60e?p>ECWY?`ARa=gv#Ku*;?Izs$B@SfN+T_30~DzuK*fPXFOvUUU^N z6}a?_S&Cwl)P2*%Z#q$x0KcAsJ1r_|I1>tz(t4m7N}@nTM&+omUDHvxmevqTNv<&E z!B1;RkyiQSC>2{nd7P(XT|p&js`X_w?I8=1Wl&>=QO~Tn|KhhUr}P1;;u^1izk}wK zE5WN2N zYrfZu`+>WY)ls)B8(LmdQ0fo*?SjCMPj}7{wI(SubeWO7=uRj7 zQsE#)J+yrd@=BZd{hQyV`eU{zLd8ayI4WKEwO)z5^EZRi|yIU{I};XUwQea z&fnq-)0^tsONC!$Ce>cbaS>-fPAkqOqE}%odPCK3``*i*w!fh|T7~{q>qScl7j-0w zy|Hoo;bw~JwO{;Ey4XM5;svL?ipE}W`IQ;p%1UOZR&x4g&dn$P%O#zcZ(YtVE<}AT znP&d_Gq`Q5@SPnm)D-Sbdx}y@Rhy$?1ger@2v!h&z^(3z=i;Qci!Pu%@|TxvoRv$h z&X;bWf8tkPOV}58?=5ZspczUM#ZtJntQN|fOkDcU-y<0z7A`^;6;efISrgxE;v>KQ zZdx>c6jiT%w{cDBy*%$cRX8dbqu;hia;w;C{lWFPV4!s_yvGr#}0`?Z2^9RD<)c%bNTsJXko#P>MI{ zyuV^`c=Qxqz2dz$nb`HbO|Ew0QNNcn^1*uw>NhW~ z-FYR3FEXw97ZSNtM;nL8h3RMzr-qO9i zR4b*j=WCZXAh%R0e z<5G?D+g|sZ1(W|-dfjH<6>p>6mk#YNKK~~_`;xVLvu9XE|3$mqP5Jy!@f9v|p>75Q z`@}on>=07eZr%{3;bu^4r@?UPCyqd@GZF>Ji%G|F75eHkAjnmt8U)G?z|2{>qYmBdPyW z*3&o6*qeljU$OX)cB2XU`iiZ-$wb1^-$uOOh$6jm8R-3`THr6~^TD?y;@uSCMVN{r zJ>g8=&cVw!I=#>I!yEGd^!J+8Ug+;~4KjH>3N=z2IJD@;+goQBb}X6+k9eU8wG<^T zQ1c2dm%jRoxYke^(l3NsWmoW&@CQC6HSo}<-qGBR(#rUHm7c5U+{to@q=FB;KjHrs zDp?l(`FB<%Q-##4bDRjF-2OYS3*4>TOY>6E^V(@jYw5SoXZpNsD=vvK{G$T+M+354 z`SKyDap{@EX?CHHg2Zx3sxnREES9U3D}?aSO}KFp)GWH9f^%gl?Zs2?ICuTJC+CDG zTW85JVU-Hw>eGD}^IjzCDeBbgqAruJQqMsvxSf7-kTnx8;J2t#g)P;G`s$F}a)P9K zF<5?uXsmUt7x^#|Z<&nR-qIRLx()>I3mpCLlnIuug zbWQcrd@AboTZ)GE2KSG*d*P78j`Q-2hu+hka||AuD)X1NqxbKSoqAbMHlEX%mi49o zWs7p*J)vR4E2R>zq(bb?i>7o1B`I_>t>fp~FTayFZ@m65szKqhL^(;hNk__5NkZkO z{Hb~*UGpiW?Luu9>_;U8jl2Tfm`P(7qF z&Dw~+WwvQVQS*143&&5uX~HYjg=&&H*lZmY;crr5GGHMM+=Wq6G~p@Hv81A;E@D27 zZk(&?_@|I^ac=gY+~H!dW;}>?E^g|CBFHIkruReoNKa@f`!F3ZcC!s8F!UArK=qRh zz#(Km0dsNO1H-NAkN-)E2JoV)m*o&eru$JT?TJq&WguuYDV>_t=|*#2n!omwtKY`y zX;;&wA@lb4TozrLs!$7_kak|EQAiqvVNbLPQ>xE&A0!|nq`A^j&J0rsfV9poRMhKc0{ZOA>R9^ zWK8#%-s*4Wx0wbDKx;dxy%HGs!RO?Xk!)TbY zgnO1{*lIwZ`xVp%GTGhSl2Ta38Lr?S8ZB@tPfLE)pia9Lq=Hb7t33FNx0YC6s& z4JG#+Dhb2V>;kH#9CSg<;KO_LtITm)Fp@MTY-7emK51uS6{)sHTH~_g-=G{Q41Z*~ zClEtG9H0`^i9@S;u#*r9qJ$PKYZvkvaJi6+#1m)&XLfYvo)0vcODb8ex+yT^LYbr% zoCF%R0%|5CBbrL^9Nk zrEC8^(lI#8F~;%@Y6fZ|p(rSa)xjhs3{(Nu!6T^-?pPKyhPvGpJ+wp^!2wR%uw0K& zmj1q{$oxcDSZGPga?T_H*h0cUgP$OyQ?=PR15L*02e?7mNUG#W;G-JUIR4R+4QD{H zPzr6p%>y^2oJHL&X3oxhs<=ETN!KyhI#dwds5&T)a+oYSzQaM4Ez2=&N(_NeEHHo% zeptc|RZCKr(1eQ)QlkdW6Ov2U{5dar%|^fsn6nINpyaek8Hp)SbDoflmI*wg&Pu`s zl8{T!4Y%7m`$X`I`OgsWs;qj6+TDzuVW*ep(UpkL%~y66ekuu$6&wh$H4 z0-|(`4nSqVBEFS^d5C<9LcJRHln3v6$AKgl4L?o{I}9Dz8Dc(ElRFTd(Pi4?4e*Aae6+u@aXpD}eKu8o9&5~MCVUA1lW<|`HS(9goH?CI1 z7-ph0vOQ)XnhI<|RgnY@FG{Fz5nm4!C|AvliR_GNK! z(hO6oOoJ$;G=FXT>P|KJSj{q<7;?;UkzF(`k=2bVsWv)@xGIaVMDTD#2uoNrl^jf7 zin8z{WEEX1?Ki7JuZ9`KEJeKvAq$!pU|(Wl$%;p66F|)AMPAXU&W`v1f3BeAc4C+z zHu#57Zu*O{i_rucOa5UbF>J}-*r8j^+u*=DSHd&(oVR@IWu@z+dCA|pBP$Wg>XZUS zh&8n2nKRDq&uZ(9c0kYJ};9oPiM&y@6?u?-rmF=jzqJk-kTBKnN z;$K-uPwg-xxr#DE*_y$O$>@k*tiH87WDdHO!{?Du2U=BfPf4mIr`9)ZMP^ZsLm^{z z*n?mPNoF*y@>uzMBMF!wevw00EmX7KT#o7l=9Z1#qAQRSR1mWy zz)%@mpa&A;1dfwlKqugWfe++GlcK%}vQn@ZK-iYT5-y<92@_6oD`@F#qo70o{R$6Yxa>e1%IoM2G~Lt^dvyxT$s@lMVdDiV;hQ7 z4{;mQqn_Erxhiqm45{;)RG=X4r_U~1oR)4N5`ucD81!VgmrRq!Lk-+7lwz%H*rjZlOlu4%P~nhL)ilrHk`UkO_Q!*&<|#c$RJCz_^=j4 zfO}+vwczQ$B)1%cNkJdAj9?a=&c^}Tf<>o*-l9%sc9^|Xxn&>=mK|#@CO-msNb^-2 z4IJzSEhJ!mkh;$bRnSYy$ zMMP#A6;tSoQI@xn6|;Ku$vGCB_l#M5L4hT zbb_pGQW{p>?1i=)s5}uwnk*!`^quilZwJt{(GBvy5L%8Gmb1S6DoI?j{`Oow;@sIA zJXtz48@QNJC{A@Xc_ftzVC3Oi!Iz-j28k0y@f}M%C#<$u$f*pJ3}u5P@NBv`G|S1= zaS=*k{FlD>Q|Ee)J4AFB%bcY7S0tULmdSYxqE-eZ;ucj6>w&u~vToR)cGJt9P#f88>#A{koGlIH=BdSU1;)?C*%F^}!k-C8f zWpT_=6vTgUObSMjnK6O1Cgnr`QwxO;4r2Kt&fp}VWLq}4pdso2yLB1(jly(ZCFRGH|LE z$!|(Zh)y_4;>`!W^iq2T(A25RSsz;+Od+T z8s52v3MryU+D2m(>m{1&;bs)s^}ev{TH_FB56mS{G$Zs5ddb{I$x-6neQk#|wU5R9dWIkOXkIZW=h)uuYO ziXUUnY<@8_Ag^B}(=Z_65Mg%Bnee+wZeSHW4||Caq1@Cdn}!|2VYYVFZ>HMj(SMEy zw!D(jlmLzm`U5Y-z>thV*WrGl7TVJUPQkg2veXGIX}UEmdJ#j|SZ8o^Idm5U|47J> zdzcT+CNZx9&pi9!W)#Jk7d>JPT7_b$9C}R?-&V6aViD>%7Cszg8dX$9PXaBFTLLSw zV1je>NnoVF3h`lKoS_NK!@R^vb95egRtqpmnl79}m3PvBqgo`Bzzvi{GsM6$C0&%l zg>Orm2C0(l6bKWFy9l|EF;dd8!D+@W-S#NPCr~-O6i=ge(t2eC2dQizQ-*>BJ*WY! zQ;aT2nm{N|Ba#q~j7}KpWde$f2393E?TFFGJTY0X{pr;N1`+dF4kL$w#w>$26*W;b zWIx8f%Y<0SwpvLHuT(=+ghpt2$5erg1g#H6ZsN0{8un;_in=n*X|_=8j33O$ zilNJ4Z`)Px=0&ZjISw_>Nu{6wn!^WK8NZ})V55ThCNbk-{U9`}qDh<^$cUIUVY7?7 zaKEBRMcrr)lLSpd4lJ2V5~_`{yY{JfpcM=S2X_ct5bs*U4%wRIBdR2~3N2tstxa7- zoP`e&TKZbJz0r38ybg)grfj%t-)&L0-;k68;Aal`zunr!pa;USqwnMT)DpD+rtu*x-57 ztg{?`%ldIdz{YW@2HV9JZ$07}pY$kXr2Y6uarI3sEX z6XqHN788+S*3gE9k`q#+I1$XK`O@Jj$9k$a=gEh0lQV;SXfP5(xb9&XC%rh%5of@jG5T8>#_|h zVmbpchGTJn2mt@+yKsi}u~cylJULY00aofhutOpkt-u zP`#}~l^p~ExWFw0)-Jf`Hp|Ef4LYn`GX{ao#epVWa&MOY=GzKK{b-pWhBjepMZy@y zgmO)+7-h{O3k~ZF?JojlK#zfMBpt&PT)vT@wImh6u=N1SOH!ehVFsuiI*q}e8MR@Q z=HjNgPR#9@!tS@X`~IDR-~wL7MG%c#lL8HchkuE$N=Xk!=Re|TX1v&6jGm%=XC?)I z2R0fIm;*JoB!vP2<|WNe@}!}g@XknqkR6O<_BlsHj|62ARn!%ID_j8Z%e@5QY%S}m`qS=3$20+L!X1{f^5vk0M-O$ zF`JoCIsnmuD|n+24Dm2bU$IETyulkrE=ayZj{pvT zAv`?lkmk|w-3a-VtOvgk#?7ic&BFyVrv;AYV4B1K(@Xdtr#SiN^JZ6;;8SoGrVrK-p zB*Bs;T>M|Dl%}xK0bYUg5<8kS>Q2D$zeO_)MI31Z(G0)+Lwdn*tWE}hkwO3zN0$&U z*jk6CSa5C?m_r2jD#JrPbo+Cr46wKv51DMlGej>_>P8|MO)A4^y;A6rc-9DqwqP^b zLV^3D7ZJvsDcxY!phd$q5uv%m7&rIDC*E1q45kEzM^Z%Hu)7?bD_tBTU0meon#9Q% z+-Aja?NvAs*$jD*hVY4&Cwmp58pylu*cbs@1;_#IMH~nzKBvuZV$t`fpvuR9zSFRnzN<1*$K*rY1E-N?Lbl&k^%!p0H$9ls<2P#NL!k@ zd)RUD2uiWNXk6W(8q8u97M7r?n=oQ<2B4jy62U}32na+R4LA~{fN47F|THt{LT})aB0|RG%{KIK>%y2s}MqLa81Fsz`<5=Z> zBW-A#hxo7qNKD25uz`^x(}ZRVMm6+w=n}NTl`NQrP|zYU1kKoL$h=yj8NuMsI5diB zGhT%sNkf3==NLkU$Tim7%9ycqY9d%L7>5mk5p;wLvj7{aSneEyJmF@LTtyzJBnAP) z5rirYH2MyUi36quGA1-_8g5`Tpfn5_SQUx_2|@Ny%Q;?J;BZQ`r5C?n)Mz3&Mc6Bt z6oh;_AM~WH+M(tYdkjJ#u|9)O2|+TJ7y%4&VzbFWLGdw31+u$9laRe&t7Kjc>;b~F zEt9Vb9Ml0S>cN@2o)4S|Mpbiy{oqO22v>}U@ahz6&QPkW$^_GtG*)-eBbuBM>P-~e zApS9K0V=~k1pIKZ!8?>p5mY@?O_WqRhafN?+&$0f7z)CY(tIIH)0eIkF6`F1{nfkDYWlWXe1I<&<=Z0)o-Jlf|s}pwJh@c!wmnlYs1o!sicZ1Gh9Ra_Fj^vkF)>Uy8dK8LM_hwR zTQfiK3$QaN;9`EDYAWU`xbFnC@R1@wRxYd*eqi+gd%{@4NJy}ZH3JgI-UY0`0op97 zGSC7wpC2zyZJ_Q!?$?1$#SDu z_lhShky0Q7}pG#t!)?b>$Jg_UGwwWUmc}!SJh#x37x5|vBn4)m_k>5AD_ksrTa9Xz8S^kWK~&%! z`~cRjj@WzZ`FCt)<0B4Mn+~>MY*;Y2Iv+PS%$seWE9Ce006FZ8?qsJ%W{qrQjAD<{ ztWjAoEtG`Ng0^lhMa4Pgh4bcCqZdZ2s=2N`>r}=a=ClE%_sm6JVv$w_qEb2nu%)fg z1N3Y2Y0q)kNw1q*Td`?pE0h>3qZlrgW{tG$F-uyyhlAw;&jCJyH6Qjm0L0*5Y1DHE zjvQT;`)TI(-JfZnb@I`6mcM_k{3xDzcgx#m*8(Y-U7OoJ3Pi+cpJjP~EfM(N!Cjof z981wlkVy{GL=NfZ6IjJjo44}!D0#cWwww-=$F4))L~^r z{{A+M&_V3km}LlxDeG3mqzIM~2j+p^;3qyh@`r!DI;URE5afet?V-Z`g&X>B1unpm zM!*Ro|Eq7#i9$#I&KctjYvj+EX8Uik*#ee%*N}a)%mNf)sAdkqVcui>Na0X|7vzt` z>c$4dfp~Ehh10ZC15fw2%k|j%(CA)oc-YkO0EO7y1mfTsbA$D50+7@9Evcg3>i{&& zc4v?sVKP|v?o61ugW4;^C%msP1py@@&Ac|y@V@zrfza~v25xHn>h1#2nod<->&+H& znbY3v+TzpwvzxL*%*{Uygs5{CD8%eq3(^>buz^^hjYK*aBzYb0V``qwW<-5$4yY^E zDnb{uGCzn|Gui2YT#_E~V-JAKwumxkcaj;euf+}#f-%Z~y_78;kRAd8FcaZNHJDqM z1An{zxc~@In*|BG#Ul_nAz(nfIvHej7jLxX)SqSO35~#aO%sQLz)wDcG5}V=#sEUB zu%9CztwJvW46we3O|@Rw2CO9Cwq4Te1c=24fn*Uppb-SIDTfXr7bJ#(>pNlsXu{5z z*>0*K!8uqOL!BE(I_U`hUoOp#fG~Mit=Bg=wOPPO;8)4Dm4^B|2}L@XIWA{uQv+~- z&e=^>zF{WNUBN@_Msq`6o9gm(6_QM&&fiMKxB0-`wwupc| zEd^9Hr(#%O_muKcj_taQO+Y+hGhxw!$dlpU#^0=G9%8d=0c!=G)``(2D2ub)Dr)Nf zjPp@1w_r>&?1vHA*|xGg!Xl1vdJib^u$nRR%zu zfGo<5ZUq}Bzz$#{`zcAt-F47V)KccitE5kR5bqWbc?lcC+)An@jU4@rZz#O(aL3jh z29FB6DmvLJRLxZAS16!f(3=CSP?iQ6m53x42yq6`C z5M zoOyBr;htq+HyccF4uDS{_?HdySJZnkufbvR;I(t_syWW)Vtc`~S(M=nbE#J;55A_RP@zChDX^l;}!=r-=k` zD?b0H`M1HLzpHmz5T%7>9rhkE4Lx1NUQu}b&{QJwp3hx0uPB8Gh4AYl9AX-5Bm!q# z(+o`hKt(9UWdqvv&JX?}DS;o+I(fs#rO$sc@R^oHW7B3A*7wqW1S_Y!Or&l`w85mc z!9h1@cY;7DW(lB{ZHRo(DF$1}5NJ(vJU}2`Bp!>>Gguf6z#oM=JkAnzivHh57)SI9 za0U_)WOb*HFz&;(Y2pHNmfzL+q0wu#&Ro}XCdbm0WlrV5Tw3y-Tb#MhS9Wo!UM z)~}aT+v6JYz{4e+iIxfkdUKpsA@t6*kN_)zw>(M`#)d{5JTl4N5MHBuq*XI#lRI2 zU5{>*ZV4#twx&5$VynmMUggZ_UTie- z5aZf=$9X(!N^8!nhN58<&4zVVd_N zeB-MI?uPq?~ol_T7nm)m*K!w85%*NE0I3d%4$yL;l1D3=OnsX~yMf#W! z#yn{Sr6Y%-$9v#O3(H$Bo`&+e8* zJqQn)18PYh0CH_TWNP4rIxYjKGRaj44+;GcoY%3~qc8~x;RO>2jR9CZO7esaz_{_~ z?7ulY>=Yx!cnarC7&472M4&t1TN-1wid9qz;?)qbF6VZjJ0jrGm<$lG&SB59j;(#d z5_6C|!F?z#@_KIo955G!OvDhF#I6Fgq|QOEv_0gpS-VjudyQ`m7E;!#KO(+#sg zMjXt*@?!dHfjS5Gx#DP0%TkO%uERB`sptx5KN9)Q;(H0D~s(Jmk-n_N`E*ifJWz`)$h z=_f?gW7=vSgJWy3_tGaEt?^_V+=9Zh(J=@{D? zN{{kV%r#?IGAp2gSXZ!#Eu>Y}UIPxSpcEhE;MfbG{9fS1Oz5yH@RjSv9CzIy8o?L< z|FJa*{a*Ug5ExBEN@=EHHekt!;?Ef)Wlt*t=kL%xMD`8D`+!8~0k}sG9+i^r+3+`^ z&0eyYL5+YOVM&AqWf~!1K+2)oK-J~|#-XEld_dYsBV%jZN|!^hxbDTh>tJQk5g0ke z*q}}u67x*Jw(EAx4w{PPd1=n|o#0iGb~`dc3o)m$FaqlW;z8Y0e8Tb@JkVIMhRwmH zt6*nf05)9Ul6IsIdvjyA|Ku7tAE+kKiXgxP0vNR@!s%jNGT}xs6 zpNlc+=VpgoX!b@RTqAP88NV6!g< zU*n&bTibVH)dP$r24;bEpY9*QyGCOJ(b}WtjNHn%dLC4iRz@S{Sq@BnV|m;E`R4S6;wV4p^yZ@Mj2yru0C-+1@QsoI z9p8n2AO1P)*aDWdo#hZ-vVr^CrMW{>=>(L=yy)L%Hn ztbxWS`i$qL{23IK+hpU`^#%Z#t1~uGn1|zo^5;$yz6L<&{tVsCC5Mj!vdT0z^%;LI z7c&;HpG_PwIgq2w(%4vjQ#{a^MgDSQ6!}p1?nB+>yJVv+zd#ik+hsOPwm6}w2D|#_ zmHZ)wolT9rhHxISG=9!XnLl&v59hE2fySzBmKA4j=qsJ+_paW74&FXG+nwtsG|4Oe z62l%NfKm4Y*TOcCZDU7AW8(PMyoXwL->vx{S}pwDhcceXwLc}%Er$>#!Sdljxf?hr zb!$-8+j2wFw*ck!X&&H^HT8ZxfSuhmD0jzqn#9i`;D6U)l&}a9JZDJOr}pz%n! z{8#GC#j9GgOk5`i&OC8*08DGd;cXGHzZ(ktT7GmW+|B`SS!JMFeJ34phVk4<2S{h` z4zs_&j6IUiZYuKzn5zQ_*e2sp-6{z_18hOVLHr)VRxw~c1-zQIdvDu8Ie=%jedF2y zchq{fLhxEn1^A;4=ol%PCrJc*3+8~H)_W6Ji?#^$jSCL!H#|+I$}Lih{fG=?gI)XE zs}KWmyg64q;_WS+@w`s1wga<+PSi7FBbL=Z%K#_>B`RWGbm9)MClCA3SSbd)cAe}S zC;ZIEPAwa2X{hdI#3jepHm1Q!l2yeb1CyUo2J|fgF&{ug?9u~s9FHX#Kre#3NaHnu z&BJk|t;Xrp8aIJ7HcG+Ok6@XVcR=vM(m6yj( zx<-F)Ee(Bxl^e}HX0wIC#No;{GJt6?HoIetRttR#BIC4-k9-qb2x(dxGayO=^Tiw$jF0Z*^a zq>V{4z(X|{#{-Z1Gj-XAQAQ4zElKGOQg;Ilp)KM1*aeC`a&ZCx5;_IVgjev$@8Fp! zw6eXxu%@jF0ChvA_h;~Wt_GLh0axYC%n;=SNmS5LY&wGL1LV_#zl{HxJ){KPnEFdx9wSX>TnkjoY*k*1nb9M}?t|BB>Qde9r-E9Nd zvcesgwwe{kwKUM%&Ib0&j?fmQG9E<~cZ?zCrHyB{YC=b#HGt1N>@tEXfr1DTEZ{6$ zVBeIiXmc^xW}5V{&KDaF8^qU7T&8p$0-B1q-I|{efh_i1zq%Mf8ogPqlc8!RI%W#W z)!r!ZmQ~eMA-$JjWuJ!o>RD_UJF}_{VIB9l?)UR>esL61f&k-3;TlP$Feh9@P`%2v z$Ph$f=rT_qm~{-AdZ%2QrwC>A6gxVI9H# zWZ-ZZwkE#DnV12Vm_T%kSk96ST^9CXz~3f>4o=T)@FGh(iH=~GqA8#M@`it=0NfFN zk^kxFtNyp2cOGbNr@|<~g@r>7-xd>B@u77wl6(X!P-Yx>O#Yw;(10@HK8z}kxL^YZ zq~hfpoP2$%1E4=4a_~%&T+Fp&`TV9Aaq&7-OubHq<=aa?aYJyx$ZFWdthj}I_YSML z#vRH#*gz;_b{0-PUTL^d8+qS|<&G8+rk6~;v><~rAMxz*5Zl(%Tps{v?HPEc*lWo- zUgcUzwRJ=6gsp}b*&84_)0m%k7CAic3Y_aGB-c1#vrgav;lKn84886Nw+pW1d(Qsh zR}=+kGQ*AiJBr?#y1?so2TvG=40#LtJO>^qWkn|_h#4htX-8bm#vIyRVf2GnGjhfp5PX6A)7URS@AddfM=CVf zDAocU!-)XLm|RmZCLke^f|=Aeniihi#g69foHS}tBdk?)@wjtYNmFX|Xi^WEC(Eh2 z7qVbx=h9DqrKls4-hfvGrVe0%(cb4M@x2_rzoWM8Ev1xjI3Cz9=Ui*L8m4_d7BeMO zl=1GJ*u^VdX!0Ch&Z#=A-H5%^ls+ZCP@P9CH=s@mt`A4)R2gb(3m`pa$ zV+4J1ZFXVKwZ|sBfBbNr_nDWsd83hV2j;!lxZd=#sa-=mt#R$j*sJhL+z zbT=OupuBJOpKjXn~sMN3{E8~66?-rrk=BU6yR;Z5z; z*w8%(D{WVF#ADIMTEBm`avLmIT5B`p*A4y4(o9XMUO(51+7t6$!(QECrH->%j_BWr z?}ooIA7e6(g~KAoYgUS!Lf|~GAFGFMgL7S#aoM!O5Vtv&uy+Nf#YZ;1RMGkHtVC|$MvEv z6h4hN$H2u1Kt(0AL$ijxHYqm-WCZhGOo`zLmf5gnDg?+SJ5p`{X0V6J4_1Xj!u6O^ zec(_r?1<8TpZk%Ith)JWrYlUqf&zFcrqU^Zd(11QAP&Qh3+oJ2SW;u`vYM~jGu-v@ znJ2g)5q7y@)%KK7Qe6_SC(fC}5l)TJv-v@MCOW z-+}j%GO&AAI1y)LuW3KZSplkvAz3usw1rPcD3t{_eatsR@d|vzKxh?xD(>L{!!64# zg2~tms{p@5mv!uV4Em%VSVz~Tgr(H{&urp~^cWt3egqhx4-m=*kY_1HzZU8&p3Kxd zt;-6>kK{A3T4~LP4V=^s2+zmp0l~yJP8M|Ik&cl9h7~Jr2(zrmgK@go91i0+PNxtE zrBiWE^XJLmx~EZ7>|U!b0)6j&*mMbPI);Xq_2)ef1m9nf;|b*$*?*u(t&2S%WIcb<^i=o8>Ggy+w^t&ZQR!hZ-I zq46niN|g%ILtDBk&UeBc1kM@={?@zgqxYH3poF?hco&bSmu<;O%Zdg#u3iVK+CvS81SP3oQu zFd1v;dJH`_22w$q}+(5Z&8i>3WYE22AL2*VL< z_d`5Si9$z1phB8RkSSx(%2fvDOZo*%P9nW%-A6BKte5ysi=C-0x^r%R^>92_tE$NF zk8|I*QdvFiraZRSKU`tAe?gNU^TtLHd-#!a6Z5D^L3cck%Jlap5P+wf$E3`22?Pr{n$WruN@-{f3P@w#DHs@#H*! z%k{2*y;=El@pSyKzdfFO%D=V0V?*Y2`C0#@{AFvaS=k@&n(UleXH4$D>4{_UXZBl@ z|9$?2UU|LimU`pK|JUo8Dt+a)E$g4W>0Q~skO%OtX2;R75wH4wK6!cqkX(o-hr^*e zb#UaTWND#q;8b*QWU|MaSST3A2JU^`%`|$-mhbbEA^5YhpXATRcUec>JKSgdQ{j;f z5179%9-mnk21nK%*f5^iCB${^__yMRtsQ>pz=qOjIQc+(SQ-)X@hfWu^YL54f&JC; z*ZKaNCJ*>i+vAeg$Zi#tZZmh6HM8#V`Mb6O*37Y!rK7cjJ>w_$I@ZjFpPPxQ^Upqh zGXCj!Zz@~czwU{v_x@L2`9h=G>;9C?%|3nAWADnI?H?Gx#=E2ajrXzYemU?L;~KN3 zjQjZRk#HPX&n@A;u?ElI9Nr^;4wz;5Ky<(|uH_BB(Yjk~m1Ld=M#d+4_`u0<$gecA z*-!ewMNteuC-R4gH0S5t$q{j#Kd_LL85oTw%*Kw*JAGlTcP9Xi8YpDOROaXyz|;GD z1g`78I)?Z7fR0YxVa>=7or<3J_siYy`))1XIxz6(;h*_mkKJ2QqBq`|eZgNWUGYm+ z?XdC1soy^~P;jeXUu^J>7p!=z|K73hNPU|)$&Zd6+O*#IC}B9Fe(Sz)t(x6l1IY3V ze`n?5{1M~+%=NNumOVN7yzl3~TG)4R`z+A5lg=I9;am4bN6dq=Z>VO~Z|M7A`NWks z)XJx2;}h!vXkESOgiH{~*orsCy};V?*jz?9A$Ejqk$_GAz^a$`MaCWcbmn=>nm8=` zzH0&NZrfgaa`ANkq0CmR|5%*=R!!ZVtvxTR`G03wRN-0IogZlYeE!bxaP4|wZLM7w z=NEF5JEilGJiE9!I5pwNXQsYz&lKT&{tJuM{2AAM^4PblU;XG`{m}_A@9jS1WS{OQ zWZC!jMXQ2L3XtEe_hiQT?9b<(8k@oEwf^qe!rccSC@{qz*io~*yqBULR^^BOqXq1_ z@rk>`{&@C-;Xu+u%L?7XzWMEou2Fgz9klLUS8a@S>^xS#a$n@(ds91WzO~+Z)QoSa z-CNzQPy6w)`)c2= zH2{$M`2}~vYOv>?y1a4_&?y62giV*X_G0s34WR4Su(1oEE~*8doeUU%>hSj2HqCe+ zr>%y_K9N#3V$WhGJR$Xcq3W`Ly6|+3@X&iL!SJ4Bo&n#KyEju)a^JWXJZuTS(RQ!( z*gAfP_3ilV81T4#vCi%e%Z(2DZd3lonyB~R!yb|tmqJ{Z_hf1{cnA+ksfTgB`wynR zxwyMQqamfgFFI4J{oYsQBkR_TeI{lj&c|h)DZ3ePsfkn_`#MwD26tUQ_T*)st#N+y z!3PX&&I9|{e*mL>51YW7k~uct-6!X_KT*$=wo7kc_|&lsAB*a;H-Dg}Or%OK_GrvubymJ{ z>@z?Qy=P<`4b=wXr$2xBae35hbYGXfRuV=nMxi}ezUntj`0nhcYmHm` zMxFLvhWD9)SdSiJlXplRUArN-{RuHxd(3Zqf(o>C`FI_YM$Oz_b1m#4J)5Y)L+;R& z4JD83nR_yi9j2Xy_zcuj)ze<1E%xecVke>#Wcbt4V|K#%h^r0K_?BsTJmVhRlC_prF!C=jEE&EQ!$Q}%vq+f)ONh%#4Gx}^Pd zEo{iEe&aH1)5{Hwy${iaSi&$Wab62!)>pzjcf*OpqZWU_1#;d7XP{pXAryQd46pUx zgB_HEi>$E6--$8IZLdxs*C25B$2LF}Q568!dop4GFu7R5#2p>1`N}@%Fg+^W0X1eJ zP+;z)JS!p<&TXGUJ$;*ETZ3!%D`D;Ibx#y8cZVGZvcv8@gkS0VMy_t9s2?upQ#VUcj`glAfvsStvwXc1W@K;fE8}>3O7*QZSViFsr??q_fFzS zt$T{u%jUT95NotgS35hRgYhoInr6cXeYW1UO1c%7^>A@VueB(ca*h0ucT5J(Jg`ff z3CSZ7^M&4YL$G*9Zy~L(x57@YPc^*!ytPlJuvLf^Q=>*K2ei9|t=D$!Vo_~Z93#_b z7GtIAx_>5an?jHzgQsi%DUP3c;qqVF*-`k$5=CjZK!uKC@?=iB^_9g$@{M2`P z&kDCy%|@$PI$5M||N7C&%Xm5bn!L2Xypj*1KUnFBrxlfa{*^oQktzI#_fy|-;nGlt zyn7%M;shrz{_SOvU-#r4@L2bY zy?&BKQK-D4*s+7?8(WL&+&^58bFoX&ETOys+<2QNV05EdNFjLu7afh!=t`5M=oNr+BGhTCy z{gBzhUyShvskT>_u}gvjOwn+aj;SNH)BV=hZ&DHYXHzWDrqqHF?S7<4qv3-?cte#d z!(w2;^a6ESB6N?7SKJ4jXaCHdkzWu>4cg?fERQYy_+h;2Bil|1?6`@Hcn2RBestwJ zy=?Vz3tzw<4|T_fanMJHI`1;wiJ0jVGkEuP4hV`wl?{h=^`;S}&zr^AVRw7$z8xZP ztstm_B&K_<&i(jw@d3nA9Sw-J*T#kwMHvNTA+S~F%OOzFiEejX4J((^BP7H+hQIH& z%j+Xq&g1u+uHSLM3i}(z&hlsGch1)VRCC_lKl#BZ#(S=KR-|tUSttkNKⅅho57b z@{m934a1ya!#fkKBOVpl<63ew0W?kl^z6A4dT28*Wv_KrKv6P;u^Si(tx~OfT@GKY zZI~jK4&q5{&zuT>EW1XGSbLAfpT0+WV-DN6qn-^8jCR~LWPM$Zj2rJ0i|hR`?C<3U zE3KX^=TbrU2E`t0pS;86Ba&^I2zDTT@WW5WiZ~G;=y?da@nkf1?a>l_Dc5nFj(Iok z|3!{z5jb(1J`dP3f;*J{NuFbl1LJEbbrBksHIH_iQ>NK4tMj#wN6P)}zwCy+@zmjn zO&iw8eYyMM=bpLj=38VtHqJa9&-4CcEIhp}H2s|mY5y62?EyD?%-j}hi-qB6${P!< zk9CA&!q^s_yuTr2W#(hmem6Q`W>fRBw{n(Sh4LqNq}X`dE#YSW*#FPo`#`sG-DjdR zqmc`{YJ(XK;VXn@FvB4=Bqdp-85dEr4esy)98!=(z*GV|ZbH(O$|z1ODcVH!>1%NZ z7t%liEm5W&CiS)?4J(X~6Wa=%RrAu8pr~3-<=S%Ot0v z=expe-r{kb;T@m$Y;nyadp+ z1dJFPIV7co5Ojg1MuCeVbPKh$K=X^uav5W0DYhyVNeqh6XxRe(BRHU)SYYv*n-H>u zZW)Nfz_qD^fu$$r%rDk#2b#sr{K`Ac(bk4YD|$Ecypu*Il2GYk)0{TR1~2tpSBU{1 zdp}5k1YB;KV5*c-X~pNW`rSw>V20!FoL=e5LZG)I%)=dVGT6dA$_2GNu$)YQan% zRfQxCnUaN1$6VelQ^1%*n7hEDTP3K(1?P6LX41qGNd*cEBN(ZG#XNxlgL4=o=$%q( zm4x?4nTSYLpohf(oRTx3{ULi|LYVUE(!O4> z+?Oq@=e*73^+vCip3}QZ`aXo3?ZCORIg#*Xg3)S4BiNs#v-EQ@10}U!oNx&fJ5RAd z@qit=8$Gm9hzGd~OeBVB)F^~1ZvG#<2usLA408o`q#7)?)mC?$_vPZqrsK3#Xfb10wK)JkikbRnfRvbRi(=ZN!ntfi#s3s#x29hTXwtB zR}4Co79OrJ+U}~Jo^stWgjJ=QSyI=@%_q7TvHcaL`WSrUBBf!UFUwJZk4g@>;P)XI z!}RY?;;tv)wxzS+fYt5wDGmo{W`Cb4QIhmHmH^@c)}7nTDaJTQI zlznagX36A-1jo`D%17ju|qNxKX48_;ueq_C2KVnBm z#@K>$;w9A+!fs`YMkRuDXLW}MBQ_h^4XbK> zz9vnZ(zb6Ym`prrM%vQf*J;~&A2dM+dn_J}*@|aLta+c|8cNSZD59Nqfi&7z9ahAm zE3t}ihcK!+YXVYMC5t#XldKY*a^Q+FZ&+`F!@)rpK8gmDfN27Ml6)?enK23GwMb}y zumhmT8|O(YnKXLEv)}k7Q*y0Tgp(o6L^fbuIZc+l=@uZYN^!)p(Eo8O6sKYWgf&Kc zXsc}^eL^>ZxME>&%7~I=Nl-F|?m1TMv=Lb#(E|k4#Z5{e0}Nz4qGKfMekxLh?7+os zMjNwwsDntXX5g zK3bEq=R6U4=h;<7d8g}c)l~^owpwt;2a%%{Y^oK#swGAOpz|KXltfeW3{%f$P(oH4 z2&Q=PO`ii*rbyP=Tu+VBFyKMEh^poYmjqnt5@m)P&=QIIDx*RQHj`joppnS#V`Dz< zknrN3VwuHT{_sD(YPa;9%wMzfX9xYY?v735Q-vnGWB5~E^9$a@eaSn;i4C;i#R_hd z)%R`Zn4^2u*JeLEIH%v~PP96GpWQd7S0C_c^=<6zuX^@jxAI{jMEfOwA{k5C7Z<-T zKI1GorQuR(gdcIWeaX@E-skL=^09T**St6VtBz6K>#+TWB~rP>txMD$qpc?!|HRvM z$u}p)j*cbUwKMmB%+`+*K=&2nKX~?Oqm9n%Hw0-C+xQt{GmmvQHdVKfrmb6$7<{mj ztn$C!RTq8VPeUT}*Oy>z|L5L5`^GD$huZXmcI=79FSUpkeQ>1LJh=9VxsOd{?jH4@ zwd$KT+~3E}dxIm}N=tfmapYr`y~P>Nl>W12bm(iFdyZ{*@-e$SnM^(SCwGszOc%X8 z-qr1tx{{l9pxJLOc7HHmsyn66bWa(5=fsIYtE5ehm2zG*yU!Ut)%P{_Je;yF@ev>A zz+1D|9fMjM;iaNOsRCgb5#>d~`BCpHgVC{iWh^k$WJ+Y8C!&-5fFjbWr%sZj_=^+3 z@WFpLaK_kBh(B(>@*mYEvEBvTy@#A{t+likydAR*fN~!K;~Ze_^-Q<}JjnX|9sJo* z0KvojS%Ae>?7JIkt>7H(m>u1aJP579o4|8{m303a0AL$PK;8r0HGC(&J;2998tX9U z!0JLE7;oIn@R^;2#z*{dgZ4jAD$kE%Pt5zkiQVr(AD#yaj5lu@<`r!2hB=^9B#pv= z$GeYR2tUhcBIRxozr?QrLk@_36Fa`p|4rr(SNI$@geR-vzOZkNzAaO`%TD7nJFF&rD1iEFReZmU z&y3SxKKUA>bF6g9yz07Mxlnt^t}(sgx2!?^N;SCCO9HVw65J5N94B`Ig1)6EH@DWc zwhdoe_Y6Mh5&!b8x06m~=Iq07|Jpk#kQo=9*lSh|%;L0tJ(*13$S`t{kFIAAe~+6` zr{@mNyzGGn3-qxKugd?RWj51(%t)UYX&vE3AZFiXwa)hZQh!Y=$&lq9!44Aplh{et zJ=%VPfMI)2mLmMy7oRghr@r z`_BjT8}7V27^H!XcCaRLI(Y!F`gpZ%N+*Y_+q{6?==V0Wi*30>?YA{O{%4Dlojwl0 zZRYH*>q`cF@d4p>#`b1Fv$R{ju9tIjR6oMC(ae3HB_5g*zsxWb=g8+RKR^0xt=o$K zoe={qbJ3~F))4@v?!(tevRq^6Sk#%dD!DVQ;%j7>^}4%B#ES22jP%<#>l%o}2?vt& z&pM}tTFnKYX42^&+W>R+duYf_-wrg#pVa{OpxGrZwE?8-o7BsNw?+YaZ*wk;M&ehi zK^kaw&Uz?wOBqotUU?@28fzW8Faq?PpCB{UU7!8q$Tn&w-Cra2rx=;RJ)bGJifJg*4z?HpK$j%$;RSdhXl2*yWvT95WxYE+%dPS ziKLxd#zRi$c;mF)z5)pjnD#{+E3H1f)0e=L-n=tJ`Sh@zfP98Py&kPG0t)g#keX$w zy_wcraQZ%5FJGARZi&y}Qb5uDBp^#0d6)R}2npDZ;}#m{%&zOd`x|!s(@M`%*F%aSmH)B%==B1qk)9R({K!1^;@# zT{Dj$n4b;V@0oTPISlaBWn@dlRu^AsuYI4Ln=20U^dX1Sx!_f2CcD8-$d+`7Sj`32 zk=X=WNb7SS+--y-iRkNUY=gth`*J}!u84BCI~FSls+Y%XFr>%h>)j4EFxqm$wV~42 zeG3~Fr{2qo3Ppbk%@UI_V$|*e&TgiSK$YBsenob~X*E83*J;qIasdc0;i&*d^P0%=y?no3$yI0pv7wujFTg09HQ_;4t+~Z+8|9Inzfo@M}lD1+U7*?t|p^+ zZO5;G05PlCrZkGJ-NWwJbvZq@v!fGDE$J{5RSwq7_Pjj|5S!T@?szyX2F`*InD;V> zxUHk1XdL$(>}Yhq#H?B8?fyLsc^B~};v&Gp$cxuB!jU%11-)oQ`Td`|0EpFO=oBrf~l>g5>S?G)x( z9<@>k;U`^b)U@oc{F#|L1AB>&wYKGhk_-@*5%H=a@_~fz(53=>4bO2L+f^x5V7r}@ zM0VOyzeHZ3@fF}OgVLJ|US<&F2eYfoM74lxdwI(wxNt@h(YIMEAU;OmC4-H26H=tk#s#BrAH7Z$K3?+Mpk z@L&{m&YQz-g1fqq2ArerklABcxtd|}1AbB;2$Afzz@H#z=U`P@u-&0LZCi8>QrCL% zheKz~;xIJjaQ4Wd5 zIj=`u5EvL7RIn$Q+m6|Sa7ylu7`O-mrt;Bnk!MbbR}XQT7^wp%kg7RsMt2Loq72nP zOeA9$#(rKOe*4s-VK&#-WhTcy963sgGe&9A=o#iw2YqHU zt?bb~g=Zqu)I}kKV3Hlh{)J^HiO2JmQfWo9OC+dciBh*6zXjqs*IkmTzIMh2UH5e_ z?^zYoI%XH$C!Ff3{T~jLkaYk?$E%`6?im#e_7;7r1E9{SIir2v(QIwdUne&?DLqnm z=~UZg1`OPon!570yHblr37&G*MONA8sicQ@!X6vs%#;V#mGKOqi;`L|cmtkW6e@PB zDI;KSQSl`B!;&N{SE3f&v=pBGEQZ?F}Yy1)p)kwlwU^WeWaaH3kUu~Wuo zzXx9Om6g25R%zN*kg0NkP)7s#>atV++l~qK+p<9BDul%3{RT z0T8KX$?A48!D_WxX}nwOzwJCe0@_VX%Md0KH7Z-WCv7yNqm)WR{$W7DMoG>v&mM8H z1we!(agLpgUa1%hki({=GTbGBu z!^k2w#>|!zrplL!COzXMxUbi+V2{vsCG+mCR)4X}TiXmlx3RYJWO~f3bd4oCEy*r@ zV#XS8<>s#m&-sL#90LUJ9r;?%YX%=Y(6p}klE_)rNj9+lMYD-*16(r6%!3bX<28M= z*+Y#D2Nb>a23=P^Zj8I>iMw^Tzy9E1y)KR0)oz>W!YC<~J>8dlI^Wo3PsHrkh|C?{ z&izH3fxJt3bQR|ZX=w!=MSAs5%~lM{NRREF_nQ4hYux5%7fcRJI^5B#(0l=lF3KCB5rk(OdI^u zv-Vaa&zo#GPJ;k%H!rba3Z_Cz$O+9BeAtbWNWt;E1p`cK{4ep<2atqRBuub!0!R`L zNFqolf{a~#lvp8E*;i5#UWaZPhBa7jXhIHnQ5{-DZX5>)q1jAmgOQYO{}MdceCm1; z(BSncPb!a?Ln7*eF6)BQ$iY`U!a7YY(dp_2!}L#6&g2?2PS?@K#)&qV)S7;52}2ol z3mIz@H0G$10-Gpn7*PF!U6KjHmnDWrO0D|T02Faf0Aeo+Qw}|hhiHL_ih7AbHj0#+ zo*MMt*qc$lMSV*j@y)YpmUR)EdNegkxzpFxSn1x^>)X$)RWXTVyNx!bwMEE=ZVVv* z(2mJnHqfP#^bSxaQuqj|Ac~w81OUsbl8~85Ij#z>CLVFaM$@@iQd4K0b=}=X-SJyw zh8|i}vj!W@a3|{q*YY1&=m$U7%>(N=Pg z;T+YLB<(2K;-n+HO=D6sS|c!4Bq=lz?J*XPb}OaIz?N zvHlhCWzL>}jpP~I9b2&bNHCA^&95^XJ#9Js^Cvap<;VE@@ zw;MTP$)W^l5nu3aR?og3%{4W@*~*f@K;0giZy}p7Ip9 z@@V!HGR@T$PRw2bI_oi(jx0Fbu_Wq=)RcYHVdEmC;tp}^!98TuYrD;3W#LDD|Brfo z)!~?{qeJ&;q}nVGWWhGHxCqVaLIMDQdQwm|Azpv}7uwk&9q*!_~)?HrwxfK#^=D zm2rF&ttr^FGUz4hV4_78^m*G{K_y2Eq5g#5MH&3%;<_mVCoV#Xp@tTkfZ5@r;LFlR z1LP2}wB=%_gU*t*WtcMP$g(&RU+{|dFmlT)%tb8Jn8`S+&gfTSH>7MgUJ{LX)|a8l zfR4h~459+GChmYMWh@tIc$5@1U3g}y3noiwYW1_Gw=ybg3#`jZsD0sZ4kxvgK|sE; zhJ1#F5Q884mTW?SGgmH)(Ka}+(e{mI7sX7W|52Tb<1`2 z3>-gEqX{)NWhC?^v-LIL`_GxwX`L_`M}gF&)}EuV4C;wM^a02nWfnxAwkko@ zkO3}%;NwNGaib+A8VtKwq+$LrNqBo2LZOP>Zer|bf^v7Gc#d>CS+RtyI63Tsbvy>a zbI@(*&(10_3xu`>i^1a8L>4rV+f43yBRx`P&UCCk`@FY^`+dp3L>Fy`TjfDPy80Bc zpruqeK20_%RzrlB&>b<&;l6;VfV5I#7=t%qndlzj?6cWS+>SUri%vGgG0KFrH0?R{ z_Q*!tKD9Tn6se?2A9`ceCks7yC1HyFvemS+$M|gQFKSDJUm5)ymw)*My}SQ6W_0Aa z*>Bt5y8lZ(-`ht{IPZV>a;5IxF}5Myyx9EVU+vt|dG~qD*y(%gO>N(4K1Q0d2h-!7 zDkr}o7^ zJD803&Q!1`of!M1@uJo1f64lE{IFgb8Qx}I7T??V=Jwat4%4-F-C=wu-?-%i-#%vF zyVuxblKsRuPqlqcr)g-n_q?dzL7LWW)7~^5(4OcdcaYLutg8z5F(^U|Z_RNgzKe{fy4)2=@6(4^DLd!E#tz8SOUYsQJeW8ER0Y?5S04$$WBv2)&4 z&tN-GdV34txm?_FBVhTZKhyc)$tUmn;1Tw#^INfO>Ze-FVRy6pJKqCl{!lHXOqX)4 z_w59L{V6v@u&=ke$UcXdIkv&T%)`y)uK7_Q+85d{GyL}BtuB~XK+V5)bEj*}Ya#r- zg|7KtDev{n4&vR9wX~aiJbc~3%P;IW4qo2#*p|k=$!rm#<_l<41Xm2fKT@P2-`WVb z@9%!O#I?w#ke`hY=>zt?OtrQ`rZvuwZFc+d(s=JLQC{+(Z6wapBT2ReEB>mxPm^p9M(<8y~QAA6d$bduA51Sg4DJ_yYp zJ`%im`Jv45&TVZutK+X=6x#HPxE%X>5{PEQm#y*SLB4J9x@m53PJ>DdH`UkgUpAe1 z?Bn@aE0z}@aXaohtZo0Xy<^kYCUal-^!JmyLC`$BdK(3S+S-&m%Pfne?I-oe)Fy49 z3d5*)FY9$XdIqwswbiEz!3J@qv2m&WgahS!wRM7QWz$w@JF!pSK5F0D@RWJQ_dE6; zoO$KU+|wQO3d?MB54>hy_4>E6SKrG0z&X5n^HlFr|1GZsCzqb--F6LFPuu*+UzJwC zN+N&8?D*WKT;Qb&!RC=ouLYf10FN#ye=~FZu3F1C+~aq>GJLf^`(D=GGpPMa%o-;j zXKE>bW^1|&#+e}r_4Jb6u6*9W)qbW-_V0i{Wv=qR*l`Q~A|P$TR<+-@t~E9$u=}v? zsZMJtSTq2#{Gi>wGQG|!QuOnTImz-W;K$_)Y_?OdEw)qk&f~{%5^_m< zshGAdGNA(X90+=9+W~Id57Zh+`LDgF=|O%Zi0HAs2d=k{Ca?5+9qiR0_jFN1)3R~r z&>Y)!z41hGkEk&bm;2`(wAFO;>4;P&^OjPF83OL&AF*3v7yOzHFtEvO_vxV4z;ViJ z0E^GDF3!`nhH{|+)sAwSf$R-Q@7odjw+8JfZ3LF^_oyk3Ie@*Ls#2UaPp^v0u$t}U z<*J_#ih%fW70v0!IpBe4lUmnOV%91Lwa*8c0$Q@4el85jLo$N~D_{*#@r+NKCI1|4p6-ZlKZv(CW5H_YoZ8qnEIfHKoRwnyXN zo5>y8b^NYv!+Wy(hfP$O+HKBs=^tkpUL}1n-39D3AJ09OE?cw>XSn_PFl<6|0lHf! z$oJC#$$>uS&q}CvQ(OUe5%6>4rwEX8lhlg}aP-jh_#zE~dfpGdI4hRGs;;pZnY7wJ zM+#@3Zr3n~JFFs<6=wScsfW(MLiDo!)=PXQaQ^Tw6QJ}W(*lxs3b{558AdY(ErAZN z{8{a(RbgTYpQDI705gYK7yl}w8%)6(=*((dY5|RQ-EOg>H(G2YrWz)80CIoq#_(`R zrJoH;RsoVmqyj%fRNmQbqqQjbc6! zj+BDCpmSJ?%_3QWq>}9;(Gon@vm#;7HaF?8%36fSlJ*2Mb>tJ8XEfegLz0V5jY#D{ zIsMz-7hS2L+a#w8xrTFr8`*RkU>@KSS{S)}9^lpqf2;750Y8D736b>Ul%Dn!DKXbEj4VA|)1Rv2^+ za>+`a)5RWwL{R*kH)SFw+;oY{$QYf43PGN>x`Yv50cOAaoON8su!N_Mz&+>F8iqX_ znxTY;EsmMu*d+n0=WVL}mHs}(UjHZ4mTlrybl02Uw_FSUGO@?CmBZSwQw7>>#$E4u z*MjzaK&%Jr$zwu!u-aO0wAX;ViKsm(Fuwyj$9~*O&0Do@QSVpC*}(sH(24BcNIh#K z@g1=$C}H94&+q}a{~W_YL92zYGy6_ zK|i(2PS7NCxsaayom(Hj-@fRZ)Dk)GQy8Ue2X~SDR>Vuzja<7tUPkPQ7%&xj+ycu=^9Qiy3O^nIJ58Mt z#bFNga!J}v47EMy^1AO2Cq(a`0^>iyw11v1{=)-52aG@V@wQoT&mVpl(|+M6z<6#MUEegn4AIvf!Vk;n z`th5<_>Yzka>MzTnCm}!}TBy@5SghiSTr!XJo0e!`E2{O#rFF zenJN=YJw!0?U8lV<$TzV)rOl`N?2~UZRypCLH69}@Dd~785kI%){I>QhKlrYOwBY- z*~Kof(!lu^7R&maLLn?2Hjge}px)DER85(nvJTfPCv3i#c_I*y@%BZk@{Q*1(Uv(- z#Q8D1ZzqM(&I`7Ej$ga+>qXrSK;&7lE0cwa^3sZF8XIHaNA}3D;@z0S#X z`{qgk^{f>Vcm_QpqGhEc|n4%s%jQC9KM+uqqC?fgk#b^Tf6bCMpQ-u&;qI!vHLY> z=do48Et;hOR>*+pDjQ0_uJ)Pm*bA*m)K|U7vozt$YfN+y3OJ@H^YCAasc?&95@6mT zE+s8!d=tvFiE6$Ow>o_&kn39F5Uetu=FAPu%YFp>O;<15EsFKd+^yi#0s9AW+yK*Y zS-CBt>!JI|%k7P)!l##iMK28Tg`0mtN21{?U`L7NOtOYtoD!7G%kM5~mU&B2PTlzW z{dfZBhDX?ML+a~K9?KR2KkIPe(>P`*Gr#!?3$ISd02vYyhU+YbPoqbN{{~(U@qPSG z5)F~%*MtXNenohE;4%Rq6ud{lF~S3eAA@gr;3LAvG~AKGgW=rKS-S8H{_HHDHXxoq zG7_>`@oAv)J|AeFFP8wG^Z`hLI6GJb9A$=tyM9YI0D2}sj|7ea?x=`HDl*ObU~ei; zX3EYgCWyjI$e&npbrC$gA_gk%A~X?GwoxJIxQUF0}DyZ1uky+hKA2rfZjj^O~{;wf5Lr8$U{E90u{lXmnd>sv~wdLeOPi#ESUIMMieA-@BtFi5)y?3 z9wW(B%OLLI&$udqu3;1Uc4oI5*-~-Sol$Rgzu$pcn;wKi})?!t6ci>&G(0| z_}4zJd?fd;J;cx6|2qvUfA-72@~8j*#QuA4;Q!=ztcWfQn>I;mLpNR!_#ymG8i<2R7fGaQ_?bUf3i*|45+K!pHAEadUQpo&k>7 zSfw!KMa&do2pDv%xnggqR8K2wX&p*HkKv{6Pqt*xq<|{g4I{W zgkHrw9X=Ku3hfFiUaq+<{1x+Z`0b~UuovBY+peaLz28Q^EPi zQi)TQuFU)ex|@f;RcuvfsfdleNrXpT<}F_U*7is-y!LQ;C{mm^b8wc(G&7v%@&ydJ zKfQoy8_O8hy#X%~0bM@)031CY=(dW3T-iI4QrR?+bp(}I*HaN~3CqZ|EfSnTfD+13 z!5M-KE_TKfRh%algQAK>io+;9fjyq!REUj6DhVzz?k8g5B#sEV4@XLnB7F|62ZN+z zK^M_L0|q{87L_4i|IinnB7A29fr%My#;R`DXI~_z>2?lR`=8XZH84u-^FLd&+9`n5NN`0aFsPad3g8 zlir%n!Es}aDeEQOQN2Np3l^SP%F$G8rN;!XLUD80D4MX)v9(CWALcG}iL)>&r%toI zIzr)tLQ8vWc#4JTn1oX7T1OC(@*ch?9}($DubEM&xm#PJyrjUyc3Lk(+miqRamtBX z`drN7&bF`LmQa%^wm%&qxjUfX$s!O%`b=U>Z3J4O4=xk|xo8 z&Z=wBQxYb(k#5q_kFZxjp_a+^7zABM$r2bd2u<~&dcu?uR&s z^!Glw1wq6q#DRg0sEbnUo5H#4Wnle-6M=3%WzIYPAp**yfB7=kcS!=cRezG zE@LEyQz<%WbQN-2I3We0Z=V+6pcy0Lro(Lqwo{@<2}3F*c`!h?5C)GG7cbT{=Y>_$ha8Xzl0@}jU*abibe8Lw5CJ)qOu--7aIYhf%efQ8NMTeC8L@<_C1mK&p*H)hVKwP=v0Dfkw~6?Zt}O$JL@- zM~g*?jQ*}c2a`|@Bf(9MIm)8YNrjSMGG}6&a@0m+BCXCrPOz{g3w2i#fg4Q0P2s0l zB*B%K>ffzKZEL@wz5VTmqedzMub~JvuzQL^OE2p9sECweC=w*-I8C+X3~33Up@j^R zdS6PG3>Phf9lM8m4tOg%6fbhs1-yc(6~qgH$%G+~8?FThuwjWSqmqa!ZG09sb5q5Zm^*R#g%JGqINP$4G+)Hw@zL^MFur{G0|B5b?pTwC21l^HI8b4_F%I;y2) zBTDPqMh(m~O=^f}lB;1@L?aBJ%Ggo5Tl2I?gF1mXImMMFcT@ltj3#XiL(zlny=PI> zfKtibVV*LKZ$ctOF_5eH57u!-1zgRyIC|-9D}339OygBTNsh0fNv(yS*VPeADc)Fr;z`SrMu5znmnYXx;1H|CNxxWmo!7K zm>6z&D1w%36G|=vS>XlZw6jSM0?#f|fop;oVIZF1U}$BFiQxf|N6vd5%Q9vdqnt{7 zh(_6@&A_Xn!ZLDj}A6G`M+MbQKT=G!ru13954J^gLM*Pl}@3Nz#zth4T#`7`xEt z`wHePOoT=KEoL$_H{uzzHkv(Z#E7e;RPH%ND6!sn?L8mza{9mR|HK4kZ!W+*m*9mndtjMj(?$ZO+%s4dxCz4Uu~pX?Ej!zUE4O%-Dflu#AAb#li$g4QPRAZ zw1e6cb!~NytTFKl7z?zs2mq1W67|b zEn^#u?2dHez;UXdqMi}7pcbd5Vz^+r)S2HYmR{D`782WM6lzSI+C%&qo%Wb_iEQbC zp3VBf_Cw!p{bb?JUGgWi{6R>qa|2|OY%lQAcJCnl!lj&XQXA!u%8%xF>}nrySWyOb z)F`tL5UpJAD^0Gsqfv+${Q$YWPNAye^xndD5Pl|0T8`hJGs-g*?gt5O)ndGS9fk+r z^BH^|VkaTK&UQBTL4k(a2wfDp={HN_Zl|Y=;y%^}a>a)IW4{TGk%can#cX#hwpcCE zb#+_31WCbtUTZ|OnKNynaBZ~DvQAh(bd0s`kxRG6FLW98Fc06UiSnSaW)Fety=KG; zg*EuP-)rDV^LC~Q60%eyOE33naMntHfQnMiSWPc@xe#qGt1uNIxlmZ5@$ErbEAJr} zh=KeHT0z&ne$b`s-hJ*Aq*3th`lcOzfY*mK?|!ceAr;cQey8DubquE9CJV}n3HB6q zLui`l1?=nJ2^Nr+3>uc3m&_7@VUu7-kEY-=EyL{hsCb9;eJ(zQ_wAC7))LE?|HfZ zazm{%eSLKF;kQXVH0nC6ZDpSxO|)IkJOfP!ltjo}OphQ}WOpu^^ue05GZ$eTVimh5`=JIWUQecgk| zX9nAI8fbV8NWH<+Djh3q=|^sJPv4fAoc zBCb) zvYj=p2L}4byN+<=+NL{0wvlbNyLs0CxKr*|aHAi2XlxGIFp4P+Z&a)i|5eGP>{To43EIZYqXwi#;q0-S21 zS!74G2D$7pIZaw{8Ay}GNG=`b;$*_nR-up9pr*&MMCxw)Ugrif*g{3tGlw+U9D{-e z5;#9}UxF0MLDNsNBARlxvjQ1Wr_?WeWSelhqZRk&RdfU$$my|oKG@NC+!~m5kai}I z1V~LGKfdTZ5+wHis@i0%_QDH53}{H{S{nMW8Zxe0!**?2yBK;^HJGs0S{bLTGi-~n zvugg{+9CiAewzcA^8?CnVl%>2#m)cPlNx0s_ zV#a#k|NaXX?Ln04jA{js-GM#Rv;ags1 z3V%h^T8k!9{kV%;y)Y9wJ)+ZTn66p*;PhZ-TI==NA;YOzdL>uA(^YiQtZb`Kpm8X( z#3O!3aOJL8>TTwB#-BHk7as_gM*;6cLJNe;+`UG8m^b7a5)-sRX4?i0ZffUYkDG>E z3H=>Q?*P-ANP~uJ*0w|ACTkLMh^wW3(1#im`G9*~8G%Y)tuuuJ63UQ^ixE&egv<@l zAHbTCGO`mD31-LG3zm7OW{ljkz*zaEn{a-j> zvkcq2UKZE9l@iWHkIKmWlh03vnQm#brWs+=8s&%vU3P+MTyD6PV8zx2h6Q@GqxT~Y z0)0CU_9SW!6jf06dRdF>cecnC zjn)Z2iW`)93Tmh#^(Ndrc0>2yk6OtqCFZ6g#>Pes1VgS&GE$X+yD@SRVXVv(ihC5! zH=fYlAwR4!uo3xmjg`dQ5O+Upv^Dc7tJ#gTwH_layWFnQ zxy32Vi7g=-l`2n?!2Hl7zx;SsLu_573>yMRlr_+7y$_%>5-oM1KoDKQTV*wiq#?qM z8uGGJ*UHpH4@qgM*MoxlHueHV= zIO`AIHO`uQ*9oj`*?sGWWouV>lz-LX4bxBjK1EL^wlTfUy3CS~3J*Y>aS zaXaA}kr2qj7IAxZsh}rTB1eXx88Ntoy*IX`9RG)Z!+pj!3(ut@UIH$10`Z9?CGftV zK9={mcn%S;WW4e6Km1ep8XWY$e&86`V*KOh!yU}eJ)V1K#gw*&jcFg@T0^5j_t3Kj zchhp?a2YzC1c!u1{s>=9nf(;anst`>1dVEA%oQo}T~E%Mmzb&Dx}*W?8)A?)aF{@6 z!HXWZt;2M)iS3iQkBF5U4M_F99&6Ijr@OP}B4jHpTL&Was2yD;wLfp)x~_#QO=J12 zg{@~%Zqes>YlKh5{r4``S|Xph_8qq<8&y6*Cb=}U)MIi(Ew%ts!%m(=<&EHTYaY`m z1pr`pN!(Iw;OVVpDx=JU#M?2mA!$~$tS&gv(dZLiiK|5}m(+wjo!3VkAZ&7G9tb3} zC8r0JS$T*bHHghosX;cezeQoKTdAoxzj-9BXkJQN*p-W2D;V!%KXfJ~bzT^o<|AkXqp~UCaDJg*76ub=kPK z5wK#PW)5?t$TzMwo*2Z|H`{3>sJt4quLmh7U!qV=pTXuBv#7dLbyISIBw9^5#8eRCL)kFy+3)}+@sgZ|N25!eaS8|94oJRMF0pQG!9+;7cLppJs?J=N_< z7c&z^i)c`X(!6epvpM@PJ!0C!X8#`GS$S{WTHBO|z^$G#9$bP}R`h%O1GUsOW|gk# zPIGSd>8nAjIIKGrZf%+Z8?~=yw2sVNS^MLQbe;`Q+~Kg*>Kf(@vnp`O$;OK49PDkN zg7aykJekvN*Nx8_u_XfrKcA-+Ox`+fw|@5hv|i0QJOwK*twnU|9W=U$v5O`Kb*3HS zj7+yxk+Uk_sEe5Q18&%K6)JmRwcSECl8wfYn;I+ia}?I#^S}ZkARfceNdL57+S}+q z$n_(XILaw{Y>GyejY-VO_J4WWK>y!Jxxsc*6nKE*SYkM-qPkj@ye{t|Ri&~3ps{ z3EV!=d9_XUs&ccVt%B1X;eY$16`KvxI1Jz&k|}M{bbHiTU*sU|3sEoZ{~%XbBV#QO zOgS3RARU;LMmD+&$S`DwU3^U-mn~UhBTGeS)Kf4?L`qs@h%#XTPT{O5TUNnFlH=Ii z08H;lS&;%$5IW<8qjw#OtSHjQcl{F5HW9EJhM))r|HinLW64JRW!QqMMVVn8fV)1r zIOcsl3=g46w#wmt)OqeNY*TOYiN)a)m>#2g( z8u``t8ZH2x1y33Rs+;1NDX|tL1jQUdNE0@1Yzb2(X3in@) zZKmN0P)gwBFH95QELaPs?qGt7*xp$M0JSJXjcZb_yJ8%;(=qQL3K=NmllDbwoiP@K z4W!Xobx6CmYqR9fcv97%Lkggqk9dl7K*+^0irHN+ z)-hc@ewHMYM&DcSKs^z42E*t!Ty6!0VGJ8E+zHOzgi2Y}<|b})#O7Q27uc}q1l~!x z8($)>Km)lwa3xx>A-d;G;C#@Nb+d<0HrgRJ9-Xqd{^@W3!pvtA@ z2nqT;sMcFNwfFy)2HZ91GYt+_N1(8S=sh)~DCCqJ)#MDW4m&U?XavwosjBgYTeVcq z#@M5hl(4BPfEkAVUWi&$lphc%mgy@A#TxR5T;Ey{0j66#sobZuDHRm<45GFd37A#9 z@mGCO78w%ITw(h6+^C81z#<|X8rm8)Wvak+jskO^(86wlYm;J>de{r%Y!j*_!LT3k z4o617m3YF`BWRqIrOdDvHB3YZ8aQ5T!(~TT5#mZt8x%6?QG+ftrWE?e&;DX&g)K#w zJT6U!*&B>zoqHAZZ%U_)_l9Lgh!*W+{isoGL`1A2yFFZX``98Ak_oVVge6v%g-nYo zDhgn_!mSgPS$IAo49UCr0u=_Q6`eU$cvVf@aD>z(oTDP)Bczc0m>2m|XTj@{ue|?_ z-To3|ODMUr0HilCyg;p2RK0(K+zV(~;+a8jpzIk7bfC|e8Q83q)QnnOVvXG-g;v_C z3G6!iULaWh$t1&m7dcrN@vRUBB1I>*T7<4^ zMmaQLps#uK))byV6iZN(2hz=DFF+y{FhWvcBFL0N=Fk8Fq2^ic`(siDa&pD?r=B{G zoNDW2&@$+Y|NMk~z|HJhO0jP0c~zF4@`Sj9m9A^7%2wUMD(9(s`%-l~YJ2>cHa<2g z?)|Ucg~A^ z?5pXw>ifkX{JUSwWcIU%=_pkEp6d!kFB#%=d&q>{Ugx(jRrZj}Vo%{T$vh|?ZQ0i_ zW{5SAG~T0ivPX?R0Jx9#L2C@;hQ2|%?|6w#tU0KCc+Z+q<2MIML!oW;d2a@lZ1o=X zL4FLYwtEnFOq>_zJZ+4>G(nKKE&aXj@!dd`H+lzIY0{I<*4&*n+p&6Qol2KeS#&Dy z6YJKC7tMoSZ*X9L@|tiC`_=YG?eG2w;A0zQU0!h z-5M`XGQ91=Z~hb;9;d4Xy+G!v3Y6Lw=2euVt?36T&5Lm(BoUY4W(pCIz&jA}@8G;& z5fzB<;1sQ-4~3N(#JgX{#pXk)3n;T-xi>=fkEI{+PA)#t$)6rsc2ycqhBlm`rZ}ai+IpI~=y|*UTe)&*m>? z;(Mw$T=#v0t6rRW#jdf^RYTuatD&kwV`EyV;b+G?$z%KN%MIrP;EJR3`M-xH18oMV zbNb{?yL0kRao%fO+K~Q8y!RiErr#jM;1U+S7Nv$fwyru!ZU5^!@C<9X$PZ zhTS($G(%i6bH_KsscYs)P1SqNm-}~Fp9wGa8gX8%r(+|2+UP=|l$V2!v&|^JapvTk z$vh-pH)>*&wdc>SAFlzjGdry0D}AU6RT=gU1$&cc4tz3r?!Wv(`t5Yu(eJkzT$-klEw6R3X5hH`nGQd)W3xjaV3qLf>cEA|a3FKyegdD;oX?SCF9w`+-pM>89o_P7ByAQ6p=R6dF(Bi|a zgXu5Ui)xs0&vrMkcLBvJ_L0I=?`TH|nKuAwp6O+` z8@j!fdIPo09L&KiTkL6EC6xnp0GW?rZg;Ra3=~K#|Hv*YH&;^iw9;?gV@t3vFXg?& zRmV9JoLsc2_tu8lW-%_FZeOwJCN#x4{lp_?g4A}7I|uGQaODMSV6>OrK_#RV1_A>; zU8L24!H*0|gWFf?=Nq2Vh+*iP!PA)0NJfp<^jtE1J3*N`hfTSn8MaF(}_j;Rgo|O3d`mE&JIdg8e*Kh`%uQZO5$sU3DxDfo%199 zC7N@aXS{kj%M`Y;DG+FYZHkTX7P?$9BM z>*_E7D6j8nQ_4h6o$D3DGv7DV+E)%}1DAK)HgMT=Ezr(@)Brq{(kezVv^6_oxPrgf z6+sp254R$zaB0SgKX9q#gtbV@P4oJbW;w5SykECJJ<{KRK}I zw-9CN6nyS{cd+%jzj;rpP6ZE_#s!MNs(ybBCB*KxQEnk0+mEtm^`(A6e~Yao(MCBo z3Oc}fCe+;-u8j6|=L!3SJ<8X!W;it@-2ppuOKQq(wlz#+Gy~3u;pk$xg96o6ZT0}b zh$D>n=j?jMC0pX7#o?LgYe5bW6Hbe_b&_YMM01_^ZFXH>7wLMnea_MSazx)2$WH5p z;5#n4R=qqFtViexNKRr#zf#sr6$h7k0CG#>rEWR|d6UX^tmg8LN5kZAx1*P}m7)wx zdVu7XLF*Wa4Ap5d=K+lhU9#9s*-&)KC2+69VvXS1u|!c}6dW=`K%kTc8BLYg@Cd34 zqQZoNOf5K85u}(?=l1;4KLn=xmQA!a|6>!a!#B%9w1;5uiyvLTT+reAX%un@k?Eh- zg=qPCNcrS4q&$h@5`D|XCH{vBI(*>g3Of7*Qhpbg{^u)HglF<2h=lBafJ@hImbo~R zzj1J67Ysn1zgYFj_`JhMm#T zGFrrk#F}h~lk7Qrb{Q=zp4C1G_7}LXT>b601??yS|>sBhAOEO z8=|K2{*n}k4{&bE6)RHtOQ=z?qBFP?YLQPDk($IyL z(Mqi>U}s`39wcsM-400~47vIS(sf$z+DAJUNN@>mz2FQf_D(X_8tDGQJUk1Ud19m} zM)baej<=WvLSuJc(X(F-NO7NybrH84R);>R@eu?XJ_v}GHmj%D369Uoq!Mt=09t!= zDoa>41M%p@hich6u-1kuA%L~3Kub16X-6ENFwCfdLXHz=mKKSxp<+yE3#y>^8x?cJ z^&5JVWe0xr<3@@(BDF_~&Rwqcn(~bZ1h_?U z1~G}~DF8$ey=0B(fA=&1sDSBs;weWk1Yi%1|IGivMs7q*1EhHS$J^f%Qa}m-iD1oy zON*58sv>TA2)jjVXPzXyyVOVX{B>;} zPN=T1t5!~eRlG~tKr0a~QtwTtvQFUSfyUEo(eu+W@&=xsZb)tS-g^G|YRR{rHl99k zjYSmgLu-FYsZ8ne=m(v^S$o|zGU9rEK5r!Dnr048JRBZFJ;e!aL$$-#b=iuTs{fp< z%)3?9IN1{v6P}fLxtGPRYBtj*60xjiHePtQep{hqZb@|8a9fud@76am0x&Q!|HA~i zzSlHrjfn!KKV z5bds8eR!YjxbiFigYEaf_9vJpiaLr8#*tIebcraZNWzhNl@qL3KwKu{d%mcklT}n$1komW z(=8E9jqE2fo_`^-uGB2*GhIHtS*aQ$Udi-Ubo+?btrt93n^TmCU79rgw|*NMJt=8O z{XN)s0}~4C)}>ROg^CX=kZ4wy1y$RkFR!3vcF;t$kgz!`)HJ%mgd|P~N=nh5&gE?g zJk_RwhycV7x=>~tm3GT}1~AnaUYg%qW=DiI)|}tnKJ5~r^n==X)pq9ni#%#}g%<)N zuI(8IJ#%!HJKm^mUHQW%HzZkY6ISaoyKe>664jp62JK-ek@u%bi}fg_vXGE-a*2jDr-%3qC~@W|@)Kjm~9B=8TeM8?6or2uzE_vt6%P+A*sl zj%Z54bC_B4S0*r!CeJ7Z!#`4bC}Y^E6%W)^=lBB zpS0V~`N{8_)lYlnTW>u4ICK5CiB;Gm6gjd+lH$XdcIy1s-SwTOPxf zIp;6&2ojI%zTS-CDy1_alG|IHw|a#VGhrvIkjj)+F}EyE>i$K|v)rTFf(G=p_YZB& zONyiBUXXC#?)4dFk%>l;*zOY2hY;aU052AaY&*InsDb4H-6sUVJV8htG>Aw^ zFw{l?F1jiNnE_wj#ujfB4?yQ`MffSbN?^Hzn7m2xv==R3vCC<4aYv3*W1va6vFgdq z35$>MD8Z?t`L6CBO)p6rnk-;6z-cDxN(!J=gq9%E9uQDbvMpVpcwIdP0eP^QxpQDE zk=E9*qhq*D6PyE!L^N3us)zvM+f1MEs#rt;MVm7MVyE*GN%K*=Z@vyp(6)wb(!{3Uw4?d@Ika9viDfJTC(2$lzjDeys z)$r0;1_tuD*ejgEq7>&etf2Bj7XfdGck90F6XqP8$$BwJfnc+ElHGZFJ4lo(y3MaT zK34^4Jcs{Y&$*lzZfA>PU)<#fwH20rziLCUjOUq4;3-B-Ay8veOkc_0QC)6E9+LC1 z=6eDndf{g%M7@pAJ4$Tnghdh270&u!(^4z1#SLH7BffyH^CqX6OuI^leHZxbkT2qD zISr#>Zqx7IWsF8p%WlR+H^G`f16lVFTf@|Kewa{%=UbSNB4bvU(>iI%+EjN1;`+m~ zKjM%1$_tNTHp#z!VE<)Z7sJgqUTIa0Tgxt!&GGRUTkI%U)@WiFCI!wr19~{L-v&f730@|PJEV^Qh z7A1(3#LfnNBjge)7cqd#ithg;H7#3i&O0D3Pmq0LK9ho@8G8!GPZL`83I*8hKBpQ1 zqos*qwkFyY-qZ$4H-cpVH23m7`XCpC;_dC;w*HEiPBwB4(q|J^0j4V}i?l(1>&T zx-N$06H2Fy^h2SNR{LzVNo`>iT?5MlJ|R(;NlX%I#TAw1jA@$*WkpvC_$+9jGXbZ^ zG80Y64s(mHiUYUUn=R(_K{KF$Hm`KQH|E%#rF(ihaB7B%KARK70hq*R)J}biuf;(@Piz316-f4@tc`vrS3wX3f8$xb|-rvSFP*uZl zlKYb?2(|03DLINWidZz?5vK`G0e2d^g=ad&JIO=CeI4>5dz}5A)p;fvlcI}OsfVfH zmxRq}h>3knwkpJzW6cU^N_WtLfZP>=^V(h+6LO0);dQDQQe>m1874D>kc|U4f=8n* z4Aw@9dsFZfwHY|g{|07|B}@axxPTQ&Wx3G2psm;Eyg6i$0&PP>wRo~4PpMygK;t)m z^Ht$q4g;Al1-Av3tzcGRtg7H>)DFo66L0FH48yl8yhR&hxX@uzkVR#VoySWUb^~d* z5AqA}xbTB9tV~^_#9*4NF~$wTGmk@cF|IW^lpp~mm1 z`(JA$qN&~liq*(hC$HP7JBD}I3ej_>@3{Uv?H+fpSlPKY^SJqtw^8kKD$|j^He5+|kc*GhnjvG5->w=Zb#>t_Mzu`Kl3o9|( z?dD^Oa+DrbkE&Iqhxz|p3E>^UF7)UA_gVwtk<#CkZV#Db`Q!0xQ(->PdzdDEG+(g! zCTGI3Qto9dXCG}JW7XRlhr0ZkqxLgR{>5aOjJs=+%AZ=^aoyct_FwOakhVi7XI_r& zBFBII?ze)?I4YXI8w@unhKC7BTm5Xkir6NW%uN9_?b>UP#a1Gz_=*;$A)p9si>qJk z1#DBIJ*eiECuA@m{X7YB;_3MQ+*D$DR%x%1&FcNQy?znVDiE#zqH_dI(0PaV)xy2y z8iW0BWd8x}kkynuY*%LaTYB||YW>+1&_>#yWMjZN`p9n2h9m@V(##^BWXwDAyoz_| zIr!x7uWKh8VPD{zW&54 z+vDx-n^oquf&NWg`mDCey-m-XYt|eu%rf^{?m*%k8mh~0AaLuj)2}9L54ELH64LUt zNp}>sp`<#lsZfS~+noh=iKO zW9z>)K#$u$u6mEWx&C9vbu>uqm|1_IeCL(LN9tz$5PO5W*St5X&-25|TMg6K99*@| z89#j}I83*ztM@^m+Wv&0i}9Z{M9e83wXS9w6&~rOof#+XsUK3LSJU!-#4Jrga}J!d zxfk=K$0^Ou2!jP|*P6Whk794B#>;egYQxTyw5DzC>};&2tZB!4M<(1FKOp}g62p+c zw8x7N@sF2wy>@DMbC~b{sHU|yD3jTO8~YJ%6e(xkw6yKHfr0$iLn;vIP_NlQfb$== zk9ngfGnF&$xgXBvpVq$ZMaVY&%&~Jn3}S7IP^n|yw-)!;jMO2>{x_PVpmREYTHP|| zf2wfX&`Zdj`&d{nu`FE+>i0Ti0`iB9X@1zg_#hfpvfo>^2V}_8KhyPX8EY)rP}oBH zn~~e4TC*>{vBs9cA`o7kNW7@sOvXB=l==STdcG}9Hu1M;N1j9(IY&}j z1i-HbdLm(fjI%iWBLj~Zk>+hIa(?I-QstD}j3pP$^I4)-SjNz{W!`)&1t9m0fqltO zA>YPf*RHEIy^O;Y)f|apsoMSgvYM@Y?%BX)j5n*LkBrJqmsLMXyfU=C#kGhy1vHCV@@WCx@}2+yRhx z!L0XUX0N?-@eT4UD2k)rqce?QxkUub-1&y{t-5i8L2A(%5>Fm;y2R0$I{V+=hp0U? zEXsM+jNj&Issm35YYdv@IZ^{Jx#<~sv#J)sd;E(EQj`aL{bHPrlNgHjT)3J*V3{U;|(w=GF@EO;}cQ4M8F2o7#NewDO^A zdc!zk_%B(tU?`vC7rbSScY^+uMRuz9nCmp3b0dyv&*R@t@A=nEdw>-cl&Bb!x_;ES z!Fkv1@BFBFsAXdgMIQ2&X(J97&st^L2-IM~c+dz1(~a*LPkw)9TZeC_KbRhgOUfEG zu1?8o{PFK9s`cyn^>8`7i9#1yi|^U8e#@BlG!N3B??^qy#M+}*Z9}* z&~@-YoEyS+7)1>1W^KDYzMVhtOXVSubXxhZnDd>P1Ics7v{KGYcOfzDtT7uAIjBOM z=4TK7y=lgm3XKEl|0JX9d#g7+B<{_0lrz^hLF--v!5d_M@x@7F*d&ckjF}D2{@dS$ ziViG~;b#|DPWRs6v}LMej&_Oo-PQ`dxUz-~3>)87YCWiwUsXax;=RAY24{Zq5)sRP@xVQUubuxB(C{BjBzsYfCxw<-Mdiwn z#I~;BeX2=H$e)DlYRTxxvs%JBo zJo&$FJsqBdNAU)&4(J9b=I9Y3*>e<=<3lA6dH*UPg8g4?C8SIo_9ea@ikwDqKHMU1Z4A#aHt zQ?88OHUQRLS?K;E+NnmHG=L>&96z$X+xn-3IEsF3nk&Mwt?>KZWl=FY0Zz(ZDdFti zEnNtyzJ~k?S+%y{mh04X)wBSS1eB&wFV)$@4Th$f8pSNK99SZzivMZ$Cogx3uxC0Tq;PjP-ArDeCv;YskoSxe^?Z-g zcS%w2gZFctyrw`4!?^?}7v1GTpVYbJN`|tN^w79rpV8Dwjd$wrZ0S4OXv~pH2&Pkw z)LEX#w1J<|`J;(GM~|4PAvenFrtNCMdy1-Ci&aUTB&5m$*9`wXhq)bmjo6>Bdwp3a zez#+rZqQ?*nVd31KCN@Y=w37n%Bb`S?+$a<@>@4&hZR4P@2#;;J}0Zq?l@8+WH{(m z=<96;S)fj!?!-H-IJ(gTU79Xi&_M(h)wRd5@+i3k`be)VB_yPMU=f~kY=%Zw#8|~4 z8-#QZN7xC?{Q`5-uJ&S*YFH2|Fr(MZ4yg+o){b7+Eq1-(p4B5x@uz+L%?eo&(6QcE z-E|=E#kf(WTvBUz88tgPnNxXcGkIaAjFMoA!F1GHKe88=-&D`%t%DBak zs{7L*dN zk&%wHaY|<A%YU@ z<$XG_2_f9|y<%XWj`0yb5=}`$--0#;PT_TjKxK_j^=>T@wpb-%*$jbxu5JUn@uY+* zIlLQ~(PrQF?25;@YwOp^ zbU2^&t7MYx5hJDVYQ9iit6I;R?xE0<`LbD^A|bMTz|0oEily(@Nv-N$UQl}zAPc){ z$u&kGqS3DVIkb(Xkq#x_z3?YHjnn$(k^bCMqZivw(oOYz zZ>4Z4xR9!J0@aUStABrVoV4fZtFsF6hpp(5g56%{D|NTCp1#*Vpe8OJcJtxN{KM-K z>(1+JO`fgdJJ8+M7mlUaWI`Ls-WyCzTG5{TdX_N9c`sj$S)=1R*alOb8#Dvyc5Z2k z+0|Pk^2i!%qc+E0)t^xiK2aV0d&0(eevFf9UcYgKRr}tk&SQF6iA|ipZ1+E_ml_t}xeQ#(Rda;qEm6d(ys63BdveNYFZANC^Tr>Hs z?=o^wlR7Q2Yt~!t#n57ZiTLImxRD(9f=QYN9pQwr*1H>QH92e;oB5p8CziN3PUx4Q z-cfxhf;XCdgjIY|J?PF_J*wKxyP4A|FR`>^y*KHXUbDqH-j_E7!!Npa)NrTBDJrx| z!zBynAXXIfhFw9aOVH9KQ{WJCHMv5ONS06o5*=+S?J|uyPpd8uzp8X6~6=QJ2iTimV`^%{moNT-q~<+Sqh1R>_IQK1~+gMG@Pwpowj4CC37H9x4O zh<%+NvOE z z7xbb8Jk}8ehmu6M=GaXq_zp0M#>m7CZz_87Q1L1aN+56 zkHQVN**VCxA!|(ao32mj;wLQy_~T~f>#`DLO~se|s;k;~8Tc^xN?&Wvu&F8=f?OS< zO5B66TgIsmuR%}}a2zyQ&36|^t`*V9aj%p_;z>$mj>){PrW;qjOk&HsJEeeBQ3^EV zqsS+-i=s>1Q^XcJJ(9;+2s@E11fZ6>Y7-8k48(*{4EG9ApTM!DnJ{Ms3Y59XZIP5= zM-et#W(k$RI4LvX0usAiH|f*86CM*5?W#577B7O@fF{7`-bRwX0GXzneg`K%4kd`p zDx+J8S?tk5OO`ut>`+cAZ3$l*PCpBCtKy1`T2=08HWx;!szhH5%BD{rX`Y0VtB84o z0Po2KSE4Z=_SThBGvqGto~Ti=BsOc9dZCHUaZe}?A9g$eoGtN1@21K~k=9+aKYwI` zJk|0`TEHhT6Fgec%}~;I($we7hNeOJG!aigJ8zN0db|7$#WIhwUM~2F)b2Z#!?Xl+ zqtA?Hm7=7z`zn}+i$YXN;NWPJWNcVyRGKXV8^Fdb-5)o?^ zX*Ts`%{``-STF)%X7};3gs3gSu%W79cft6BP^>{9^ihdokco=Ty{ya(Q<_{Dc8XA= z3{O=|v6;YrhX7j1xN+xrGZTAyA#Op`k44)WOBlOcaMCa+xXPj8cu#Ob2j3~A=rUn0 z1M!iDxP2>Ne=^ma>ret%eM$x>88%4O7FU)fp+{)G*f(UCeE!zH2^~CJKx&g*yH7>* zx1gu8(+RK>_;8vf6QSTD_C1Ug%0I1L7GO0(lT4yzPB7&QNSalYn@CmEu~)Q7Q6GU7 zlXD`1opjrMLW9b|-a__>*m2WT02|dvoI-3BBsusz5)IW;(Q$k=fxvzeZG!nR4o98q zr3eT=FCiTxp<0dMQ2yNMU)~hdZ|zeKZkv@cQCo7K@eh#I8DQNwEpAM2cZ~O1hc?21 zv46bpXl}zN@|#K%5%+WKCp2=LeU-{&UfxdbKVUr({3w=T$LTbC=jf}~rwVR%J*}Q0 zHUCHTo|chs>MK{CF*;vnBhOw|uAWp2Er~OG=d*FS>!3w@KG=Q+#?NL53|6_1=hG8v z;uG3KPph#yDW@k^*@;I-5A&E*$&bcIT($xG#vc@#wOdIgd8Ib#ZTH+~YR=1ak|(b) z_mBDXg1d9Z_gZe?%c%jf!`dz4n4N2aP* zsdO0F|AzZDf45xAta;ez*Fq2|B+jkkKE8@>ms`WTTc6;zd{0vEO$E2|GS}WkTeNic zMEhDNodru%+Y{+bq-#6j07yvrpr%IDSHqll7VPSxb*k^MnHq7Av3-blOTFQdznyy1 zondZwp}r>37oPdXLO%B4LVteWOWmp4q1}Ot(=`&(&tTeVU;(~5jB@8h@&|S%JF~w8b@nGer=Jh_xrb5FB+W} zBX8ZM5xQ>pzq=LEN!s7=Jq)SxoEjgOp_h@|vg~sYt8%vC_#br3X=>EZJBl^rCZUS# zPXO9m3KBl)Z896*kC4PU%WMKfaDP3N^D5W5ca@%V@dP{R&s>%?7Tiay)t#U1x}hrD z)lJH2*<{_utB%@}+4N4KTpj}_oqXiHz-x$kW6_N>~kuiv74-F*s>@Q&7w+xqpk zuc$Zs`v&OIEj#3$?sfG^?TslU-@H#gZS<=<_`A0sFYWr$`oymTtKapRo$BntPig0o znSq>#+1n3MsHNLBk=sVySkw0Y>+2H@xO!E6tx_n}2jZ(%x$!3xKYgf2+kJ4~KDCnh z-kNrG)RVgKN_QQ45;JNPF5kCAZmR|ywECGp)Cr8mFf)?Vf=vo2J>5@&Pwi}TtGl!g)GRw!@Z-G>WDanM= zdhE3$wO(=@E1#?X?M`)c|B7SI&XE~X$)t8#=Iy^88qTfd6I9zFuTnSaW^I73{-_dv zhGz#m->J6!RMT39FihTzZBIEleXTk{QBjs^Hy)xi8KhTQCUQ{#rN4`v=h4S_EsZRf z7PsEG548KScPgmyz^0#I#!>m(;iZ)hw)}(q-rP}r9``(I#Fyk+Iwbb4zm#!2P6B{%nmJY=J1_d@Z;yBLz**Ci+WCO)d zBY5i7mRd*jlMP@Po0YlOkX*#x=O+&ReB!8b{x;=n6;;E#%(u}?hO1_;KV_U|<*d5H zcwN63p6xuX9G|-QTrID?Ii3iu=(~s>pfPNV2H$)EEh@(z`Wk49Z<~ikPr|RT!|U^= zEDdf8W4QYrNOUgH(7F%(r{4>q}D1yJ6Gs{(+7(C*bn?G=!-HOPhPx+t?KOz*N{9V z(_ZZ}sSn{J=)Q?kB-Z>7{d}!o+RQ&f2U9e}tv!3*dteQMU#!8YrxZuJJYzhrwf#iT zY2~(S%4quesn7~~s(|#J=8RYVIZNomBPqRnr+TDgW=}cddfFQ8k;QM2Wu521cWcRK zS!lX-C!aLz(M!C>-gkOAS<6YShqp+8gmLjX8I#F!_W3Rm2d8j+>dbcH%pcGN#Wh9c!RU`vM`WnqgIA}T=6VIFL}~7 zsx)cGb2)Fn_}P<3$mpf|3}L;esB0wXiC_s0j*+t@*-h%L81yl(wo z5FqIWA31a1<@X0^W=ms;=D!wSs|Is4@lqbdsmnH-yyZX#tR1vC6S;P>^5U&OH0cCq^+1KVQTAXnfWdXWuz&f7ok0oAW;?QFZB+xCEdMx4W znt7rA4|#ptLsO6Nj+zWdq15KHiarWMNS1HUwk<1v!mX|HTT2O0Prt{U=%xHU(O>`a zDWlef6Yv{Ey|r>(6e zB!8}8?>@P=YsHCt{&DsvgnY-mX^rk+s5Lv3sE+35*@)IR&UKB(r>Vj}thPB9Ve*+! zD*8ExTsCvvqf&XH`cU_H``=oi<*p>c`SPT@H=O^}@!|Qs(?o-wRudwP$RC%(5NTTm z(j_rz@C>8D7g*C!xlM2r=8RLsZ*e|izZa_i z|MDPj$0hyZ?I5lsbd}yYmT7dMXW!~Vf9y$Uvy%%l40%32R&fO!4o&^nZ8V`*+uWaTO1I-FDrjYKq%QZg9Hdu%0b|)qm<~x~0}La*w=c|&^qh%|c6oA5SIvXa$gRer>E*H`jwa_7DEVZS4jlQUhKdh@-z0*1W; zigib}^X?k6x6>zYR+`7PRg5*GB<_$U-YmMkwA(t^|#mQx9-%LuEs zF~{tbqq|b~EI+`ALg5oj+?U@_V0Asy=45_d;sVtyt&NyfQzMp)Czr@hKKU&?!J} zew`-xWMM9KA|8u{wbTKP_`2m0S&8{#wxdsI!KTVMb0J)Z-0_M5xpgptL>ZmB7SRH` zT;bjk`v{CA$Z=_w{F)V7-Z^B_lJBtTm998u(`b_;xG!?s_UY5>vu)=Ltcrw+=I~p6 zKW_eHp}2w?M$ET^Mehc@V#t0e_MTBeM+rlnR?Uvga5LSbc&vyb?ZBYQ$)FLt}g zwSV1(%|ca5K3A|5BY^#$uOkIXEtq`b>m=aw&7(&L&#jGjXuQ8`Oo zYYbXP>FbWgS0eS)a%o%2?aWUv{Ebv;0oEFHJ1EGC`uE8WWn9T^JJ5{{M4xxQtA%r@2= z6RqZ^F{l&0XSi;c4kkp@yvHLdJ(XEW6qh#X-OITam<9v&x-EUn??Ddi7UvJSwEpWZ zYyk*J5QS~tGQ(QT|J@({a_2b}FiI{sguLX@s+R+IPSv3 z69B*NHH$Uoe^*Q5)2wtbtzPRiuCn_yrIaJ~%cH%D#wu5x<(o56ulIf-S`uR-YhGky zEW!8H%@luvP3C9Ety1Y?{$zVO=-x*|JqfKeK9aiZ`ck!Ahx~?n(%ix9HP++&_dM)Z zcJfR_D)ZM=@71$2lI9+#Lu@NKN8aGqDCxBZj)j)4uSnE$>rnT6OW|Xb^jnAXn(9xO zq*IPq8M9x0y`GcpN}g!O0BK*aI!Maw4 zt3WX}TYV?9*oSIpVQ!hX{PzF{H%wd5c$s}RZUb63%SfcMA$`PwCLm;rpQ036`-)`>-H(4^4+o8FD~ioo`2T7g;|<5)p& zyd72)8Bkay%5Bvbu!$>jGG_?Q2@98mn1V**fG+ykB4hJH(Psi1C8tJk4#FU5)$4Lq z)Zj#HpveK$7O={^HtYypNNG0&LIVqv7{z?h_CmTJQdRBX=T@*220< z`SurgMYp;z2?AQ~y;pES(*u@=A_ehFep7LQfcEQFG;6UaxMx^ARGlJ0AFUP)bpZj@ z#yMkUp2-kR>p>N05tBDRL0cVV+`3mSJ<%%NYiS=?=-r{t>rsNtx%MF z&H+Mh!@8j~4R1_EpyakEE1{PqU*aNOtXjq`HH^>??e+U}uL| zqRDL-N9M8py6l9voYB0nxl23IBb<c@<(!Hs;PyHkU|0Z=|v(8$@sLzmv zVJ>Ty{Tv&z+mz)k3u-Ho#~CmrI-qyx)s*Dd18htV`fqfL+sJ*JUhrC-}RK z*$i?{Fv_b%6T>PtvM zd!8FQSRDDq9_|a4KP*Rm;Bt^+heK7m+K#6+JUkRtQw2!==8lk;ZDh~8b5MwJvNKB&F9a$f9!IZf>L zCOw(Vc}3H^`Q*^+BU|}~Cq8h=dIo6vf34*n45xbKWUp0inLGpxp7fRXIUgGJttQp5 zj&odP0*rr#x7PWK-F2FH*7|cL{>So?4IxIkKRcjR!Yj%3Ej_LE2TjjgF` z_bo)$(4FoX^FBVN@&Oett3PcEgR0Z zhOM~uJ#8L$tr5Puv+uTSeL9>woKXAoYwNd$%wdxFiJTnh9MHE`0 z9iD`KEae*f18xIeq0y@zag+L09&xkEhS6A6?{kh!*?(X%t?iD(SasYx5>Ef!!V1)z zC$?|$*NmOEKAQtxRPCXw^V@cPGe3~7?DEzoPV?ha>1S85u3g^y>#JF)*IjuV8RN(+ zdcV3>oi&vw;#XA!<)6P3r)zS@olJc#pH==oVm^JR5zT+x&U|zG(8m)8dCCT4_Sg06 zyw>scO5zUv0U}^pruHfR+uT~K{8U4z)l=cw^pMwgX8Y_eaVo6eCh6K zWqjSn{(;FvoUY7x^aJ5sIh)$OC69=9+-nG;_@C*8B$VPm=_K2(+6PjAm|-&s>wwp_ znS>HM1G<93gzy)u)E@&=d`i9jQF>HA|Gh*wqn!W5zB8Ey3UkC$BZ(#q4!_N7a7OL=QRChA-vNvDdnQU6qwrS?v4}Znq z6mqWWjc9%z{ciqTe#NoTO^uspp{ z-zI*20?Fa!5p^}+23bFCV3qU<`UAgIUTV4SZ)9~*{yu+QD<8tUuBN~FksRK1`Ax;h zcweut-7|X8gRNt&-@RBzkk{xZ)dU{lxbt#*%hRlyc_V&Hmn*wwb{1-?cK&yB&kbqk zzb6A3`gw}2-nZ@hQx_|>ecLkifz+z=B(&pcd+_`og%T;0L!@uReln>r?Pd-Mzme1S$M;>w4CqDO;Wjo|`*^N3B-quDP zspuwL8liY=v=St&y(NvZh6il$i#B{$^PKDXKveN zzA$p`mFIiy7Y(uB?VR2h`myT#hSPQ3SvjP3-TKxyqx**TkBaOg)Qo?EU-!ET`W}|I zJd7-?^23?XSF~JxBSlAe^12ENBLNA2+X4Cmbp@CQ@G!Y3cK^2D)R2muK0gq*20x?1 zq`-EU?W<7zhc2bk<1jE{uXHb&H)HYlsn;Dm$am$5NW6gl;N3rXa+*VP|1J_*(0;H2 zEePwvYn9VID9b2J7=Z)eR_vWN-D;p|{H%7<^NzUMS=|)JJdva6v*H~EFZm#!|x3-*ZUh0ICWF=iS#Eke8@!C6$0P(d<@sIEFE~Ak{ z+(l|IqxAH#8|~UEb#)L#VWKBjKBwBX1P;;eCmyot5HF^&Wmy}AD=B*Ad$oPai`Emm z?t8jxx`QTX>64Lc_j_XMQRDn1Te~vvTu6}RyT7`w*5Ss>(;asFYh>C`TJBK2_x$Yv z-r@M-HNFP4QT!QW@KN1tqc4p%xyQVj%x3Qh4!m?|FJ>L1A9r6eMAG?Eens~k#`Ayo z(DF6PufecPF?AOSEj3jWmJ4vA*V;Pu(R@Z z_c>eH4VNQ zUoltRTQd-qblTYTw=0w_d`kC6XuEq5`sM+-O@#KpM91SvoqlHfetR4`0^{n?BCt+t z-;^H9@zz(&m_4f#h17G#JSy1Ll(T|9!c46%Od`ry*^Im__t^*3Nvm~2`(_*0EA#={ zb)Qy99M;o&`&m|_zr(dL?H?0dc!4AB*(K9@yh@ytYKrulh0d^H^ktPuNxr{!Nb@$a z=fieq&=DUsMdEcC3tnIMCGVg&;dDKj_~p?Ll12%-HRxy(xm7I4FU3}o5#O3O#gP*YAF5> z)8E+j`y_ZsyX}8FN$O|MylsN`*{2#D#MnP~z5gQ;r2bVm0eFkIKzscBx3vOqXFyFn z_O|oj>8F0tP4KjV2SXfH_kVnlpMUv3JP7O&50%FLT?W*p?Avt6KR?Q@2RHn?OsjwQ zQXo3PqkvHOe=CLO2^2(wS-=l0lk6Vgok&*I?VODZu8n1#D+mT?A^bqB16F0Y@Yb&_Tx|TlaRpyR zVncaY^kG3L&FTMSyyNX(|G$2Z`h+6Zxc}j+2;CN*rt#Id`1aRhx0XNX^sd(Bm(?DK zM4ySQ0(^-H?FDQ3{6jrFM%B7(s&Q&coP{{=;eK9M{}3z57IK@ae$4T0e_j64(2h=* z8GYYpR3ggbZ720LohXiytj?Z-5`D)-)3sAikP*u3DMqgEHYma^$_JJ16^D&#z0je$ zWWEsd@x!E~-osPU@Q=E$m`#dSl1dQn*c>LErnZCD#J2d1bE4k2*WPS(w%xpP-vw1{ zSLXYmakWYdt>y^uu=b`HMs)y227ooDLR)y%L5MMJA18B~d%j0r!&57p_;8G3l}z%x z#EN)=w7R1{m)M(0v+7+jNe=-<;Q9=|q-)k1BRQ$+MA#7)s`OWcxFTssdYx1g#EOdN zhv3aEo>8mR?KaH`ZO*av|1>77A^n*MZ4GyyFtL`F>ncwzrw_kV-=Xu^0&48zK4$P? zKq;(mypT{8x9QlaCz*e3K^@ZG(03DLqUX6+ZE>w6}y&{y5V0m(PWp$2xJvq zL!{<#tSCVwk4i>yvm7wOOnbL}!RaOIJI(cQdHXtag)E=nx@emIlNM=JXK3?vXNrYt z%qVtUqhYI%1oCIILGV+A?a(SYW>^|Vqb41#c4WbQkxW=G8`JuiKNR)phTI4x=nVr5 z>6cZ+crbH85mHhkN(24px*T29<+5WKfQp;nn9#`z$^x#w3}6&?j~jVaGteUeJeMvQ zU{v@3UY5>eIAeAIVD1ySFsCMMNeiq1`{E>h7786C_XP8pa}*@AZaPArXl$TUeC;1E zyDxN72}ycUA=hGLn)VrKwV$fU99fyS&4d!6`vCupI7+MEbizhS*33K)hTMg$GN28+ zt48xlMPeIOmI>kXWU63|nE%YaDP`X@HQ&X0&2D3_p6QU;ETiE^^Q{+MBdv$1NZN@( z)o|Dd5%)QotSIhvk|!q$;0If3YQi8nI|aBnv)V09-_p*wUq(W2s#?2eb{?LS3GVGN-EWZXehuN@8bM-{MHx{P-hW|CCeF8?P zp@nW`OeMR9%t>p&FlSR2t%`mK-%N_%e*cbfz1ek_ZRSy5s%}KtEFk$nL-0DRc40rjXd9$=^LEKV z%|e~)yl6_J#hg}`|pO*7Bz2DB=9R_Yq(;y=Qq(O;S>$VO3&>f=NWOyfE&!Ez97A-XII z9C=I(eIYPGV6d_&kZ>Frqpmow3#mFcqF&RS8?q%g(riW?P4Jlejdye`TgQz~civ37 zOeDp9t`Zep!PXVi?f}#mY?>oRyK1l4z)y6o%ugt7j?7{C?|}?I!Y*`lObhEpx4`o!uxY+{5INnI)E7tVMKobzes3GZiVG~X@ zXDxJOf`Y*R;I-&km$CngxAy^$;yUkzXU79s`_kUsVNk{j!8>QqF0;E52%!*N>cl%| z&n~020BJ286}yQvUduAEV?&AK>)U+Z8J!g?5C#;*A--uVEo((MPKt@*>zmJQkh~;H zN)n(nm)oabYZTdadz}UdNvNN;`FnhqLEXEWrG>d zIKtP)&SH%yk0`XuvDID=vxlw2`K{OM^2B5%8ua?C3B4kP95Vyy zo{j_$vAVwVN$={;?_-U(@;&VlC>gt?lw3Y%wyTkV>4yYGM2{OTDa@1zGt(*z4am9c zSplgHW`;f*3Y1)9EM_77vm`MzUwGBnh7na>*+%hz)ycp+M8*j_6RlH3g9{0>j3Pl_ zl{_6`7l#?7B$8A9=-G(q+cV{*@464|W~WVz^KjOfoq5m2N#A zFe*{ySTJcHRYR}vpwZaAoeYayXvk+#6Z z8&WS4$D=J#1V*fd?d|Z^G$=_8T2c@qY(mFbPzk_N56gR;g;^ zKYBy5LS_Kw%)>=$ozRpG(A%8EgRT&_W2j*I7*d*)5yFC4H^OR{_jus;aA4Ghl>;#- z64&pGg(=n^owF1{8#emgl1k7H39j1mVb&q|IHPHqzSc$~L4-sO%MnW%y>(O>!3?!r z@U$9~9xp6g-_Ne)S9TWIeKdB4ygk=*);Y%3^Zwc+&(rmrE>Ti54ygOs_VJfGo$BZJ zvfoaO(4rXGYted!=E^;@YsWX9_r~q){G$iE)iX!5-)a0EeZ4x)!rJQHxATIX>i=>M zF_k%|yxwZN{f>pN?YO#%+`&&Ku5HK-{h2eC?e4bjnFOEEHl3{m{gV0KAFh0jhCgQ= z+<3>_?uUCa?{_})XNhq-JL?|i#cykWmAt2EWGo*W(hIHg&*j?+?$e`wV0BZzhJ+B&%!N48d@3D3TSEv=jMA3M^Io3z_~pT6FiENRtzs|7+Ph?2cPDSuM$iL2stPu#av zJn4CsA2Gecb5j|X#AGh zl6uJ8s)DNhQ=9LJt%u0~9@6w9PozG1urd34bf)zuy85yDwFmkiuUx&m;}eU-{YYDi zbFz&%8eZAo zpWe-W$ku&z^_8r>zTxF|u-n>mD}epTch@|`_pH_R&{ruaG}Ccb|D>(Y(64@r$*!Ir9DESH4$C#Ye6RvHgtcCn^5+ zKh(dOdf@5C<#=6=Ax5CT|C3)OK0Xa2z>da1GfS-kjmXnIFYf`U$G1|Th(Fr0OD^xp ze<^Nef3_ua7ko4JZuzedT&Sq8ayo9FzLrH3&$Qoz*rD2vp>F+-wsEJ6cef+UaJIkC z_>cwv-7W5S^DK$?<&ea4-y|^oDk*;85wB5O1MX!xYvJuykd)BJS+TN}n6w zC1>|qKach&*%O(c5=4UxeyT0yAgts5@Er|{Zst1YJB#jTf3h}~%60Ko&#zpguKM`{ z4+4d5ysK@7Ohwmd|BbHK=nwn5i>X|D;}zI}aN~~u68)s!`}Sje2Ewu9dagh5LpS^Z z+PDCJ{eN1^&Bk;4HTiUpofk5A%iu9@iDC63ukBvbzQx`zkVN}!`+S_P8+{*-zk+}*9}&;N8wR{Eld(n5VU zIb7hKwc_X=?HXr;=IL?XxDWWQ#}doRf60cIcfMuscZ}wG=P>MkBO-o&{))g_8n+04 z-?iPgH?m(G`gd!=!Sw_$|NBKiH7` zjJAy@YSG4S5C~17de=4>2iqe)|J3PzH5EM&-tm%AZ5_qn{-9mV)>zp4aTj%GZC2wTs(G^nTMul1v)Q#4Ixa=n%UZD z@@M@QCB&)}DrcXVaWEcf?Bt%nWyTBywOnNm9Eg*S`=M>Iz^Oa~gVx4W+_XMq?ZPPJ z>X>;s%YG|2#8hD3ZFjYTS7yfq9_;?(J5;&h{6@t1v}jG&`oZ^CXS_x;>=^sBtyzSt@0ucuA}Oa^;jEIU^5dK$?&FcBXu#SP1TSk?-_jJ7 z=`kwbJ+iM!+CMGQ*C7q!+CN~ubVtL<_SaMf2A~(XL8y`9Qj?0$ki`X4|#I&w+6s5#Mbq>6so73Nf%5mGzU(6tTAEQZ&il zLWB=A;B3&C*8)%%R!r78p3@zr~V;&i++Kq5iN!PWOaCK&HDpCh0!U z)y#da_9!P^Jr7eki|k?L_Z#H&g$j&kB6dmj0$Z7p%oIp;+3Aly(HJQIw*fD}Kg~C@ z!sZ?@V(poZgK9}80_l+nv8wT80MuCVc;$M1ONyT5uN33Uw~CKDpm{0Iyh-Fk{Ka?| zKhVk2)7*#$Gt>O(`tk-|Y-lVLw`hrR{d6l&89IM(vVSyO2m5$-d>}t`B)herTxOz! zs*h;#fcX4g$LbXy*noR_vni%$S=n)qtmnf@_DGJUFJdEj|J#~ezAyy92RS({`-$wh zmCg+pJ^oOe-DUr-21nb6m2%-bd3&i&3{E{-CUvd#F_2>kZvfiOFIF zR7~nYPf`yKOD$exZuCJpK4@ivhdKiPI<1AZl-A-#K*aSW9wa{?!r295#8MK7hKo=A z?}>-F5ryzI{8%&kV;BqYv*!Q25mbRS+tQ+F_+OXgy7}3s!KJjugk9ucc%6R9$aEcy zOuuFqLBOUK+u1mtOT0;5qDR_K(b6UN2kJQa5*_+nhxlT{ z>V~`_$8O!0e7wos>$DpUzbP_{wU@%8PS*_b2E&-+TfF%FV(2}w`EYiZ`*2N5^(U@^ zhd0XIA{#l$F4bMj1gFy*?3^2$4d4D%b5dRXl0~#OyHzdEGAmA6Ij7|w@no)dm$`iy z!2rq1jNq6ppCp?q`ccse##JGjd(8nv;H8G2DVm+HjgBneXK#Gxe|%JXKXM*6WWsEm z3S*^aI&(&L9@8w{Zg>r|RN4t#Wprg=wE_>)G@zJ7Zk&XhhzwS!FiF&aM6WRoMn>7V zZi?_on`*K~;($h(!yB90on=Ok*sqgwIt_2w@Hz`Wpu%sM1zfmI^E!nc?|hRyWL1K8 zUTg3ZjR8_6wK{r8`L8*&Qq=ByjtyMVM13c64S{>u3Y?6bQeZ`9|v!uRi67+Cj z#bKRvSZ)T|VzFlC0xP*d_-K(+o>sUDpgQ6$?@+Q)1!O&%dF`G56Mnr`jLMzv@>2!t z1RrC_IKndz8WJ!-uq()&awI@P3YZi+)N$Yf>=d$yP!L!G97JAci5De33H%Iz5eBVl z#s%GEgrC>xsOo3#&rtJ^I*3dj9#0<$#|JiLTTM1ta9d6qt$BHXk9j3m| zh-iy^(nuPre5xr@99~06i3xAxwkDw5=7;mAC_f{+#)}GzDTJ5Xv?0SVtcy&s*eT5d z6gMc5Qn^)>(^uRsyeU??#JlOio*b;w_s1952D*k@BOA@N8dw-3 z-^>oSkTnkqJ9Z5p^YGyc?M z#~t_VV0CVAWQKbWc_(;O0WM8QPSic|TQ5XJAPc8+Qc!(JZImhNxY_Bo7SKIXNeC`? zW-6M~Z{aUiQCgV^t|6&ZXJ8RRmr81g3T4oQdW?7m^(@~bnK7vZh?o&H5~27jLb;L- zcE|`mD@bX%B-;d3E_W?zbluTLuvwf~>e})=yvEq@3asiS%bPv~GvAfW)oz zJR_fx)0&JX13nx9MFos8rLr70OUou`$dCyP+=G%ii14}e(&SSDS&FTUP)rvgit>d9 z37&T?%r-2ffoKkmgW(W*=dPF>E#@AM>`-3M{0sRn}3ZQ&ht6rg%Gp%0;33L^_DwiV$!BFyK=Q zJ(cr-;mE2sN_7x-YS)x(qDSK;w^u(<#2G>Kb9CzV}u53~Bvg`7WqT0egKsASl z0-=+dqBF~gFusQdJI3tAv!X^HXP7JdvLPi&r`ilt61o*B;-_SwDPk3uD()$U3LUo7 z)6@{=DU$a{PHqRKXOrJ$5h9mbD;Ztpww123l7fu#mrtg^5wt;KVaW=c9fZpbS|Hq3 zGTgcmviS1281{%N4{p+n#HDE&>JiTGBqDRXPnI%cRDhhB#Gnuls}VMjS%3|CZnHTw zV=CYf^58OKONKGDAdpnVuDSJDw~60x16g;?AxZ@CO-Jj5U{iEi0v3W1#YLr&kr^d( zBlxo`{UP6-gmZbH{GoURxN~;l?&k>E50n*)@I*7W6i;?^J?N;dDiIo zDP{MZ-{feoj}BKdeU`sY zki*bC#P<*fCLnP>4o1RE{gDoMTtr^7y|%%@j)CEQ?6u6iIr2^O5SuYY+h9^NUpBFC zqmMhF8>h$jlIQGZ+ilK|>}6lKuc-O0J9C@uX6m>kqkg9o8^jcij&EzaK%LsaZ4X>y zbgekV&&PYjkBq;5ZrSgKcQ>?#Z=YFNr1#PAYW0E@eUEw{8`#+MbNcO4{R#txHiUl#$o2^u_i3A%WOQqMQryjh#5rAwwSGMXRFB}YeY2@ zN8%5ACwrZ^YrS?a`in#s4N5;}ZyoGx(9zjd>We zMY8#d)^L~aEV@D8m^m-zeY z`u8tgfgfq^0BDi=7gGa=ypz5oe{`LRyvZ+iKs>dcr6R`*m2H2iDU#A=rR^n)|xZ#=;9S3yx9xO*V^gX!+k6QltN z0pxF04vEDa;0mAi=VepgX72)qlzoJz9!kowF;?!)u8&_+;S5{c#^K}ols!#SPWdfS z8&2-2ypzwbXI~-Zc?Lj@t`{}ukjL&Vrq+Jr1HakW{lOI3d=KDL%PsJ2)+a3d(MgxR zo~wSUu{-QL;5MDh!5Wcd?=axyq?@l7GpxGtY*E>K&pgn2GQ@TkQ%DNHn`&VYO4q__ zeI4EWnqJ>V)AM$+8m%wpr&bnYC!IHk?!nFtNcKkuo_cc#0h%B``9$$4P-)B~mGCQw z-xLRJbE$|$KkHA{rzLVJO~JYSS+>)%zv&~BWk9T|{>ogHoQ5QDQocAn!#q%EI*w-n z-eym{KbXB22+cWu3G6J(yRy56K|KPiK-Z3KTM`!XQpbF_J`db4T>GF{2PVU7yffv1 zZHVuh+E5;)b7uNv>%vgMd~ilx=I)x>q0U;38}-?{$>x=>*znL+SFhNd=_w`q{4t-W`%b-ob^QnEddI9M&# zSh@(Gq%83ANdkG0A}5{YBJQJ?pWvHs$(bZj-vx*I7(J=qBeEc=8H~-e@*qIU9YSPk z_jK`zjpafmDWg0-Rek~E!;Ao2#U|FdIfg2a=Au5^7A8;OJ5PVB_QG$Tt znx6qt49`V>_RnAF;zcBrMPQMUl<(%N+*^F#`o#j)XW5m6bXPiS8~VgVG~45)D0pw0 zklN#(`K?$F^b9l-bsmjczEG@g>=GvOv|AV+ZWHPEyV_nI+PEW1xB07k5z=&N~h%&gPY z%H%!8{>HEAOC#uaLF=*|zV4&l^II5_bp#tT_n$E~hZS;Q<7rhMD$LW=?tQMX;lVHN zrkg*YyAvzX>{}_KA#$e6Y%>D*`6^>@pfg0NhDeLk_tS)rNW0lP5zrq}vd{8TfnpWQ zaNESE)4g!}m>{7Vk$`u1v!QPO9~5|rh=T{W8Jll;14>8GiSX%O{<>$`C)H!o>|d$1 zRc^LY`=ELn6kyOUoffKe&R($@DMe`m!aiF8fVFis;C-Z_|E?NUMl*JsdcY9bW0r1# zBXCyF{-r}V=w(futIU2cL5{30E9%?ukeJmFqgY;^vPLXBI*Cn;s+V;Vh=T2}v!YOH zo1%q{Kn}4Ux24=g}FkZSc|Oa4RVO zZ_(aQ5bgILMF2ZEaX>j;Dz7Q3J+(j%JCKu;Y-cK*eOITsCFJD5@;*ro}^l6)$jrA;K(=-9Mk$Aa&M@A~Kej;%yVj`+XcJS?db ze8WL(IW2eTR7v?yo%jW({wGHo@Wrnk*cL1uOP}#;*Pf0q>zFeLxCF32az4lqy#{5s zmnkEybf48Z1}DrBDvZyXjpIq6S=+SxG+1YfTSV^Y!?P3G7MFbc1I%sOt=asdJxeoV z=7{mT`kSI^9LS7Zu*V#psPlCqGi9C8v>pPVr=k8gGSnyv;&g#d;&DbiCEf1mDR!BW z!*-;H0<}10j`VmXZN5NSG^x|^u&bx;oaq| zAzLU6A+HzcZNPy1RqZBLxksy2sgZW@ddlDc>ok)b(w%a)K zd7IJK(3)WYWZTF}MK3UB-K%_ljLvQ$Ba2L7dE*17dYmBu!D9KbwyidU`*CSEy!)BZ z*YL=gZdu4wse?lNEp{YVPl(uHyw|d#!pWI2WoY)JNPIX@An-t ztbyx>)I<+TqRQxnNUVzOlEZ{J`TiSf|kH^ zL`UbeQSXXss%zb*l@};dQqQ&O;c`6O&bdP)a>KA9>7kA~5_YR)xz8xcQEVeI*H}<$ zk#HyR0wf~SQTV7YPkZty`$@PKN^nHph1?D{apMT6A2&U>MBvs^0`Vfezq)`_#KW@S$hlx0rrAAa zO-swBlvC{12`YtFq=NuDLMtQ^2SCXq7=k{|a1gmX;QSA-O*5?{#U|V0n(iuKeac;q zLNI_?oZXgaV>~hrG%Z`8c{%T?TAP_UVs@VMF z)LD8~o3y~>owk&f3=6nkaonOu;w??^jy3?qbmXWYLe@n&PT-R#HS5`6iOkW%L`3Km zEh!OfS!)jK=E)_Nz{LUyc)6?^YyYkQg;BSXUH#coW1Yv>k?EV6DjF^u)q zz>~z(&2_m0g}h^Ru;3OkL67&aNF8>#P|$K*B1+cL!ItC!O6snC$^moF&{&bX@!JMZ zu|H*_%TEkHNISkqhUEA>8PZ^3az{^^^p^O*Ao{?DRBwR5?ug zz?)0b3Op%^ZBa8V=Y)*WZ>^TC-k4INN7{_E5>bX^hS{tK-l4#ESWb~5vMXf)Dy7@B z7L$&uLD6Enc7KjC#S|s3zr|%n=h!;C1&Hk_I-;a2ZG#d%kqL>pclFrfb#5l(t5&@)nn)`Kdnd74J0>ED)qzF-1P0sSvdqBSEB?%Zbf1l z87e&}pR?atyH8HEMFAOz;}*Z-q`nhH5CC|jCsc*{T%uQ8Yz(pIe2 zWQ(DxhXRbT94**L>#Vx2VW$m6NIVxTE9~3K1Ox0l5M+nJwxbx5=&~aN44;qYEVr6) z04B{at1&4>$)pSPHkgnTq<{u!WoWbzz`#4|!4_e~6>8ZTC8RLJiXbb;LGX=WvEmLX zm(-zUCF8whRq|Y3d0yO`Y*9%x5Y0{ZOS%=(EIx0)T6zou*i8ECqg?J-hk=b z|4~x%kf$JGEqv*42_cdMND&>D_S-5bB=_?F=#6-)@~{}AJO-rFQwDj9#!GQVUB_)A z0jA!|Wwn<~#9FA%@_~B{YOFGdAwcaE5cL)qHoI&`0+9?Hr^<_LoMd`T+YqiDvj;uN zvL8^QN(5^;FD>(L6ONfnVFJ$3LX?ydxuoe;8X8qbH3a!#-W7{K3PwDD*gR5a=+c~= z5CYI(RMGRmL~X!|ehtv)33OXpp%TnA^}~h%a5XJc0UHZR$0;*e5c4`3p+3--Nt`v2B7^ymAx_DKGqUX|k?|*W z;MO&N#CoeJJEyV$EvVA0(FblFA(h%#M3&f#L|!|LC9VsjqXA!SA_Pid42_XK;);;W zgc+;I$WM{2cx`#pt)*qeFG<9tL#pSG2O0`qzPe4~AteeJ7$*!C`U{4%^!hk!QPrWq zq!H`&64p5;+JNHTI%v44yBkB2ZebydyYFri3r=1;=$W8rN8A*Ra0pZ!Z;&Xy z(J-XjWzyveR7PBms4}HR+v;K&RW{NZ_gGtl(I9`6ep|kbl0KUD&I`qKA@7)N;UANhQDNp{=_*Sk9UPV81ZSYO zpwTt^`llNatdVKO^kqJ9o@oQQb$sAWBGgvaOrv|qEAjpz62FtK_h#s|o%GCNS-q;+ z2lu62`0%s}$?U zifrv1U(X%({9d!@Y$aQ&@x5jb9a(UAa8x~D3AI#lN!MFg}xiJ66SLl%ZXx`lCmH*POoew@Q zJ&I?5fFtf>`985!MgV2mi!Us4QmA}6&)lC`Oeh9=C2;TV&_x!%|BVK?xQK*2z-0XVxqQj`=#Bv zAFQmBx393=U8}yG z8YB;eBHV19<;4etg6;&GkiE(WQUfZtq#xIOFK3;y%?p>#?H^}DsO6)bNWFS>b;D!D zz0rX6=`Bya34c|HM7RFgUp!FU`7N-?ck4dwIFQPn(?-{s)73bkj}>Qpr}M+iPlyR1 z$~ff7O*QV8Ptup2o{DP%FZ>gNPC3BMWZ}gVOO+ZbbT(-afVY9_2{=3((0G-sBFfW* zl>g!d1)icWKnH?|x-!A7KvOD|9Ul^;Qg&@NJ}=Eu6^# zik?mp>2V5I$*R#c9lI^Pvnr*L(%!7`J4WP4#Vha=4tPI?1uQ?@NMGggLCH$W564?p69h(D~N}(tlT* z$-tquDL)0~LZHZxq7vZ$xxW}iZl`6_jyg^D{tx++P+p39>TMze5=r3N&=e7aL* zy+s&viM-VQljtm6m)+-Kphsx9J;@LKSk7{V0B_`wPPU2XYse7F##nk!aoo|RB->-Z z>eMziPU6ajrYrev7(%b9+gO60*0f^2UKCXTyb_HH;Ac^4 zDbknig#0>fgPS?*J{UO?&*JLJ-a(k6+*q)SZ$%uD^&91j)Ycm_tn8vA~Sqr zK|d11TJ=*uk1m9TlO1mqra)!>Pq7%tiLO(M)-EZp*HN4;yaW^;-|QM9KCy1gpJZBZ zB`Kfa0;~EO3-x|86;H|(#gto6K8QH8Rk?;t%d#Lzwoud1ytO4Oz>N|McKI7y(?Pf- zF}Uo&u^KCy!^~e#bq3RTb(IKIR7D|&t)7i2ZFUkRyb`0kc<-w9Y^qh9ev?(nDWOT( z8U&**32v0&rLLp{u87Dd$nvy8PC=1(@#K{MG9e|r0NObgknnaa)Z`dGY?`3`(!E5I z9{-$Dw<1i74_mxq=EFY%XH=Ff51Iz%f zr1B1N3%BwCFt;ZIBWQweO7&SYy?QzPwt#8!4n??k3Knd}$}^#y9c<$S(c%Cn6!9o9 zXXBI(WGpmq`Dig#s!HfK>C3~Cn3M$mOkWxLTac~*P`w$><&&-;YtFynD1meFFa4Z< z%|-cU=Ghs`cTuiAcGE@qotJO8DBoa@|F%mc+f(Z z*(11ufAjVbv%yy&fP4X}QSBhZMOSD)-m%PE+LZjSzhM)1Xqq}(2T^D z!$o@yLsBxxB~X%!hzZc=&3I6d4sa2{;aaZ<%~RMA?{$Cim$ym8XkpeivqQd{i9QZw zQnt<33?YHI93lo4{XFd$kJGA=ea=kGa5<;7!F^c*zk1w!sWaSTf(S&E4Gv$~42kwo zlmBgx=t>?ecB(1l7X${O3UAF_Jwtz10_Whr*zg4Fi<##TX6^u z5Cnumk`x6^YlC8ifFSUSD;=gl6rkWGYQ+`!fhCrG?7enil@ii6z}2`d43r6~FaT87 zecZgILD42GQ~;sqVa*Dzg`@(6K1|A%9hTGYft#XYniH5Npf4qfL@W(RM5qFmgycD< zM8a~!3dT`VF{BL7!Oo$oMkuI>>f zCBqbaMM!~mujO6n*3Q{lx<{B~mC|L=h%47kVs&Um=aiFKju9sH8M(HrZ!4oFuHkHjvqQ^S`TuGE`?%K-!K$mz8 zE?ZV;eYR91`J*Ye$s~ zUI-6E+>U4byMT$gCHagOn8i~e8y+i?YD;zk*RcSQNF@Tv6F_!@LU4#a07v|X(TO+D zrR6l^_FN9#7Nv?BhnYo!zjWFP`XBG#jCn!eyVcpP_eTQ$ItWO*bb`wOdUW8RzGEtC zXi5{FBza{;>H|p^rWhY&#yf-*>90)tqxbSt(|AqVuPK#$O(0=WG04Ij&dh2KMMn!vIZpWgWz^FJ6p z4$`=aj=Lj4aJBjuh8C2xvGpJ2O0cXZiU%z{&Uug(1 z>UZNVPs;p8DG*X1oC2=qtQ3ImJY`53v~o@^V?0SJa5NDn@VmAMKCVRoj%HYTL60bw zbOa5l;u(y+j4flTaHSH`1}cy>1BInbwqL?tkgm#(=bE6xeU zm=12S4P134?loNdlMcK9Z*bExID629cs0Sqfuea znN^AV(~9}JU7?VCH{zGYk^tI4g;3^2WXbcjhl|`d(l=hpsJ#^_tsqdHOQGGyB=OrK z#m$J^BAyW#zPQXKwGoS{@O#xHni&p7Ou^@8-U6XYRB_9?Y%SgJ?&I)YDoWA`@z!9l zk4gYXpuiex@P}D?feX{zNhSz*Vom=IOE*Y|{$W{{Nzvm_gXmur(60nXhd`Kg=oK^) zDh)K0qcQ!?#d+|#+&nKygZ}-#I9Q#2*W5hs--h#@L0mxdO42v}B<5>wVCdmw2v|HE!y(hh(LPd&bLa@P_Khd}^Rw`^mrkf0 zH!WS@{?NGmUshrtCP}9>yfl3-j4cx?mcqlQ{I*Ri{wqq8Ve9|lqLfD4q{SpUd+ABs z33h>ZA6>c%es}EqRPFuPhyB~D$W7R^G>UGvsAQla(iFw=4;71@ZWrYV`OV2aiDIt9 zc}Tmo%EasZW?Q-&XRQ*>y6Ezy5%kN`(jWfut$4)p-}lP{I~>fu{yF!?Ih?>jDZX+1 z_dDTVj++yMzw)_p)Gz!U0tV`xZeKL%2Y7y(Rlm{gI^gJO_)5i@AUIMLh#fC233#kqY>Ul<)uT zZy0_Nd0JDJUdd4l$xRphlWC4~m=YlbIQ;r_5uEnz@wkAw3Z-3o2;XLJRDG$IX!skg zlhv5318g{vX^#CyY=JtL4}i6Q~QO6WH6$n|^w z333vSLxe1vYZvI;EV#$i@CXTD5U(_37_@iOrOON>(Cy+bwDAV4b;6_t@t@!Gry&5!D% z8*~wwz1_Us7z+2R?RQ=<1{zG7JK~%rZS{nbxyU*%vi4D^iZhj2eaH%`UvS5e1t}bN zUjsSLfXndW<;^Vf1=b>(>!S1Kz1E%ndv){n=+b+etqYbK6KS>ndM*It`Hp}R+Pc<||!A9@SkSIuK98;!}&Gnh#NfL9`fQW~ElP1BY2q?fEUW9Lc5tV~xbUTO04jgz-G z1}2Q}f9uvEBLG6|gYAd>;@%+O)1l_c<~ zUgZ_3_NKT>ImktF}8kQU&kTt-g-peMk49zX!GDHN&O%Yjo|Ax&~wGoA%T>0VF zHE?O(H0P{?O=}z5UnSpZda<5=b?(l&Xl=COp;+F26JmmP2jE8{-U4w*e1XWNAOvWC zFcGZmH>drQKX;?#SKjxH7QNv~{l>qG6%-ty2482f z=nyQtAfOlWkQIiKV|keWrDWeMwl}$#n}K3T2t=&N(>kPzE% zMQNgf#SbCU1c?<0B_%oef|oG8N)WPa25*d%rT2yZ&{Nz7wD3hxdK@%;5iubmReU*4 zzWNX8<`CIs}z_X(DF-?4DnF>di<+bZ)k1bjKPdHe!hF>^ACN3 zeS&RsqEk&T)av_dXRv;Hb#8{$M!g6I8JZUbHj^IlJcrPD)qBDGjz>MOVkD5AumYi2 z5^9wB4`=_qtHM6xy5(2e&sV-`%A_?(hv`&q*VMqST0B|vI~~Qjp)io`CIE3T++~er zP{yGS!movYvC+7BkYff|zSk5!tgdN&!lB!oPmttvQ_7B>^lJ6*yx7U|W>c^hw`&~#WIb;GuKu2PKxFORsjEZYUP{3*iB z5(b*hN>C6OYM7-lx%c)q)~vjeR{-bOzM%`s1FOIZ!z7K98_ndTlYgG)r{de#dipa` z%~z(q9MJbl^i_s2@A;hyHRgF9=4D`kO(zM4ocWd+45_jQB{zNhL|AJ7@sNU5Iq<$i z9&7hk2L8ZsU~Vx7(PF-q#mAzpu-HZqPe6qr#`xv|ePBTGHb!reHe&$P*|t_#j%+sQ zB!J;p`2_bXgd;-ah`iJ`7$Odt-v5d>hC%L0lCPd7+_94D8drX#hV0&o=&B$P&@>^c z&O{EQA}38S42Y$Ys1ZmS+6coU61Z6kf6#yQG$k360Z9^BIz`qKjDr18GiEP|sb0mzxIWrAeH6=5b^H5&14~VT=m&h6 zCF)fvx@cE?_=Z|!P<+0`K#*KF;7>!Ujt2eZp`&#sjR`gB;=?YH#rPr}_T`Z$Yw^U( z1ooj6hp(VNhv9%1Qbb$OzG{2xUm=q(+7-kjbt7`Nr^c}`1Lr5}qhhQz*P7JtI~`Lm#{QM( ziqhz;UN*62=&qpq+S^+jy&8Y2=9H$mgMvYTeNpn~>l+FUJ14zSLH$b#e4bD^zajKS zh!nb(Y$mSv8hffd>9mm4K>jOa|0@eJ(KxC6rv&r*XNhNum zc+qx<7WiXjh2#VC^-Uuqr0>dm7L zX`UmA7xtsYQk4|PPUJhm34GwvdyJ^LYQna$R;4~ORWa|fVmWMuj`G^XHjMeJQho`=&!`k zmRRh`CRuA3DZ?T8O)NqFio8kRGHLD1{z1BJ&hsK@5q~uJ_R$G+=3!!_NQxK})9XpA z=h2AGWUn-RRMmZ5dFj#R`sfiqb^0ZD(r7$sx^ko8O@-~9MEL7LAulbQpxS*^hQS)a zTm&_OA)3FjboFNge|d$$47tPV#Vi@sjgd)*JehZBlM`Q0UwrWe&!ppCbjG^|@B-^S zv|A9f`%-P7O624mN*XWtC$K~D#V#iM>%rrsl4#$pN+y^GWtPwLkJfs^*e zXmn}V?i!9ONnh8oh`+#-mL^8fr1)xXXRTZHM=XW~7O$8$OBl6Fa*5$+9D~mQn7p;t z9Hvderkje%XS}?4k*DlD#s-$GeovQt73`sl(ez1wQZV&_E^X$5wa#DFOI2~sS)A0DB{ zM_3AJH^bq{y2cAc<6yi>rPz*_Gj&XaQMqiZ)=Dzd5!ht_mj;1Q%D zl%rUxlF{_It%YiQI9#9naXY8kna;*y6xHjKokVh)%}%Gye(n#H;>cEJx>*V$B@yDg z@BZ%n-tYbM`@OQYlf2+y$9+LHt6#xO@s{6lQ72JU8)bLZ9oGk;ahP1oZim&Ekn^nn zu(Cw;Cp=#?V}J1@?|IalK4q{;srnR;5IJohGn~ez{{(gq0e{(zCKq-#vcI^tksVoGCs$x8|QRZZbx^#)A(} z7E9SBrQuj}do+fNn5HQZ1&1*kdDq>~qC;1Nv5;#?zJl#WE6Sg4WQZ#23GWlEd#+4y zU7BfItzzbJf5^?uwIIfc4JQ>N{yp=5pk@Z{8zRYr|KBj60*6e+}o-~{5)^*q4_*2HIg2s34E$hj&FRarmSmm%Y_ov-z}^r66oNX57=dRBna6V~mS~U< z44^USgvsQ{LeicbX*@6zTBGIcoyuu2UgrW#dCmeRyvZ#ePT>l0dhQddnQ`R~h{PE(2?^TOYQsp~BCz&*!F!T{_X|}o`3y^{ z)UY#c1>RD@F56|14X+eFqhkv&9~GBKTb74LNpdRP6%`i7ipLaY-pJHPOKaIer@YhJ zZ8fXT(n^LTe8tKIb3k>KHI+tOI;QSLxLn7t)$B=I;EyjAl8U&09N}=l3&-B$1(DgW zSEIAXjM+>$WEi-qMHR$p9#FUf@eSE9I93(4;SWKhfJX$VEiIo|aGVtwH+`NV7!>T^=#$8>vd9N(Rw@gU;Ib5HI$>=!^dGecs-|yB zx?VZ4wZ4^~yK=W->5{N^e865QzIi1s|XA#EMxCBM32ZISC>>n(|bPC zDHhfv-y+sYn3Dt`VhmGRkm5pkSME)B|DEN}se|Rc$}Syw!)fm=eaHGf^KGw`dTMY6 zLIY3NQN-eV#VG`ltz6tfZFhn_AU5wf0VCYXWmAv6e}i`~aEt|J&)PnnkyQ5i34uI@ z07*k6dKI;nBzln?K&WA@d)y>XZm@u3su-lS+yuvxRief)L?HaIvp9GU)s|iEZYW>5 z-S*q9!ob{ad&OOC2C&0=Rq|O9NlsHiI$7xsY_RIsacuQYA2W4DT^gTysM(1^Q=mKuAK5v@m@SNyljv&lmpV#Y%9 zo}mw3NxI@=Q*CEp%G`-n7ps+JvA@aHv8+e&C_c`H53Akw4}Cuq2N!wEiKDgt zTMXlcCpQ{%(U*+FuY2F}6*iHQSdkS_BWRDpUVB((lmlx`l3by}#eAxdM4Tv>mV6x5 z@hvn;UDJWXRF?9U)9x`f;2!Lh+O4VP%4_yT>vikd4yHxwh}t4)V1oX`E|v3fhMHs^ zRM%!+RRWBh@h?baq^=Zx^$X}Dm_BECsUh3O94AxeVxDMQYL)?tnSk|^U`8nA>cR=I zzf@my4{}L50AvfP#e33T$ks4tnzGc=eUI$VWy5T_lsltF%9&Q7TECxOo2ZPpB9|p9 zv%jIpjf{{0D+ZaiXT>9;-}{^UB7qkK3>0?%QL`YEiDTvXr~Dj7t{JwaIJ;Aa?G9HktD8*xt`qZyspl6jAL%8+6+W3HDP+7 z2@y7eU`Q7s2RRg$Z48k(f~(A@0R4L6auOmda+?Abf*~EwR~%- zT%IHtSeh1TA4p61BI~U0k$)HSl0;bYIs7~mr8Z%z*G#XRJ?J`N!C9*2mW06_d{jF+er&Yo|wG*(E5%O?5Qf;-B$c&-IgtHJ;$z&pbQhm1<@VrG2%08@>O(a>!3flL8jUg&OAysbIx?@P{nv<&3@@sEo zx0kK{oE79YVne>qDnjJQc69^9$|)qYd*A}6wz|B7&$7juy?`o|H91nf0<(sPat69ykH6A6?q)H%&j{7;~^0v{&!>oh}XCaYVN|v(U&PtklvgKr7?2s|Ib3G;a5cMds6;MM}Vn7G=&vaOYscDTx zBn_*bn8inl;5(*nMJmsjO-LL_I;5GtW%U7RQ_YF;)y5dO31wt2vbs!HDtTmbq<9 zii-B6gg2j>CBsifp7~d?Mqlt9BosT-4x#-(DiBohNVquD zZjs8T&^1bDx%pD zOGzru;PHYd`mHBk3h)c_=}!<*a?w9*IG4Hxu70AvH!D=%NsU z<|IKD>qNeKqGVc8jT?NER|Nb3JrZ@3mRrm)oW%+IV0rRjdDmL4>NHnYf5$Hl6fzR6 zDxx&$OgQ*L_9v8sN*NL@AR^TL`x^4m8Nt!a-Rvm*{w&-+SQmZwSZbb_9W zwlf4`Jtd<^(ntz=G@wbr2AO23*Ks2X_a2hqevf*nfk6`ArG`CU6Xd-7(C)}f#-j{l#e>R%Sx=1gp@L%FDyLy+mRjY#58~aV%KyHYMI^~v0IHmQtE*8ee z+x#`9SVTa~X|;DdP7Lq>^ec><(uAP17ybD7M%hLk&|n(04ce5>lU}wls-|YbtW8Nn zuhF_xBCRkEOYokc#2;X{7$z?+mWdhZAU4&sau-!QSKnKzR+p=0Unr9wAUXHhp;8~n5s$Gp97u&L*`J?yUd)6?`Xz=THYj9YY)PAGr1X*7NR69`$ zQju1XTXIooMXA{vq0J<~AZv*hVHJ2@6r71v`hs8TD`wq}zZ&Ohc$t5qG8f^{$m6p@ zQphD_Q@RUP&W&M7)Y2f|@*pK7u2v~))cU3S_O-S58qAzX`ChuIL#c&9!#Qj=*oGMv z6g&%6h+)Af5D5v2-Fi4HEAbH)i_{hFuM&+^Zc6Ujzt`RC=Eym#)&3X#yE}V3{V#Sl z5*ejpM*4_oisV|^AOpdNUDyT8R&q<%ES%IivZeBUC|Rw}tAo=CIUA-At0B66DQ3Z`55=7cGQx zcth}^&cOX83lheP(n`X?d=*o&qS8{RhHaMFH#0?H{_`YD^pY~f4D-i4F3;cb0YkX!ZHDo< zeRi13_!-E{yBxKYEyJuUtPY}GvwkF4M>f1dx4Co{McBq1Il9|yjMG=zk3CqsD2lx@ zaR0z7{bzjpMYlC4DV(N=06y!gVpREdA&osk6NVvJzK=PkX6;$%!B_wI-N5R&7Z|gj z@SgN(a+^{H3;G(aJy}A3T%Qkd09_J5Mbw>%ijsx|7W%=|@JOOm)HaNfPnfxXyZ;I9 z2J>RBVR_CRSXs4NP-?h7zlDXNGl8(2>&GfBf0uIN1C-9vaZy>d<<+Vzfc^P@ADGIrAj*O!t z`|uc|;|{GFF{XJx4MBU}6*z+hBAtU9P+y6qmFspwr)7(e^quyJRp^bTWlfC3d$si5 zdndhsX)!AvKrkPhDf#mf0rsR*ZB9o|7TbXH+V|h__Pnr8m z))_Zw29ud#w*!FaVN>51%)sa?zMC9EYp0d(w^fLyq#z`1AAqo)xcxs>^6`4YdyNsP zL@SB-3vMd#n`Kq3Y|!CGE_w}H(MV&nw5Dmwbm?C1pJ&I^}a7j#VrERFtQmm!hUk23w8or|i62(&T1 zT=YvlQp1#jfQUqnc5kS%Dh%z+P?%dmanP{(bE$rAD!Kcfjjws;*Q<&6Q|8DayOpej zcR4+1C{WpKkI11HMOPujUYh%a)X1y_p;7E#G{!(5W}Y$2YHWg1zcoRtyR+NAXiZvt zwp-`n2Ut+I@~XSa5xNqQ%9@HTk)26f=ZK#h_WNQI7*EXm$FcI%jb zpVlTYemvDv3N0y$De7*)RVfgJ4+%T<0$-&*j0T}SX-wQ8Vt&hfK9Rb#^K_X8!kKbw zCUlyw_-?Irf2kFu<3g9ySU1atf@p}Q!t)E!4cI+ z4yAZ3$03q-XKBJNLIPVH3|nf=(S$=bEM0~yz)_Tkv1h{2VSzsYR6(lAFjBS$tsLAj zxG^O&nR8KN_8b)>I)O~8I*olv2oBA#8RCyv7uF+zMb=+ZAc`yrVS~+r&Vo>)fCTy^ zh?-D0rcmMjd)+OeTO_QQmSO?L(nUk!bUO7X!3`Rl_fv*K zc?hZH!!f8enp7hbHm#Rf9inRG@W6=Ro7h8zxC6`+9BmLV!N>i;Qq6K1OBb7BIc3Q-uH+vsG5*1atD#H8g;Suc=6j^`-Vg18+Ca^p*^i4#MWtHvsVI~EI zQ2%!SNNhl5PAh_hs`Msf{aR5Z7z${VXuYQR%zyy1btk3bS)=p7D4-;b{B54T<}vW- z@+*w^GuRn_nrDM!Vic5UJ2Vg24E2cibgHdU3dKl4xU#qhogrBfHSm2d%lZMlDSsh3 z5+(?a2i@U2l$vBVlv6j#oxOgw*y)r496;O?dBw7Dfx4n}mc}#7HWH=d;40opOOpy` z^2zVs23|ynJx{6WWZY&9rO}(>v1F(vYsCav1sJ5 zf;QL|HHIMU*-mn7B7^CV9`LKfF2kE2>XAU%Iz^L7mIL+Mh@Xg@@oR&k)9R$koDHkZxz$#&Q_9W7btI_7(!TGX zR)>^}2DOkaXP{P#TQf1sl69?|r~=`fu)ODS%GPY(Hoee%(pLtfJP)LreNJG@XA6 z8MNlIFS>WT{lC*OGd%!>1W{2Qh6kj*s@5c35-4#Pf2C_p31iqdtJ3*ORdrMId;jC# z+(y>Rc_ZJb{uy90D~LE9G)Jcbz}=b&3lKA1E6{vZ(n--Iv(8OU#lSBrc({alY!oeu z0WwOpkQfQg;gt35J`l15_F4{w|J--1zf==6+H)I$4>3dFg3HCgnTTDbkXNO?h?~&e zqbJ5v6e0$z@Zi-CF>?h6jr9#@5C?Jar{<5&^)JNi2vGK2?0khdmiV=A-W1s~=}|j43}pi#p{K4xi|zn}WY!EKXcJ5WxKe2( zGeCvZTapY`z)A#_u|~ld%_aFBdT~6pW?F|;Hds?Z(>YSU#8jDId^s$vNP!j%S?83b z><+b1rE<=ixG+f|!l&b@)>a>~ocxbFId-%NAJJo3%Jh7HX7NF|M;XnN6v)L{J-}D1 zjry_I*CVyXF0x>RfwnWlsv>dApt75|}vH;2k5h3n^wV}~$^Q`|%rnmaays!*?OCWw66MMwZy za|txkyl4>fDG!JHQ>T)>YuO)^`QN+RJ|k+)YN_>#&*Um`#3#=Z^~Gcxh)i1!0ibd7 zPX7p%+ezE1MwnM^B?JhQzwvPt=*=EAre!i=*YGgKPFTrnt+2cjg~fkq zmie)|KM;of^M1xA|04>|dMCCL3zC0#SA}Dcf>~Mx-t6gNmC5)^A5JZSDwbQyHVMG! zP2(H7Az~BD_$B4PJj6i!7O}8ZY*V5fz8z-l;SqX2XVkOhJKWJ27Se9N-p@d-U-=b# z;5>D}s%VbnG?orkW=Oq+hkzTkxHD@{L=hKQf3ccZ|46DRU`@>nQxv$-Ntp-?Lvh%@bXqOk zXFE$}TIEwG+XGYON?>oKIsn?}O*8`5k$wQqSIUpWvtF}T{D|)EHI7!r?UKnm|DP9( z3m%Pwr#PPe4ui)6>>6g<28FNG^AC2bGswP`CLR zR)h2-9TlSzPv9n=f2h=5h^0&1!W*&-@30bNNWRP`JJ@%0%3wbjCMAacNjAu^0_C60pg<;>>L-Kh+LY*p!v+Gfz zA@_0JI&@7*LHE*un+*0 zY1W@%IhdIsqgm*>hRnP_(fsriO9u{?4}wkF$6xHoFHSGK&ZgwQ5H@!~D*2P0YqhT} z%#UwpmW`oCr7`%wz6u@F4$hM^QsdK4C7;5_2U81=Jt5XEb|p9R{}rt8a@o=*Yl2wV zRmNF*Xl&`1WJNAzyZ7-O)&xJl{x)nvuu8|sdl}qmwe_0(#ELw39F9!qZyo4L3HW7B;crQ0!`k64HiAiB!UpBVB+J0wqMAFec;o@8HuBA~1kXD@0&c<(5c@ zNvaN%nlG=mS8wDFbpDke%fUyTShbBucU|I|M>9(~FN7d<#rYq^jxKPG^)Mm+a4k+O zjI-mcIWZN};T3j=9*MhG!S&HWB`mF=)I+?#-#^KUs81KBr<*G=q{x$je2I z%xg!b54NsrO&PBJO^gIu!%q(t6vO#bcdYqodhNu?(#a$3WeI2R;I#BC;9i!9?#G-q zRxZ)(ttLVNw|_Qj*k9cf*TADSeag7YpmDb#CX!nGSgOy9sZz`)&!h7zL5ZPh$S{yD zrox2dRXH_l#)%Q8U495_r;jWq8oku)|B(4XqO(OSKMo+~5-)WFF*{1||V%x^ak zX{%D zYKDt^@4ysY((FZR`DCqY6>pbWCMiT-pC`{@&OX?&F7-8YX6kz0Ck05o`QX3qqK9Z& zem^;lalj5l&9{#=%n~a$i4J-YOKTcki@7F~#j)lM_<-h>)H4*8)X3zdt^4ghZj2bc zJXgDsJp*`k1sBf7NYs4)nr*x#3bkh8HEgf=g7jw`W0ii0MdohU!mx!OV-lG)w90V^uRmtE~+0~jM8Kl3qk&zFW7NCd@%Xme@nVCxckbx zb%!%W1s%RUthNB~X2I?Y?jkd)TrB{tnb7+FM_&`ZaR$kYE2gwqZos3xZNT%_L zsc&1&`?O(SvQEy`x{)2jmR<2!%r%z-o@p#dFx3?WyF!Khs-0Z(N8Zh#p;3ABU%rlk zi_qOD!*B;cg2KfeHz%E$4Mp@IeFQx@l&0-3C1{!q`)+6?1;H>+Hey)rPJ~ z6ITSGC6m{2 zT)WE8O#Rt+-i9L&^B)kTC*^FJcZL2l{>e#`?v4r8^L# zIUpjxog|Tk`Vs?w^uN7JYxtCbza>(G!x)DMEk||i8?i!HmCFv?h`22TNs3EMSjs1O z-3@dMHj9m;u&7=CgkFjXAsQILjbdO#YA6URm9lpVfOOIsI*!_Za`I-(K|oHv3_fKV zNQ(7h5n~Hxsp%@WB0D|Y3c{u_UuhXr^NbPz*J0vqnI-lO>x(#^38{w+?wM*LuJ*Va ziAzG3=9if94NwjHjoKgiR1ad}a99QOdGH=)wCcJVgAKa; z6A}Ae7x=mmf^IcC#TXYd^`Vi)k3Dh-EC!H?*K>>{Ymy=8c0JkG^n$SGh8!ftP|Ug& zza{jYc#ecQSy@{UlA#kxzyjFEF7#_`aqtPn%q%X)EP$*(PL~FW;YE=C{7>N+QR*p4 z8=8?+My`3^-g2o4UoKtDo}3#HGu9Dg`Ffnd>vRCg5lNUC6O6t-fWY-pwduXOv&)RM zpwD=WK*^s7e)~jr+)Zk?-LWveT~v09Br8p5+&YuH&hrQ_Y0rf-$7!?GADw>vN4qtBz^~hTd<R2=e(Qhka-**J7uY`WbT{y!Zz-0D^>!=j*WB*!UAn>_(qt5^6;6k?(BE|Q6%G}EHQd<0Pt%^#=>ckT__8Pt;vG6Js z|2U0$?sz{%cBW^a|N0B-jxW&=8+&rPOGHPs`#&L!R|3kW6u07{3rPNDQ|tHKlzo&t}2^Zz_TBEUU$lNR%oEfZ&gvOf+f zS)%&S5K>5CG>BcjjcbANs*^}uT)zGukRF1qt;?s{`wq;6>3irO2U|SwYqA*KDwCk` z^w+E1glplW`X;2HMekAw;QH(Djat&gW_z}{c^9)j$Ns!u`pLDB+jyt!+~@zqA~`uR z#&#&9Ud64qm{qMMrRTw)|C|&=#Mis1WlVcgEn_wA>arBcq{zvx-G^qh`VD#wuZ74& z_w91G*Yj~es}j}s(Cvs2;k$l*;Efx$bR^4(aT8L~0K2Y+jkmxRjAd4k7r6TOJ>3=| zx8N~B?4>EgJVv&jve+uclPQ=mlC1@j*n-qV0<6Phglnv^hmLldxqz;@!PVi8L>Kly zIScID55Bbj${}~B+HL)|8t`3bjn;7OZg-&i6*|g{c*vMXL}r}1I}#ppv#QPDZf)Mp zOy(f(40^GhOP~la`kQ}VF@=ptoMUezrvRbm8LJ1CRRU%S$R4FuUE!bgaW~9>$U5Xp zeSL>Tr40FyQSc5ar6FXPQN#623JUwzjO6xxpRk+#e%a04%JD$e)w7*HR~N1Gu8gTL zYE9!6!>%xL#;3IH$M0lz{j;4bzFW%0#VqFa)QeZ9acquz(?a#=$j_;pDveBiPq7p# z*R>f0(e-J~R4JBAjbHoPVlP{W)(80X`ZIX8u5eTXE;xQUYn1KD`snIeb#kU&sO9XO zRb>>9rYR{B%A@EQ5fDkQDu$z0R&#Sb3_vqI!vPKr^Y{M-!J^DsdW;Z4rH3NqFZ;%< z#R;mSE<1f0P2e|_n0yx3NsG<{tB=72i*`FomI*z)P*{0k%=DO*4-AMI| zg@5l;r_(Ttebp_T^vfouKhV3m$DaspmW>DkIkIX!qNPY$Jc8wv+5F04ffK-(^O1wp zr0syyA|h7Gbt?@M6TO=b$icFkL5^SHsIpeExI4#DWlYTC2?#0M5qNW?-;ZV08e4Ph z@CXZg(@D(k6+QB(+ZVSeY}pvZa~!Of7UfE;}&O z>@1W?I}|62E5cDXLqG{E0Nh!b{_8L(!(OX68TcO@XLsn9d4uCd!H;PbOD!BK-3-GD z@j!)9OGiLzkqlZg%|?OR^P2fmgNKf!Wrk6v8G#P;9~r@fY{iLBD!hB_%S^d3=(X#t z`op#5>PmmJT56uxL(qc361u5{sES`!{2y3h$oz4<^en#2zLeHcaf;Nb=i;m=2i%~r zN|;F!JSY#jspf>URH$2%VsF~E1Yy%AB1or`r?*I7PR~AdYkVwsC4(9;rR=m~F1q}B z$7vVnAKP~G{vy-$(zBN--*l+R929cJD!wfZWNffrV4htFxRJzP6Ul${N0sQ7xHp?0 zHW>1i#@NlpNlq8T&O$6aeHB7rXIz=R(KpKz=}@5t5&j8j84g!WVr77|FjHAl)q1(z z3`(y6^MnpSRt6H$6GJOZW4R(cy9;BLYBg@9gE->MA7?VsFg`MWvzI<<1VP|=(@I!P z(g~UqG=i}xOHm}!lc-!1{w{0C4e-0Z4>{I9WKP=P0u^z=3p}f`=qT{a9%!}Cx@@C; zrM9sj%Rwgo1Cbt^Qh+Q6EvkALO8AQS0{x<+6Z2FexYqCgN_t#I?Y~|@Lj4P=yRsVO2V9Pm`9Xqx;$YO*Qi6sWa||bgNZ= zrM7&sEATHJ}woXM`42uIH8S9NPuv^7X@r7#RXsTcA=~Hbfk1(|MwBY}W9T}#N zvMCjvbe$l~rk4opdhH~Rqa|`T=_fIeXj)!iA*~$pfJ2}LqC+ILfJMbp)YDXR{83fh z^)eakC z51%(X&0epO*i?fZdQG=zmx(VRyjVMC)NRZWG}-tlM~{kR%uEsiG`ixYA{pC#&6rV& zm10h|8P=L?zSvj`HsXD_F_wc51DbBR){i=a~)j<9BbIj?NAm zY`XXArb;(H#dx@-iuj03yLQ1;Rte0KQm+vy$>B}>e549kL5L5=MJ&VL7g(F&DX%#` z)wJwvxa6EH)L}@u)_Irde{JnFtAe<798 z0A|K$U(3wK72TY~!=)L{0AOTvMl>ReBx{FcA{g%nPxE68cVc)z79#ROav%dFVq5!= zI_j-oLdKwF7`E{iB$Qi{NDF( zo{n<#B*)QnmJ~&yHwjXx~2GEPBMo3vB;iei=wT)2am(GMyTa`%^i zbK>4o1BTq-z8FaKd>vYDm|%5)i#V&Ux4k1Wy(q*%;i7(gNS~#K!A>(^lgr6~2N^$watce@X?{Y7>HEQM?B+>zI<<@;+J-IBn85QaJ- z28rxU60Q|%)%~z$uXNzg%{dIbH9udYW#L_3H6yaOG7(Q^=Z90?P4*a_;p~zS87`@# zn_L$gl21ZzLD$6xU07HV%!kN8@KK}8$Ky2o$OfD1ump%&J+Ny{Ina%5^sV!9WP_%I zQW0R^lC&sncC!1x=!bl%tHZ=N=Hi4=Gja9g$FAH~g&qfioQY>(ZH$yqm$9r3#7@oI z#rp&y8D;R`()@1bQy4WEgegRH>zK)349)cLy%d|MolEB8=Kh%t^@}Zst^X_{n~0GM zWQZ{NBKQ$ArDLf=-$9i*t5v`+tH8V6ACDN{{AfJ+0b@GKzVXKm`?MWpA1G5}q>9#5 z4{pQNv11WSGB&X)E?hfv|H!abduT#xi&OrD+C{l@ z7bd@q-Me5`S47s4;G}#)&ueOpUrtpX_E06)T?RZjAw-fwGrD_kEb_DwOdmBk`GSWZ zu^WZMaP285Jwx-@ljiNP8NCyOhFz*Junvn#1N@+()uuf|aafa4x164GyV?Y==jN6R z9N-{vP7tEvYi*^mekWFIx7#=%i-^W#gLJh~m4Xwe(EKOrT7<&j*A1RkDEn2D={^}P z4+3sRN4l=hAwC#Elr{rEzXxebVqgMe5jeLt7c9nae)5T#C5A<~qB>bEEuSZJiRpAl zv?~&t`4F+{inoL!ue}^didHw|uthFp{Mu(ZS3Q8!NMkDi=B69w@K_WKE!Qp33y3V@ zkQhDoF4&%mK0Ib(U3yQ5mRbu&rARI}1f^j`_sBCS{C2S7i|D1fX3&YqP88g*J3}QZ zBl}^KMGPG>Ssw^_Kk*z_`ba4{i^0o*RT%v@s=vt|XLIEFEvx{;be2k6L_&{{Eh$5@LETJs4!6{?_bLz^TpNklr7R~8^JODGyD5g1sKD@C^KFd`IDF~L9*atBK z!mz`|{Qe{R*X`8Mdz^i}yoWH$@`3mO7b7BaXb_&< z0oh2bZ=v|{qwISdc0lWmjA%;oeSb}rRj#A5Wfrq#%c+2Vytvwg zVEXCSQN}jS=r`xyJ&Ov^mQMZW7B(4lGrYsmv|6ItAW>0ySI>>*2Z*9eW**)aOD&4(1gdWHjGzRN}OXG&c(tmZ? zB~^*ntHcWVHGLBscA!{<>0gJ#KSgsOG3+edmyVLQ;VANf1xmUj>f&oYWm+c4ASjB` zgvSVxfdbpZifvZkw#GAdy->FYoPp5@*+j|4G>Oy#S23HnWW@GyCMWZQ56#W8)u_OM z38E`K@q=9C(Q>t$rh)}-=)f-3sj0YI&2d_to2K4@4@yQMv0D7lch?l4#qOOKT~2A; zX~|9*&L9x7)foI{?mf)S1Ey$646NJK<}Yj$O7+xXq!?Qyy z6q+RrSVMV7rC}!1{(?zcDtDpplG;$2@ehTu(petiao+E`tpr9ELiE;=l!vyDz0=vL zX8d_M)ub8tROBQpOUsqs-1obn>m0@4IgbZIcy}2ia{UEPNtD7@#6~<26y3oVWL>+5 zou&fMYM?sI49X0vmQ{su2#@y{GMHJzOvOsET|NPDsMGNWisqee377PJ2!$9La4&I) z&}iRU<6u~Kpg^O9NJOESlbyH9{r=*IZ{w*R95G`Uq#4{5!#I^7)P#X+Vg|w&^nA6z zEUp;-AYCNq450%4hAFjL12s9hUI#zFx~jhtvb*F^^8K=GLCwa{qu(F1 z`d}||C0H*9I?$5o`)408IJie1do}kMz|UFg=xpJmV~f3&rBM!Rsbl+rz2F ze7Ag~y<}Y~lK!;cxC@;uj*FE}a+pyV`qDdRBmI2mtxK8?HM3w&Ng)*z+)k^8`Ol+X zh--vn_Q|vpU;N~|Q<6DOQ_iQp8g)F+YwRCu6pHo~i)NFz@+FO0zzz?+q|s|VG1g2- z{Ssr-<28UBD=&atX>bB1Cs-{g`{4d9YdhD_ALo61Ih^SkIPdC1_pm>F0cXG@@dXZ6 zaNSTjx0(Y~!{m57Ip>EXG3flKytUtW_q6#KPo%Ob=%c@u;BM;qeJRTfVnxDRPen&< zP+IstE5|Ud$!5tk62V#u6+*&Q(M(Lv&nV?zFxUD*8Quz=_6%p6oOM6Mwb2TOS4X=s zTvXY>h<=trTPflM&m4*S)%sCRqQUY0WOvM?Zq8uvW9-(Qrq>!u_2%)C*JlOUpvT6l zDso|QQ;AYS{f&CBJWY)iM_+vH=5X5HQlVMF-uyLa2PXrrWtUtv)n4LY8lPiz(K7qR z5da8wViAd+#83;C61Fo6Y?jhprOFdWbu9-u=b zPL0O+ggObJ`^NhEEZC96E8d3SR48yQj>+S}T}k!uBWg6X>;r11N(rnq@At?1<9rW# zh9{(Bc!s=`>#iwYOoYqRDLE)P9iM0Gu)xRVPk0qmPw_qJO%t`gQKfAJ$s*mb6>tx8 z5C#UIk~Yew7b&aqsFsp>Gn$gkrJ^*AaY!bYle0OpekLrG>&mYdEAdV#sN#6ycX%fF zhig(%Rk2E~&GW7>JkAoLY$%N^&U8o)f4BOsWHO*ICuQiT*^o;m)9Y&_#<&vq0@DyX z5?w^V3uMACHxnSVj>s$JdoUKl6A_V&GNZx=*zhVOD8#mWI2jeBPPbEpAb29cLKMJB z>~=LK)mAxbj;an}hM4YM_}g0nF|8)qOuCqTbFjoqwg$DAS86Z%dM&4oBo=gNsku#NEj~yMk>_l&k+UFvm}VYF zGB`xlg&uZ;-+1DjJM;Y*`+}$^e?}g^MnhYUg}LGW8i}fDfs=?*xi!_1dSY8{hz8;E zdATonvD30&%8NT5zv=2Y5!Md{NIuP;I33G2jV*58&v71>P^hJKg-Q^V>{wpFps>v` zW{tJ7F@^5euIhE*s>1^)Q>`fmdl#jNeQh7yvYslve#lG6CvszN05t~M?hZRH&(TKg zN=}7)_Lyc?fAXfpI@v=elXlD$9MyFs?qhME#50TJzQ@@hC1YL7PNQzYAJ9k7NB`JH zu8A#1ER~vuDZH-1@RK~Xjahj#@BZX0#C7xMA@;$YV$DJuvK^TcTvt1#n`@#!C!%|Q z_K^)gAvrVtqH=kCj2?TD=Q|J+C6oxcilhZLHN}A$5~pvps{)WB@Q{EdDL+q6Eq;=T zNf}3AqmYc3zVlceEy$PyQpGCV0&=#HS}c67_7;slcU+_6o4QNfeu%gpnxkHj(;d)+)QB@uDZGFHMd zMGgfKwN8v){XW_>XsQbYk?MrxO;8CA&1X$ zjF#5AY|%P~t=b>|m$#(p4j4R?G8t5&RG1gp$WJUOMk-<;pdkM$SOR8a;G9e#p+?YL z?t}`Zc1B^(lttjbOj)g|KprLF`=K8Wya9Pztu{&2iTCT&op|D?j%4{5bF1dGbwQ9S zgfYDANW!Z5LUD7~i6mzBfDBv7YVB>YC8z7g~#XJQC0uc7z zr@$mX{^WZ+j1;HfRR|p-zSPZX0YpgHP5p@A(eC6S;UQeXkvp}JIS9Ve? za4k-==0d&oK2AQWG+P7ZRcZ57SDOWj3y`F^%(tY`QdY4M+~*k?w&;kie(QWkVn(gX zfzKdH@)y5-TYSq|wv6%&aPKKo%AyuWt(0PEmQhzlxiTd;-iqIl>Ld~BFtU>7NC=jy znn)(Fnjko-VIGkSwKF$7YFU1Dt<<`o=iA5pD#d0=_;mqEdLy|`=-dh&sB#eK^8I@| ztF(f799lw#n9Os>NedY@2m)`|;e>s2$lbqx6611&II()Ncoi>-jlnz%NzNximWX6H zcsa~Uc5o!w)9HI|tTZiEoEQ9M-sMk)0wK%_I`?l}| z|2W&tOplxY=OYzn)@7T3JdD*Fku7<&ou@XqbwzK-l_D*c4#x9lJ#kavK)7zGL{rjG zkh+M7?6FILL)hyNpya7?Hb=v@S>z!cCV2z31(6G$DG`czgGOafD#p&khqSKFxV9xL z3H*ukM8ATusel6R(nNKw zX3sRw*H^GNT#o!jn>W=ytc{3_P|$F@MmdYYkX2f+)Vfa)9A@F-r+)ROdpS?`7pl{b zF|%^W&clkb=knXn=eJ)Tp^oE+-6*gl@vaxP(PxsdpF;(UJeLhr@i z(`I=Kz=6Qs`W9SNO#?&eKP_{7^^~G}u9~efRquKM_oHdf?eeck_!7wAE8)N4H;0PV{aMX0liE`OT+HJPiBlQUzIF zFA=>%+T#}z7kbT}$`#Yxu_Y{%Q?Qb{B?LYbhK5FXBvR6~bK!w9se09E6^g~o8P}59 zsTNPmR}q|eN#(+tkB!kV&IKDIe%+(^mS#)*lMj8shy=IA6SEvK_>#G6Jb6d{k^J^0 zcU!@IKgj?F;-V7)F09cs2NS)bxM*+b=H&LmIdj{A+mqX<99n_g1}=vYN{NZH{gNt| zi8`{M$;yU)v9`S8a(s7CilZEjVdQ|YW3`L_&KFzsCo2rmm68P0HYc`5(wG0@$OjCW zV)4FK-RI4b0;x{EWCla#w!-M;yqTa<6kw>tLjhF;G+5kTem_Ky$o+!3%iN~6sj>iv zu1izpvXk2LlJ@?hvvh#-M5n5@zZ|^a_pIZ9msEFQl^b!b~c(SCqI`jj-E4b-?Hgge*0k*Atkau%hn-7Ud*M_bs&=vWSr!=b z0ZeG?6CecH(V&0{8P4Ho@Af}WTp347C%aU@NVN@8^wP-6bL80c%VVWW%_FV$@+$<3 zWtfa)@l}EW=7*Tf#fbhG#1BJ3xrp!Yb;)1p3?0KGjURpZUDHt>xRQv^J+@VpxZONw zs^0B~|2z@8FXYeUL#aP#0R>;+cH@ak!aS8Ym1yKkiNQqa`}zF#E92wXVc7w4Dl9aL z#Dke87QZ~_D*K5gHyv;o*M?QpTw|^g34MK*l;kYw7@>mowpVqRhEf2na2lf+VL!=i za`@*9x9}7UZ1CR4k?}FZ^rNqBfiZ7-Afen%JySXmP-Ybflqv+LdPwx$iY~Y9+m;wJ zFZ5c?i78y!Q5z6Xd|4Nn5@gW)!C6YCzNNwgrAx}kW00;@1;DA=6YhQVEpwSl-K%1+ zL5z5~L;N-S{<0;8$Xc@nD{0*KUn0g~=$aQCecGIkZ8>M&kuUasE%5_0uXgmF$@>Cs z5*Xk;I*2tA+fDCO;%H*i(Zn{y+;q5bG{4u}kt`>jEJAkihH{UIX2MNLyUa1%*>Ivd z1(YxWQ0++AkZL(gO)!%_4fL2lw5g{HNatE?qn#5hgbr&VziHmWSz++XqVey{Y!o3! zE^clXzA(ORbnC@lR-C6$5VeF}O420Cgj*qF^8E>8NAJ0PThHV#ax-gNE+tQ!m?VW{ zeM_?7m>Z>w5uThCT9%tzvdXH|Q`5{rGdTzfQH?Mt4A8mS<<6(F`jZx=hafBwL`y_aa~GSv6ajH*f|yrIFdJ%jt~P1$F$DXaqH6Fz`w zfD4OiBsU4#Z1=nioA+EuJex1tyG*sMT!wXY#e>rGAOJ4BmqnhmT}-`ZEf@SEf51&K zJ1ss)L=sG-_K7PzD-oZKL(DNvX*^3fz5Ls!zhH>>f-{G|VGNnKCtfn|$?w?w*LgzS zj^1)|TVZ?C0^@LF3n9giP3k)$r6>@(a3SHD|KNk&?eibeE#Qj}~tBQhCnRd(=C+{mtP)u;g3Gi@iOl^2_64p*Nue2Dyz~ zJspi~ibl5Q8;Q}VdwcKZqo53qq{`BH?cxk&3?d#Qor)*YOz`wBJ6s8&0@c+Z$XRjV zq>5;)GjGxim19wA@En;la3#%P>P|LD$aBuN@emT8!IOV{D~!;Lr84E)Q{5nuFZB-Q zx4A4dIutqpTW6Ik9?Kiuo*z#F4R)y7pA) zIwvg$gRGHrb?_Espf7=0qQ7n>$<^g;=3qmqJW|~#u3AhfRpUGZkWvy;;lIq#A`^>S z4CCv@AK2eYMpNOZ#`Zw`hVok{diR=yG(;$An-p?I_0$_oJeok6=k{&CoXEeF-+Fp{ zO~8=76{xej*kGZ}F&C=*h+=xt7HQ#+Eu_wzWoC<+I_ zBg7^N?1}BLF>gGv{qUK5kGu5;5}=(dm(c(vb|y^>K4zFO!ZB>;Wb-5jyF#UTqd2?f zIUEC^a2FO`s3ODRiihtuUs>%zpAilk;xsBwTK?)U^T2B0T2i;$z1cNF*lUTkeb8n&~iWIYi^47sB{ZWZ=*nQEN zu-vIjYS|ARNf5rgq<~K9?N^$Z4=vgl3TNY2q3xe30yo)PLRr9NaPvv*nT;m z|MmR2@q^mM9Z={hu@!MCfgo+YFx6Vl<(7lYa+StWlMo{94GGVv8hL>N6k97Ry0rr< zt1KRf%JAGVOw9DW%YSQf@+j%%^uB81=|P6H*ETJSeCJn6Ln}4780pm6AF(ZC7*e*J{ zkXS4{gp#(N&u_Xrf13vZ$ANSLSn(*n7@P9ug#=nneR6YhQ=)-8XVHg<*$q*mbdAR5 zO)~J8;pQIZK1)_Om+SaWJ_tmhrUp*UdUY7BXP9@Ydy}=(tU2x+yt(S{ zaHJT|i(Dg+J7F!9)BAI+``Nk$lOtQDGIjY+pJVBRX)gi&d3N^0q$`8>BzEPuhXgUQy}V{!%r#dk_OfJ!fGF_-+w>_V$y{!$Rm~pqJASSCN@dOl7Fy52C0Y76 zx4d5;GCYs#KR5i$ri`EWJ`6*AmUMY(6m@P>5nA;K$&y#V_f6ip#Fo5yE}txJLYuu? z*S35aZ=aIPwTqm@spN24#dz9B5G=B_ebimE;?DBRtIevv`kh=4U2sYM1-#HC&ZK~N zS_aU`DoGfnt`&c8$0Apw05#84GcaR+#JM>|)0YXVEyG*4FJy+2@Ltus=_p(RcD}XX zWn<(wTAxzaGAg9kDKGC`NZfpT0zR34Kk+iV1UDj@iyc>pMf_&q;3YTL>>Xne(oO%R zS}Lwqmy5Jl=i=xIx|>#bIq{NcR7XHlILB-Da-rA(kTBk@$2r(4`MuXaUy(JbuR)2}qH@;zbIcK~skZovUF1mVQB`eK zD~+Sa`?2uo$6Gzd*Q#=g@4ceIA=UfRW6qiU;-0!z93kj zBs>S;6ns|_q%?i=!*)(N+!I<1B;BQ~d0fci_dd8K4G{5^`gunF$#6_F?=9W8qn9LS zV(R)SM8DWx_qC?ZANpMR zpxRZIUpy<>v6`=Ra+A4CU{h2V$cM9tsm63|k6|pIS^;Ny_|=zRRhW`=kcmww`HR2U zlMHx7Je!%cok}0E^87>FU>_6PMkUL3x888K^(Kr}Bq5LT6?zN2K>lSPVlSXk9^AVtlT+ zf@4m+QasI3_ygyOZ+=Zo)Fc3XZ|@@n1dN3TxE!_BJ&DNUQzRv0==xxu`pn(=t#m>0 zdHuS|23Qwfo6gB+SBv z-+CAHpG1DxxZQi&%;xjw5|dL1E{3v~U#$Oi`>4BIW?gzzpmpBZ_XyR8{l(jR?HybG zsd>uu>74>3@*%AwG4usFGGTZ2qV?t>#UKmzPBS-D;i_f*(8X#bz)>eb_%uFR3Dp1= zlY@J^9mqMD@`C>zs{oQmNM9J7lUB#i|EpUK`XZ9#Kb_bzZ*NN)TaPBTUfR+uNVP=D z3>UeBZPd2b)vpOeS9Q6i6&_`7N2rLBC$h?Xxzi*#=eIVgH+K>Q>tiGRPfig-2i%Z zbN}~HS!Pdns~@OvsvS->@9%t}!x$U4L1<*rupdf(raa#k?7# zA$E5KzWCi+0rfn?ZoxB&{5*9q79jOkTfT(ooCPHYR3HKRDGRV2*}JdH3D9y!Z_nOw z$w)#)!~!q14MpmMU9cQk$m~)FaNVWsRG6JQ>9pJK>iG^&#(l-iGy{rR;JS=IB-Hb=2f)P!A{9nWjS`UYfhv&WFZ7M1H|Et^2*_=6^_z+8{h_3#=jG(i##filea z2i#$+)Vz05(X_1Q94hYqQ5dvqjU4VpBBaXfnwdfFXr((Um>1n%E~P(62z z3qtV&wso-wa4tbrsjL;-vF@^^t?UX!r|=|-_=l47b3et&50U>IDr_{t6<1XiwYl(c zzIkYKBlP#?!w^GV_S>CU>r4z@{%F4Wz+eLf6Eq**2H8nM@ax`@^^dZ18)@%t`&#AJZMA-&O%Cd0*?iW9LIDv|0PQ{aP#(N9Nni0C@cR7bxk+dF8>p@)F<^ z;P=fWBt4h}_aF@ST(|{mm1v6F$t}7HPQX_f?C|}I7mqwW3CH914uIek{dm@nF9VPd zG%zz00^s3S2gE59oag+K)w_bOb};YOa<9S8n_qxJ2MgOft$4@K$;P z9;(&$;ug{YTIXyD_6=;-Na$zAHW?-n_nD?Si1cPP{=LRm8zD*yHQo%NT8fRnwJcrRg-{eJbB)ahV#+hHG|h(# zU_r$4t;?d&tF+F_DAkfk-A_JxY&#^(YXmKGwkIzpQ(r+;7tcNC|M5~61poRYbV-Mh z^faJp=!e%r^gLCGw9(&V?Ht-X0Iks8MZdro-i>rISY`fz@8<9r^Y1^qPhjc=!K-dlC>@Keg>#`oqOx2T5599j{|aOQ-kFwFIJ z?!~|psdO>-wRAY{;x>q%;`d*-?)Yp=2b!8EfNMduSu-@l$QaOlLW0i%Wba>mS1Qtq zCUz*n!KyrjzfM?ls8|NuXw#2&z%~n2=9W2gEYhqtsdEoK47!J7ICm>{3oLvYnTtOs zoPVJM-IG5coF6Os=B%?1rx<{T&`J&+ztC{8_|OueOF)ROQO*k4d91yw{h@Xw>40js zA#Gu){l(Aw-@4HMtr~}k6dFfs6ER5ms1mZK425iS@nYL99LP{UufUE$yB>6w!zY-V zXHY|GM~IlT0ElQxcY*;(^Wf&j(7lnHFN9vVM+@HDX>*v#+F^F^+5FLb0iK)lhhbRd zLUbn#W(hZ3hi-#Opm5x-Dv1BpP$7ac6fSc0V)gq)*mZvk?yPYP5TqSyL?JMRIhced zflM*jSSL=PY)iL4zG9UqLWXf{<1m2T`^n#i-R~;8V_!%&CmNnn(&p&H;VE2#AT9g^ z!l(cw|Lyl;Z8yNfIL?4g4k#_v(BV)lV%so<5C;x}+t&60-;brckAxG#CY--`ejkq4 zFj6oQW&~qtak&Kaf6eiQ)8GW+{;?}h_v1ALZk`SESw!`R?e%5RgNqZJ3~c|Ja@$k} z(8Vx@7}8rBs?&i5koEMI1O&4sl$vYf##!8KYaxJbhKgu4bS|wW=Y{8e7>KqeH!)sF zPZdmo6YMa^Csoazcse*y3qTC8`myF~?onuR(%N=m1EAX>wJ>m@=E3rsMQvCietX|G ztan_wq8mq*ZtJ9iIPJMlhicD{?LzotzHm!Btfk(LWI}Nnjy?|y;C9~D8lSPxhYKE> z;~|`dp#V=Xy!9nNmqu-gFFp9t^uJ#_xUlX=R#M+jewb{BX5jw?^D8h;K=+{NC)=G?G8qxphzC<3QcB85uma%Owbm>0vF)MBuqKk51ouZlSkr%{^0%=1Avj_{?2v5)z$Z4KLjqdYcDed8 z876218rnP^lVIJjp%4Va?Oi{BUu~@)0}R8fXKj-#cD8(JD4Yna;Lsd+doAuwLV^QI z0q?F`KhRpWQ z^H$VX8lF1PoNYdY?SLTZq;PJmwT;i_e-f(IN4{PNbyJ+Q zZzY*jXcag^)+PetYJ@m#8B&^1tp+`xxeNOqWQ=uNKQjx-C|b#iCw6*c_&(r!4+VqY(uKfE~>+CB(ZI`VUw z3))$;l-I}FsbFHEzy;@HYnA3~6G*cqw41{mm=L!3`K*wC7uMy2`G0Qb2hzKq`b;=0 zoOYPm_kUVlX@6l$$94<3?n_s~({2{W0=5g2@+E{y6yeocs$N|6N!TL&Wpc%b`wNl_ zp%t(nn(oc(nJ4PXVM&wYNS!#2_`lWnp-0ZD1rPo%6wiEZBV=(iCiPl4Eg+rHr{8vB z;G<9^9|RXQ+YQ&jNasm0RUhK@Yr^ao79!lRaALb&Nqle1&DQU2fg($=U!h&Sl3Gc1 zgN<%=TqmEfC~O`aiV#|}aNXnh31vbNWV(g4R;!<{cB~O1zHP-@u9J?*2m)olfCRkt zIesqwt}sgl=~}}TOt8&5J-upm!bHPoe+h=gPmbQ3;+kIf*3-K;c95;QTwWL zFAT#EmBmn2zt!~Sjm4@m=uwWnE zyb(+Wk_4~4Ejfl8w!Uv5$BoK+LaV)6Y=CQE&G-uy_N?F5E_gtQ5sgig#b)lynwdP*fKMe{OE5B;zjCr zh$4scyZy7jfL}Oly@p+Txe^lP`krCeR@Cz!#HNK@_9nwY!+Ps&3$2qk4cz9&&58z3 z65I(ZFi!|ScMTnT95 z5~gKYG%4X4>v8{>pAIt|6O{`A%-zb|7vgUc<7a+3^nZn4m{+)I)0ex^I~jZS>Bk|d z!#S;S8Z`5_n-na}H9E*2oKpscTo?ga5AzPd)muzv*SQC_xBe)-E)~hcNJ&ezk8kg| z{)O;!=+(G4 zVNClMW#YeALv1d!B+n-={*UO|Mb6=W_z*!!z(-Ix<*R7H){dtKH-COj3^wW*bkV0Tbo034K)-fzM+Y6@RD`2-xi zPeXln0i6I_LB&O!cM_?bxERu(UvYBbJh2-;-|yRV&t5{MWsLq_-?Olx9*C^_HZTMLoeNX=kBg^VOi?6yC44k#eZx`cO|rw@h_yY zwNMV=6+VHI1h4{;IqNSz-T&rgUq18Xo1**g{z0UH>3BQ(=hXg1&9)6Q55xd5$h=@| z(0Wj&u#=f)&^AOoVXg=M#-Y(2s%ExEGFE+Gr>48UXcO9Z9XM5Q1R<%tv|!u)Vvi|V zfh(QjQ<`ejY^TR3QdAba%zcaY4o$VkYbFTC1I zVS%t<1(|8xT;R;sIpIdc^jzrAJSz8ikrAH=-ayjsmD@H{gdXDf&jIc8<;uT%Q78m~ zkd*}9C+f7UHi-*X{|ehMYx4q#UWg9*)G?!4#O@I(kI8~EqDIF{=5UXFjv=PGWN?6G zQ9c|*HO^tw;7-OgkNL`sieh|K|Ar&kf?#_b5g2&lssRxbp1H*OBrfbhEn^|-+?l+Y z!B_7IntVNJ1vOA0D`P?O_YZ1fe+a@MruD>M+8Y zLE&z|iKa=RRU-t#=2zZ%@A?cjNR^@i$4J-zWQceVJ{YzB@E^O!GdN+y@I`QmV1}=8 zq2dR)&%K8!WMnLSB+4koHvzg?|DxQ68%a-xw8P%0ovhBs$I z0$KJa)GiJQ)z!PD#M&Kyd?pD0l`6QS7X(0BksuWZ5t9BT`cRSXrah0c7kHv;+3p{8 zDiwQgC8Il$e|Y`Pnf!nM`MJgo0-XL>h;U0XYwH#j!(;$F)|YYZDHe16=fD2l#e%NC z@d1VXBI9wa@TWgf&21$^SJv$ovtSZ}umTT;qf1seX zagDL-;IR*KE2@nXMQW9zn#H?lEz?D9o3}maj1X6@Y^BB_hXC>zzrj7(O+7>wFWB5Z z5dZ-Q+O==aXvU1Aex8#aIsPuN2SaH#n_udO38!=i9d|ZAt+g^qO~{t;2r2P?sWtEL zeozi?-342Xx=vRwUA9}<5LE}5{SuwivUMfyOp!#eG-L}Udw`MwB4jGq=XcrM;d>dg ztsYexaay6(MtEyiaD$oh4R*n#i&f6SE>F7~Yw%>!MPNDyjQSWvfX8+m&6QZqil=ypVkiW!g-r73~ZgP%~X7YZVD;vprvl z5Mx;rOoBuTTd)Fw^vi~%+C=D;auI>z-NF?FGh~*LLv|tv3fywc>8M;XWDGttJp|x3 zVC)+Y+$U&ff=rXboU3gh+kO!UO-3;#O$l5jLO~qLl<8!om?9eDi0X`HOmRVR1PwuA z#0@0mw&0_PV~E5^ib2{HQM-_l*+Eyr8WYx2hk3I;_&IolFpF6!IaO0>JWU`AhW=|;no@jT-%B-14D}n+h=dP?b1WU{ig*H<{bW0FCq{PWN zEv(nD!Ereq^L!r^?I5_>?4lNY&!K=Yd@g0AqT_mX%-xVeQkaUAp8*Q3R!0S=)gOK? zlThtGKBPC+^A>eZjb^8**00#5XRa%myw)qXh#iumM8%nmsc|ffl9ALF$Z!lZ$TvLd?W7A<1K1*ZR`mi>d=d2ASn-Zpb1b=ZaMrZct*S$Y z&G)a6U{U=-!?ZrvBez!OZ8W)ed**ta7V15d9%4a!nYiO5v4M>Ev&`AX)o5VPl!_-! zz3-fDShsw6iY+k9^#^IL^U*=~7NdUE-WK$G-W9&5p!eI$+8(o9=tXu%qQLr>x@@PH zYco8`S6})2j69{d*M_Bl2!;}gR{YWuGGnwr7ZS1%50;Q6EMvVstEcr=3vxV7Mu=vO zY(!B)2$>x5>jZ4kGQ~OI`Ux)~8n?jYv|ti$ktxJkX&TicM#np+g4BkX9HnQ%or`wC zD&g4PFaG2m_yAoXir$7U(6V6RMuK})uS>FbQS^J0GA0#UK^DQ}3pTch;nXI^Y8ZXUz~ud6LaV8k4($UOu3{fC*(8MC9yU8I^oUVP`RbGZ zNwA_Pa2^F}1nh*j;^?;o(~xqaCA>sr-L$qaH;aAdyZNlB#e6iu{U z7WV3>3x@(;UR_wOO;@JulqV}ATD*wL8Ll@bFPN?u$RsL)7fHOP;-kng7G82i0xVZ_ zJ1Mao!}LLE2*Cso!E2{tVQn3Qe2ICCs#s-j73+Xcs!eEGQ%ZOe~`C1y;=x zZX^OR>YrhA0t*DaO(Prj;nI;-s&~E7=4(;K7Nc@70p&yJ#`Lx-me;~Y5%L`1vaO1~ zL!I;#*$_v{I}}$|wS-5J9IA44lu|T`YOVndklj@lzO1N7j zBZ$5*Hf88iVqQW&){Iz!BPvH^ml0-{uG#(hqxCp#)v zDTL*4M^GSkhc~BfLj@#T_~GKa6in18`cN`69_t1b1}QPit~!AT@16*F*AoH=97Jyz z9$7|-ACs6ugT#c9MzE}v7MMXPeiejS+W=V*TXs!pHe^zYKjI{x)j*WsMvDs*)Q$*_ zEwKOcDVIUxVY5NLX~$!K6`j<(~6QN>NW;G2u~?+X6MMnTFIR?a+6S0pBtQ{87^~p<6DnH(vRw z&>~ql$Sq;S_b||?7}FKV2vsp2?{#c9t;cG*0SRIn6^+1DLQcd=U=pr` z{5M-NAdZ>YGX|*Du}6FmlSh8-!C!h0)n>{z?;G_!vdK!mysAbfi%T6#X1w^F*z41a z-T%9~_3?7ai%%pJW1PJUQ_@tQv9jD6ZdP)myiF%Uk#AEteJfv#qyO`x>ghsI;8! zYmRMRR*#wVgEx!CL2YNJ{hw$y=0dkYG_tJJ6AyaH#IlcimJI!nT)Vu zy<26duc^hQJ$BETE?rG+5Qc|$(LdB=68B53kEEqfl98mkr7*muHGZ8u#kQ&)ty|z4 zAo><_;;+c9hi)a7^)0R2ob9CGZ8yoWW8|t&T9d1P^O(@pgc8W^`u{Ttn3GqK7@F6c zF5}X%uh~^pMbeQifRN^P<=nt z2V|<|XDl|M|6f(ni>IQtL|d zPokuPeVv?-sjLt8ZoSN_YR^@2hlTG*1{mD6B;HrBx3cEekN@TkJAY^As&4TEwBVo8 z4?LAPUM?M?Krb#NsIykIlx?t+NdFnEpPrVmNd=b-0OL#*( zf9T`I?Tup(lpZGWSLgDT{p_-e%4|P9TvEsH=A8D|^w{9%Q_~jx)N<``>X`O4KXT`r zzjsN?n{8EfkD`UqXjyr)`ilQR%>^FtHPy_YN>w}K`A;0FHk^j*p}(r^w-pR8%Ldic z*p&L97hBBWk1pJ8_rrtaQ~QB-9iyXtba!vGAk0YJT4 zBK)-x?tyeX&+#2ObE&#qP(^+AR8?_n1gQ+P9R@`@oxRh`<7S?>A8sG=-k@}cPCv!` ztCn3o{L+PdFG8Fi;ZGkv?GN@N^!Fp_C~sKOF_lK~`TI7j->KwlCW37zHR1U=jN!ol z^H3q4uRQV2U3tD=!xl8`H}ef`E66^Mqy1)Tu*6a?SkyVVq1IL{#l@!TPKqtWb#W0a zf(^ik!)+kpHXRw4a%)owYRYW#@#8keeNMqNP@#{LU4A$>~Nsvsln4K9a?v^x+OWqjwKeJg>mti2=k5g#+(6xidC&xSgk@Ltj7kkoO3v zU`|sNkiCa_>Y=%@gT4Bu_}GQR@q+p4)0p_7c~afEfAOXk z{Nw|_d&3r$Q`WK)Mj8~ok`VC5%j!Ahi94pb%U7Nw42cBN zZwzf#vd(i1YutFdU|R!lQETuADZ(xZ#Wvz*jqj3Jib_zB5E%sIAX`HYO6@S}ywH$z zd5WOsIvRK8Nk~zHnPcqopiCbwwkyFbx#8KDi|3U9QK2le+RNP~wVksOvmLC_cIJ{M z8kaTuwrN^fd84|m+cq;g-$~T$e>AJ#jLJ|a)q{><5 zGOHy96eaadwRP1rQ&7>6ZD!1IWLatRbYN-BstH&Q##0H< zUKfjt`w;%+%@R<4doq62T4Zg~*vq|gW|iTEF$bT*|GZ^@XE(%GwU+DwWq=>}ai_US z&kk`lIB?)VT>Ps$t#p@k;BR}Yh+9hH^Ed(f4}Hcssy%q9jSiMRUTWhG?w1f7e|=}$ zxMN+3S@}T2%vBatcX_vv%f+ZKL!R0T`op6X!22w0AO4QdZ_41fl-fY_Iw8swrCbC|9Bv_5z&zJ{+ihoP6n1zqTl>5=e1QN1=f=J=9Yfx1m%PI(D+ROu~WblCk7Em!A_>cV@9 zx~etEYX2YGL^9-9Bu9t{Ri8mUPKdLbI#mR>sQn$3oZ&20@Sw}6=Bzq}Q?l;;LETG) zN>flwTcJE}4e*)(C6(!_seSITUy0q>*3(S4>8I^$_s`o!|G53hJvhH#cJ7X|X6^o$ zhwmOQ9zjdhv5#5RSnTD;|M>Z;y2<)#dOrL)`NQt;Sl<+cKUe(QdhjVcDf*}FyW>6o z)?H#R@49!BU28X~{SZIHo76sqC*e(gdVc*TKV2KjU2E)f*7?{b>-6B=F>>Ulx0?FX zU++l0il06Bd2{inpT|s6H|@LO&u~WJAZYUL`luc%p9(%@&D%H2*Z-9{4L-Ownn6s- zs;n$=`>sap}rrx*Ahw)GQ^WvBZa&o%~ex~v>$+9mTD zHCa+R2yA?I%k16xHpKV0a_{Kfj$Jp5$e`7wn9@qb1XAO%cIXk`AFb zgiOh^dYPne@keaF)pDja@D>2`Wu+%3ZX`J}Zx)EoOd$u|iY2y)DL@`X6{flz-4@g- zZUHZ$GH{(b08Wm*z#W76GMlW~2v#X?3C?T4PkJwNaUT_g+!_9xpA!^n0r&9h?O;F` z+UlC>POGAm@$&6jM(s|dV+g)7FWH4)v`@Ac^|yfDa(H0AEGk~glM;XAU~PHKpbGr~v70@YwX zHuRW&2|1jAVgwu!NomvwgJ`i_DUWoP2xJ_P4PQnhf$ctt-V3`*KE!C~8q?l69o^ z!Dpb4s0*~gt22l+jH^VQp(3Hvjcfs(OpG-~1T3b0Qo)!l2b7y2}pE zh+&}*XbP1LPlVO`gUc@k+K&?`obaOLrbm-4!7|McseOJ}Dz#I|h+G-vq^vwo`~72f z!1G>@c68{rP5BPn2)Hswtw*ThC3c#zqja(Jv1VHx?Z)C*aT<{NT-79TNww6Gu{cM^ ziv44kQ6FOooJ~?PnG9OdT}ti%PN(*ZKrbijJ>u=KdVKB5C}V9(#C+(mKhbEd*tt#` zebVe->W+Ffv#ds9S{Jt_e`INvTUYM&Ui17XENhRJR-OD~aX#wz`9YC))U)NNZqfU( zLPnhx8w0M4mO=f*G)ZzpSqr4m9BLq?YCVHarXuD1X-%CNm(*0=SC13|!5O{b`E*9} zK=ee9bq%vc9A)&H8M6J~t-DeGw^gexnOiilBPWqS0ciJH|-~xQY0ew;>UR?6D z9U5dA>M{djA#5-dXCSOV=E*jN_^~NDjY0)-#eVw{9qg=A5QIbk) zZWVfQ=0I}PgOec|iA;(lEf@(?BsLbuG7#Ck8w#v)LLRl)#3FUD%OW&b zY2wbezc^s~e1{_}=cFu7f*pE*qCr8>!sJJ6-qEQPGnIPQ;L-XfF6UIa*zeOWI}kG1 z#SC387))dt>H+@&x=uyZWebjDBB$g)=sA zL3`u5!-l5>W}+9WQc4rEizeq$(TZ}r%TkDtS#;d`j45Rz4hKpmXs#&%W-=tMgD?S# z6B&vlf#&7{=JT*2!mQ>}RD3e3J%ZuO1b-pM1{c~K!;wTxUusMEgxvm{DyWrc9xEEE zPI;xvUNDV7p`BK_o-|Rv(=xnzLEoXp{a8zdX`?IwL(WtdHDT6tEOhD84H9apss}6*%A0zQZusHfO5mOWXDX{? zu2?)e13VTchbInW4yLLvQ_@2`H3AMW)nHn89Cbv=N!_zR(rvIHW;cjKPwno@xKmI`%Cq7{I2sb#$^Vqt_RyW9JhBR0i39gXyOC0j{xEdoLp zqCDaj1Bhb)ovsFK*clo3G%x}WJ4}V%ywE}BCS-LK%BEqOb7A8FR7jICXJJwTKZUMo z!@q3FBpd4|H)D2Qmv5$T$Az9bm}}?UkJu#a`J^MMSbOU0%?Xmg8b^ z2E2|sY9Cq+PeygIX=-Rn2c*f)P%Y#2w3gINc*sX*C~7_17C?GHp|ey)RNW+lBqOut z6iO>N3=3oU;v~81$ZS_&%R-FKI3x(59T?(Ew8VvE<<4*Z`6W+MtVZWCvlIiJNWG6a zC^t~z3Q^qaE%lb5AU9llgo`bBgWxSYP9}miaW5-`1c=fBAY9s~QtcamBpIk9FpFWy9!^#flqq|zY~b!h z;I{e^a5fRjon3;f{+SXglRb6a*yCF~tYv8nHUV#-xr0*{ci@!w?NKjm`V;Ocs6ci` zg3m@Wjvm>kyY+^Y(quJ#BO!0&rgQTZCcZJ9rnJ$=HOW2QR}AtC@t_! z&VyIIX}#gr&@_!bV-}QVwy$-MnS93nrqbk_azFLX4XU{!xBKre6;oqWiq~4>$@46! zU+F%no>bHNHa$t%*>2~cKb9b4TE**h;Dz#^;pYlDuMqUVhJBd$)AvW8bI5-fP9Nu+ ztUsH1j2v9CgE@6iPbYZcy?yN8ePVB0 z-?Y_C%bjM=Rj2s;Nj3IdVa{$apE#OJoTqzOG53nSNNxr{KRBRPCN~ zg*~nN&DRn$-QP=e+&MUZ!ycXoLR-FyK>VW5LvS`Z+}BsqCI^QG#Zydvq{6pm@f?FEUkTd2HaVW%nTf+CLE!RVA*Exb) zXtiX@Ji`y3C%g|x?JP`CaTNArIjE|~JhdKLMy{Ds^pJ07n zZ;@Y8H|(=}#?!$*yMmL_%MLB)YGi=tWPLxc^%8|?)@*Nzy=#i0gi$&OQYWO-L9J-1 z!I4`&yTFg7u2n<=EH%5EYbQIG_xDx_?foq}52ZKQ-+O-NlVa-P&XbCLv6G;MzX zRIT&$TnH1dZu>*S1|*k030xIkz|HE9kifumWBD(dQ1{xcUqiZ6tD3f( z&+gn5ZYMe2^UEVvI|257l?Pg6Hr%($Y&JGL(N7+zj70z^zVi)T-)Cb5k2U>$pu)|kq710u`~@m*q$p5K`PHoxD_^CQH(-J4CVe$o>f7tNH^ z_-gFC70G%0>$)AuU9SLp_ObGfS5c!4{VOB0O5@SO9G>p$YZT*Gl}F7v#S^?hi9Zpp z?@%R}EktQmf$GH&EptQ?js%kJfY`8J(BNw%V@U%Q0ineyX)H3D3X$bx#j7PjC8q$M zUefap3>~a2=C-Q}Z1%jV_tp+u`rh7l5+AH=f#+cRsduT3%3OMESW$#G3P=Z^_9zyLORA%$S+mw7yJ9wbt%^twj@eNrh2eq9#2o;ER{3;i7wFW^z zM#Fgm9b8QLcaS%TwH~`bFx=E&WtO8vfA0jwTN;bF)rKtW?QG?IEIR3nS&ABTNG;uO zpW%YKzoY?cS_3d!BZ8LlZ}MB(jCIMb5l_fH)u5p3snU28ko-yIg8>(MMeCANLug7s z8+36XP?+vvvz1_*c~G{EaL)`A+9nfb52qwZGa{(FkhUa{G=>(8MJ%l5tT|8_1@<~v z3EXQH4A(pb`c}t=`@x$7*n7*{&mX0-fSTZYwcZ*RumS*#$>!VlWg|e_Jq;2sMBTN6 zx60z|?zp&>qr#8Ijhp;wPNH*sAi(l`P0QN|-|B6ZGjkey*t6ps62!$WLrczL$h%eGWiZ~wBqL*Mse zPR`D7*B<5q&B>=vz9T?%4DbM@dxHHI7o%gbn!31X2ZXlKQgh|mMQzncPkCNGLEKujn~@o}*iW#-vIDeC_Y0Mmt_Pl{dO1`q&1 zs8i5egq8sAZqdY)00DPVVhK~d##LvY zOKQJWQ>TCfEceNe=o;n2j?Gk0>yB2cF`=#A4evNfh(~Mc@+?Jd>#E8HvS8-`i2!be zhknHCNA%4^AO*M$(g0K;_mJmlxuWO6*LJoDc-fL;XDe%9_Bx=g{p=&ZvWfm+4V(nb z8e)@=>DPg}z6nhh%BR*KPMpW<2JSb>tRL9T#Wv;Q1wt%Xo)p?vzm5I(-?eRpj_1@EiJLj3rn>-h2Drl$e6 zt|PX;0Ja{V)S4~C|7IZk@cTo3RLm%zh(KY@kc0(_Xd`I6 zYmXqxd1U=*20v|X_iB6wQMYSfOz2xfYz0Bv{F8SJ;T3qm4*7)#G-Vwh^$UNw_td?2 z-|*Le`j+r7zh=v+E2eEtnYQh<)Dzo@-dtL?;@9-)7HhF(UX%K!n^Y1Flx5nYNZjcw zY9I28yc~Fe+@$%k1r{6ukGOQFBJ}!1?o&BBV;Ez;Uy%jqyd%D#UUGa23j~3{qYD5N`cpJP5%BK`hVxmS9h5f$ilOqDmSg6W5W@ zphmopntF6e(TUIk8^sh{=8c;(up3P7$^8iIUhH&Kg5XCWhQyhooVy`T<0H()>~x}* z6n5D{`w$=tvcR3mq&(QS1QUM{wjwY#7##qErn)ZmBk20pUn8{9S1YQVf$Jo*NL~92 z8iJm|-hIrHtUiSQam8R%qeHeehWOjbx|iA{!J3ewSX|LbEt&!`EdfS0G3HDHyve8| zt{6-b$N)6KlqLxfl+gOGX_hhV?9d%g9|=6-B?8$K^eNRGeB*!JEU5f<078n~<(e31fTl+>g+Yo0+#wh;yRtL$=fu&)P>ErB#h zdy%m~_?c`htC-Z6+%%nKR=?CI=jyCMt1^60noufG-j|>PvL5>a5{`V?GmeX9<}r(1 z(aR+(x2Usdn$GRfoDT%<1{PJ97~FV%<$c5`mWyvZ`}+o2u`kxPAQ&-zq(8=Rf zX4!jKD$uAL3#cjS(HiaUk+Tt}FOb+oXOoaF#_3b4^%&quC$PqS1AxDXmgROCP~5Nat|Hr``E^MvFy6rBbjUVPLPuvi}@ z?=%vv0NfBB69QL?Ny0Kd4SZq2#D_>x{%$W$^jUjbpWx|HPqqukP8FE8F0?(I+Q6WJBcc5e{iw1scfrrudf9Qpf z5aKiU6!F9h(0a+ZHw4rn(pyQ0qXK(mgAjiRN~o;{k=%C(IdeRVf?md-0$`NlgA{NP*4XUuRBP#o zaXFVh0r;ABltmr&j(0HOF9YX{{f4a}m>)ZfGr@5*VJh!((G8M;WU&#>gb^TIIM9`I)%%I_mQkJQCl#qwI zOT{oM6|&uq7i}XU#wC!r4z(BX8*{NJgqcxi7tI8}bT$()ER5ENaS0-gRP=GFs0-h) z>LGw9&%kQ*powUJOeGxL%pn2+w`rgNs35Z9G|I*jNW}G}XeU?p(WY^3A|yB`VbPtC zC0yC4!*_+h&J`!pU0y`2u;k9!;5C_c)S;G!$J{zH(q>G^4v$kFIl<+mY`V(o;%^Hv zkxfuaW*p`TY$OnD!7xRJ2LVlil3O&IE+9M?#LfUaav?J&I~lnf$A0LH!15cE26CTh z4It6kmJM;ZI{*=)s4ds^cV)Wc!U^SdOAJ1Gft@fTA%y&8|DAT+m%MM)3B|AeN14Su ztR&Wkk-?EXmdJx1FraDhV~bO^8;sW&%cTpBODU}~CWH?y?ZS07oa=;+APl;)8#;?X z@$e5AS_CJ3PCChK=8_o%ZzW7kYEyM~!7jh^v3->-6_@ldNo|u7@A8)nYxTs3T~lUm z-VrQ_oS>2fsYKW$dN_?PeQ?X;T?X_KXmfj9YR{$;PE z#pS-Pz7HQ(hf*_z zUR$&A-x~YfDVd-`Vi%u^8eptElSTPtts_+^IKF(rHh&)}vhxasrhWH1b9v zPU^7zn)IQ0TYZ(2bfNE-wp8f5rUk@*A*-O5f+}lC`<7bbEw_4a=Eu8^_7>RS!74q* zwrTOI=JW^4Tuo9jSICaW>9gGTi#}KTZwB~%!Y`Ej<;TkBO?COR(r$H6`n{CL)FRLcl9vQPYFn^7C)N^M4+}x&~jGSD_=Qv9k{B!u9X!Bud)q<*THI z5Q>+RwXXZmR<@&lE-b|D9p_`QCo3nagX$kFee1m1v&eGj$#Hj_7ZLg+O`ABS- zzsKqIRPAOX)Pm;2nIYaQHa-gYi*DrEXG#4V93hJ{b9~zvfWY2b>7>$sly^Yc0w@`m zbp+t09&dPMUf<18CU7a9 zn?LpwtNTAxj`Vxg%J;vbly6Q}{zzR?{qI+mC(S#>ApV^T`A?+IC-&R_J(z6gCwHFw z!ijn1&eEK5H7oDhi4R5~s5;8oDg6egUVd>m7x-QpuPS_BDO%&GNC1HCNPX0-A_$cW zH&kDho#<1&2$B$90zwHC4p;8Ft!aI=-uTxvxR3TI4jbU8S=|uO4R)^jnd9CfK&-1T z(wgEq50^;x{T#5W7gTrlc=N^cBnzmt{Xl#Ut)7SU*(CJmi(&s<=i)D;W?g`jQ4UzzywWb*bW{&-f|%^e`YVML`RaWquU1V8|Y(zz3ZF$NhC`flVQ~FQ72;QhrUAM*ZA!KptaXi zk-yq@-){SaHb=g#&4$6Zd=GC{%6V?k8npK04=S@XJ>B3 z0CzqG;IlE0+;bq24HCjP9nx=7@BrKsI1thpUSp=liN0*@@^8ayh>+%44#3p8flGjh zkG-EE;**I{hu` z{!{WS2dt{+hLq@Y9E6BXKBWgJf2Iup>Ju-O>d_ej1AL=kf?6aFtDzASv5~B1f?Ar1 zaNvNVzodY6KJ<~%bo?IINP{Om0hJ)%570Ps*3uT%W%YpFCZLP*@HH6*iy6& z1HsgOL;t+r{-Pc)SlhS7S3IS1f!wg>tDpD8BgyL7uTsrNC-#2#JJwl$oB6SCZBRdm zQL9;bpz<*;dzg9ry?Wz^xjK;l*r25M4)IX!vWSJ$T>fP&#Y=aCA+$m)R1haB7Nlf3}utq`Bz%R%b9K}{j=IVvs#u$%pRN?iz;Yz=5ztus4xWmXAa$I?Il zTU-Op?&sjw^ayQ7%ioigBTskgzAK%m$fxwN3q^PeP|-I&p|h7OqWRm_!1719MnFj1 zg&Tu&F*}52v`T(A*VO~Jkp}=L)_yK81j#vqK?j4&$~H5~sW0+Ik!#LCw#mm#NI}?( zc?P}SQEeui%I-x0p}4YzK-eQv7P?*@)b>E-`FT?R61JACA&=Q1^zu5UO~Rw{KnbC% z+-c`PS{<*>=uodz+9GtaRvDy*#U0KCbz$7bezp093Imes26vu&cBFzp z(z3`V;r9>$j{*X91g)(Wy7r`nWdo>008;}g9#wVid_}VxXujI}WVjx#2r6E7EVS~Z zx*Gt~?pEEJ7Cnn-h7fs;RW5|izX=)?fV8jp;#R~(cpa3MNA(;zQyEQ(m;7<_jBjCC zE2QdeM?67n)H14`pIiOEVv~M;zko@<0+9C? zU{d?1|5`-qo3QVjk*)vYF8^v=>o(`jDBHWvT3{>C)}eK@6#=dYkiB=CLt|^0?W>D- zKMzG>5V5+zT-T#xSu@@KY@Hv9awzZuG-YHy!mh!?mGCVJm~`!{#MY71Fi;g={VLa% z>H433{yn*Vt-O9ocp1++!18PkF^-IS+|Q5&4hte938(knhu=N?|K|_T;y-&Bq4xfE zkId~q{r2qd-oI#SJk@2j3Z6QunJYI`d7BadKDK6Q3-Qs@@G(QO6mA5x6LF*Z zP>X6Z@0#rc0`W~^o76YU$PPr#m=E*GMfAmpA728}Qa2%46Nnc&EHOq&jnPS;2K;pf z)My@o6DdG5iHi z>P$Q$=qXtR^F^guQ#~PVgV{Jy7?1fo`2??=;if&sN8Y>n75Rvm%
)Rkc^bC>~T zLRk_!B3KPos=4(HfgfbLU~%MlcRHp3BvzFHLb=n5f#J@Y`-oAceRM1U{ueO-g2mIw z5VnLtt4zjfT8CWT!X-K(j{+I}GN&?&SIk!06R{p)-GJ}gAcu%=vNk`$wEc(bT10J! z!WL)}ITq|eI<3125&4Z&?ZU(w@-_nT2Q=Y5R#T(brETgcmpB-yaI14jSFj8@?Qns72&VB6Sb;_1WREr3J!%FM-y9ug>WNo9%j*nD zF%B$fYcV5L99Jf7FWVb3UO{6S(PdQ1p#_(?$@#xjz2F%eRQr@Y;7p1c%L_VfL3ls} z+R|+YeIiIci++wC+0lfcv1nxxB=7pNut0H;0 zT>=jzf@J`Z$Re$Gi~d&Rh!PfnGd#9`b6t~tCS3QvqMEcT_oMmAJ6mAt@c*N2WYJ=t zZokLLdUK$`1SlI%`( zEaFIqtu7?)^nBTvGr5+!#n52FixXgO_J@KOw0-om5yZ1EXn zGEt`2m>jP*uJ=n#94g-_Dg=he z4Dlque^*3!XdEdRp^zMPfG4<+P;3=>z5dXlacAvkb85)^f@ zj2VrLWQi+IN*T`JoiX8x{CzDzB-Gjem$~#WRRS?LmJLH3h~iD49Ov!3l4Xk9|NB?{Hg%);JHyRs24CizWGm=# zi*shu95tnTxlhyxlUcR^;*@?sVN zIfKhYl7tX>tWwB^co|AVy~nF9uv(cRDC5t$R?`$@Qs&s)!%@QqGAM}8sZ8_Hgz!3< zrCN5AH5>-kn`v3tj_t$1S}GtL>l_GsOqa4Cdn%$%0h+;>Mb-u!+;%)=knfxe51SfJ8Q8*OHVZRjNE5a@n!`*PSPeRhb%gPvC3xNhpUTX0* zfv!LV!^KMMK8$b^;%Un{Uiq8~_ zC`hphfXlxXVV}PAc{>#7(DA?a`|6u$xFHt6O_ zoNr{0NfofMQe4JyqSXOC3U4Epl7wY(lHn26Cg>LlxapW=&-8mX_8Ij4%%twOBqApg zgb$*lNFStn&sF-j8k&80P{#0dP4%!wLRX1sg z9k}5+Q<%`lu-ull2?&a4wHwIw2eo(1B6f(*N1RoC!*1xFt_P&gu1UP>&WZsAp zCY!BB?5Y#_FoKv76t>b~;mwC1u+$c6yL}Ty0Al*Zi|%?l*Q#d^Sy z-hiY~M1?A5T$BY*CJ2m>F69$3mDMaLI5RLr%&_bGE8dbLKwV29mLLg-`7-lW7_4NZ zDH(@{37bW}D*4Cgn6vhU3-|2m*{K(2-L1pW4ha9=aQA3847ek4$1v)_%*W>POq4~1!zVVj#JV} z^S|Rv9BD&M*#Ozz9$-Nbl=aHc{Hp$|e|FP0_I`3-Mm=PdoShT;z;7cspzZ1z>_drLdOx*R3_jL6nb&sQ zJNUWLzu*J*p|U(T@Y2tX2KkAVpQnY1xy{a5^M*M0bA31WLS;dg`d9pbUfckPB-281#ItU@CAL(@@2rl zz0M97Kak+JY15_)!=>;dAmF|a_s?c-w15;={cZcqPp5{>M;G*y!R6Dq1jwr=V&FIS zM9(|+a_o;DG{Is$8u~xvxptj-xOKZ1Q(RAYQ-lHiB@FMVC|v+%WN$x-l@2P4Uco&b z9Xs<#>kH!80@K&N5x)+Fd9TpxMDWqbDToF)=y(3Fd-k{obN|ZSzHWE!=`&>T7SG-H zN#F_vPz%3nG}`E&^5#<`KrAJQKwB7jJ^ttK9{AO*sH~PynJ)#<= z7+9X2f8gwhp8rR-N{&4hZZFlNZ$_E$P!ulOzy8g{4)+&wn;?>a+dPnAcXIq+Pw2qg zQm(*@!)sgZx`x&PXoHf%$z`BFb&^x1lgqZc1hITQwXVJ|W&jr!J~|ZbV43T|tOw9E zCYQ#9%Y>yA=->A{n@zjJNZ3e!>EyO~mOMwM-GqK36?EpM4kM=9&V!7R0ya-R+%F1^ zvVH|zB%~cEPXrW8&`-ppkSpGqdC+eVZwuxNvm-Xk$v< ztmlu3@0t2h>c45bX4-s&JZ9X+^>a!Xe}(10*cginkO>NywuCgMeJDKV*BOlQ?|T0ZY<;lv^(wu3bK)}XjT)J!xWO=pcbJ@;69(i z1X6}F87M=LNid;@7l@N`5dvQX>ljA~ufR`7^=92VHsW+7NLBGi7QqJ2Tt^{~slyH! zj=!Yl_^1ZjtCR<>xF6zd%Ed*@`DF=5v3dyynyFE2v&Tud`-C$V!EMYel!i24_YyF# zHbwW^LdZcS69f_)# z+wzgc2or4)5+cWJQr-W8ja53f(54)DotUpjr6}5T=+p0OeGFA0RLSXojjKSg;8YF0 z3VDKctI|zZNF0(FFxUKKIMC-Z!Z#vGQ5Mw%lR9x?2gd7IajL>Vf;p{9CpT%5xFw@a zruJ0cG!2ry&{E*~rC@h$fM{KmjtIsA*N}Du z7Q_@S1x4y#COE`A9>g5l74UGOY@j0JO7`mZxB(YqVImM6ir$_P>>D-PX*4FY`<+e( zRHq*bZP|Gno*Og@6lqZ^Cg6@V)tm%5qOfnBzGu~I764<#4_LHDd}l_7kORmttW^u} z$Q0-i0Njl+#Q^ATa?LF$e!6LfW!!iNRElsg1U5pnDzM6-FV=yKtH*$J#~IxhK#B2w zN?8SoDa##z_2*I?nQdl_}678Mze+%qh z3ts;b4Ei88<-0IwtP-&IE++dPl>of(9+iL#pY6C1Q&&g+;orp6)z`=V;axEGPk#~t z_J`l{;{@0@D_4F@KjBCB@-6}PzeSlry?s}0;O;MX#_qz+Z&vR7v(WB;ZPQ(7H-vj*dg zjE#fS;UHay`n?_`_ZV}A%^2Q^4N=-D3pf8$$MunBwrp1@*4?~u+|d1iK5t_#u}<(h zL4%p|ECjpcn$V+p`#~fuwuk9nFSF`(V0LqdhM`(X&ktCM$uY7Ut3!zxNfU)UWi?T- zUcvs`bEgRg!uH{5`@kl#UXvOL1ye~e#yjh_8@o8Z>=SCJ@X(QyE{N{S0`kiQX(K4o z&~6jmq6R_!4w4!{4bwA1mAXzj5%vR~S)KW(oKUimHATWkxZtZ4LqZ;k)HsKJ zq}$Gv^%-raouxFC;XG-^paN1!U@=NnL+2#x!50%mJTYNTgd1(~mwFaxG$bSe0>FcwaNHi9P(v(I(OiB1EfZ`M~X(_b@ zjV_o?1~Dho@=+bQgPv7k_CbNP)%>hY#vyNNhb?!4G~7ndgjLw!(+$)2w5c7i%KqmULY<5f zvZX6Y!aMD|e1@~NCy|(miHY@^%fOljas>x5@aHii9-QWc>$b$x8}ky^c6hfbLHhxI zHyC7?hw*P!JD8vZa6_=pkw66l!Y-K=tRWyv%A{nW=itmsa7(}NIb`_pJVf`8L3d6xj zASK_Ysz+ubODu$qjG&2KWLhAy_l8&o3Hc-cwB902W=YjoEyN4_kjk83tT0|6WW!`= zFd0?++sMY^M8MDl5FZ6TKhm`ogiI{g6c{EiBjaP}F(p>wlptUfk0=72KrZ}Kc&VZ; z-u%rGV^VibVufi%-v~B-R`d8+kQ6J%CTJkSmC+>^QkvYxm4*Ue26zJWKx4p|Y?2cOaTzmPa;^YT0Yq#mffQr~>BC|w<}Mz%D?o<$)l&QwkU|;ShN+A1 z^5Q9q2kuIeK%|ngiN8Jb{xFW37~WP+hA3z73Nn6` zkhiJ|e=d@4{_3w}=Z(S2Dk(_=$m6yN4eS`N^5vpfrWP!g)C^5b;6meiwxiG9S_F_u zeC9byZ6eHj&ZsBli;32Tjh$z5rD&{qVzVcN016c-Q&76B8_n7IipwkU8fhf+awr(vl-hz3h4K{PZ1vJxCkLGLv8vrHx!RM0Nvs_2^>9NjDhh zrge%MtSDd$(Xob6%awHy#l~BAo6he3>V%PV)Y>^m^(sg+COomrbZc@AX$LrZDVTZ~ zB%(vrMUIK0n0hI1aUP}%N!382B|i}*6H8I@&O;xv)~K%_FiF6bEurre2cM^Y10kWJ zUgDCKRuM@n%7H$F&^mMp0>WI0nW!YMM@_(V?Mkl~&*9J}v4OyZ!XZ6Sa9BbUCE&t! z-A_n9OJFi!9s$C~d^w_8eGoJ zz!F6^L*UFrYdJNm#u)z$x8wl?XNQF$V^!1a;7+*baJx2gL8qn~H=#_$bo)&267F*Y&Kf8ua|(Rjt_oH%ap&>netz-d_yTC)lUv{x|pG|HbGx|Im1#S~~Tm$B$IY;r-N`{^S==YS)Fm()H!HL@W|e zDZ7QrEB%%41`m5XM7128;OUp0?*_(X@W>k0l1b|ADe=DE+I&0m5g_&C^%mycQbwEs zgt^dYFK!Kt0!;T zqrW__|IfywA2o(QY2;V=9&*^8qa)sy+}3?tSnW#T+wOTMc#~abJ(JCSeFgj5>`nK` zn_6jfYfHzL<>I}}yWiWo>+_#Fa#Y*=>65%?tahu#%fG`ne)*5Z=-}Q{R69Ph_uyD? zO}zQstxstu=^ue_o;T3PqJ6Z(i(J8U%7rba?`X3_> zc(3-l_mAY<8}3yDz~({Y$|yi?HplOyy*be^^xU(bn3@Q3RcHe2!iz|`_hRIAhwI%( zk2iyJ1*dKM!A+}f^I>PK2pIP9%EcO}uw!G7o@o`;Z|6YqJK=sj{>TqN*X395UT8H& zT_JU|zZ6#Ic;WF1z}Sf(@E}x*V;Uk+`ZV5W2k(6%OAK#B0cR*6Ely4$S>205v-R}a zO7^tpkeiWL&?8{4hk#@pYtX;>kN%^7*U@Mj9DaO@<`joZTlDRFb6dzmEzkGt`@8ln z9pAMN?z*RQZ*BO}gYFyC!wWyN*p_=N1dIUU+Q;ue6!jo9Zrv99^&%je?O!D=FS1Pl zUuXC5#@_1F?$v$g$rV`Jk z51jU1%19>zCvggty^SZl&)nD^%yaL!rtb#MDYK{3;JJ1_35n4_>;M3pdfeNy``_`+ z`ZKjX-pl$H`}^XCGw`-p732-xAlW;-SSLrWaOXewUb<F<1@z^Djd7aA+z@DJd21;+@uC@SDj!SUAV8Jqw_>9T4 zJ~)YJCMd!67<;P!G~m0sc5(4Q0cqYB6REG6x5R4U2m}{67>fgHvT?~D5yuwE@sqEW zZ|y+g-kx2pEjL=#T#0L`z2*Ao(BUW9{-eYDNa~NBTlsv8Ts`G!L!YWH@VLoeIDBVf z;$-F4Cg{r!tpf4<+;p=LVpz*Hh6B(;72s=Nt3n;t9W0yyId&I!K&OPf!PiXOrV5x5 zm6BO1kPCumNT)a?1AlH6N?ebw2K#B`22BgNA$uAdiAN= zi{HSp!O7jJMN>^X0smzV)uIB8kJa*qUSYN&6V6M?pP28(nm$lIxz^mpn z+SlCz4cFEA_Ujmm5~*_-W+cq_fJg*mjuF`wvyOF$#$rm9p#3THJ>H_0S{A$b(@jOh z4z_D!(T+TnA`;TcwZt3VJFBaiaAa)NZCYS}!S8oFp)I?NdR8Rv`vVR_8=$T?^7{?*w~>7`&v)dZ`YO)!Ob7G~ zx+4a{Ph#d1K%>xt6>R_d`hotG>=PS2V$f8N;HEKo@JSRY*3hBKZni%tdB-9;;eF%9 zbnuM8D9qRXGVz9>3*?PjBCBRroFk1WbKyeMujvP++#~y9IJi7x4^dyO4(9TrhrnQV`heu)lZbu4}WA%pm)p(@b46Q$mS*7(fS-<#&Q zP?yU|U0!Vz;eiX#W&0v&5bRv2o7Bk{{zlGyo}#$ z7oUHpWDhO2{|+=H_lF%#^daK{!QE^&@8dp$9LLlV#T!Y=`-h|9t?d$uw#ItM@r*}2 z$(sUMofYO#0n8R^wn6&iJwBuO3%KhtF>&+YlUlKAZ+z=9p<FG&m@(fPg>5_b{;F zZ`CgC$rHuGt>0LS)IA<#L{^-O-xsUip1=ISi`oJww5?G#scmE-_(NPhRGNkaTdGRt76`akfhiHRTPn*eej`p$(0v(R1m^A`AvsQ4yJ_8Ha)r1&M8AsNm z*dByj*GLx9638)2$(^ORuZe!5R!0gWPRBBCT23n5NVN^~Z^SnYL|pk6Z%k(NHQ|ul zg<9gKs7)`Hf{T>ixfN#nIoy9}U&wBA!{#yIsivMYFV2?w$IFiN;Ob6Fif-6+Y1r|c zL0he9>X^vR+u$UTfOiO-k(b0^2*N+&gATO0aQuamu;C;ZDMT7@lGHCb3J&iPB{Hb7 z{u~i+n4;oF3oX`Z0{%pDZ58LcCK1B_eUa_?M51*A19lW6w2qz);+#OEqX|i>l&y*T zK&&-vw&o&x#cJqF?#8xe0JLo`ipsm*YzdA7cIn4{&NW-V%nPBLxXb3v;JXU8vMEl` zLrIY|W@IQAyY8}j5l>CvdLGNjb)z>j3QBa0rsYl#2dy$7B=!|N13AVBqQ>doN5|xcwW*yM>jPdHXu4of&+z zjb~f%vk73WFXNT6OLTVug@g z_S~!ow@bAQHCS~ej{m>9*@`{0A3cH}{rcYDusz&;y!X$Rf|uZ2z31_tzA%H($T{PT zJ|a0!=@qreNp*G7*`v$-zu*`Jd!@hidUa(ZvN!l#ey}G$&}%@?6Y5 z5>x@uxW#sUf%b569L`JSMnD%@b*XM!a#fb5)sgX5Cl$iIPtyh^1IX1u1}7w?*xgR@ zRX>Ir5%AFAZ9&RU^&`}sQ__hMv<|o_+-2WUZZ`j(^&)kiirWkXQ2{(docsD9T>{)C zrO(q|r{fW~L)}t(I3ek|gRYtk@m6LN$xU8%@g7CDAP9kU)vF!}%b_Io$KF4>rA`A! zs`Qysp^{G!kyK+j0`*uB1RSWTUYfOjDT zB}IEKuD_pYn|fJmb5C2E4Zh?C*Qho}hDMy`^0wab<~-T!4AD(t>v?CE2EWBwxZ-pi z2vZlBoK+f+$~Giwdb=L%sv46tJt`)$CPfd~-f_mg%7R@JN`*A_n@NW`f$SinmMn+X zg?>)e?#F5iw(ey#aeZapHQkeL)Hlj-7yCuvc}tP&T66poON7rDzdkmw%y-*T z+SY-+k2ut~59?fR{dOvkBof(4|BMZ9Zlv(K6R6Mw*IT^5Lw!k+b|4!B#VNIaZx2tZ zvo7n=x<&&l91(4|h>@J6+?<4%`O~j1H zMs^02MAPl#h{aF0#{*{1qYrV@nFzVOCQNF#veUVl}0K7m9Ar^hyOy1q3cx z3a%*O0)XFcZlQQ*8ds3oR7i7aL-tWaO1^)_md4`VP$b(7a<-g}WG=NTmcCvB^wILL zy9CC&u3{D=0`4!R63V)O@`(p5 zN(qn?as+UkXXbe5hd3I*tbkG#&I(+z)Ey%3Ql?oYEF^yIm+>uq1D3)Cqcbe~8;AtN zUlXL%&8}3hHv;Vw zTsjn2k+xbB)4*xfZ}f62B1CbbkVW|fQYIMCT|>yns0p2Cxepyu=9Ydwt?qJ|2T*E8 z8&C)O9M;UVMxUD>M!hHs0Ec4;Mp0?1Rcs44Mkk2cfMF`_3c_JW>HNYZo_J4IFv zlBbau-VMekASV-QwwNmDrWpB4I&#$7C)g3pfC*vUod>6#h)J8&zuhT69oaCwSv#m) z;3a!7m@|;Do^|an_BjKqdUL~|GbQ&!DM9CLKcvuCp|qXabB4Y{FfE{yCNo=0?FBhs zqT>knUC{eVK7crB1PU5Hs^-av&P2-;N}_=uGh7{iJt4=BNa0KrT8TQ~&;zZx$d$PB!WX;oUNg<+SxrSORhZLr)SuS}<`EWmM+|GB4?92DrW!@kHPv)ZhvI6m z$Wzy@>cebY7eh?vb`h|nAsQ~Lr~zO*OI?B@S#RDc;R{var9F`r%Z|0`Spd{4;iRw- z0X9S+N1;T#x-stgWL;2eIQAFp56;+GMYpjoE;)i%o3oVIP$)_R6qP1|Vb{l8epESHuHSmHs2V+}8vSS?bw39Y3DmV*QzO+hw* z$Cxt$cv(UilVwyNo0f&iM^$DmvhO_hL5ce6j#h3|mR(A5sA*ntHR6C044Ip80}NYe z+f%8k#EaZas!kq(Mc2e_>R8ae;`fxnsh400;&=)PoK=6p1{RNP`l;!4kVZA<;$fPO zV;g9^Qh`EQ4Z)}P)9-xxo-ARE;;5eIB`nTW#61wY$eMS zQ8dgobKGuK(MZBz8-UHiq6X-@3PQZN1#Hz+MYDwsn+7|It*{PPX{R|TPOXJR3a6p{ zGaj6l*fBXom^b{++y{Il`M~0-e1P}yQ8$T-!>G+^@WDrL!^OVQq9%CB9V)r`QOb9M zhhcC>)pu()g1WqDt8ls5Lww#X+6G4+RMru;>Ip<39G(zna?SIx0-0FCk|ap^fa-{l zVMS^j%SE+9^bjIlYn(f0vAL3G>~H53l_nO?2=8b-w#W=AaDZu{WhY( zeTuu-4u-IZlR`xWVLgfVIvO@N36WvNS0#5^w&Y%E+SVL}1gJwJPctK-*I5vAi(3+~ zr*<&o4La|)>yHv&o$r4 z>-s>?zK15}hAUTE%i8p{bLZPHzjAQkLdQY!^zeD_puTOi?L+C46XY;k;g8Irucynr z5=>k?nyVo0bR7I1uXfI4YY^=4#AnRf7G4gvdRxcxYXBh2M?dBq`j}G_3-;E>ysh5x zf&5sviSCa>-`HuJ)p#ZymZx;}jDGtqj$nGU)wg|S=uxoww6w13cZ8_T25-Z9(n=eve~k#d;aU)}fD+Rya0+#77Y@7c2P({A;amihd) zUmkqk-PztzE_<8v#o6B0tKy1i&>%l&n5{2+%U*4{KVvv^Kur4!vrm1-WS83ieg6gT zS}-?>2K1MX)7pp3sdJ`Mx!`@#`|w)oo17KSU1cwOYy+C=9bYaaQeZ^9{??+gCqN;! zm+1Ut-pur~lfSY-|2dqb^_av%s;e=DXYAF_5@_c-F@$Wp&)RS*ix+b6Ij`z>T$-7< ztD1*|%F8c7iUGLYr+3^(hdg{ipPsvN0G~9g-`;Zf$1m)|XMc0YUZjy;{ny)2S5aJD| z5d!Z!S(%M?daU)Tw>Vi6MUbrRS@3uXkmv35!OoZ>e9iq0pw)QaLlDBd{jCp4EnrHG zOti_bf?YToRU4q=rl83-QFzNf$oaY6k4B6EC+u-QGY`4a`yldo-T>nj;Ks@MgFCkM zX4&n>4-U0&DLts24EFxsFa3KRP&Ch->-|$__{mPb{d=`k%l96?h?-RGAh@ESQ|m(S zrONQlzafGoZ>l?P|}cY5FVO%P!JaHCg@ZUZ!bF--=q)f(xu(W2;V`$GC2 zJ*ns)FVB%|gF~BiINHsTs(qvN%w8zQl1@kv1da0cbsGVTF6-^zV{U+K^oCabM|Veu zQX5WpJ~>qWbiNa<9De&F#)XmL=-Zou>+{2(?}X%RZ43Q~ab(%}#KVNp2RV8Sx(E9& zK=A-HfQwX3Mro*lMxbDN+t=00fYkuJ^X=dWi@v)J(@%yWTKn{$Mu(zB?p4w}A z7PgtkSC2*4gW-s!z7ic3*1~MzMN&1R7s;-YR4+@rc_(y#(IgOY1tdB5>F$((Lc^S$ zIb^;Jd%Zmtq6MouWn*rZ>`wv^Y-nG<_Q2sjf+AH5hf>;Tsbe_0c=gE(Bfn&hjqYoZ z>EVC)0ucF=^V<1|hBj1!4rjTeq1AAMLy^nJjsv#J;c$5}kVz^y=K%|?f;>s|9Z+6H z^ENx6e`l_TBjA=Es9nPVu%p2-j8jwe!eWnp{L&QIo{-30cfy0YuBW$uFN(UN#{c6d z0O9Q^gJi0|hz9kWZ=f<&fZzZd;vnS4k%nzY=L;7m(3zO0aV0H`(8cfPKsQxx{LEn# zAfw;|Kl^{XZf8r?jEiy&|0?D);ups5i6z6c@VS^dU7+Z#i<=tz0zib46C-s_%CZxwdYCoigwt=59k#GI~EHEhBPO>?x==}XBQ^UXeMNoj|A5!LJNPi`N>g<-u&Fb zp>hu828TcN@zD!<)qQkyJA_DD>f5UOwJo}N@nhdCgsfDyPlkUS-Cckr$AvM*LA%B5 zD1@_dkD{gV`e_@Ah}8qS`5qS$nGa)z*$o!N3#ZWMh(gz46RhjE3Mv+vc6P0SczC_% z)G_dzQ?@f2om_mGC4S)$jz>9Is0>V;>!Gb6z(eu(%mGxEt!n^TxO#AFK=O(2n*pr$M#;~sNuO>P0Ccfh`?^cfcd8UbqM$uV<0(54X6VW8VSfeUOOIOH}f z0ehfXMiI4OxMjE7Ib0pP5{q6u`z045z^M+=z+FARm-j ziY&;$B359FYD6%Ds3HN-l>`G7Kib0+t&7prF*RCDt^1}&vZz=FW^h6Z$;seW6h-Xg z+t!N$q$oa7I5O-NE-=bgT+Dcc9rT+7yhnM8va9afMw5=14+M3gjM4j=rkbtM9ZiEq zbxLVD=*}BebCx;!znQz&K-R#T6iZ8xHv*YqffgV%adf%94`?sKDVU8jC2*}&GuSPB zMIr)I76YApN93F&mUrn=OF{}mhpbA(if;eG z$?<7gP?p?SeW78HAsspoGZO`QW+0Ysur81ELl?zNXLh=&$txKQ<1U zVzfipt{!j1g<2?6HogpN#NE64$+iYKTJZ%WbK}Bp1x1_?jDS@vP){SEt5E(W!4Akh zT*g+*mP98|Z*cC4FXG&Q9oT<4YEUx0#`3owOpg!#5NZBwZ>;xpS7Z18tM_m%xb57n zWwzP5D`|^=|Db%>4>fInNa_9Y@?oFryIT_N0scd^h98LZ{utW4Q;$oc#V3_(|3VeA zf96{rL@Bd>{$3!`JNK@>?!N$hL-66pS;2+d|7+}xVIC&32|ExoG|5#Ef^0Dc|=ws!Y-30h=&)^udwb`#lK zsg3Wx`krTwuZCyz?%T8Qobj)ijro%v5DSQ*miU1WMfmaACyNB$%#vNFORlAk=xtO9 zoViWPqe3(2issKwa*vFd&1GB5vfAnxAKN)%x*c}II7#Mp-v6*IH-{JWLp=&B$Pdw= z;p)zyYGxB&QTAmE2*7rAi5}NM{a~y%5fq3Bk0{-|6)-R?AGGqonN5j%B-q41fv@8!R<{!40i-mMw!_m{NWFGP(6fIwY=9!(2<` z*Sy(b_`3{6hBN}2AmO$1d}Si*xV&K(HBY>`-0R>DP@iemLl{V`_a-~`deXE}(>1#4 z`3iNX*Li_wRut+z*gBX1?9<0b%mJm_`>SQ$wV(qlHgd7T^rzlA;(}Q1D7;<;LG+lu} zSy_Nlr;qc&c^5pv6miuw;-aQd5%DhaH9D|BUsZsGil#T*Gpx&1oDwiWy@MWd_}rMe z)jP`Bad#SYvpfwnL%GSFk2xM#p5p5^ZmfaoF~S5vz2EB{kId=nto{st#*n60_39nZ zu~auJPp>*6c_R?-nv9Jrv|W=eqJVvTisOh08)pTo^oFZQgUXD<3H}ZAlPXw183al> zhduLfuuoFNq0IS_BuiwejW;o}lNiCw0ZQ*Gu-0 zmlim-i8toeLCQf;RI*V!@S`O?s|0oQN5#OIEkt!CeFf{v+w)r=kdj2Ty#Y!Tpl_3~ zrmK#`gC!&oFxQtQG8k7s5~jq-*aI+>tPmuG!U&PZT?PZg5T=oBCh=?{ z4K)2V4*(cUhA+d)P&DB=KyJCW?@QpEkW3skk|s8K;IfMh(3I*mtJXJXuao3 zNs8#$DIPq5_kbnbZ?q!d1YG=M!yotiB22;CPC zh%^#66N8mfh^IpfS@N&WC9JV9fLMW~P19a)8t0-J+@6GlbC80obUl6{v8+xnORMIR z;eU}Mah&6TB*InhKMcGn)FUM*DqBJr)(X|B&>dtVGT8Q=Y^mRUm;_}z6R3V45G@lG zV=YC`$U0(wb$TFCcX4SpGOo4XuHG>WzL8Nb$SH?Q1FGW=K>Ah9YOA)7;S)^*5VtV< z=%A9sKBL;4S}cJH%%iS57oPQrt_CERU~HPJ*&a;EtkROetpD8TM2 zisVxf*z0d@c~mm&C%9*ingUWD^GwRh2W-WK3LS)XYTQ;)LI(GnMw3f0NtlF1D3_ct zxZiLIYTnIIN1vfHlQ5w+vQy#-s@oPLcCf1_CJISx>2;T1$Y) zhG-Ap+4$_xGD(^fq9vgPS}kuTF0)xEA<2?ant`}WmWC?qZvceW5=sii%bJ{Q!u60Q z#A|c~m&~g)t4~sxQPQf=aT_t^1*uDxI87#S^uf@2Cp7_5tL`kgy4B0B>K0WCh=gi24JaNC3(y|c$w2!8&_e_Y^a`3$=Fpdr z7?W1(ZiNz2;_aCG-G-Gei#mORE$R>nxL~6Gm**hf?D%MmWfM4WnBrI>y}k_su&hfz z^MNDAsGGz7L@)tWoYXYfqe}{wFnGdT?S?@SuX*^ii!cfTdIIhDjYt6(ukOT&bxHvEjBk*AqrABPO>|U zX4Gl>Ah{a8L~b-zKD8OhQDNI?@fu%nJMF@)lG(P}wYy*J?&7^h;ZT%n}OtkcOX(cGRUag+*nsZuCZ~CYR z?sxJnMWZLTYw*5-6K=X;cpndtTXvmq17Jx8`e@~%=k7RSU1MeT$ci^`4Xod88dSD9 zua?3*y&^pD|LWJm}=1$1f<&*C^>1D$Y#?2 z%7Jw1HtYTT%a2@tMGU;?rfy7xE9QrJf8jbaUYwk{$XHa+Vc@6(S{owP18GBs;v zY?}tpnq$6QtzOi3hqc3hzP>otw-Ijo4=RjZHTvB2*e;K4b}l~amc+%D^{+j4AxB2U z3HM$;wE8Nf6h^oxK&%0HYpmF>S43>PP#j-MSMwwH?+I5~8;au~^dxmdvO zU^C%ES8ymvUo9fdA2_r3X;xTvs#nryT50vm!0a!V@Uip8WpaZrG`Hdt;6;79fakbc z5I$bTOOIFJDYu%@nS1dBSUA@AZGKnZce6Q7Z)o!x?jG6U4>^6q4}A20%5hKT`Nn{M zj)PiuX^I2N$ThfAeR|g@N4ea5Fcrf(02|jMUBMw=Zjr zbahPZQ*Rc%J)@y(0yfbuj$HyU>c;i8hJ^(=OaNs216Qa}M{qLjuIlJ~PabHhS0^0u z2+)E6YL)jMg@R5XOfWZ2Wi$AEeQjH|a5p|orycJa{QSsQx8**cZwwFdopk#;k&6MXt9*d~r(I+~ z=hia7Tw^7O>&pNE0qw4_-jfwHCaA{I(=DJ5q>v9}H(8GjF_!sR+v;RXaafa8_| zzJsC8lu$4b6wGkW9VtgCTV@n8brzoJ&^;1?J8y!=s$E&<6>S_h_&`Q zE}Oa$;!HONsiDoLG!z90H3anoD9{vV>&FG>+U19A6%o_iQ=FDUv6~m>99Mz}Mgs9x zsT<>sGFjSaGUO1!P8**vbF|??21Rn6ls;CQLQCrDA?~X#lX6J=%Z&V0 zeH-YmFX_V(ZGWlszKa{Sf6W{&cVfTHD;d<>+sUCgtlJpNS7bIFPc=|WEj6e*0PkhM z>#=UdlzLpNAw}YAW`GHS&SR}>(EZ;egK+0HIDilMH=9ityO;#>+k%#K8k$>OJOIx6 z)Zh2_Urb;he!5-8Y0Jy1QWe2K%>#^y(}bn+_VY}snhRjb?au>|#eE)9aG#jdf7MAy zjL`yFmS_in;_n%zUk>9HL$*SGMDoeq84Y1XL@B^6j!t-(7rK8X>M^Ci{k3~Ilnr(u zd?4aG8^T##g@Xow@IKB`JrD;zqYcO&1jOSwf?Mw~Ib!LkrWY!hf4~u9Znb(A6vK-O zdZqe-?dz&fv(`gSVzBW!oLM%fs*t(pHLK1-+qag7*U+hFOL21@Aox0LTSj4e1zh;! z@l=JbzzT@)nB3D1U?sQ?vp@d5CXwT^n}d)gRkJeAN6Fdv-1wS{QhE$+5iv&^6pQUK zFIJMO-W(%CYrsc~T{woUN?Bup)`lb78d-c0iV}$d%)XAMDuM(n=82ju0U%a_4#253 zRdD8{69(w3K9M_`#0mI#6+nqRwg_2BNJ#eY|K+-zIjIMNWmftFYt>mc3guwgQkFZ^ zArQ`%ggfrC7;()AZ38sXM9{qI0>cgCcOd_Cxi+X)k;}Mjl|t@|2^Ug|L;6VaG~EyB z-Ch%{zz4;k%Ii!j2;mAr^bM(U0Oqm~@H+yKf<17GcMEL#kOTzZXYJ;TwehvshZ2}S zOEEx7)hap(Vhj)$x(NP+KM`0ojyGoHI+eh}#XA5vi&%4LZ51rUX`#eLHc8-tGA9+> z#4fk64rkCA5PAhs9{d9ox#o4f)eCWaxqDaZj6$32#Sn1DmKhO{)Kxsl33@E)DYeA^ zNaeC014aLP;LQIK9`6rpj=cw;g+?zh(I3nflS4?EU$7fz^LO{ozM<(g9ii zcMGxo=u3WB{p=?It8b6h9qAJZ_{KbYS8k!I@~p}Qmy({knl(nfyDy9QuLk(NDtCF7 zdl2!1cM73N9{+@zI^dF!p&mt2McE+yciL=?pM*5z<;J|)uqSYUj-fk1xRD&=tpbFL z$d+&ZT{Zqo{Gjjt_-CH6uHZfVq=z3L(DC@l@eLVBFRtdNSLt+#4IqXAS{riLk&(@z zVc;vofmELBI{9*#UNtkbt!zNHR(YlAHKFtqfuv;}3>3X@)b;GF)5oU+qEEuP3fr1m z)mA!SVkv=eHOHXN%67I3UgV=9uL6~lSVf3Aj|WH{C9^1sgnBAE5-g=Ql8K4}UkCZ<>fH(x{S!6#B4DxvMfi{k@mvHys5Bs_0A-K!id{M~ zZX^G8o{hUpK)775S9gizt875!JB6F>tB@H7hS)t-9E_6JJp6DHSflB~YTypBR(+Uq-a?kRhRRGjXuCds! z#`~Kjuy|uy;T$U{OHOJe#OPYD-3I7Q}s6P2h){;mUzz@-JE zL7Eo&+G$?$bn2^B?xf#xzu{4zq8{`UdcxNM?#Qo&RbxdLD4Jw?ZR>9?{OfFsmS~m@ z-9n`}S+jz(yu}TQXM4M92++q4G_Z#l^5Z@Iy_Vmi2|FAA>ldE<-PN3Kbw8l zZZVfN&j{SDrsud~)o#c3^b^n3!mw0e z4JQwgkP>O__pDIPlP(eGsf`)`ntEy2_m6l!+k4`wb{Two%5bEGVlIRS9!>nc{YkamsX6nb}x0iaRuqKdJ} zK{B6$uw3A8V@ai(wSiy__{m6&1Pp)95;?0t;773n1xG^XpZ+8GxtDB)Dsg2-x(5fb zcvlS|?gL?rA6g0!c$W}i0j@xZ1`}HLWt^#es^A2bQUJlKgiB{xs903)8Udi}LBIj= zL51ZNNm$IFcS9ADX{Bw-yaeK!Ks{?jja*5f0>yXV2aMLKsbJhO2hU3`subElJlbS} zPzI_M1X&{>kfa2hDv7LW=~VFpxtsfnsY{)dNC9T9V#Na_wS}@6w=H24Sr724lw~UZ z93vk=3irh%JDG6U%?JMv@Q`J;QH4IsbdZlUS@W`X7)-$9ckeTV*DAYK@VwhUvkCI3 zmQr{9z(zbcQhbDWAmIb%qLPc$PFB~`_Gie4D1|YD5AyM!I;;F>4N>*VVO)$tFFgt->LopU5XoF=V_$nJf zD-nz(pjjFMyi{nt-S2aZn~#0LQf8ot*^D0t{jEMz& zv&8I8_u%fi&$2PJ#z@Hr zX!f*NnQ(M0iPPdoz({*3{9r#dIA;LIgV4S)sEX-qPc`Pj3+mbP+w0McyA z|4ZJxN5^rUcY;;LBHh-=3aU_qiYFB?W4)jPWE@3w(K}LIrGQj(+ETFG*<4m?+U3MfKs(wO)aR>t3^gS%nJq49r&?oZx(us`NFS6%<*5 zFxbQvlmIS+M?fRh^?d@CBGiTQj2va1YjFhbl4U{`Ib;MvQBJ$imQ~3f2`z>0Qn080 z;omD0cB7h8NP{s;S8139&ZJhV2&fL!biBffY!Hl6i`c5AN)+u(QK}9Hn%KJmfzE`Z zs2+Fh$p}r#1QBRp6I&)}rAmSo*iMd%0C0%USWk4Pl>iYc7^5_z|KGnW&FDa5r5?vq zAx82Vl?HIwpm$;d`|JhQm%#yCXPT*|XDPuYhCmY)(b8PUYQeGrm%CVz4jk1FMYNXLwSFjDwuj9=RC!tZqTwF4yGv!Ar)nLv?-J_-k=)c6ZRN&(_UZ(0>SQ0I zdCFVpTa?iL)MO@c{^a-ueiy8=E<4zE0jUVt1)7PvXz5e=XsqI&e;r-2Fpu(D$EW3% zi{?cLj^@IT^2zbiDqfwy~ar92l3gY^$(BFF({hsM@zu)Phuecev=8wCl zCz=vpBH4>sQnvL>zUa1&x1P^C&LO8GKY_C&UZGWg0~u=#0iqx7DH)5V?&_U~Na3zd z9Xe7V1m$m+oLkK`x6}RMhgT$jQ}ibj1#Slj6%gs^#+}owU(<`9+w<&bSo~Z|3Sj5( zyp~Sd{H~oIkkLnm|Gsd77QyD4+W`ux78gMOkt zU)(i|ikyG8if*7^Mx9sIcG0Cu^M2R7Osir!zIbc4;*;dLWz<_ZxQtgYgKp2ns{BatP(`bC$| zfX)NIwcdVK)MG$B(u(%YnwR`;42+j;zPnn$W)mpSbFA>Vv4v5fN`Da#T%*HB%$MFC zbC4W>O3l^;vWZos`a8-NmRjLFHFhbFSYu}kYbMGINKt=7@ExLDb&$1stXj+yKW=9|D{&u&6PE%k$MEKAyn!;MWP ze#Pazmu$~ZprXXIjk>x(MN`VRauTYeB5Pfs!vy)Eh*#D9afBNJ|1CM9XIq{6sfwL^ z(}$|37&wZ?Raez=3c#MUqq zXWw8fkLg>9}pjsQq6F^qRH;??LY zMIRxZTfCW!4qPooJZA+$JJFRX+am9)cJi0dQtG?024Kso5)ZB=SHXEeYo!nnHh&Gp zwwpj#DW!xnBw5k=DK;lcK9JDHkJlWrAHf$p;+6^xIq>>$U@8k9d@~DR5Mh|aw8@cf z)7jUxP4Y&frhBXxdT53mCK5#qJMuYKV#!}<=!+}MB(I?j1N%=Kh zNdi{HT)@553bEPnJC7{>q72)f=sOrFaRgOV2V)>#YM@XoI)Fmlm`Sa`Z36}My(M0B z2}EgJA&w<*?_H#Q@8sa@Oy)32U(^v*a>)Z$U&vRq<5cQE)ush( zXdS`KUV^5Tmp}bb$s0r6=6U9pHmyb}c+)R_6Q{lV)61?Gy(s=e=$7wg}B1kzGaAr#7p`}Gqf?n2S&x>mr)IGO}UfS0-Sx_TnU1C@Na>a9GsmD985_ z9l0SWF#8}uIf8Tv3MUYFC^Q)WQ6gI_fOT4k6@3stsnB+Kdp*L5pt5v)rUQObtKw5K zcSQupLtQ~hfqvASqpk>YU6#fKP^7kA>|h+_Vge9 zPRsbO@LzxVA+GR`A79!1uGO=8X!2E%`m|5+0;h%nh1A$&6KPd=mRbsK>{2O5i84rG zsgp@1x$a2&*xPO?m*geXO%#5t1LZ2@spY$p$>LV?x)o)O!^J){s` zZiXhfgCmeY&7KIKdSZp!IZ0JR^8-Ex$2aJ5pt&Vq6G0?-5>T#S(A(gyhRcn>CNz=m z_Va0#AOs3HhDyRYCIUHtUm%(bI0|+pQIVV(;NSrPr%tevD1L;NLL5E~PzrcY)ESN+ zI|NXq8`fVP;qiSyUOG11j3apxJYbLK7$%HB z8e*LUA#B94QKFjdP9O#xBn0RQl7nWUgwh0f9DDvfX3D!@>WDCDxQwvg&!Y6*Vg0zP zTbtaTP)^CHW#&!F4OJ9|AejXBf(ni=F+a(&$?`zqlC`W!OarLIa2~mjVHy%!#+-Cw zc8F9=XG%R~Ef2;(8QICi2#T{zCH+7c9(h2XxYmpqTvh!Z+qJhM3Pv2;Ih0wO~>IhGd(;)A8 zh>Hm&-hO0|zVx_+cCi zAzN~Q%u*MHKX4f5k+hn2fOR=~!UX$UD%PiG6ibHXK>+lk+mO-{!w47>oQG@Mj*5!U zDv#hYtavSU@Ld(}Z2$dVffH@L296RnyZzV;qHJzW|$&@PK|r6rWCkLaLT*DH8q$s^pkb zGen9MFw_bVB`HCF*e{SfFw^ipWj|c^(5jk0GfKk%<}8qRbsns-Oo!AmxH?098UVcw z#B|UP6vM$-0^mG7Xc4724iA>wHu8RHGj2v35U7~e6QKD<9Y+mPU?d8wPWe{sxNe-7 zfEA1c_^Y&4iL9}<_!xR7Iw=y1fV8Q2+^mjr$u2GlLai-o0wW{2TAD#wabD0^HWGKfm9C@tPy z_M$W>X-%`Bx^5(!V%6u3fN4ZvUK*1HhAa`ESY3{k!E7Z!ctOiDfj_#wmxf}oIP+0# zIe|3*ApkON^r?f}mJl$Dh2(|qXfnPW%V88r(FnS+<7Ib>0Io8l*nVs68H_d`EO_HE z#IVY+mqg_bA@(67od}4vLh~Np0ro0k@QB*Q%xgn|DzdCuvw{UVPJq7I&0WOVs3(mG z@3gH1=ZS@{KLTfkWO={=5xGwPu&Sozv=^#SN4yJUml{y}R$HyiK_v*O2B<4i`kaE2 zR%diKjcX9R6&Py3HJl0I#7((>S>STEgJYjT$)vapGW^@UnJ|E!NF3W&|C5f1E( z#N@r9C({W{BW7qJJ+9lAyjC%(ScpVC7e!R{jhI6SQVB{mVm>(TJ%%{5i zsj44Y@wGwPUQIbI&hptW)9mHQ`C9b#g`3W7@%{Iv{_?n&v2XaVYxlbPRw;gCm)6?$ zxS!GDW!v<9AAwr;sL+j*y?4Ve>hh| z49Ms99AXdcY)B}(D!UndSPYj}>@qU*AvbUPZiR9B++Row1ppD|xW zrBoWYiR5*+qXPx(s01ag$8SYh$|v87XycDRUPX`fX@1EaVCW&#I2cdf9J*xxes@Uv z2PT2|edw&wL=LokZZJ8p^RcE0+b_SK`YsbuY17@?3?9gU%bpC-wQ2_<7;9R0?>)yc zoh@t&ZQq}?fp|^X)hn=WP?2z(0SpBhm_@!tTSR+85{+g~IC!L?K zTxE51R)7;V9t?yiFQnqSZ z|5)leyAEg*Bb3k}2s7FX*yxPbdw_AI)}x|mCn5}ct5}9IE>UQs@aYL(_+dUB9Si$?fM|zw51cH%1_oh9%IU3M@ zgPO@Vd(r%90!3g^z_wGz=vfkxsWUdnpOzj$(%LUgk zbBDIF+^lb76abk7lTe!ZogIu0*2lVof!;y2HED+^2S8-1H&qdkIcf`fzm>`Cd)ExB ztAHKECe;?gJ-x!D4Gy%Q&u5sw#>5uLC6^F%diBAXIF_>25-|m-P4n9eG*w2t=TBS! zkZYahpX9Gfj~U##8G7=u2+2i{tWD9_k$d0X)0=eUhjslmY-se{43hv# zm#jYSA?i||A+SS$Ph}mV0Gj{;}gtQ6|51fNt@$J$EqsU1*FFD z)ypxkYwhWHb`c6efR0-d4n3ffj!sSR!R^qFP|Lwn`;%k+GDOXnj zt9rXe3&5vFL%t7{fn`e$DvfDC&498H@`#$ls7;z$Avx2bK=Y0!>45_oEys<4NeLn_&o8Om1NGRc~x=fe=1)Y4XRd z`C^vXwiAtpG$@2s+8yu$Ftl+bH8lvpjob6wOAFyv@8oq(y(rsBSI zky|p7d%;bx!_W+DbsTxFYMokun~U&BTtwxO6}js7{&d;rW0c_z@b6_r^Ai!eXsl{o zaeOx*x*2`0sG*nvp$QPIj?;Cf%U#k;lP*FILk=kKydyzPaVQoGqenyr9Ba&7Y9Z=e z4dx#m39&b2e@+-RcOoy_6T2=3Da8vCaK57e?n*d`a-=AG_iujp|LYLl6X-Z4(6uKX z!CBuwmr(v|^|;5Mhb+3k7_#_F{i^;cMEA;*+ct%WMPULsfFFs#S~(5dF)ic>xGxPL z1JChHJfMK227Q=g&(t4jpT;tB#+$&hFo6Nu2^^2(KZsRKix=_psozcrXZDvKfJy%O z2lz}X-G5Wy6#w+`y_FBGHd_WfqxF>CbJA_pO)qA*k!%#KKxm?|I&T& z41XHOMpo76goss+I{{Gx)X#)j*Vb~~sS&SbA=ThN;2HAm8sq8AZ2A~_ts5k<2HW-4~r2F(XB(&uyjoDbxJ z0c0rOpl~j9H*WKAqq}e=sBpPh!|ydg1VUH1FZ41!=x! zhI!@?*IraOAROG>lRTGceVzCZ0@zwr(x zyH}HoC>PL2Z-m~E(?p$3hNpX84^E1DP8#qCDIx9{>Xx|4X=9_~rEA7kkIskQwE@Jy zgCd<+e?;Jq!kqLPj0IO}H_}6nB&~59h8+s5wQUr!5nP`%t{K$Uo5w=atA*S{ekDWM z%?9^@kP2Sh2#xVH9pfI$3^~X4ESGFhfw~gIx(ca*5)&Y@%L0XMG$3w3Ki?noL^B(O zxcCTsW&%bDlmG-rm=V%aV^8rB71i$KvG>p*} z9mQb6!wzJD<_KU|qA%eSaysFK;wSZ*5TF}{vd2wqFF`9f<9I-MTV8@SpdwI%rp~X# z@tz=K!*T;cL3|8LnaNhF{Az{N}CICSaETh;ZSx8lWoCQvis~kr;@bgj2 z!1pJY&*7QxmyD|`=ePfGnIt&~k&@<&p!? zvx`B*BUD})#p`2`le))sfvhyt4AfjzaqQeIH;vUfkzz;?Oviq_!tPKCir~bwnr7I4 zSSdVHb;d?K$6?}ikwojcFGeV}5uJ1b{KEY)0)eCgY6K9hN_-=oqgZw?ko~?D9_|WS z@+~1g7b3G|&hSIL%lgzi-}=A~M`}^Pf`Q_3Tsp0WASb5Tz-=Kk#JqGY|NZ#|3J@Z3 zJE;No%(Od4LdmPkB22+5gX_Rq02LsHbxTW3s<@%}ba>|@uZZ7x7>J9%|LmtfEyZnc ze)dwGj{+ppN*l4maGT+ZrS|J|Z|gjr%Sc?nZh;J(10y}f0)!jO02sjDIKZ&v;X9Xa zr0eBL8*HjMig%(x8}f*j7T}bn0i+h8(w?}T2PS78ZlH<-Feb4;SJNlQ@CnC?XvCt3 zuaGRJjCeRJMvLzUa4-DDHt}_cKm#M3@fbiLU~-nB*nilKy}3M%Pt+f{GJ|A69I*Fs zG<0R+b+~~%jWX$m0T8#oBoe2-)CYd8>lv)Ua!Z9|5!$J~?eM9FVc%j2zu^>gvJQ}Y z^}TUa>cuj^7@2F4YS{I-cv^Kei`H-6fO6FgUnGGdR$V+`A5mLyh}3WrSI?+8sp{cn z&%)?YIRhAIqKAhbmwVmb@et;%HwQyWl1A|3$$y{T)M|gpak$qzY^FzPUqpBI;rEco z`WD>ua!qQzgaX?yz{6(XPSDi#)*DUi2G>tHxs#5&&1G&bLZS3PNsm#S##yhBy{!%# zCF}B{g!*3Ejz667mIc3gtk`D%l|5Ey8)dua84jFiKMuy592M&2I2#F3-0}$9>J8$A z@Ql&h&0bU|mssd5&xQ_iqYqGLplQR8-sRalDo%)!yh_i>7S{0V4wHI-6uP=GWRORV z)*>~gaYWRsfE9E$?cm)01xG&OfE#kyG)f;>va18UxHr+(McYx$kGGOeTH@sMn=vwD zMKF&}v%rDbRloH`WhDhip($O= zW9JxcLfUPP(@uu;-#l7{AaQnoJPZS}_#h(Vf(m)*2Ts*4#y1%v*LEIgt$9b#k^?}T zk{M=x_#G}Pvc*TT`@W2(D}VreAYcI>yw+P~FUJF@(q_Ktu+`V&!J3~uU_OhU9w=A1 zr3NHMJBFWAP(ZRu2($oVeR`CahU4{4N_i=9nDjCiiIdHUAe(`6-uoez z9g2aoIm!+u9m!?YZ<&YqhRj(F$O#R%c-*Q_U`Nb=Iw6KIiSRl$gNE60ui7+>q|+lJ zQ_(cPSwm$WryT?DLvYydJ^!BGpFOCH)~V8N&2YPQC^UaQdseKbSZM0Vc z8i^8`fC(hf8Xpty_D(Z>4djJM0mBpk>iBx$O_sB40iAZC@LaCSezhXK_g!Jw3-T6o zRpR#I&Y~$j$V&#}JA%%3ow_@~Arfq1#6t?wzVx6g^`RPLj32;h$c~cKixA$yYgxo6 zxe_ii#GohglJs(hqwZh4Z$j=zYaZRPlt7gP#gRk13u((^49V}Ir1!He5F;WstLd#A zs}FJ{JTyO)U7C2HcW7semRPw{3-N5F>`ABxh^F!@<#6S|01~Jg)ENT74k-aTsu&Au z0mp$N2#7U>+~~bXd4)J@9c1R{%1i$vlW7yH{mrE|2YIu5v9SHje|bz(kXGNz)uLa8 z;2(%zW}=9r1gf!qWO&CV;BLjYfSEc#j1X?t(sc3t3i|(O>EV^4e-XxpA?(D^b}kpg zgSzfjQ;`hHH3;T~*iR{vSlRj#*I%#{$0h*SZOO5Bj6KPaC9S?Z+l9{r*cIUg=BQgn zZRuO9#nOO5B$Sfubvz8KDdB+^wOJMj7#F@?I}5h~48D7yyn}suKre#%8iq@7G^B9n z04xVLOshLks~*KMWLQWkT}9X$tc2cK7}a?Cvwvyzr*EO?WJ+m6%#%ujiv(O6sAy5O zVuneuDbQv~O;>vXmr8TOtSiofQh@)Go6LShp6XkekMOz=v!}nJIPYEQiS1$*bBNCg zl^rVq(10j&!fZ?XxbjRVD3g}S40bHy)xc}HS$rTx6CfBU2=fGlA{Ys{CkMC}V-S`p zrj6i;n%6BR*@n8-jJ}3|F&`=9hgkr;8vx{Y<~8+yTuy(;up5jOCWA@Q8|EV%iS+_v z21#D_h7Fg&D1=WSta4_c?v6l^7zPNof*Fz?a{{>q`(uYLy#|04Z}zE(ZcE^{TyilC ztZ>cCM&2S^l~WYSa}A3nufdO1&eG;{?9T5lqb(ilgwP#$%R^W7`n4qiYFP@J2Jz5RF-2|x))Il$$g^!qP*WFX0gP6o8wQWgKFaOu zzJrelD@}l6zVWXg5unx|KwYD{;nqJ8&ChRq{a-?XzWFb8Eq^D4w))gRN})YA_|_rO z`~00!iTB%F7nndUF5%GF#pU&a4lTKJ#!x%8{BpO8txhAdz;_& zG&$+Ep0Igntjb5iXoFL}O7Vs)oynH0_jxM#Ug}wJ@R#Itrmiy9M-&z6iRRtLe;R^c%W6M?lH4T4Q!204;df4vO>Hp zqBrn0>@pjdxc^jK>YWG7`G5KlFFHSc8MhFwq$AyVzIzAa>3X$LnGSi_pbVij|H>gR z-Dk+}ORUo5CWPmJ-%#*Mpc>{#Xvrj$G)uwsaqClTw=AtANCykx$G{m{>*O3X4Vf|0 zh~76lXe2K*tbpC?+GV4GAO?#&y2*>A(`RrJ8w`5z=tVZ*J@p$8U>*j5zA)`5KoBJ@ z4V24c9CsH+weWBdvm{xK31Mc#+(Z7?Cb*jxQH6oOBa)a+M?zcp^Jr%3aq)5kN>0N6 z7t@srOvO~j0OTBa``x|XyLT9{y#UBHP;aHI4-FvjN_%ZVGX@YJW@*cX7s^s{Nym3B zY|WLb@S5=$l~|jG3^~jeHaYV595XAUa9LWhEk6S}3$I`Idli&Zh>q(z=gkOYz{nd8 zPE0NT;f;6WTZDU<18+jC(s2XkDkxW9PhY4gxGI4Hx6Umr*nzS=1gMTsSxf;bR(QWb z$B{pP02inN!^9Z|7u@&a5%L!EP?A2B03$t^zPI5&2a1?_;Bdif^+$kD%VwY$8^L-) zv<7Gky<9?49~?@&gX@8CiK!Yijf6CFxRAJF+E1iS)3j1hgU&ddNO-|`=mY~U${QO0a7ghS zk642q#4)}PpC6zoYzZfwQS%7xS~THI!bxjTTt1>RZwJ6!#F=*}ZU!+aWTZLtBvOE+ zeF0I=qMYueyu)>{+Lny!MkCa6)DefzA+P>8A|O(x%B2iJD;BH>CtumW4BU_gmtbe! ze>4~hARau#idyxaEg@nNfCdGQERA5N;5n1pJ#1VM6FU!|O(Qi8pB@Fp@k^{7&`~@s zICo!qw1H_{AwPkRzqVdfQyMYu*1dRun16~v_5uR#KzZw{zCpC zxDtj){S90V9}+hg5-czgF(*D6V7elHDgd0M9Osf0{czQCS5UV8InWlPr+AA(7^t`c z0$gXnkN7+yr2?+Z;k7`<4>3!8{pX8kiGzX&H$*o*D|M$jY!g*PQ~w@L>lpA!dTJ4r+5JeoJ0lrdIaDVT8UUJ zS17(QVpCA0lcyDgy@XbrV4nWex5SsBUd2Z=SbDlc9h#Om@)xj3bx;en>Tn}1h2sY5 zWWfGCiLE8<^HALN{+lZ?PVqf)bx_#;h4105z$1)c5qlCnU{vun>&@TVk6*YiwpOTs zV8VhEm@O7s@E2xcEw>=`C1aHW*3KYrm8n8c0KNz1JmTQ%5f-%}5v3g*Z(!GedJe_R zU*HfMFdvx|-I>TXAbSPG*^H`p-S)#e_I5fCQ(dsaQ+_;6Eu?iMz*yR5oLh(w;1J1q zxc-T!`CUGNWx@y2s#R_T;o&rb#PuuSPFv&cegg4%xCO|JV|K1Rtb#P}p+ZGnTtYvP|UcYglyqrdpFSe*KY zUlVcrLSQET;r2ru6q8I4EPWkK042Cj;zlI*hWHTP1cR3~cs9nPwkrkX6>>@3FZUM5 zrBUb|tBm%~;$1P8`(K{pAZ7i_xH2e-D}&O~%m3)B;uG5^9=cB#oKt{bh$|>SS%i6n z_y7Iwt`(WB8&L{cD$DQptM6LZ#f2IGOudsvM@8;i(bKU&7X@-Y2xCa01U4H(RpWh`u z@ylP4fl!W-_6!PA;tOy2o!}8|3&Hkfc}zqKj~h_8ng*-LRvK*uaVO6>*o&T1=z^n& zLm;qGsAJo0gfw;NNd2p%{@zyer*B%cv;OAO;+sAG{daqi3?mXEAo%s4e)CS{-=_0M zTjmDoVr{AiAT`%r$|b^UvDa;KYkt*nt<%(6!|&=hn)f!jPUb|Ot)o59(T#jv?VZog zn*}eSKj)qJXFi?_YZH1Y zJbC}hpQXqu#!eHTy@JnvFhZ?0(dI#y)&R*3rDW*kTh`hwgQNp>6UheW039T2oed6K zz(zEaA%bost?`7m>VkGb)2`d2U5`0?x_-6m*L!}Q(w^ze?xD;Eb|XK8FCAfcgxk!m zT8|Cf^|Cqden34J7b^LIg2 zJ>xy0X};vcLM{3Uux-=Z$UzPZW$mzyTCAw5Sy1#{r`HPSH9n_A{uDYAPN8A+;kb~P z8jl6Q86UO_r#lZfZa&>ilC94VW%5o(jjXSv&W*p}p08z{*<5xue$Bs)QZSz%>pO}0 zYRS93e};V33!)``eHJ$6ftSnL8oga>-}#|iPK_cz@*?e`Z4k&lr9uv4ZSZ5&Chbw} zF-+kR&1`#9Z``nH&wJ6r?NPRKXv@dgN4u!qrWS|%A{+9L7zMd>0zC;&A`jEv92E+! z(OG9-G*MYf%~rCrrFgM4js_k_Frm_^xG)E2>Yp|?`(YSPK4apf9oNB! zIhOde*6C)?Ao66NbM{Ilm8!&x*+oBd**6bjWu|V&mrvcEbUzw49W*bSmFB`lw?a~6 zfvg|wc%3Y@E|FWUx129`oI6Jsr@9u}7f0JUol3;Hn|@)CYH+(bmuoY!rH&+IVEel)zr7U+oPbcDzxu<#I11eHcrs3$!@`)Oc1GI(1Si67w;IcYn zful_D*(UJW{Yjizxkmp`-a(jhv%?*StIa55ggF2mlTnUa!X#Gl>d?wpY&J;N^%&Z5 z=ItJ0-=C&542z4#5u-`8E59CJkHbc^lF?nav$GRNby2KL&BkDL&=R@wm*d6w3kmpd zYs|197fx?Vp5Bx?>m{EjpCtXH^=_U_ojbQR+RA$_)n28iGHqbSIL^&aA2k9j`j5{4 zF1+P3y4KW34-6IKZ%6Zn>dNF0p+H#LnT;qKXjw!!$bpPqu}Z_HXguNddyjQ-cHOQb zDsyzSowc*w+_~X1rWO-GZHnDy;burqz+(e6DBLb<$|<>t$S)m?5614PI65f-=RV>d zCarP#@y(Uy^_}bKN64Cd$1R6eCMqBO#=fBO#- zaNWt!8xM7J5;RSMIS$YTid>Hw=G(NPQ;Zo8+#VLj!`dpR=y*Tsp)G@YM)q-TvS*0> z5&NPFdf)H|{3atIGVkrpx1x(?MMFCwl(2wg4QAuimlvma+%d~WVm|q59A7rwHg_~c zlrnk+g`3exqr7RHnwzVw9X}y-11X(5m->PG4L-y+_?IK25>L7AteFd8lz0D$CLh*b zfHd7?LUUs5dfSq7f}*q$A^>f1hRE!nrK^BR#G`|ac4cllYG4>&&rIV3Sw~&VGAJGn z8aJuo5wj2$>Z5K>R+_u>*fTS%6rYVZ(Eohvxu|*eTDCOCGHl8Bm;Bq_xED#m#excEmyZC-p4?;> zplZQ6IuzL@dV>hkuXP|(9OGnNnBF&|8jyOZ$s@*EXI;ZZ;ue4B3{zsDCo#y;jFzRX?Zx!Hf0`=VfN-TlgYRgAwB+Rs2Y z&YNKBcFkT3Hisf;b`1R@g(9f`uRZ~c9=PCL>h;hVNlF3bQ&u!$#lcJJstyZVFJIkZ2o4Xy?XE4A+F$E;+AHmG+bL>q$aO7b>!gntjj6U+=+!$v>443r2iidsT{|c73S{WY<_m*3 z&3=UpAtsequ?O$a`>I&B>@bv#1{<4Ix7T^iHLvA~#~(IUvKo^{pK>l`)sPo+Z<~gP zgTtoF(2?DnXV#Z&L(Y!47<3z!n4a33-$m+?m%{P}HPnDZDpP|{W z(JM41WIcJqUt(`Xwd^$i*y59}9b>OD)^usw1r7_L{>NverrR(igrrZ}o-0c&7wJl2 zIY2U6f~z;uEC_F@kdc9`AJ?>vnz`D3MjzCF-OGH6Ue~*5f3IiT>u~hNsC~Fj{6z#y zy@kpJ<|5jCezrSV?nDKWWi!mpR`OA*+V9haDR-PtB_(*2@l>fQh4M;lGEunEEO5H^#D ztcF$aHF`mRFE;HB0uR6te=ot3z%GCvU)F+oD4pY4!<(A+YtPV4H1mYsa=mkC|H_>` zfx3=8$!@N7Ai;CY@Lw%?uy97AQpMJjq(CBDCaG%W+-&SmsZ$-fS$w_CS!bv&@>zKncfr2!Tv=^ zNNrX#IgU5OP#8Jjdq{go9J^ViZAOLJKE+?5rckL;Yup4gD4a*d53*t9fyUAIuJc;7 zh29<*d_$DwR69HbeXEL16wJHt^JjHEb()y@U6nmB-zEblxWdEMjHslV1{%Sk&SFivqni85@q&EJ^V-M*~ z<6&tYyO(nazCdmtXuAaN7n)}s3|f$1YykWp3j%uq#)XV38AuQ)Yud^SjnB{vls%zu zFb>e~?myt|?6I&4pI|pzh%xLJudRj|a={P^_k?M_j33}71pc2HKwb!g2s#(NF?)?p zoxfH{T)mALgro6P_o>*jZ-S$sGfukDyvd8?Bu)PH+OOv?(ko;`{&n{Er20wrZQfqm zkh_t&G;xno8cnd;^co}ipEy<_{89I1VR8za+Li+wKf~o%fd-;d+!ucZGr`!9Vf4WA zmS3Qo^i^Fw&C}jv2oU-S#SsL;8jdkF^j;d~^Ii#hB`lq`!%2b*>fN14Z>N=L-)!kB zBDX{!po>B0VtGJBRdE%XccTDeg?j`3GQ~DFAAzld@>t7} z8l=*VZ1*KP#GYc8`iE%77>;Y~h*8@Hci@Q0G44`ynsmcy=Fj(xODWV(ae2%iM1s)M zaW{Md_uU0oBn^cGEnTsT!_#_p$=MB0zn`wB+1vj6S@Y$E+y1=?zI&RE_-vvsM%@I? zot}h9#E{{g>4A;Y;_A>Ks!lW5n8?AxZ-^eCCGc;AeQC>Bd_WT;hyrLiK#hwCmZC*O zgqd&xZLQlZ*)VxYxfAMt|3RIC%KL~rG0QA>I-VGg3otP!Z%>+ujp&oX-IUx3Rf~c- zm0mbb>v``D_*q;0o~m!boP;eG0i2UwSo1F7UkM{pNI~1?qu7pM2Ol=D(Mj9D*203s zhFhhDHZ*JYrp=-nfX0om!nU)a%-Nm7700O5SPXK>eAXRNhjsnzXx;OeXF8r$w)VqNrV;x&Jf zLok8^zGP$BK~4A;f>OZ=J-tBT=)xzovCKIB=fc{BM+l=4vQjr&Dl3CA2j#OF!a#be z3|+;ltRd&4EHD7DBdG277F=3nbfj7o6zv}OXQtwP=Xo@`o-H$p zW`@|F1MENsVOgqwhyix=b1FXql@;>N@Gu-xQUJZmqa@`-vvBC5XmR3{KWUtb0T;X# z$KmHq*uaOiZZ}MoH$g*o{I%npS(~j;x}NoYVPf}lfF#c&*kqhds8i!U_Le*aE5rPa z3&+i;ky!brC`g4|*nTd9Xj%z?Gs zJKf(V>SpC~ANHF*vl^s6XvUv)kGM0Bi&eCj6RX-fTbU(M6fGQzvty-WaiWBQf5G>K zw>Nn`e#R75A^3QcKbZVJxsu;^wsk!cQ*$@iZTzY6t*ou18ppz&@FozWB;ENBM+46n zxCYc>30DEjs34#PMy?8NBHT6s3+U1+*^)JMbwRKVY8gy}Ex=6bVTP*KwI=mt+&sb8 zxRYgqYHUQ`96CS%@-tCPuBumSiwLN`9Q&}NiV5Bn%K!_YF%Z|>QfB$Qzi+d%HSW1w zJI|tQ$82pOV$vG^g&5<}lb`y_2MaKgH$g}uYAuHH!)RxE!KkpcGgN`#t$RBXP-3y; zOt_b;&Uo2!ufOs|`Y?bwCW4!`u|C5YV<7Jk3;8x2e20LMjztyCooVje3=Sozq*WzT z?o_Ns;o{4&kDYWlwr+=^cFH|eSZ_`i?9LokLZ0mf?#xm{-d zqqI?7Rb@hLY-e2=Taf!NW4M>YCA5UKwaYGbBXG!R_@tuS{85p;ss2Yz)Q;8@#6o*A2Jff0&JM4}d4g%Xv zoqjxJFLZuD@9#|UN`BwOe_6W7|DttiykmB8gJ1Rgp+&X`KB@7eFwU{Nb@!>ogftRz zICt}qZs;9!LI2ty2A<`PD#RY_y8Bk0*b{)Y^ahxx?Z#S-jyRe9X!n>g^e#4!26Q3I zK~Y>UdH!(BOAD^Bb?wwdbqq!1+L|t4+SIfU(N_Y|C*wl5siP8F^CaYl z4)K?IelXkILT-_T%6gufoyv4Y@m4VTx`inpZt>!DZr<1mB*)y^2!VYFs3yF#f@n-E zlnh1fHDOag>NPt~{VAlMkbOEB9YJ&HTS9#!I&diC;S{2Q`ML9&uy8rfp#g`2v&Wu2 z3-Psg-pAbs_1giIcdXX5a%*p_eu;f;(&sf%t|H|L1c0p|^x_Z#dBOP{#% zaWuttI&zFT5Y%IRLJvy%_dfF=d>1GLT}SyT6qnU^g+f_lh`^|PAJR^k7@$8CCSo&^ zLQ1!^w5T05s;z?V-p0H?okl1ixZ3oGOR&UU+q>LNY=ux%4TaY-mQ78}9?hOFWq-ik zOa3y<8g$|djiOTU}`f}ZcJ5a7GQ4nb!Vw{wl#IGzn@*HmbR9*xa;VYfN>|B z+x}?Zlx&slV?TIz!4{@}-G@Y`9O^(rJ>R4Hh896)pU$x6Dhu!&0tHE_p)IeHAq%~` zgN^GN-}@u42l1H-~&)V6u&iMN3mi|3MnNLJ?aVE#Es4TVs z5nAEhweN%14zES*#?pQK8D-|rXd4`Xne3;p5MeY!(=-d;5h@ZGZ(Z4#I)ut2+OFzK z?Apf<^bCUj8ODa_ZlLJHi;PVof|2V;;azkmE7-)T)69y_GP>`FnGWK0n&_6Pn-PT)8!~*bmKqkF)I`zjtNg$&U6~Bt@1h zKnC6Ylf{SN8eNZp8?Av03@0*_*9`9ZbMooIy2%IA#85DSK!CJ$f1tiI;UGBgAK0{} zrz?XxQy=Zhczv*-S(@eiSVr+nmLgZ(stA6nW2$;|!CxpPM)>3@EDeG= zK)NH{1%&W+3zE0y*M5Y2gk&oYNp)2>_y;DYC!mP4F?Ys%$dot|Y7eC+Dn&q2lkP zcFGKcs6r^(R6G3qxm0y3x|)dl{gPS`fM%lbZgDy?4`@alwg8CLlC5W2l2y{NB6TjB zI-hBtURdi)43cbz>$>r%zXrywCVl1Vdvp%AS|c!1@FPb1g8pNDaD%hQb}WcKoHSTq zaWPoo(ry$I1grs^TyOc5_Fel1<|Wk4Hu@woD}v=GXjF0*8f<#V11>Sf)!L%aW+F8nprmggJkn1u>4c=rO4F zG{DzFn=pqUFB{_MbhAsl_wTD_*5}ueh^(*m*FM44@qP}}aUq+iA0>Su0UqdHUxPbl zA_^FVi<{uXfu*bq`X2iugGnJqVD`~w@J4f8j#x0R1&09hh6}6P9T&VVO`Q!jRt1hoCUhyx3)c2{Kcn!`gG!o>Wo?Phc z0P?y-)a>i*fln-atVUr8T*V>7$8+%E=z%>;ijP$ z^kPZMsUfGCYqE-LNnI^0})P#?^)Th2m0JN3{^?#Wy~f0@k2EC*)3 z>CFOXH-Gh6ONPY(C#flc_Fe*jDevspKSDbkj22-NVyMvKIA()zhUw8*KT7~xba>_7 zhwXJ!K;qLR(}=#nk(9c%$e8mM+1#PczBkQ<6zU^tCs4F>j2yQS7+pl4izYU9A(AHiu2 zgEyung#8g7cf-Om0_{liDK*8NS!n6_Jh#r*<^(i$8%EQ{xF8T=;d|pu{Pg3ULZY-( z_6W$OR(s>r#0GY7VS1u@mPgQ!K=NiznNx1UlK=2;SJVwID4TgR6mEi_wOc-o;z5wu zkbfv91fqj=fHnYpf&|gD^1$G0PR(1n+re2*7qjiZWs{(^pQ{u4Re1hKT)=s5GAt-C zwkyuKyRQ=Cd;aBLJUXn2x6F!RRPs%RkFTR!ZKPcS9M}n*K_yNyI2hERlNS}T8^7__p-P5eTK5^xar;J z_|KLElJC6l^Y{1sp65+JtAI-rj?90a;^4!Yp>J_ls0a>`LUwtby%#$pwS}K=cq}>KqOPZ z3e~JX@8!)3}G3hI|0^_6ko znH20?P!HCEObz;2F$>0#Mi}wP$}=Vt5!=Wh0r`?jkZban78B!GegwQnS9ZA@jGqf zX>UrKuIc`3npX3g%d6$PxeP{16Tju7drwnO1-$G_HUbnRmaL8@Ys2X=Z3|49E!9Oz z^5yckiJ49l1NbEpa>L+ge|+%6bDRe@I+d*>%F5pMTDC~cK`P!!e23Z30$&L{T7#o-AN%+s+IEL)O9 zVS-^9;^#+_+$v1RZ2xZS%i_{uFd%xKkf&Jka|&yLdf}@_jgF{B{dwV@WIKMiqt>xl z9dDX2K)6zyG(vI`*CQ&S|rawtBglsuLFp5P6tN z+ld)=m}2Kn#%(%m6ZSPL-(0ltJKR=4zM42~{pJ^BOjmSW_7 zD;I%Ebj4O@*v)v~KRpwP!?%hN%PIXN-k6G;L*&{Suyhu9mqZtM41_u5gx8;m(MG6e z#`Fz6*?*EC5;C(F+TfMje`Ck&Z;(+<+5TjM2Sp%E5czaf$0fTZqr7Ch`I_e)A?$P~ zXU2W9qB6N!E2DG&)HMGcyGF*xGb3g z8WyL-tdYhseGqZZsl4B=}_yPK=sh4ex}%P&l)7aFFSe;pa~u9nA%b!Pp$lz5O$ z!{!@z9y7E#O8L6m_N1mEn!c8fTGqOVA1XE3MT~6xjlaIOE_%O1aI=ZxA9nPSxWrp} zn1(V$vdC_RC|<>hY}SWUYFO{Rt=q&33wI!ag~%Vb$({#qrIC_+OVAI=Mymwlm5~Y0 zS=g7pWnyub%pAh|#3d-$}R&_8Hgfl@P z#yI0di?_726qhXYQOcI><#AGzNXfc6kh&U0N?aKFd; z4sqFt*jUtEYg1LBNNT=i9bU@bwY1Jb9Az=o4qAkcNxhzAhhnO-n(%K@vqpBhiOAr`@X zav<5((NQ&vewrHIY5%bOyxlDK`Ob_n>z|Nw??0zJ)7RpF2Q;+Ig?Le`n(01W{nDVd z6ERNNRs?OS3n3$Ut@m$*ymts6Idehj4;V`EyO_HfTlogr+_>^| zEYP!@X;MNPh~Ntd4wshrau5pD)GV9D`!+HOE$!W0n5Y)6rj5m=yl1SI`)m~IkUvER zH|a+M82d5h4HEs6ka?gFW|JKiz0lFn{QCX&JvK2viZ!j{Th;Jqdq3zDFR)yS7{s;m z3`&x5i|c4LX+hgXq}1zjdxk?IFpDu zWTDQykVZ@kGUMNfjh5r%~VD8t2 z>7mX3mgRZe7x|=YtLL4+1NRgJ1!Ou^wb;VY(;k!fuwGw`F8ejRe0S5#+rWT+>XhS3 zs#2~Xt%uepPp;ptp4_olB6aKKeFv0KE8qVNCI{;6G&wDO1l;@8OK;||p( zi9Z5tDjDv_J=m!+y=_b#usZHv0)*4*Ese-}0yv$M+^H+j_{fdWr?jxVl)X zmAcC2#cFxZ8}bOyEMM`0>XP!O-@GYAGbQF#I9!JUM0kFdKP_*f!0oPc0_OE!DK$?iyevHTZ2^$zxyeeUQZL{!sGHEZv!jS_r! zn5D(M@8(YrG7Q=C4ofyEQhRE~B?93YA2X=gu*J~m4$hAwa?lwSuf?z zd41l1f6i0R;){1yW=o9pi5pvwMn#R{65s(wV=+4Q`Qego z!l}{jyTkn^ka1$S8Di?&9($caLu0t8wPAT+s~7R4OxxDY;%-e9mV*wD0mU(5$9NxfIt~Z zQiJxev8b1A(uIqd^Yj?DV2O zKlO>@rEt-C^T2Jm17e`Z6`-PW3?~wg@2w1X?NG_MI%TzUR!WSP7w2#5GtHvcakk^@V{a{a1G7uJn%TUsL>{Nr@Mr?`PW|B*Kg?5! zos|^KM~yhtF&)clRV``02#kYR*|oQIv9Nu={xMV6NhRm3{`h4|aJmEp<(S;o1fPQO zD6^EhNL02*pq*-3URz+l=p7^Z0@IVI1B>1Iw$gXdp>w3NKFJW;%02L_3J+7?J8Tcs zmvsHJ2Frj+QHt(#e%*t&@-HrMuq-wJ^yH8grR`eW%q&UFq~q}=APbwSdh~m66{(0JEw(2AyesaQdbwOR7)YPYdZWZd)yP~W=e^!i*oKQo?~cl zXlMW;Y=ffzC;LQh*YsztUo@ZuZq}D;OAXLI-=9rZ_=*4h=m!*>mh&`lPEZy~Iu}N0 z1=-Q|`@%v6-{_TwgEpv~Ym3}49NQ3!4aXAd-MhE7?T)u!%bIr%m|sqO2cqb4^GntP z2h1^R?Ttqd5hTxT15sC#9W2N&3TECVwRjoh&DxoV<`LG;8Xa}jLTmajg6ykuxS;F(d|4idWQE)NW?dTIP=+ z0F&7Uup^?IVlDh9GjFXwkd6Hw4RpI{@yg|N>m~oPe_(J=`3I7^;JMk%K-CVq2i?gk zxgcr~f_{}YTKIu52AvsO@qNhCe5|zrJuuma6$R(G(sreNzWZzL0_Bcdcli&#nz^G^ z&KtmlzB@5tQl!7&=AE1Z|g5>Y?Jv{9lNXlrZ)8r`-_(-flhr}*|8Ql1i`~gAgHufx;n9& zkzXi{hvsb4oa}8*_xW@3+fPWBhV;y|3hn~GY1^lI?i<-O5+5q)=No?Jj{PRR7#BC| z_hg2qhdkFJ{hHm$V9nH-pWU1c!;%ZnbSsX){zv0o))(F!F*BF7CG$>U5K5uHmr5P! zBPNC4Mz0X5*vDcUjt;axV(uULnK`f_KJfWi_d`||R74$x!XH6&`aLJC^cAaZaV_3c z$k)t#2Y_U0p}cHlUiYGty|yr%rb!?TCL+ul-d#pYJEqY{cC65qOD|~;dn;Yb4_$i5 zLo6@$O>ef`=_Svawmk3~CHa@i2gqk7Usg~noJMk9?S(kbTJ1K|Sq5CgL80Cob(9&Qxxru77V4`AaU&4vZrRK$1 zKr#Q<72lnaelerbH|c2Z$pa&mB zL}VwT!nJs$x?I3(fO$RrrBtF)P2!>)QYgjuoP%_OkFv8O9~Xn z39nP|C`xi_>d#DxgC%&w#9J?FiGqGz$VD?zhds2M_rIAnH|~yYNO-%od-NT9-5j}( zmHmwJZ^OIbX*&i5T!SkPO)dGP2_wYHS6x3{&eSf2>?7VdRRMqIs8Yf$U<|MI8L+PV zxTV#*(x!X0eOnrS`l6RVu=M7V`C0ukYzZ^(M?d3zCZPO5_ohaQpro zAgZ<5YHxwk0!$mDz5__}jL`#M%h*$FUl_}K)g=sM(9@)NlD6dZ6LjBfo zmoqgg66Nx7|9NoNL;kcO^ov7E+?#6l^>{NaecP)8o7*?-{~Fy{n|U8{{jJ8T@r}la zw$=VhrtPxU=k4-8!>9Y1#Ama8$AxHX{BZWeXa?z)@OQjW01c3&4aHk~OdTwCvo5`+ zI{Bg0tfk^kakV=ZPh_m0;lW}XOs+y$zq1*uuB$_@YIYH|)oqBvFA6@tS-& zud3A!4FjqzF6Ql`A8F!pXPNSX87;yAaXO4@OpBj4?~A9W-JhGJ~7<0VK~d}5W8`BIOKx0BNFP@iAMTRIojp6w`(;` z2qz{4BGWS+hvLJ_h<^#+MSdvSjrtb|dY&=ArXld?RpW4Nu=UMpvvH^Ofbw(Gbgk(~ z(Kw|9+0K8dGQc!z4EvK=@@~o7^-U;ZjJ@lm5Pw-C%cN!8Y%d@|5;Vd&Aoqd#&>f3? zY~=1U!;joMOrz+%u^lgHbggt*`eyG>?A6?$B3+7(d?sBYAE0|MYnGmO7ya}troRks zJLFFTjyW@@zD0P_N_2ckD@%FXRe#b!!Iw&e*71zCxaH1QH$VK9Z@6D;tbWD2Y?3~? z16QF$NY0x)W>Sv`2Z0vEyT|xJEOFjSz7=QNSvHnc7dgNuqX1+(VI|QD6d?@hjkvw3 z%j((elDT1jb~rl(BCrEfW^b(>Fef}Ko3(b}+fu4S^wtBrAe;0SM$@%|mio{HoA`}p z-MeV_L6Ml9IW;>IBd02B+-IX{E$QzN!44HN7Yn%+?R0tZzZRB0JO1`kE_2nuT^Th$ zd)WJoXFY*;BM*7tRtNaYnTcTlB%+IV4R?M1KJz}|L*SNhHvy&}e8p3wpm)%N&ne&) zkD6N(tBHB;jLl|m=e5UkL+`v8G+nT_ayIG}Pm)5PRO8#-+HPq5I6DYE%l=b?k~qB1 z&p)(u#3O_*22s$If3&ZtzNt}~lh@^;9X@sXq2nwd|ZiL6EE>G3P-o& zE3U-O#9#Tu-`;yK-dZ;l zN)LV=Zl};YpDI0dY58>UQCoT*k8udVK+0gr0F(o8Yy+$}w7$%L=%9fh$B*8}Ck;8v zUC(!2>bkUx&*ifw&r6|(C-zUA0wC@?@)sX=l38CU)8&|iyl9WjnT_mSJ1o4Jgaq%L zoEaiOy#B1b^!j7N^!u~nza7s02G7Gw5m||8_`e|t!uejyy8vm|NzsuOKzBTvw*BO9 zf4w#)j}|=L;#1Gd)4g6kJ$PtoS$tvXod4ZfLN0$4&KKdq8KtU`%)Gj}_TYUKayZae zUpwdhV&&rVja}EbWjS~*ImtSY_Qp4U6RE`bIt1jQ;;O#d&VtN5k3+mA<5Lhz&P4vm zU%cnRq=*(wCy@Hz?Zfwt@NNzbe7g5+_N}a>8GKKdHIx%>sXQm=ZwZkmd`JzR5AwT~ zmV)qu?|(iX@vQy2#OiW*@_Cq!m8quT9du`^T56?jb@OWbYWu4ltNMa9)OhV``ui(^ zsQ%*O#jTmwGpqV_f%SPz$n)|4;n};S(=`SRM!zUe}kI>Ihu$r1G?Z z^uGJ3n~39aJhwv>jPbv}F}!ud-;RuI{RA=1$Mv%VtG#21UtbgTU4f`>^$rk!*^%=~ zoFLdUw#b3)NB_boGvK6kcG;`oL9+Vd^E`r6`audONLJ0|3i9LNcSlGICZL3)%!J;vPnIb{4m*BC#WX&POx zz6P)TE1V{h{>u+HbHeyw=#8y^{x~dq0-&`7lvoH%T;x^{v@eiS>0t~Gb}N4wJoPUN z?j!hvwGj?HsmSffZ|wMV?^^={yf!>ofnWw6k_Y;3So1(i#V! zYDq8T@~?I*@YBa0Uf~p$XF zx2ahptgRS47wL2{@~#r{DDN23XG6qx)xx^LrcKB z7%u9kY2JcWFwFFpYQrtns7TCOoh0SH%hEgH7yRsBep4oTu>G7j1WA>6la|xnNzlZI zsTL_U{*sM<@1Do@wm+Bvlu>QF|0Vypeb57k^Wv>Ed{IJn-t43Q=@~fjA~*}Vy%h4Q z9TU}RuyEP(5(__F7MF|_;Ex92`HWW8CS4Z@B;S&v`sbGPSy(8Z-5B!nb)R+59xD++ z_x<>un(i zK-PP-Z2k)vW%KCYxIPtG};c^fn63+3B zl#(+ZEkPa{1*ORt zLOw6~9~7o5r1m2tks0+7M$gdpH|%rQns|=+gc6snO<`5IxcFBH^BKwxqLiV29_(}8 ze1Ii`z%dGlb^LX=yK(NIk5>64HK;mN? z+5|m+%&ss>(PE$1Wz$FD`?POk1|NELo#ChgqN-|k7VTxD_L3oc;1GQNgB#3HALu@j zRHRIABs)yf0$Kxr{eu6qKFm5H7yVWQ|GnEq@j8MHUX~5US{x779BlU5N}uLDAgVWj zcRkIWuGZ5Lx5?#fd0yj3OZ6G0s5;lK{E1LSsg;!hZwb1?aa1hj=@#>2;ju11jtKATZ;Y(dnFF=?m=!`-HVWyM* zm*il*6UYExuxebaN8TPTVH&YRl(h`X7oR(DlLwdT&*>9**3uhjJ1(v@uGTT%-%gs_c0 zR-j!-tWRden|g&2O?k@%pc3o$KEa^#W6eZg;dKxzm@b@X$*TRfO*8#tcThkLIz)}_ zSmZvD4BW~3{F7e_l4RKlROwZ-rvGJ z%ocB600D$#hV+C(lQ9bHEFY{`d$Lyqd7%)<@?oMXWV!K9MkniDTd@Q4rX_8*=;K;O zcjMJ1zu7tKE_=Q&%+Og1j^Q64`vChIh9s0V&>EE`%q8-p^g)j4>*8?YdrhGLyu0Ar zaoO)IxH8Nbr=4E3N}zpwNvT5Jt8{)zEU8JStvcU{UR$@<1n@!E6Q5VTNfW)S)@_KsbSw=%}+Wg;f3 zsa;Gs3aO!gK(HyDBzZtYqeXq$OMFP|TlpyQ=L}3c>2Z`$aGO}Tlj{wd4B@XpZC!lo z{StlZTPMCdD>@+W+xj5nLJa8c%Q#m$ysDO|y7}*EA95deySz{d>s;zII$>No*M8^! z4z)%}A~s>91c=m!MsfvJPf3}_R^P9X1W2Nh1hoO+!?Kwr-6HTlZUjtSbwnnR45Eu| zU!SKd5|i99!Gae{%cq;A(@nQ|Ly*^0(3xyWkY8nc*OrYtd< zbk08^QN$Z&38pZ?+$2tq%NdA2v+(J3onVfedTg$EeXnFB-!IB;gzG3wh$dYYUCFS@ zvFhTc$@+-5`@||^IoeLPZ)bdJFQOKLq9dfEH{6A2v$L3B9VRJflV&PV!NMEz9ZiM=sj{Ne)r^&ZE-0JV(%n7MWma}BYu$NlJxmV z$f=Fen@)kLO}H*6ySHC}7gN#bAS>vpx&fEJ&y-~!H<54GFMTi-o>M=5f_Rr?ZxS*N z{lYj$3`9IXze9ozn#133^strJt)BR9+~Lzuw(l@tknU-E&L9yBLl?^@1HoQfe=hlC z0Zp4&5YN51riSDG%+)e$FJ0vcW)FSq#7_f6U0OL*n6Rv#0%$xxrE&~a-QT6{N*C*1 zc7XW9DnZ4pD3IS$mDt~grS}qYSG=Fc5V&0eP?=Rs9`H*7b0eLR<+E_^QCk<;vB&PW zlx8A1n&qA}rOa<*$B98fg}jsd)*?{S&_xk$|M@0WY&P%++kF+>V>^OLzOt=RrZ%u#nQ#8HoClG`t8x@Qe}eOHNMzV1F9rwCDle)Z5Wky;OS6N_^5a z!LbZmH@-~sFJb5pH~t!U_u81;^QJu{oB~>wtjA4AJE-YT_eydCyIsn?BuS zcq5S&j9#bd9fQyPX5z(AF$Qs;^o^?m1ZAp$P+-_=P&z<50>nbT{6i1rmg`ToZrV)a?@7;_1GF7vGc~390F7|#bAXQY%(dM37+i}Z53ccQu$wn z;s{J7GI&HzapuIhsLv#MB_y>Cn@c14Gxjy4^UO)QM zheL6PT+ESXDgkV2J2)@_s5m>p9p8DEKmW2`y(5-%_O=VOA;{KhV$~Xhsq)af-zR^; zOfAZ~NfnmYF8%Cqwq?+^H{8*2d^H_Y!C;w5XZ3%>EKoVC^BJBQ3a)gh`*?QTvnhK_g#Sv}~G zgY~_WgUQK)wf?`ztDvk%?QDH!+90QTtdB0EKDZr|Cr2J0$s@9qIJR~Ow7U4*ij)SJ zFa~h-)$7;}4FbGBar{JQrv!#S{OX62;q%0`6iUFA9Zok8K{-w7GQ0lq%a41*#t-jB zXxCoE4q0o$OP&5LTVRKv`33IDxFe8UlgTTRxls)uGWf@P{~jP0nEhpeR>fhR!|WXN z<7>=d)gv=jt0Qa-jY$)=eG}hb`d;mHppGDyXyf%VfWVd*Q01$u(HRP`ei*pDkCtZO zxEqgx9li0VUm>w_kUSMgT=2SqwdbM@!h36wsbe}lJ&yJAg)0ubSC$AKFBa4Q7Vg=* z@TDMieh;GhTvHZz{h7Wy`_maZhd~-<01C>_Qob#o|}Dq8-JNChKrOl`{4kFK+kVHhyZbcxXPN}cUCvDr}@vnTLFiT~s#rc1-0#4Ui#6)BcoH<(D?yVg%&@b{`3-VS#igwJ9z zgD2uQt^yq4@Z_0xSzEogEc;KF034-||D2L###&Z(%WOmf)tZEkC#P+{NGl-#x11rK>wB*QnvI#y{*( zCgK7x-{I+QoYen+wUQ^I3x}UT>2SC2dCXqEAZFt+h%C?cy=nAG&4Nr}|JQ$IiAD-+ z@=Pez;k5{misq#AfD1se4S=dUJ-=28prm+l*HgQiYvRYlE%B`)fW#)JI5Zin^T^-b z5+dxMihj-)lUQ5tqF0f!+~7%)~qLC&wzsWM0=RJ!18r1=^f)J~gw*~SUiO$#*0hsta}WH^^>CuLFaO-qxoL#%B2OgJccTI- zsx?~zR-tq1pZ-P2W7rK-f<1>aUj7{u9RyA{j_H@Q>OJV@doB8n7fY12j$O*?bOp!z zj!@NQ7$?!yw)aFJ`v@Rk3wHbY<{=3r4s%e5&!HJ)XEH1!r`vwpsBcq}oN)!@c??4_ zQKOJ__4*-+#4U?`kkc)l+DO~fwV!WUCp1#Ngo?1?Y_)UA1m`mKJBdE#$UP{$v(JD? z6b*r4mHXnisoj*{dp+ogZ&|s`49Dzv%g*NjUj}L7&St5J7b{f4(zH$`+q9PLOm-Se zr{Os0b*p@b5jpIauQH*u+Z#9vk(k)>kXk;|$B&1@oIV4e>gSr){!HPxSvse`Ip%)l zs---WyNuYBIla#?<|!*!np6I=zk3#>EjuxBfK!)5y06HepFNryK zIY1~;Y$!5}(N1g_UjLif4VUTV+O6MjZMHVobZ&zf%pORbOE8Q^nQH?EU*BVFzuDX` zB^{%rf5>kP`OchQZu-;b%71rW$ zmuv)Q$fWX@gl_>J9*?gFIE9PKix{wZo zzijVW+Ih`O3`Aw(8xyi2_tDI4xiu{xG0f7jm9$SnUK?DlS%(=Q3EZXlC&HmO9=g1a zjJi+Z`ZF|{b=c-!wmKET%1H3djst2G(RtV|@z4x0H}&u-RdvSnoY_*0+?C7ko(@`} zBbyR~00NL=ybc7FadpFUqjOqnp+V!|CD%qWCnM7@`B#1W@+Ie7;_tYoQ2Jz^?Su5$ zlCEsqGdGs07IKA-ErojNwDt6of7&wryx;WBCloh@O#I|-8M@JGL;YKa*|CS>UAxJn zi*&~)T0|K5?c7B7PiBrH&k7Ou8^fUCrnL^)Z}Y52gDP5iYVajLCbLY1{s)~oDC#Ki zd4N)Cx8-jF)6_s7PB$r_jg`;Kz!3jm_kDYpa}Q*&fKk^<=Mf!R>$g|#-DKX^b6;V6 zpIh6v_-2RKd3P@F*R952y<8l(U8RKPU7PuFD41u5GDwggxA!od5E3@03>Ag}CISb@ zReEG9wn5!?y`$H%_nEmzOc&>z4Sm@=p4;p_8Pp%h*ZziGTWjEznc^a&?u))yf0|16 zf1SH7t6H`C3(Grf zO@GHa-dK);+jz=}i5# zA0vE(g&ps|(wnGQ)($N)WbU%e^X33mSTcI4D^AAFDeINlKG5bQ#?aeb-Is^VoLTTE zAooT?`DiJc9eC7(E?d+p0L^#-vv`rXYG2+xu<9-P4_T)5VAbjz8%$lbftE_Nsl@;M ze?sB->ES8NhG<(S1t&&D<70HF%b|=1RwNyzt?<>HO$Z4$wXQc)mt%=+%!Epx`vY@y z@^XH+PohyKXM7}a*_T$OO{np;pg_g#1!;Birs6b($c05l&eB(2j@rKOJ`KND2;jIa zmrN>dd`kP2xp%&Oi&n^AtRB`&PYrbqK5rdv3|wV82GD-Wh%r+#Rf+xSCq4?G2-%-p zlO&iue#4YY4LQ{JLr!0M^YQ(8 z{3XN9ULC5JN)fYBIz8CTgKOc8j*+In@vpddzMQ4aO|+35P&{P}c2`gx?nI`=d=PpT zr5PQH2=^B%X6EJ`R--?Rua8*XA6u+cK3)PMDkH@5|5u$WLVDVUNc6??PKW9(bkrv_ zt=_Z&Ga0fu=kHRmt+9b*c3@+$gO8lV%-=dNoiGweuq|0AVE!kc! z+TUDjq2mP_xeH&^q&ihmnIGPfPL~%ix_*N}=;flpwI~7w(v%`a>lS0qlG#)gUd;io zHgwpXE1NG{#vO^s?1{=o+DPBn`GpX@hD0wj;eJJm)_IEPl-k7jpG9Q6~2 zYn{|pRbP!slvV8A(HY4lB13k0cM~=`k-OlV;L0*Y?vsqJDo&ovrswsfMln~*S4*ZC z2H!Kp;~qY)C|PL!Z50Zrth5TFDuKb)xI4FGXrxs3VRs0yuoT5{6f4fasaR3H_TRp- z&L$Ebmf8j*j*FgNu|&&g+%nCScU+toRX}d9be!MkzYuWaHeG%eN|>@9HJdg#8v}1~ zjnZO4#O5#%!>Pu%S07;HY*Am>XH57DmrCWRTcpA4s(sG;ZsP4kVpq_dOD8WkF34Qp zXXCGEl}EO_dWT!@=qfF*>bLH^L;rRo0Fk4~Q~oigeO$YzE;p(vr=eo0Ti%2H^fBegJtSv+*Wf%gVN|Tp9MV!Jef(zdz z9*oS5LJq|_)J@6X49XQW^65Jhvvw{jBV=VfA6Rs=?^7^9`dmiIrrX?(lRASAfRkog7h?qTa4zi=?-W<`r~YR0tb-$5FO%GMsW8)W2rMRLnpmZ(XgSOUOTSP?IiWLHUPL7 zXWp$$FrcHFv(wd!`TDqTLJh(s*4R&aykd7G zbywNUQ77c3RG2NQ9yaX+W2_jL%`2ESiDN=&cH*0HRh8~fHX$VQ%b6uSpEV}D>BUUb zb@N1fwqw#-@V4*0@ojnpzB_w`lu=yT6{pcR!|tAB#^yXlR1G0WFP78QlAEvlr7}Tx zw0Mdsev%$lVn2S6i{<1FJJ=?;B@D7H6_^~gA$${WNkH78`2Y5=mtOF~lzD{=0uzPS`GV0^ zD9jZm>PBg?iQ*jg@^#y9qzt9kb0u{8@jv4F%~s%$Q3rLh8OAPKhysgg=lD@E@t@RO z0QU1%*bK4PA!l;8A3|WtVP?*FMP{}T+N2oK?dAPQATd*QD;M>CA`4(89DHt%YiQbUHdsgM$AT zeila~GxVG-#*D_C9OCZe{OEQv#A?Uf;^LOtB}9*-Vr$*O83-Gp(4YQu5ZkDOJ+%Gs z8Wh1%by*0WtP64%&Rgs^>yjDKj;hL^C3X_VJVmU>`yAosSKH>=&`j=YoiplH%DQ%x z@pO9vYQq3anu;2a0bEW}lQrV|A77-H5Uu>@xvh*|G-X=yE+F39`jyuv{8A@+?!ero;z3fR} zvuiO>HvGoF`WE-|KE|*2*Z@+Ah^@mSLxOTG7&(rQEc%WG>7xmL;mG3@dVDK zE7Pf%`8dBm9xBXf?qYOq&^4$1G4LhYWsWqj`QC4p(dW=(2H9Jh)6TU_fNNIA@->6V zv1#Vdd3W9^?{&t@apr%fh6sQ6|pXR(ds-R0~#C45Ld;&wAxE}m@n4nh|57OE5OQ%m`B z)OWn+eY6zY_k-E;z@x_*iV0JoZ}I_`NzdGoqJ3edeN=@JqDLBA?YHp(U&(YDI#>>0)OsQ_=Yt8(sZ(a572FT-T z=5HvgGxT#ygA`-4S$I5I?M~95T4~cd7~iASsuOzRJMOpLhwQGUIfBU96JOp*etGQ_ zflnVfaa&G;Q>Vl;*I zY=~uBK0!|_O&sz*q6y4F&>1RL)Sj|hrDKZWIkW}4guOmr=}b*LYDW*T`5u|vJ+#lW8)Lseyz%#6h`m5R_QorGxlNv8gEX}zR1dqsOW6+@ zfge5;PdhhlpWJ-%6QK#2=v+!i3D7*-by)9opTA@G;Lbjeu#CckhmRysHnkB$E0`1M zsJpCZJTJnq8-K<*Ma)r&-FI!Be^i+wJqk8ia^|8pKTO!^p_>@AU~fap`Pha>SlP#T zrv$z6!Z7_`EHbvfHye|v50R25;((w^5RxZd=VVOFx4RlXM}JE5@zH~K`7>WcrkSfg ztth+c$7i^PFg}Zb7*z4-uY$fuU~g>6oWA7qLy1(GbRX!}&JU9ZjbaP0IQZ-+7oY8w zF<{imBbYL=ykd4!7wArwb@6t`mBdmupE#Q3|3jgdJzelAj)E~0tP!GX3(|NpySLV6 zOtdu947B4Oz>Izz>LzpwI>TpvL>mVTKaUBJ1b@}h^t=^JSx;|?7LfC0<5nyM=Z&dX zuYE@0v}Fv7TrnuQWRdG`WPR*7J4uAZn&XKC_d|$v8%+c`b3PF}n#E2ZLL}Bj35t<% z9&yDzscv_wYP#i7kXH_|R)P{#_6?tTAxNlj+!QXThy^@poSWor+W7bcHwHXaYrcjF zv+X(0MJMrAxTE;i(GLl{7cO3*l{XsihjEpRz$2`RPvVW;!++kzUP+t!{i+_Pg__y= zaxt4l+{AS2-L?$Bf|(QUW4tKACcm~v!OJhOfNE*sdDma|33c);&WH7NjPp=k(jQXK zEp)OLCNy_xX;<~3#;zs!Bugbrk3bCj7L`19?2ng1_S)MZ#I-l5-0BeXVJ9reT#hZbN$V*c(ZiP$TtZ^;M0Y`% zW850h&)t`%nHx>qWsQFMY_4XRNS+mOQbDs&$icR(he+0DlWlFL^M0aAiOx)e`?yRf zD)Pfq4y)_oRDaTT(F8MO_qHr`T+V%8zk8|6>uQ$Dk&BW3NYbxtR6=iDX_rLL^Qd+q zJ0!8e8v(a;yvmNr4U4j6^egTqKEJ=Wm!`y3Dv<0bUi1E}RYV`4q_#OHd%$4kKi{LJ zr>mARhdcE0r>zE;uaj`IFpXW)=FCD~Z)ighY921sQw?v>KH%aC8%UWE9dzm&N#LM~ ztlS&|W%?1`>L6%;wox*j5?VDC(sZ?LvJu3a#HqK>HvF4$`Qq(!FPZ}F5f zBxO#lt{O~1D}sxAVx={{52@h)WN3mkhHjs1>HCzHYtRcbr%$Yyg~6`sz}vZ{T^ypf zT(hicYYDS6dm<_rC$3juGtK^kmV4!RCrDe0Zd^TK}rChst#gcRk++DA$JW$!!|zzk0fkIP|7`!DMYB#q07 zMbz9#hbR%`j{Exc2B_5@s~~GHQHFcT|S!^o%5derpN5V_*F)Y$BWl?{uj}N zP{@;e&AA)1zd>*=paj}rN*Ys?eM8>nvNX?T@T^=zeBAtFiro>15x=Y1Y-9>>Lz%qL z$0du7>o`>agR~b-)xaH@;aZNS4B!7C?x!V`Zo?}mc@Q#`)J#_=xJD*l{)T(Daop{< zYW_5%1Eu*VslL#NhCFmrGSbWesg~F&3M!1Sz_teZiDzO|C)>u*b-DN;WT}j&wz6e? z%rciOt0=8QDfp2Fwyh_9Q_tQfb<-%;YiaG)Mhfi1#ThYsC|p!sH=K4GW=8>YtKXuf zUmH9DmeR|-rOX(X%@WfFcE+a@{_{U1FDe0>KzEQKT*Y4D?Rl$gz zNRWM^0J0wTE2m-YmG8A_a1?5Gv`k*VVVWM!>2AWr5U8Yvk^pA4J% z=^FNeo_7~NET#+_i*IVdlxf<_9V^m0` ze?$_h2j(Z~dk~9rT=KX4dI@E*6J9=Y(DSe*N~=$%$^QPtjo0G}F#g^tSJO!s<}}S- z_USXi0s*JWTG$vRiGOU3GuS!J_^En5z2Lgb;97&J8jC7@kYVeX_-p?c<$IhC4N#5~ z>enJ9=Ozhmr+^z6&?3Ju_}HZUVeI!`nmM`=91ti~vF{+ICm6Def~fa1F@gOJwbr>Z zov4voJ%qsmw+D_+xNfz!yjUmLp$%mj(;)wYn>jT@_!y?`T9~13HNK_5mDC+o-AGTT zpU@gjyOUH5MI5(zIdkTY0hKw|)DheeeJC>Q0+$p7Xo(+bWIbk!4ZLyORDEAuFR&IldBcW4XZ@)Q|ksC;8M`^<_3)H#x zAte-Px#Ul4JH8>gny|7jFd-#8a6H#$nI}w>A@j6b10|>x3EzViw{34*=ni@hSTS!j zOO*K_n!mB*5-79ZL{?h5V_J)BVd&P%#GkUl|`GsV^Tt&HmKxB)3dm`T0jh zz@y$Ix}GiQwki;q$|xxbiiuoqNch@5Utc<_-`f6X>$`^&Mcvv>*zD?&LxTBmYl8xD zEppARY8*qFhdWQQsa2n4Xg82#W+3+ilgk}tA;d{=+&ctYf zozL=YcV#zvUgDWtqUA~2ROs3YQl>M?3Y$k`iY&Z(8(k{e#PwCnb}t7#K*0tEOLqyf zF=RX(i3=V{%PbYPXcLPiyUY9XS@XF@-&)lO{@N4Xpn8f*!SDX$*Fu1W{gCWP*I|<5 z>ByZ>OE5sJ%Dc)5l}ZHr-3{Lq|G3&68_Fh9I%pOZCDqQs)s37_1k)tT-6YCFY?q~P zOKX!fk|NyKm6Ju&J5dj^Qd#@A2xGaX%54*q{3-K(eJ)4Giu*nH(Z;dHve66bFX8={cgwm;cw1Z^s7QnDuA?<%A$dShs=o82a<<+)muEx_b5 z6A)rTr19lhx9wK)9@ifn-${m^x;DEK@+g2(prfK(R2>GTaUmo&fm?w>I5lx3;r=}{ z-;~ywP#2dGe_VfSILqaE%te)OP9>p+=4XA;4sm5MzKK$L5dmSrf=u*~^cP4Xyn3lR zjqNK&^Eh6&q^f8y87o5w+zW;MnVLB_rscWWe7yBd7pafFY&E4pY;FeK3cb<$uL4A( zHA>zuynrIv|NJoB&iFi4RYTHz|R9dyLG`;5N1B{>PW06jO zuN;u`$vTr0wpTPTNE($Fa-oULqCs@fq?lY}jWQOgq7uotlYkelv#Kt1+^_AU6|-jg zyX@sYuUPk7!B?N~PGC0UTc&F>ufT6X?+I4bL1! zJwr(uf1vMHA4k`6m(7IBOY;dpThJ3~v;vbdznG{vuFdV(yV(QAclEN~QleBhClfuP z|91*Akb-!eo+R9#nSVv!r=`7`xvy4hTggXx?O#`4;_DvGrHfNUIjnO^I_3SanOqtS|*>iC9!APm*rUIY@<}ON_Ts-E^0i? zZ0nfqVuO^IFS7M98V6WKK+6cVY8Uv`>?4kulP0kz?iijq=p6l)3e0|01QYsjgIIygwnj!NQ2U!YKzmY1!W9n$=ilMXQb#@(Ufi z^sYkQEAzf;-HKqz@0g2yynZv##G?#m9VPfmsbRZS8`kV~WEiwmcB10^e(_0V8LD?K zCL0$^W(#Vss_KalS?p*fqd!z#f=m0Ag~p#tmSWcb5IM=CS?*{{bUu#5#H;mA21nlU#d+n*YHG5 zFbP?WpPVr$SP*cjC$%{u924|p0ra*28&NxLyM73iDdf&=1Qj*Cd45BW zHkYecOZ9x-uQ%r`>>oIjA(k&jD360IQmU$H1%tDsh+~%g8q5@4SL6wf%_1JKou^yY zC`%x9-La{xb0wx`U(~LR3~!C?j&mIi85!duflpX-iqt4v>?YM<^rd>i?EQG`^IU=@ zF@PQ^>bgbfO87@9j4M9e%6j+*qaDfb#CtWnZTc*;0rRC>OK+QQuIiQiXo*Q*K&^2I zV|{T=Q|@mcLS?HtEuDUXK8Q|4F>y=gkeOT2tymcJPHj72vedt^0RWk@ zG!ttY8V!Ls{`FsLVZLf=dV01nH)wCo^tozL5+n&vNdj7kuahnL${6=!ORXiduu%F4 zJx!MKNwZV0`>v&&IOXu#HxB+GmYP;QT6Ze3+nj`>Y>KtMpk3~fPUzLd?${l>8PiL0 zKn@qISb!TR78474=g8*XPphAZ-EOhd=sFZD@%s^puL8&!O*|PhHpTZOJ0fkzR@%;1 zzo+#z4mS?d-8$WHal|;c*%ZpEBAlpA+}<)Wp7*BFmIbfNXqdLU9LeeBXtAP{I;qWE zo7DxY_Pm*Hrm>F3?74)D=FRON*q@E5HS1|`12HoIL@ypt5XbJb|NIqlmn+D-lh@Dd_&W_LCB z7H;xh(N{F9QK-7F`JfS_4;*(f@)m8daQs3)yz_%(=QQ+nE3w3sqlWJs$UN9Bm#W4_ z6YGwe>Ku9{8ihYl9b{E%vs=~C_RK4)WfpWYvMx()!*K`o4ZJ@_^o#=TLih~L;|gJP zTy2Y2y|&~t=5B4yYOh)uctEI@#LOC5z4o%YUn}Tw14fNL@QikPaIV?4)R|cl%2%;| zlxv_cy#KjX@)Jy8P>G3o=b8DFI3L@)qmkY4V%z0yB;P^7v6GYKSlQX&MkqYCqle>q z#(J@5EU__CylzwbEsuQ^2~g54>B*bY+P{vEcj=E8=2p7AQu!*B+qYaZ!+g@H;2&Zy z1838s+2i@8LdGj}O!&3w;@PFM)5mk2r~S}jk9vdJ8IJ$x>mM#cj2!mKHNNR2iedwP zqF-Ut?tq#|h8I)Y&@L+T$RIu-8GafVB#4to)!dueIJ!lQ35*E`K@SS%ysQ`|v!-Q; z(BT!`IWzJ}t@Dp7mJ_R5B>nxd z(rL4+*>p=ad(OiL#AVjh@BZu~j%1p)@=uihY!;LuvEjElS{O+oi2US!k$3Vaz`U@+ z#MPsCpeevq{kGn%y_dTaF(+}>!c(0(V2*nfB0T~T0{>BmhOYbFLouvpY|kgF8#J{m zhpa3ot0seltjt?(<4!INkXl0u`(JEp!zWReAZqwY+X|(IDxpz2UCl4wN}rskhG@lQ zn=d40(VZXvpTFh=@Deu##US2D2Vs1}ivIiSZ%f1;&u&l?h%dk`pya-W`q}~a%?62Fy?Jp2 zI@nh$&SbNJ`#)lY{2}uZVfmd^%`u1kR>R1p;aM8yo8i(ldS%7&w=a%`s3L- z?)8qxUvv}EergFs5X`mnW?ZMjuhB=k*zP_+rj5c+A;DNb-1c$3 zvf;K3>m#=%K8LMk!IA-D3-E0?Lq*`w7}xH^8|yQA7z)qv+?U1p!7&z>)=gBy4Z?yP z6=+*T8Pg4FD~772`x;lb61jl0Y&JSQ*=r^Okx5X9O5?3v_W`Z7p>?q9TDoWKp@}ZL znd;+`Eu041tX61P!n*&@7lZgdq#QY_W}_@Qephz=BO{MwN4js*b;@E9yN&G$@GWd6 zH6>Z^JdyR?W4*Zs*LmBI=N=Mz!ku;y%=9zjSEXGDn=Q!7vzqs>3tLS6VQu_BxtW(V z9K~j1tByJ%&_w&CP9jcK3n@4aGhb219~MZL>TSB}Kje>gd7m|oH$q~K4+E(a4E*;m z-XtRJO;K!)wJOTD2%54hIxcTR+fp`{RS{Ie2e>M1F&<9#xSLuZo1Ixze?UcYDN9D1 zlRGqB;fsjqkZV@7xo-5TJAF1j-~Gv|p0-xB*R*PLYi5t;KJ5bF$_~%b5%fVN1sc#d zry7}8w6XRyK{XiN@!CVp*P?1?=Cn;DjNn%`mV_Gi!_R)?d@vi6+x>)zdsOy#V{LcW zhE3VrFiG8Rja^0{f>FX$p#y^_#F6kiu_7pJvSPK4>iGWMS}vw0;(w59KWFylf!gV& zqsHKHMWlbSja(eSaQ${SJYwIeWzK3})1GnnXl)BV7Vv=EFgv3J;4*w1O(Fc@X(@JS-PVt&eRXs04niG)%-4Y=MyNz#DLfczWH5ICL_Z-wW{wAHFPucw%gz~*D_v?+#t%TT!B`=jEXMdu15PoogBMVUt0D(nQVfX z7pcMp?(@j=5yGeJOa3DY>C5Aow+&kFaO;KahATv6IWT`A=!zhsUt|jG9;53wY*2AC zyzhgNgA>hRdp;! z$@;zRX)J|y-K^_aj*_}rpLBm0lvAf^`}UkAB>n{kbMN=v@6Yf1Cjv~bSdd|uQ3i%Q z#x1^+_wYgDaEb3Q7<$2DmT))P&Bf<1s4(e&t5h3v_xF(aRzG>rzigwz*zf0LO$-#o zea5hnaIyeWjZuskJxSbT?GYaX4EeVFcGrbExrX)Z#84G@(b7#1DdKi90!!?ur->8w zLFa?T+X{}O-DJ$OAw*g^(w%XZ1krKNn5wmi9dmDNrhC9>5OlcJQE>9vIN4O9zuwSnrFRI41O~x1+;D?odR6;xAz{3WQ!E{Ic zE!c2Yqtvnt0|VhQDq|;__QLrKu6LysW6mH$n)U+9(JotFd{rE=;_BN7Zu(Cxotli( zryNLC$SU}z*USZyipNE+1=wIO8k`Zbjq`pJXfD0el@@~gx@J(c-vqm#VS3 zb>O=H@S?$D%E3>Cg`p1{r8}VSD-4POkvRRmC zSw8k|j_kWjUk~N)3RI7D(oRnLM4|2Is8of;l?TNkRP`S|f}Bo_k*SJNNm9>8<~4qd zwW@2OH<4v4v`q|FEHD7%c65v;;O?#yJSy(LvB+RuL1K7KeUFK4a8RsxS2wgGCW|Z+ zC3U<;AMk0lJ@7jwafb+{i{LTL@Tk(;SwcMur8Tl|bN#;5kKKlIwm&ogB(D!%gt#g~ zfmf3E19AW;-8XEuE^3l7;H-5nX!A@Q8BK(Vp@d*gi?ma5(nXexJ3P{rvjG3QE_0wX zbHj)}1|lb5)C24Yvt**y5{=8<@MkU)w8bJy;7Ui;sbkGq&H5*fuSOjwDAmF~4zD}yS?F|mQ%|sL z1l+@J!R&8Z{UUD)+$f0N#G=A-22xW%J`xift?RwzcrD)Bo!Wx18%0B`mq@C!`Vw); zSwB`qKQ{1+mWc#3`G(tDLG=~jpeVOK%X};Xva?5xl|OK~oFk0y$h^SVOXqN27rRR21-% z*zweyWt-i-aSX}wNv#$p*T-E)*@)vTncqZqxQ|f|1b=+@@NKfL}$^7hvK~mn&ME-lX_u&sX5;HRdVuFyORo@_CuR&dmp_o zRK>@%k7dF%wq{9p6dgDZAFd;Q|Hyq0T<%;t+mAkVc$QF1v)XZC*?j6j5xx<@X$`5a z5zAXfE!T~BT-(y7;uCib8w(OsxYn>y5IfND0sREMI3!df{`F)b-&P*TRDz^KQqUt2pn{#*7+Un1qn_oJe-$dWRk!~#cW!NDCzYqo-^5C1T z9`^39FaP+|xofrG&+hDi{|BOoe~3a&<5r>vyz8KZLRiM}FzS2Yr}kgXfEC1AZo+@( z!@u6ZA|``_F%t(1!Q6#`U7J2sf43jUMUIZR&9#apVK}hhb-`t(FpkjIJ~jTtLD3{+ zY@oD%nZDZb-%0)a^N#4>`#L?HA6P>!*f_%08%MmCJ!Id8I(t0= z8TLe}K7<>@VrYHuKy8!#D&Vqr(1xaCxkG$GuNOc@F%bj;z6hlPUlDJAVhZjz%w%3S>Oa8?P)Gdu-Xlx zarVDFn(Kl)5QBU{y6BK%Y(lIHV1tf&@U1^DVYyE_ z^Cfr=ukv3ug1g;cE;Z1)=yjw9pKCIn=x_7D47#S+ur82*`+qzr#Je7L-{%1ug&8?? zTj8d)pw>-2%Xk1CYmy2i6Ay9hv5hecPlfDUETW$d9=N>$bY`$DX$&iuxBA~em+T_a*qt_ z1nD6lno^#N+wNVWH9PwEpoZ<_v(MKbetiIodb)w;%y(mIy=X^QMKg}Scafr+&PtQxHxZw`S`pA*-7Utcv_( z{mrxA6q*D{{=-!RQ~YGDW4Y%QG?PLzZ6=r$!-Q6d*Zhpr2B!e3Bqn_98#H%dWk0c} zq8$^Wv_Z2}}z`QLk(`kwx37_PyW=dj4|zvjZ#3 z!o7D)Hf5XN%r$`^6zRmNlS2cr)sVUglFyfZxg2aiw=*wVsJxyR^BLZy-Kpbfy%eC& zm?z(T2TIaK{M8H@g9zBHlh<8kqRrV=mKn%21qt}(-3QnYi-WHQUqXx=H)jh7PA=my zk1qZYq&@tTLgakv+A9XQgABI@a>)g;VT=QbM8AmCs~dn)Y-=AA2eZ+S;Nbu`(t)|Q z3H&AA0}P3ojd&kr7Ty!0X}R05Cva^_FAM{5RsJHlaOzMjWIjFd`27uZ|nu46hWVYdKcf4P_<74rbrr zQ%j?%x8`$KbMB}3f8jX@NEZ^a(H!I!x0SQD(p^j9tbrLJ z>yY+?C|ViTt1z|@X_G{T$Vnj|v9A95tIdP<`kfd`fQ6yC%DdFU;`-2*4@0`H6oZ-~dTC&y%z{j^+wghYo>Ja^NFAR(KFtj@RbjW}1$ zT>uj{&lnHjw&oc}+NB;j6`$>jJw#GZ7Ec@k&z;622gh|&1+@TTNd=OAQ02$maY?99J?82!IQm!f}t#`?ZFF%4^aPP^lfNU(2aa6Jgf zK7>JZWw7FnLxOThP+*uA0f$l0>|Jp#coFo{B|KM%k@Z4qK~gsN|2L#0R_I7U))-@m zis}+F?|~YO`+t7$1e)z6my;*?U8R9q+j0_;QIHMn2=UROBEpCWM7c&t4E~y6cC_P9 zqILb){x=3roqN6k9libh&W?AUg=w;4aR}LutPOZZ)`dF(rB$F(n>Gi|Ov{BhWB_io zNwuaq`kQove`KZwFs(rk>X_E}AIru+<71qi;e45~L#B-i%&17U^OSqrxAp%WU0K}z zSJ5neU`dGnry+}888oB*51I}#+q2*yzE$7}@2K4yv$;OFk z%mcaQjD+ZgIgIXG&}uJOP-S8$0%#z36UN2A5{yv`b_k4Vt6DLb z-lNRGjZoDwxeTW^4B~nymK7}WA4|kBIFOb+i=y^kjIA7h!a1==V35Mt-T!U!bI1$l z9IV7<+doJR=vxHeVPJ|(a}8+5iES&&8740Vw$%S(`QH(TNOnR*?9Fc<6etH~jI0Zx z-n1zSVJ8aHN_f6hSb)ENsvxg%B88m^m|0r~%Lt}MlLa9Vdl3DI8yW5o+%?VLq!I_3_4hPv29&vW% zuPeB5^N9n(8bk@9i6ok+ciEo?HKK9v$%FMnUnmWfK$5$b?(*BuZK8EC%cp)`5JRY7 zcwW=Oh=-qYj7qlDUZNb=K<+;?pO*PN5)8YVEU3j6rxmyzKXq(f*!%|dJCwHGv4 zK84IpA6o7ar)c0k#;vde;o76hK#oD!2@6m{Gn|1!(@eSv`#S)eBEJmZnBUEXV<~ROnvxfJOCN`@Z%@Q$0DtPsZW^FpAr>5UYuyCc`40 ze?qit6Bb2j;MwPc2Vhsc^K9eprd@GvrTbyi>k7Ws^h{9Mb>CqLwJfe#FugQegtsq@ z)D>@EcqKwPtr&p#M(`If&t{++@?o7e$FAKTR*ivM?}8S&t33Z*x(s)ua;wW5l}0P` zkn9APEBTadja&Yc#rns-06Kv?8~ra4Xr2D67}*12!T;wD{4HpxQ{XkkfNeNj`v8sH z2FeQBJ}bmI40iE&2i1sh{jtz%kN-ULzziJasJ!X3A3!24DtDt@>kPwem0Ph2Sl}=! z1dMTG^WcbuU0WZPCW@&x!_k6NaBnL>en67rufox4U@RQ`5ZHkONdIx^P$N6!BBSj* zpgD6=fpC7P+if4}e|1CCNP-dZhTmS=)QLqsza-Wu!p>EI#?`3Mp0X{BK>ObQ`XRBV zyGkn_^22{|Sy;8g280mdqN`~0G4r)=eia5tRQ}0ZNNo1Xp7wFG!sG=88x`bgiZ}#b z4lzWtu%|1Ki&&7zAVPydFyT5O;c&d08XnIPO%TOnr{Ke#kPS!Rgz!9rc_b$DvJfn9 zH$d(M>e2_)(>d<{%dSU-G)vRlbAF83a1p=8HeuRlp&Gm%%;? zp2PxwMKP>YtPp?=D6C14;wF;i@eoi!vw1PxV@Q9Yf>)M`f(V{PC87wPfNIUe`7Nm@ zOBk+HY8oW3Cg14%MeTAEe}akv8JeE%wXy|Fm*_&M3@P9iR$2e7P-$StWe)Fnr+fN& zVJ(Trr$D)tuEk=N=;Lbl_$U=AW^B_fv+5rqO`jbp;-7nl&bv7+GR9`o_B;Gf?$D9xszgrf|l5FP?B>*jlnX|L`XD5 z`H(Lt2@C4gB)%*sk>jwb<7wx0c+2xk%fe@#EQe-~PYYW^guJ=|2*4A?@{;gImvaE9 z9zK0uFsbmIAu8WpAZjKNEdvpH|Lp(9>O>zKK}7N0|Au;O?GolgfL#mCQG}2fIAIy* zcLBuxE3;lC{LP`?7u3%&l&k|L6z8@nZ4YIStt{^v6~Bw~2#9f#WqVuMnGm$+vEHpE zUyM}(zz!`3jRkuVeEZ{X*Pbjj9n3loWK(-1NRFg5*c`AnhzM(dkcYnD02;ub8`~e) z{@UFj;j_=LXa-PHh?$)(sEAK90csv$r}zHJgnEsRuvSIi3au5kl{l8owf?LC8>ERs z;mA?kZc|4zrH=qoL3Gcv7~1og7{=NEiZNeY2GLh^->329Yl^QaTU!y*y48(P54fDD zfO^cXS;(p`3ck3bdD|y>?yXv>9g_%!JQZ|ZssrK1P9g+{2s4shM9t#K9DM0{2dzq9 z#i0b=2+enHMVx}3uu9&=K)(6aX;ZS--|+5{6wQ>iFQG>f7KiVmudHpZ562PaKi~k& z&iof6OQ?4QIANOz?t`A6LvQUSHG!OD;4mEG$Fg@f#p;-ltIFiI!j4b}8^055`sFk-Gz5gJ7F zMi(FgRQ>jM$q31cDy&)SFaQ3xz^P(wEAtD#gp_9Sz|RE%A3srOQU#xowRj9sBXQ5- zq%;W$8&g!YqC*y@0G@!p7y!8io^_Em1?q~1%xy>Y?je*YWX+BOW`_q}?9%u&B**id1Yn(4%HTR!p zf}Kd(g6}nFJ-G9FFlO`Q3EtG1c|KpPKb!{{?5}bZvPx81k0r!0F^_4-AB;2X2ojyY<%DT6Id*~w!Qt2 zpyT#?n)2i3@vk|-R+oDJbjK`ixEcI;3|btaglo61{kykb7Aw2<4;g(c-wGmLUR=R|AY3#b{+AF~B{@vKNU}@F%Y|vEO?RVX)uuC*c)HWgnadfD5Zd2oSV`k@;>w z^IBv=tfe_u8RFUd>G#KIN)5`YG~q+_mX&l!+6O})5h^L|cN2IhorPJ1&`lTC0=zmyTPP7r%-cY2jF9LFBOxz3CMRXjmIeupQcuyjlywwaO53Z;nwnN^EvBSpifp)eLZ$%;4nAx$ZkQ!iw$HP9PImq_l|e)9?|dwcEoAgCl&fAdNI)^9$#`?r&pbBr!%+KAqE$g-KO zevr5LJtWMS4D~W(g{hh*MHc2}BIGek_b?vL z+-Qh?GNweQT$6^Yt^(-(v~WdHclQmkIVEwrG#&33OK^ z?gDF3B!=U!TKFN6t+Kjt=Xq!$lv-!~O_a zpyR5w*_9;hfF8q;PuYD`$3;$wa~EuO&9oj02g+vmo~`dIE0)1V#=K9T{QA5H_ROxwstejhJ+NRoOpg zrWJx~1ZK9{)e*j)vVeP1d6mr~_bJYT;f2*nk6hAPuOx`qh*reWyUgnbeEHfj~;%M8q3a%nGzC}l@(7K@dI#NPrj^d zwljtrcpLuD@H90sfDILAF{mkHvZY}|2z&SI;TjEC(k~F+y{@JwXGp#f*pzQJ$cDv) zVFsbUx0Ib$N#AaDMhKBQXnd57ezGm@@c`|(>sGqTUJ5*}jHA!;v2}}#E|N*YhyC<% zw-~+59SAYq4iCB8F`|TA2++`EoVnD~#xiVJN(IxL_+b)PrSagcNSiRc`XB+qI3kXv zc%sem+&-|pWNsrtMOW|9RdXyD48RQp&8SR^AlwNDM&C@hlpY(`yQRqFs8xo-1GC|E zxDsc!Br##onPlRa%Ouumu(ayf8LCJlxwM+I-`cl<3dv*HG3qOl^6UXDb}9mOOnz#kASQd`1qd4jK1VNRixe-v2mP+I-oD;z*ye262P~JsrZmHm@I3 z-~7`r#FXw?U7I2jfolQ&+hc$zpp<{bAJORCnm;^}-3 zVLJbc!S83|30#wwjgXX4?HI#Xce^n`19}+$)d~jA6R(db$eU)Mtl7K+EY|M#--`U< zl;)S2uPMl(v}}oD-C;JZrFHa@9JQy+K5~I5FWQu zB&@S!XiTyoW@t?3t!p;xc@~POT#w7Jz;LOTQALLWTh?$l>l{bTO^3#P1yY>^_Jj}N zg#81PKw6t7_asTEHxtUK~AGa(AH#}y83#?!qI!no; zkd(y~A!Ng2-sF;LCsbx~$xN$#DwDV#gsG-ZDwndp)(eAUgo{-Q<`O&yHfQ4J?UcAS zf%YR04zEE9lblw=iV@RvCA`{>gktgyWP~uwqFKCCfFZh}#X%ppj*iz^CGE2ze+=&* z0`H8=P0J>NYP`^S1?Q%GorjK2JqCgucUpm&#$cy{LfB_) zB%|1+vLa0?cG{OM7Lzw~5#z>uN)zIfL3D60h^dm4=@tz-u#QDZw@t=40g3VFu&YYR zK-rnOzH6QSC9E#&YckekTAQt~yiRBS0ZSZTN!myl=&i6p%I!)wO&BV}nU-E4!Yog8 z8;NP7qDpCU%mLwab`Qny7YA9~V-Ac9sSm}}IE3O&M_`GIvn=R~?V|X&>5mj@hEdHx zNYoMbWxL*$md&_A(=hKTvj$Umvc)>^3niikAh2y>#dsq@0<0@cWgPjkR&*uGn#W3U z#^VavY)=5cluWEs7!Dqj2+ug22hMTI9UgqRpOw0Aux`FUE@?&bs7_ua+7&c;ZL>zL zp3isj+)RGo zUV71O>oNyq5XSrDvuAGOE)?=wmB_Hm z27_->>U=%#5WKohllYbW?x&10nD0{tU6w+gvH>)!N}Sf9+veHRlvFMz>-3`^|DBzz z@K`(9`Xj3lkNYo<75w$w;{ z%RJy#=WTy9NL{Rc?|gx8eG6my3Ow~XhGYcr$(*B zXX0}t8+lRpSJAk~4w5b1W;RjNkLomDYta+k@#ulp+e)zmMnuu+9z!{EYYwZ)?k4TX zIEWH9JejPw&^?zyv&q6Kw3B0d)aatYLD(ani?KBow^lI4VzQyJwu^}IOIYO997h=v zsmHyec?VJXdUCzJjg>*5AY0!Ob#CSQV55^pR-sqHgePWtj@A1$oICBS*_Aqw@@6-aiN@D3H1jG+pnH^KGXK|Pkypo z*wR+BItuZ2Vh@hp*pgahwWAvf_IgfR+pu)~iH~EGPpv&hQqC=>+DVGtlCw>kohB9c z7DvjeLQQyQmL31HRmg2~wtmJeq8e`NTZWNPbrkr;7F+S8*a>YcHg6WrXT<@jyzVGR zP09yPJs1Ra>&!>^>=r5YxLK@b>*T0?CbV^qR8)QNEToDS=5 zkeSj=x2^6dbb-uzqM#AFr(tzdAd(AlVrDhVDSmZFVr!xLAvxMIbSg!(As$;bdXGI! z_dKr0b+9j#sXiEFYAs=-M$%6qnJ25OKxxrnZJ49Ra#%`CI}&ZfxFZ-cg5d}6o9$+2 zu;j^AS@o!0Swl#64F*)gB?~M$qk>R2d6WkV?+<+EprgO_pT1VsR!NCnEz*asXj)39 z)?n8MEjR$3R@9d_zzU$)tZ{_+wxG%&`jEy_zCyRob!Cg-YBTnD_hY6u=71R4gH%1L zhe?YXTQo*3eNygMUq(z@1?W6qG=|4SfIE;oY5HL9ai^};`)R$aa+c3_Armkz+ed9S z+tS@Ycmy?4hBjHAm-&VHHK$LuVi$-pV@ZTor0UFqGL6fS5c9YoQWE!}_#6UOg4 zDI(&K`o;iBh4O3{EUVfURBEiE?ORfg^H7)mGO20OC+iwb=!%{f)wnQo;U}fhF@W~8 z9~$JeeJFg~iCx%-yN+IPLRi(}thU4Cq9y@-4>_pE3~YjkJWl1zLEfjnjLtsjVi{l@ zdvUMzx3{IuEfxs_=Z5V?jb34Yur_rN#@XUwX66|oi)PsO7%W4so0VI7qGT84Xu{o= z9GCMo;@$ZaCGbTC16Z08+O?{zHE>)W3{@fQ;b!#WWk&-BX&1YFk)<(n8RZiCWQHd^ zG0;bwadeZr(m>$a9Ib*;>aFzkIDgr>`YX4RbqX94YIdi6Wr`5sJJ4IOFE>`!DdEFP z!;(C!%!Y`R_LM;?Rc3NhVs-2S68#MY%|?^uE;H6JISfdnf0gH&f#;5Rc@=r&Ye>Tb z>X_0(FZ%_z?3lxb9X3_zlQ1#WaupUVX_OUi4OoBjmK?eGd-H|M{sHsi%}=nBe}sKH zb7RlVFS&0Yc5XCoerfpaBc=Ul+*kR8U5i9s`ux*pYI@|Qt@HS@e)1>1^9)}D@%6HQ z#SHGoHzof;_V(fb_#)q5xd^_9i#+k~T;waievyA#xsxydhj+5|-8;cIzj-Hb+m$cc zC$Wy0E2$T zdl#1diYpbsZiXA+Uip89r7-Me4{U;8{rZQn#DDFB{@PFC2jM%s_v81!PS+vV{+LFl zENK*KT8W`6l9avRYKCl>BP7Q56K19juEv?-^?Aw|XP*SLN95R2k3=vM=bK)}G!#9u zdeCRg4UbuHBPP8-J8t9`O`bON>*HVQ-)fL)$K=It8Y;ZK>0b2Aiy8GE&uPSb)wL&8 zm)O{mSda6j#eW!mf2(3@BrSIUl$7AxhP%x4A}zyV)yr@fEGaIhZ8^-1<9Y^Ou5^_0 z82OSJY_mN0!zwUP=ES(dv3&tgyHw)@cb^W0TTx@kO(R6$9|H*yFkl(jqap!Hl32`8 zGC#fR*|2|rKqz!5QwGqdq-nrEj%y9d@YMpKop5IK^iaTKj;=D2Ryf|`m~L;T2t2W~ zBm(Je!MhgFE$}YOB3{8a^MPz;Jk1GNUhGRn?8l3K;E`kf(zp!BDui26`zy-Q^ym{0yTg%`a!Qli-XQ<^ErW2^qn|bDAwlmRX0B-rw! zj*5|=%bp?nyy~6YVS782WS|AdY|nMZeO@(YY**<7epqB;loqWK_BpwqFa5kE`Xk7w}GoUJ;s#5%1XLb zUC6KJ<4T!&7-%@TnvQGq_UvRJJJ{N7Y6?-U5hw7914fgbZ8i>%yhb-JjlkH5k6Pj6 zE;`1u2YVc-w$aU0+M%WSZLL;j)PKk;Erp$%%|uT}jB#T(VI*u9Nh9fu`3d{Q0D-Dm z%gc<IdOgqrJVyyPTZmDAuMC1Jcas-xl_fi6_5m8{tJ zkU!Z$*ch`qP~6L%y&a9Q_4dn7PtTxx{)97YOsG6-%R73f%p%FD{g!I?lUE(t3^M%d zy_1XdNG8t=*FAe^WHEW1>Wkw#hqKfJEkUgp!B}~Ha{1f8wSf$h5g=WP#O*$<=%9B2 zVitM;q(SFl$7gDW_$88dhG;spD1fl^G@6`C;}%n5mV)4(n{L=;Rlo*39njImDbpdM zLEZjEy4`|`idlGpG)rMogMU!ZIRzMt*it&UdXs0&;s9nmCm;w8AP#{kF+JqVXjv#v zW&$N9lcRmfFE2zAd1-F@kLEGMj3d^5o}h*OLJyO9k*(TKhR zHA3VDP?U|ptqj=$IE1Xahd|Tr1CW;|fvN+?828jZKww^35$iE^W?=(jYEYIP|>IlIk@{cJ5lXm?@QidCR%+Rfv=5mzEC$E3VSwlLeZHn!~zLqTo z!hEKq|_l4Gr*YS4r9iEO~GTUZ307bfy_djD@h0(yXyt}nU={3hqS5r9+PZ#1hjm!FFS2A%9fj9PvlhciW;PQp*MAvNF$(y zK!)tJcJ=qaUuG5=CED6R#vaY++`3b-4Q66hVU4*pYm_DW5dpT7`Vata3pnjGrTiYE zj)sZj7&U9e2Ul8~9ozaVgHGucL+{ZCaT}(a(MgGoQ0M@e?XmG>a9*DlDCRIY8QA?n-qjJ8TbveL=s#qv0MR0eWPPDm4ID z&05k33-Xy6Bc`~{0=Visco#dqI!$G;pd2HXf+Ro1<_P*j%1yb%S)dEl>FcK1Doz|v zs$m`w<)iCy=ny@C>WVLtw3<0!uvKZ*YM4DBcf$re3kY8YqA7*J-GHdQC7NE1Hu*|k zv8^zWYb&g@0psga%cX$FR}JfST(*PmIsF?MTtbz)W5`V`vF#QKdST|07$NGo0)qH& zj;CnUah3>HiNBa74eJG{zO3$6Q7}I<^`hP4zM`@~w-R*su%Xy^sS+W#sfbXOQ8<8&c(O00o zC5@&Dw#gK@Iq*pIg^wv4meNbf7yvz(%2)_CfFO+l29rR<+i<7?J+J{zN`$y9ALQy* z8_-(m(;!rvwuF7dp$6x{tqX+lB~0X)>IC8qrs!V=tMOJhFo^=>#G$82+1yO0Y&IA- zOmUt*ckfaw}14B$b6fOSc8>`U~N!@H%HXo#Hj9XR`(%V{;*5dEs(A-!MJ4P04 zE1g1|%2Dc|KEfE3;+A0O0PzrOz`3zis98XxgZ?aFXxu1w8bBIkU25Q6b*CYDE^5fv z>~J%R1clXb8I1WgpKd|-mCqb&xuZMgG)SbB$)joUodveBWt;wCtF(B6f8HAU%42JX zzjBA?CGC=Pd+{V|EBV{3`eKlMDyo#7t#*BzpGZQ((@V}Gh20iu-B(IpT+$Dbj#cH=BJ!K|1E8@QDoR&+L zqx3zNERcI5`bEZWNB57VR?qu$C(%-9tL$2|H_Y|DxL@gekxcglG^iM@u6vH8qx^KUvz!Elk2?whyQqwG1P6Fz)b%qtz1aP*ih2c`Dgu6Ylva? z?~nhG4hARwfRQt|qFEZ@d+sAecaL7IR$5mF_Yeh8#PD8=@~lzv5f^niF>ZNvw&gjt z=SkuWzrKf}V}*rAA)`^Nz*!X4Mmd(+Vo;#XasA9!$oTCndx{(xf9_H{-@h909Xm2! z`9&^QJmQ^sniI(S?jv)roaScbzgGk0$fZ}-U|`9&iSpNg+K%{HHMWb-t_{zR)r_cC zt!aTHuU#U!Lfwy%h{lCYR~%G zmPpaJqs3Eu9tXIyjb+v7XN=YD#0z3wuq)%}_rn30W$Rj`NcIHJ=y>U(e&RTwbt7xM zSiNvcCY4Y-A3oZf{fc9n+2a^1Epzk8+=&p1KMU130iycX`Pmi}0l4;Gx5f_I7!e+A zQFqU2yps<{3&A!g+VT=_@bp z4x2BGS!jWYipjL|xHG3`e~_yi=9x$M{t8o52h80MTloCaslYG1{>uA%^@KWjUaFv( z;;ORB`U16r$VQOA$W?D5P=jaGLC#W3_L*g)UV6a1hTj**)_$2t;aW?&N5>l^&;cYH z)b#o*e_O0+ga7qIc-Q`?i0>3RD)F)roU>a&ZM64kD^%-k${>%uc&f11!nDH5DWrTB zUT;&(Y(&`+5h&nL^~`Rkl-l*W^+L69ekX_TK8Pqh>YvAW>avAKa5W8KkBEZM2`6Q_ zTmL@E>KBIR6?NWGlbyUu((K6stayEQG%GlQu=VCzn;A5uaq6e+Q&#OzRg;Dg4i@x1LO4Q(E|S?R2cv#O=XG% zfS|eddo8t=oselH`V8_{wwQ?c&$b-xO+T(bX5v)UfXa#ABFz}^_d{A4y?Da@CF=?V z4^KI|f1)e98pVk_`QZ~d4NW3G)V}s+w|I*{Zd>#zrx`Iy zzgP;v-w5_lbsLl5mrFzaak)Q8$Zdf<008`Dei{~4+5`j7j&1tU>PFcg$Fn33PmIc*2*BJ~c?JCc(xd>%df%(xI7sp=@)&k4&y)Yve%D>4O7iPG(&=Li^HOK$9X8$K(-7nfI$MGGIy2qamSI` z#Mx?ogyD7K)j{()UU1K+WFNnWL-7Ck1AhFkf0S1YaQyPkFD9n^^@O0ouA^UPk>1XT z$p#$@*0?>nm_&-lIGeU3IecSx8r%HL1y_HTaw*xNg;IbGMw}50v83Hrl&rd488wq? zjQDDFsUO{0P1f%z6ND-Z4rVl0^?{Vw4AyWWn6nVKm(7<0Z67;IO`CgqdB%nW2_<{_ zSf>fB3r3ieKV)6KQVcKk5TxnwuwnwW(%`BEvZsUnU7`;;u;!QOHh;2UGage&I3#lY zHq#M;44{|=97f=3aP{UWMe;8XtH3^DYJiiEZ<2=U#5_F7%|7HUAky54CxEuTB~I`7&qBDeF{jh`EOm zfCli-I`vq${(^&BH90mucF9+_jZ4G!0+PxBHbrx%EEkXx@=eR0g5Lm!46s~W0NXL> z&FUjL?8O32UcIXzfzjYbv4pJys*(D%>J~%aXqr>V%s8s|jgFQVBV*>=M$=2UMt7@@ z$WMAK9u8&X0NoO9w=`2>0UOmxN9GipWwTB{Ib>7xMSy>c2=G0256xS2j@lXRHLt|h za}1)X8?j?{GE}aC{Mgu=*Pxb8F~`RaV>YW3Le>?amFFIoqy#e2VlsTqz^bSo1G^$= z2q=){2}VW+uq|VCfM)=EbjbP!N4J^B;B=15BS6;}Kn}FJS0m=}UM4x+*sF2SYqrR9 z^l`M23lWx=%Q#~$00p9xypqz8Y1DV+hkqjtLLgGfL{g7CWm+&`$tK+#?Xol7wo^`8C!GOM2)v83f?0bi!KdK{D|od_}V%$wE9P#2e~rLAzbtF`VL!+0Ks9UMnD#A64O6o zNVEEb&*qd3*mylsa>8mI1h#@qfi^J4eu!WLbu--)r3g^GU*g;;7wqsM6W<`{huB?P zAwbG{8(^56mgDh$eV*%3M{Lab&a|1?Vmgy@@}m1WTb=DO?Tl{p z3+^NX3Rcc&O7@YuM>^d$e2HlechKMOz&PSJ?iQgTXRZWhCzaiU=R{5tdPua zLeRjdx?rPAJ~GH$;PDFRnFd6cR`syLVhS>bV}VlkjR4S_L|g(^N~*eV+wz*GQ04P-xWfIu=m& z#JLp58aq&44P+~XsHP-!FPP?p8pM_;PLcx+mtNe{u9K6zY2m}CO#gZrLLJrD1X`@yjRKqU3a85tI)6amNMBQ<9L zE)LsPk5L9f?Rt7Z%H7oNo*gY4?pZtID}9%p3JWZ(chW-um!<$C+tPL>O>qQF?)h)4 zEFYH-AWXzk=A?8KSbX56LoC3&$H8C<`GS}}h7-*I)S!$eZ0aG&()%*zkXv-eXTTVF z1{+2XO)$Hz=jkfYke6lPG+vD%niW&PI<^!$26h2Y>~NX1=rH3=eFsDsq+eP88YyFM zY+ppZ(4JH_BM3}Q6euK`DAI`Nu5wggSg!+Bi-ZCT$3*Y&toZj?D+a};CsL* z_mx*K7&(BA%Y#r+b;;l2>%|@@9j%fUCm!syv0{?cP33?GAW(RggzmiA~{j zKl&%lZABapM>FOO0}h=4-~_yIER`nmA`G91B&W;O} z0$~Zs0KJAq=DJ%gk$H^7PzYIu)B{AI7-rh2K)XHJDG2KhPf7Z&wgxwwP;k50dBJJ8wMn?mS=cFbob@*)xx@fb zVv?JgpVUHWdldk>U?d3h5L}%Lfo7{wqv2*ClOfz=j$n~MNl{A%&xleVf&&C)7=l$E zli%FJXjmZ#!We zM_l!071nY;>oH>#9@3np&yEJ31<|J%(+BCXp!eztPr1VJ_ol9x9AaS}3DHM!l2^ ztlVj5AG1fCeKDL(CWU*WvDUP+gzz6@*jxg(7zxHz?AMT6<)Hv^C60P4 z#3O-`hM{R@3>UE)U8+#Uj;XWEg(8*6PX<1aP#!gu`>l=k#*V~GN#{5TaKt;Di}mlh z(;a<&(Vl$KzTMjx4el|D^nAhLW@OFL$PP2%x~16>UdnHODc#xPGq zb$edlV)U6gi|;U>83hhEoS)%Gc(TDs-gwH@UeDBxo7D%Izc|#FSh{s4$z(c#o*`MbowqcXs^XqhGrg_t|7he@4RlqMrDM)rq`L|AK}kb_@ut<8|p~Q zcW+taC!A`r=2e`t_FS8OpAvSbDVWCgHdvA2&LA?N_e3)Vn}(h10e1AF|FX##%z2#bs|A>Uo(R?&8~7 z0CV zF`-n3-95g@6Fm%c0ePhWM+5vA!KV|~n~_^PG4XHcn4H zBnUp&$OZ|9pIe8k901R)ll_m|qiknTAHz>y=erNuXN~L%4vGj~T}SpRU{D?YAkin5 zITB!y#X50`T?l9c9w5^`@sICcFCeiC4LQE6y2Aka_qeICCGBW0?M$XFoH~%dm(Dor zO(14bYq-{C7befF(YKB6Q33R=L00PN0@uCo{~lSdAuDbuXH`BA#0|;6!*B5Dm9OiS zb3yb1FD$o{ZU7ib^5FPm#vD&kV3j;|rPuua>p6bK>^7%6TFBc(>ZJB_ zi$~xl?POQDOOR3cR&Q_G(QENYs7>U1(&!c2t{`3?2_YhI5G^fiWZHr{`h!*hZIe+$ zrtf4hlE2H)k*~g|4y?IAkxjd3W^Wn8M0XX>JDsH$Z1HTMYs(e@Q)JcEx-yUP;IOlg z@BT;AKJzzNO_aUFeaAgddk484UBXZf@|MwhW=(Yb01vQOyIz_^mSRh47YDu?R=55X zYrV*coSm!@2*>=QyFcj|w1(!*ljzn|at_q|!c zJ-CO7k!Q~h>KeVzNk)ki>%QPGycWF_twh1qZ$~Q!%YCgEH^rxvdcM6fQeKPpM&--y z*;ZKo{Pz9rC*gpUeA@xK!TBJ+GFyK1`Y85rl;CK2a*?y*3*U%nK5llT%=LdA9qP6N z>PBQQ6{0&Uf9WQSk|@IdqP&^X^0xeBov7V>EQwU~7t|CPyP^p7K1Ez#DimiDE3eqezX z^8Cm*>4xob@mge7MTn@&!8_oD!aXOCCxfwHBK)i#XU|dYUW~%s^aDxTQu6L+nm3aI z0^+hF8NXree)Q7d=W7)GMe%F_&E;+13=Ghn9CfS})L^a!WwPYXAr@K@Iq=H}sT`$!?pXfqxw$9p7b_Jj+}Ej^AIhrF7qnIuQE=5-jlyd4SN))-Tae9W?|x$YS%m zZl;PQp~^WY@1#7%oC97eMS{f;&AMpIwri~oUJ${XYiR0wvSRI7k%8KiSfg`c&{gLT zcS!1~XYINS63-f~vu7GDX6rmfS`$U55lQn!A+6C|;I?xt^?O7GwLCw{?*8rPXL^Gc z$w_X)I-12i(`*7g8?dm=mlt29%rnwBm5o~{<}y~O zjkmsf1!~&-JAWoMy|hV9=RbSt2MW*s?$mUXcm9Xy_4~XN`76}){15S4tu_7AC3)AI z{BO-48@z`*-~A@vhrmC?Z-4Lm5Lmy-zy0~}v3tn$x$nKl)c9-L-<#$Gi`^=K&B}~d ziIKBTTI}duGl^zvaDZr{wFy1xo~)yDz@sAx+XJ=}=$~T5iEFKJv3RW&?r+VbeWV$; zvIS1>%wFM3$nG>*G}))vyoA@Wn_zA1aVrhC_?3Uiiv8Y${mFmhMY0&#CG-TmU6I`@T`-?x(LR7r?tH$&lU5>cD6`#UHf4Ap2%AhN!wEM=hzYo!^z(_pUo-|W^5{FXpJ*9A3_zC3_Dr3qu2x$b4!Cj z?od~)P#Msu9s*TTJL3@VCFv!mm)O~kAQooOinl>IoG?1cIZG<}%J292jz2qW4jvXB zyvZk(KWAh*wHpe;MzW?H&m6@3BXbnDs9884Jms)~mW=?+nyJ@?c{8*D2Fbh~o6eGx zHFfqm5#i`yR(ZKd-S2Gs=h`i?U|qM`>H6@r${&Hy1uXUbRXJ_U7h6RRKq^YBefJ|n z@fza#bTU;*md!QZXqkz>0dmQ=w!~&|Kh_6DPsu`R0$~jrQe!8ybyfRm!h~}>1P#E> zW)!qTZRCpwv%SgQG)MwAhFu@gUeOLJa!q=j#28~Yr`0?Q>^?Uz4=7}PZp{N>B;~h% z`L^Cn`i5L}Kqw}om?~`@P}!`=oIBESWTS*w_>+Bf4mT^6H3;&@%pL5s&y*U374kWt zD7W==Xc{qM%-B+MwEl@K$STF6&ZOT|a*HzzylCc%F5r$0D>qZY*`p+fLOJWlkB0;P*<$`+%e{D0ImPpGJ}dN46N3&MR<{qr4CQ{B zV9UyH6`9V&&7&7mYIM4_zaC`Z`9WJPnn8Ou+z6T=q%|3@(=+IYA{(<*B`31x8sSM@ z--Q*Q&BB;3tomR3sr{6WX}D*j z_#AuIVax;U1*8H}xmyxaw6lba(L(0%iETqjHXB&I29*FIdR792X8=vOmkk-c^JBj+nTo`?0z&%g^_Dqgx@Vl4 zT5<-r+pcqwmhcop*>RQI;SvNbg|=}Km_&?%5w<0W3*?D*gpF%~!%o%7Txg4>>Z?&? z%p|cOE-{`+YlqY$E5b23ijl!<0Gv+h~WXb<%A`5$p-l8kkT4LOd@QVu}a<&#uG z{&Ucd@)K5kEpwLs9GZ$V7 zcS<)@pFVcqSgmI;YA%EpI#99=)WFD<%ym^hrkX~{c54zZj=&La6 zfkIFkiG6xr{==j6(0{lHT0Nd40T!?o!+_YP{%#@HBrQosyXY zLd@0~jChO7f%!Nx!wvMvfwE;J-R@b3)CUyhEPpFuix8ZuBqrBllwO8W)==Oo*KTYb zLyM0VIEuL`?8!!RVyu(o3EEZQxOsa_bW-~Dq8aZ%ETybIv#_KQ-JaM$U9gHXOVUSR(qy-h?`l#B2=Dsg-bt;@X#e zF48DZWeqw2H`y?{3{na0OXF1w&WT|L3o(~F3b^#n%Of9uiSCfM20+|99LJYQki-`m zb!<79|p2vcI+~Mh{#ro=3Jr_l3RHmUy?ojZsBF{3qw zBF1d#fPf!6!BK&T)R-72gU;A!z^W%&bgQ_)+=8GCBd+$dG>f(cPMo6^AzL`aX(;}W#On;5z7Pp5I z=075J-6cdC^T!-(qYcSXh{Mesa5DcbNqd*ywGOdLLn$4p4^FJ{<=m8#8p zam;k3oJfuQeROS_(0ztSg9g0jE1E>deH2y4DY>>KUwcm&V<8Krtg$s?)xa!l3fhBf%4Ti&mG$72%fn}fN8Mj4x~1Q6j|RmHvRNqZ zEGpHQ%Rc*{c|c7s;|$iF)H;|$$2;90o7|7ad~%3|Ku5O@OX~>Q+E-&wVU%N%J3PxqIlihNOpnUcZtRK-;XJ=tdf+YAkw> zkn%NPGnfQbj%BBB`m*!-rO7WH%HHag=X;k5`wE(9 z)X=7umIt&@bT^Q5lf!*@ju)b7B#rs>#i!dJjfOtlyZS~XjxJ93+Ly%q!E1z{s^@~M z2Uz+Saw6gn;wr|%tuS;S#%xNV&e%}G5 zoaIMOB+?i2Q=+==&J8-@^b4QvIAXF*b~Oy{Zbb^0m{xg9A`kV4?-WaeD1zq>NdOhT z0KR+mV8wTnQ2_U2)mdk6l0-LWCo2z#lLMuXJnvUuYZq38zMw~YKpIUl+Xq5TROiW% zeUuXzWQOdpm4zR@CeZL@qJo~%K9OE+%M?16-RN;r_wV#f1e&}9db%~HCHp|2670`{(aBJ|Wd)IjsG{UVL9n!TLq_m*3bewls6Ai)Ins;%Fn|fotm4sCjx$v7$^Ma zoGtxsV)Pfade)zi{th?tDD24s9pofP_e3%p;lV(9ls<&-s=Fcr3Okc(S!RA39$22b z?g6u$E;7wB{C9^GtR>F6=uY-3qx^JnV|4uP@9!nZf4U2;7DLv2Ys=!)oP>yeH+n!! z(DM9bUiXFORL|diD=yU|jy|$k`^#amJ;w;O^Iz=$bd^r_GyL~fy%FP8k+|h4` z%Y|gLoh2%;7EDe(@POR9ZvWjsh{5Q?rV#Uw9e%M+QuG4_nlVHyS8F^LqG(-SLTqh2 z{iv3Z8aE<5c#u~QB$FGnLy5|HlW&f)CeIm%w(JfM?h)13HfYXOgrNirxum&JlqXxt zpc#XEP5cEQnvoP!EkiWqa!rxI?|LFdYN{LNXlBj_s4GQ%f6+@RMW@Q=UefM38s zoj&0k6Jgwk;(2n4T1$_h!;b8PSvg@H2Qj3Cz~*50OGWNAO~hC@T8!lKi1PHX;GATn z#CdjdgGECof`!ey5_xF+qw3o~|J=>Q46=Sl#-?1_*63PV3VmizTu7}~JC|5JF}Yha z{vcZ@&nyD%4Upu6Aq`y`<+bC8f!H>%g7cPxSiX*O&8_BnzFGE zAox-mPC=e(sr2`#YDEYR3JEPd9^?lwf~+-$-Ot!SSr>sk2Ts; z#g;8fq}Q;7Jc!IC@4g<|i>BCZwcYHsOi6+Fm2lOa8{e7f#kbM4r_0Vn#S0JC>RH6x zH_>|HxcQtTtzS8gxz(1L1b|SA5WftjW-{32URT`w8`5^>E$_yYxfzAt*(tfHaM-EE ze)C|l?j)47^~CdN*WA?%62N$z3rIDwN#hT+djfl-p)C{Gc4@h3ibSZiJ+q?Lhx|`X zYwY=Fi$%YWJ^y`T`=5r5|7~K?|Fq)tzld1ATeb@B)LPLWC{DFgfULaZu6+70ZL-ss zKRu)=?&+z2^S3%c(^J3j@u6ijqu&?5KKecJYxsSs==Zp1IDUE5Iv!a_E)2GiIo7aA z#*!Re5gHr)z-cmLYno)6e|YTPA8ljQQf#@EUYips+I$|{Kz0EvXxU|r6x)xpLcHie zH&pW z2S&=J*B>$iza&SBr8c2+Mi&8E7_@w6!yP4Uy-D&p6T?dEn@L32;0%l5oQjfY6|TQE zX!L}bO;xEVeP1ERDbYdxFik{KbDPdJsVS9k?A3a9rO?WaJ)Z32ZTHtzHF4y+>xep* z240$`=8nMwFPp}Ym90v@!m#i7S>vGa6x2|9=9%Jz$VXxE7mMve6h^I#-50y-Xl8ao zG*ZaGt1@AZ7)ywA7+z{A6*)81I%nZNCDFS?JJ=)(3*=&XeU(=3rb26{=QyTSR3N6eTNI27f)k%mA{4<40oTQ+1V0$Br!0nPN>Gq7!(ZloKlfJ@I*RPuw&w| zvyrpHJicIdP1Rs~tRT68vEdQVdd2g%m^kseVHVq{eJx)%h)o?>Swr#CAdx4-orVst)ZhTEPA&IV6x z6j#|i4{c;O3+o&O@3-s1`0;0UwDx>Ed_s`B7T(DUL3SogubvHtqHHbenhz373a*=v zruCift5-fDPRKnyv-rv2RZ2IY&et8Z?ud@#@5phxz$%> zaaa`J&I*e})|xGfW4mK@_tmM56>p8xsvgQ(#$RzOQ^B5V=NoIA1<#D3U0G%GnQflw zWcNAO{ld4x3!(Aj?nH37_rBsMH{bGypSZ!ayXbGbb?=!0g>Dapv-&+p{{5$~o=>)& z4YvnL^OM`uL)no1s~_ll*Zul$`QgrAoiy_4S!cmVrriMG@#=xb~tSwyHn?ZA)M$!B3C3kaQ#guo96V90ld@PxTa%BG6V762k2 zq_%PY14gnaX`baQ^3r)65{mAE zFdK`1VS6@UH(1bmeu`Z{BT&I*7X;fa%!bCo`JHD74!!-4|AGws=zjLhlc8#+Yuu{I zz!`oCdg`>-ijeds_YZp2F0{^5K+no|9Cys})K+8csJJE<&k~<3s-)gH5_;>!xDU2w zC{ea*6`KH5;;u?EcEqb$A}%^JYb<(mHSH%-^~nysovar>RNv420?IoMuR>DQPUoX* zA4p(Sfl}PpuRIfpJ|!Aq6xm436W<-o<*i6E&d&LsseD#x1zQ=ONG#BgHri!)$>~st zXU&A3C765~Hutg>SQ~9iFfSGZ@VPC#EDRE9lJpP2;S3(RB+u0OtIZ8EzVbibm$v|^ zlI;xO%z?By5Hbe2K|Qj@7PZgNy46q%0;5oBff3*HMWKdo1HGnkLGq-wsXbW1UW}H^ z2hd)Z70p6nYX&BQHzN=YHz&x(d0Dpp=ucX2Qfk9XJU6nNVnyWa}ak6(&W2gHk#>&Tc<| zD)gC(93mG4XhS0V6lPi>@u}ZI2J?{Okap5u>)y2nqN8z zx=S@Ie0T*W!|^%xT#66sZNZ7cZ7n7?1AJJqjSO4m#Iq2uo&lFNrhnV$qCh?)8_6Ef!s-z6n z?pttd{61x>?6{Bc%ED~OC%l@W>o*jU0G@8N?LBsu-^%*;E6=@uKuif_msGMJHKNwA zZp_h;35`b7w!;>UK2A_)ti|%~=l107i-F;b;lz?8X~w!?84*#_9JeJ3 z{Ml7Wn+;gCc)pnzeOXkG;lw)vC-E4!>k$`3=;wk=D9D;_>l$ar79Y>2SS(P?Fma3! zl>;~VpbrQq2}jOm9c>Jl~QvodQ!DBaA%*G3^O%Gbc|en|5e%X zB|VEZOss_J_!YYRn3d&Wy3D2AT1Eo1Bz03~^)kczEm}t9TA;&A#w&?6K2VTZUtHjT zK~$#ZSYkUK-Zp0VPmgY)?}!!EwI)Jhxuq@aM|hXvkN}&x0NJFYcpXLAWt$0WMg=nB z(p`eBB4O4PM$>9yD%bYS+KysU>dkg{;6~6(ES6G9S6w^UK$E@H6s-l#$SuNG*NK4*#GAPN(phzfLxzFIvTx8-?T7>mi!i}}shlVxs77xJcp?KZP7)$W6z&}h z-1T0{e9nBh;d*w{Ryybq?8Ct-Qr??dh*$LfBBM=Pny;LX+R@v?`=45VSrn>MPo5F& zj;Q>hIybyR9WQjklI6D7hCewl+qqK|3pawtn@@E9pme_W#M2503=_dq(?I{e42oufy-`cTyVXXKq9X)s$8^6}4DqwXKk_d#KglK>NC0BXLENw|U z^L@`#fzWpb>c&#x-d8O}zQ@3!-=*z~n=iN|PV)-%#~ozjV=Fs%O}F-i<2p}c?D>*b zAH(n8xb`xi=bS<*4l3Uth4XxEg{RyTYhybnKV7%>&JHdE&L^W7r6o22D+BY>fA15W zhYLyg$3hOw`r(Vt@AfAD;h`0Ax>vZcyh)gI+Qk#xHFbT|UQ8$F1}@eUs+V;xicM<(+h^fIZA13)sh^n3c8@w1jGFTiH zWx2T`9(a^8Z5#;Gw-(*`Z--wJU)Lm7YixO`Tl%eNrK9=zfoSE?`RN6qJ4O!&_B=n? z@0`dm=&ga>|9Eb0_m@Gwz1^3Kx1yE9cQju-j6?7C?eORS<-4!Wk?*dlqjxlzzCLR24jP~c^Stx@ ztKD9HZYtc}Nv{5)faAWvUHS|G!_z4PHbOi+9j-?l6J?IBh>}b7xbtpnMVwe0m6ut@ z2PluPtf37}r>^af#<>nOzsWooGwz%F_@rL4Z*KQMAs39IRu?A))tQaInO=4i`S#@P z)gr~YsZ2ztXkV*Z8f?L3|2jEd#;PNigb`DJhSOh!=REuUzmR*THXd^fAaYe_jI-*(1LO})Q^d1RKD5$ zqwOv~{O^Z%6bCMd-36QIPjB>c&B+1%Zc}7DOJ{wq!`%TPzHf=7M)2vqdvdtiH>cJ8 z1P`hfK;NLnc*hxXf? z|4zvEWaW_)7YRAsb<1ZkqgIo>06xEEX+-6h1!l!aYDr-#ye%|7j%aNC+Mt(rqV#3{ zA@s1>WtK(=UfFm)us3sXT(dcQ7vpqb>{(6;HG^qCWJU!-LsrX94Z zg1ft0p@-kruo^hfR70|~qlW~h#5OfRgP>V*dZ8K6$s$iz!xAu^>ZJVHasgrT$|KpR zj_O$Rxcz&}Bay-j#HFGutv{xP9PDZN@Qciqi1DjdreSk$<&gs_kv8BxYF&uJ&wi(` zN${(HCxL}DokPYZF5ORlgo)|wl!!ZiJ_S#cM>@1TfJ-hHQ48cCd$2#GTydW?ilb4Cs-%_gHFe)+Bmgn+%c*)MTq=8+)*@Xzvq0>$5sO=c)sH zjxQI&kainZt-VS;>EsxQ4uA87EiV+T)7@J>{vj&VE)kPG?OHp534^PKR<^l=SkT|- zxE6L2PQPnZn+~{b5VMSfrNDSt3$BN-ACCRCY>5r~GAUeiSkn-Vq%R6E+C_^CN{6WT zc_|@|QgKC)DVCX|fcbcNSL0>zylAXo)4#US+WkkxqPEuFzkNykWZkIVefU>bi;1Z3 z^^F-{)?77sqN}zH4HRAvvjm?RZw-B1bSSk}W{KEXzB}jj0oYk5^S08Gp7lxBer1xC zL}rwc;RvNzh#m|Y>=@RDNM9aH(=|d6`^jluC%hG@GeOkM606wK08Kp*Y6rbGPRNnR zvh0PhYenMWqJ5nFjs^fdnuWmQOZY}*(`I4t3sStQ$++)z)+BI6gLf;~sZ1{m( z_rD8?_eSrQw46m&l?!C!944-`u!)Ny}{P!#< zwDH6DG5n@|#P^IJetzmdkRRVY{fQZ*SM#jr53;ldtfKYsO%~*A(<3lE|3Mh^(w_h8 zpNx#5H;O>ke6Jr_l12Q-&RYcfhs|H=yQq0SX<6)puoeIfwQ^tlX*MYDdgOoj7k}!n zv6+1Dr$3v}-no8xDUmo0w4at!5}dPkdboA zK(Z?e`m$F0a5|GjW9Gue;#hiCe#p%hE<>_WLeidtO7;sW8;V((uENg83wvhMjG-+~ggqT*4KwRA$yDGr)AuZ{?aZ8480?mueLi*t(O7!! zVAM^m-y8BQVuVgUtr4{wW`9)7CDDR;aqxIz;U6dypDmj^<`?W4CA{PYsoq1Tc0pHQ zbsB`-*x0G}eYj^wN7QvQh!!-KiAn+fcGFo_l6R$x=$D*KV?kxU#nvlXNUc!E5@JY$ zk<3Ib^_9Q<{voF$^5gey_|E;J61CD~*2k(vY1d7ZNr5aKE2d#5jLKGHL*f^>h~m*R zPx@q43AA9y%Qnf!x+Lf^)xvc+8$n2)Ol4Han3BYjxgmj5^)2>-PK!p8WG5MtudAL# zECsGAlQ3Fw2wo?deDmkt=Sb2c2knN%mO8JcmO;7WC&sRhk}pc%QWNNy*&lnRr-)=4 zM0nZmV!G@LYeztzF@)o(TywpMsGme@(d|=CCAUAw9Gc@=5mHlUr|(1zz}j>`+4&>s znIHoKXh@uY=WiU9fq(yPh|vnU_rENnOrC*Cj)smLk$xg$wIPBRD46RKh_ zIh)Y!X5z4tpG}OoP`t$6R)__Q(<#MNOVIEgid`aw#PQA+`{hF4SaLQ94kYQa?^Ms$ zo3pC1;)Gs`k+8cE=C02s!l`qk-P~f9oReco7i{Zb&C=gl72izn;)oTn8o10ODZ-X7h9sG9SqFxobbE{6n_AE{0V@To}0%rr3;1JV5 zZ-{1&bAoi9ff00TGWIR(ArjzwDOU%~2cFf+j5=M$Glez|inZuh!yDs^Ker_gTUH`` z&t%Haa2<_kqK30;XOSC#!V8f{L~Ckfp&gr^wD>!;A;)*>0T!y6~y%aD3|aatz3Lg;ud1ljulw*&Yq9ww}2N7kXi&=i;#B4Rxqd; z3EDq;t^Om+Odhf6^B>64=rB;jVy*JT_ME@jyBNkQNmbyI7b4U#PqBh%v9!0lQy%2)$~*M>>Z=Tq>4V9FHP zL`zg{^_4H|6BdahL4(WPyeeOL!qHC02EtECJEp?ui=H#gb!PgSBjGv=T|N?f_<&Ly zZdK8w;por0c^U1k>8NFsAZuW>Sm#-Qu-r5 zaf@+;02%6WKJLph52$J_`o1;h`)Q)uc93oCzy+S#P>8b`sGW?tgPJgE;jez+&l$DS zIMwGV?5fSd8ai}4PG<={nLfRW1*;v1hz`e?VKgu>NkpQ@4=RBEKfjrvko8dp;aM|b zV{43ckM{5&9&PAG$ogV$LbD<Yli$lZfj8SV;wie!DTGi_<2M}3 zdt8*x)$_qcKYxh1Y=18%5nc22!brS?71EPCW zYi`fcCsA7L;=uV({pN~XcAp(7pB6WQ!ZWydFVw2{51jUyeoKdwT^}eqO@G;`pYW?U z$ZPipH-d+KP6Lq5b+-8MTuZc_OmJI`F6v$>`UBEudLtZch7d65CTkefqgAtN-J~$5 znm#D@m^?z9W}h>*HjKDs?4nC zZgGSIA!^yKH&g%dD>bnzs~!BwsClwxEEAMimMpO7B&$l`rfCvZ_heu zKKo2v8{=-mP2QpP@5yMwaxRKsg)!94-- zL+;;FV=MCOfBmLE`BeZ>6L@$zSm*37vHMZKvUytAo1f@BFwpOOZKJyUG!CWzw(<_6=)$Vj+wwB9`pY3~@p1T96(Q=6&R*M-VQXLO-2|Rq{V4nfmF7h6o5@?~Fpupe z$@|dC^673k&B5>?Hjt0(el&ntsn%p0-F+UPdyq@Gm;F!i3mA{$$OIn4l6bFzR8bgFv)ChS5X3_mb_Y2L!aN%q8{ErjixDEX3)Hc%v>q zve(ZqkBr(74^6U+vI(1_I4_9CG-6>>C-_4cKLhRv=BeG(ikzRU!?1c(BXl*QigZQj zTf`H~U)~Olf`eh7Fc~e0t6L#VB=NUbYSaswhIvpgWfxP6Tx$82Qdq=BtpneAU-{|u zvapN?L?->+&lV8VHttHfyDy?t<;gF7nmchKkq=PrWb$7?(bb^E9W^wJT_!4REcs}a z?Q+4rgwv*nr-PySPb{2|GN`T;PCjy#GV?nZ$5-g+Zy{*z=U^#hn>1F*xj~c`xC??r z@;%Y^9_?YulTd4v){3rP5-*bCf3@wu{xdnG_KzSb^%ugaIUFVEF%FjL70CHk{r-d6 zMb`0=wXQqy^2iung9)8xjQSS82Ym)!kX$_`DO4c=A(|X-~C0}ylUEi z{SSfQ@KOR$l^kvW+dy*qn6H3#r8T;eT4uqk|J_zP7#WmvKDV?@+f%$PiSj_KagiV^ z)ZpHXW-REnKgNZWgUXUT62Ee`!sT(D7jUgYI6`dL_~UlqD43wwRtJ}m?in>T!c z4PcICuy(wyrim$7FBsmJUDFT|1Bh<8cw0oHHqV%Efsxsd(jDN({9~{nprPu*a}XGd zLVB0DSRh1UkH7uVFWyYhH?zpaeuSP@Xj~itM~cRItp{Hc-da|*93Q6%dQ#|VZ)=boEcL;Q6j8hci)`~4Sz{bQ>pW+F5 zC@EyjQ3@vY9EmJJ6n6!mwih3hkb{Z^v z$T5qC$oPp<{RCFB%tna;IX0J^g)q|o{zil%O{vDUEDpC4sBm)$%STp%ly@6jmylIw zvX%)nZt?O!#-W8)OMK9Cu#H4R$Rp8OAHD)IwDKWY0n~O^D9ol321d z5-S!ceJfT%%>*={MsCUJngK#oPeloVoT_g=aeOw3JgYZGZDxAsL-0@qLYpUOmf1MJ zhp9^mLfRf!WlebhEds6F@d@LgOBz^lm3s28Mi5-qK01dJ6!gWCH+k z*00b{=|B0IN zYu9gu*xj9%PH-ZOfF7K5!KBby-O1885(#H%$tY7Tp3rX0o>A3+_b3CO$Bl z<{9akycykLm7fWE`2qWYuBnRxBExdApACH1UOV#)H$y>kL{P8TiD1XpNyteMV~H8I z<=bC)4X>8Kn|hvvCpL|e9jd=zHr55qEA#zlogr%oWYktKv)$S(kZW-ISJzy)l~_$; zoY~OlVO?-O>Zdiwd(7goGs#>8d$Oej}FpNUWc)|pQ z1&<>v5x!%+sD(*^4b7kuybura~u0B*8^ZUB9h@CQ#I?+}ihrjTv zVi=HJ3Veq{ruv0DP!mq`Xx(D>OKC*J#`26-XNl2Z#6`zm`gS5_*PYr9l4(6NUk}{s zY%?=j%n~FPu5)z`?f@6CRtUPLnCgn(sr*Rga&anaeqLsSF7l7Dr$u0{xR0A)%8{ao z*Cb?f#8IV#>`|-8FT*y6Vx6grd3eB{pxF~73O~R}XBKC%3|sxf%9p~Zt2j%qxLxc= z5zbj-XE}t+dZ_3mv>3NW7Km8v;>w)cgRgQHw(4%x9v=xNGN%@+Yi_o9S<2hZxhyY} z4u|pBC*L&uE52|z5~4m}8i}vb1w7K@PBD+jwBvhLHx27fgXY;ZC23?Z7SC5U^p@7? zrKaKWr%V{A_ma&42A&Y|jo5*H&oDj|)EAaH*RVx*_OdO1=P`*FM-*AgP+np-00U!c zL;7)&j092RV9W9AY|(jrzNqs8SamM>H1?c25z2<8x9-`1Io8Km+qBvcBZnD{9jUJk zt``AkBGd^*0cH{Y7TuKVgpC+!rb!^!!Fu7F$F}N};R%^Hq0GjxZ=%y8pWOEnbsYIL zF-2pRN?^qiTX7+>AajQBDNhWG&9^jz%NW9J$c!E}@l?9~`SK!-+5vqwc6^1@j7udg2kRXRI0!g*iAr zyVI8*y1YWl&_Ju^hSYU2sDqhgkx#&jHMZCX%K;_|;R)Y=$?d2mUr$0?$G7F8NPK#i zy(7)koH_$g3o@()P<$HsEeaT#7gJ(}9HleT9X88WK6OyT#kzZPL+gx@x&>I^tHg4H ze5Q|9tK^ymjI6u9mAul-?I4tN23?}-9g~3-#+g|kcY3&HSR9B@$W?k)cco}}VlK-T zpZ=NmMzPcSC5K4M+UC57LqS@Y^T*OP1d=%vQgUxvRU~?c!ziDdk7aQIW%7fL@RkXG zJVh^q747pEaKpfpw!AJEQ%iZBG`5a}cx;?+ab8i&8;KZCv(;en z&1}7usU?-wTPkeZS@6y4TZUlRvmzVJ4O+u0lxyy*5BbFBojIZCYg|3;eU#9LMcm)Y zjD@~AVdycAzYSTwkEWI8!9B_JyiTx(u#QpV=N&DKdtP*f-E#N1IaG^4KWj-X5panS zPS(oSZ~kSTmLInQ-=Ndb1RbhhRS;boU31>5FfZisVkI^JdG!%QYIANcNm6b#cZ?VF z5DBACtCd-eoJPd;&~s##5_P7Pr0PglgHi`k0;Nn^^@Yg(VppZ=F_xwJBZoDP)7gbo zWurxV;zp`#|(;N8r@(82V!0j-PXgvjx9A>sD8MBdD6)g8iagan3|B z6CJhl6RrC`=e#~V6=Xu;t1%}s(Do?-Os0)pw%S&4>lC zIBj1aLAl{J=$=SJ9T79ic+3;JTKGm}2sIZn%V@QXGn^c?<47Wm#VxH@wwxl0Ky$g% zUS{Rz#w3PJd-=y;eTzh}Xp9>WPcK>pXlBX7>WQu&3nU zZFQG9!f=OXyH)>I_>!~i)av!puNMi=MGYi~x07YIYN;5oI3X?TG#eRXk!X@>{{gql z;ZohR?qWhnZsGR&O98Aia~5uA$(5G4a&EfCQB_C^&rkP%vOa#<-S|LKPZs+=7IeRr z$bXieb;GY0tMl2vih_@<%*Jnh{_tu^%^wV2NN%hj4a9o2lpbaKG=PEp?e$5q&uOgq zpK`>*os0gbeetxAXKSUXwteU=;SP2NkZlgG%U>4d+dfpWl+#Hg;&##zPHpj4INMc2 zDRVjVX>|?eIq*l0EL}oiiBWGIcMC?lg>xU+n=YIUx_^+=0_S@30?A#SZqQwJ=x8+;G<#tNg`i!5+6BI#|jrsy8$B-HmfWe=Gb*eP?y>=&?&qxc|s>ZQm3^+2FH# z--Aa22W368{a_`iywRFGT;JtRBjD6pg{Mj0R+I4#v;<;KRdQbWJwd54`mD+&zNzF(1 zx5jU$f8=f-TN(V|(4XJ$V3e>PC^_(~Kv1@8dSP#f@bl42ZAie^wC%xrM(!8Q@-sK7Vwn?kv`RaK~#@V_71HKobH)J_g($y zVWBhlg+OyTihwjFIe+uSAP&IlF9ZOcZKs?)FNmRIE|k+cy`Xxz6G;YXFz(dRNcy}{ zy1sqsZ=8JO7cTAThZ{b+_~i0uN=G3C4}7NI&8jOS-PX6G7e=%T!}vp^97qmXC6}XTCax>aB0Buj0uv|P3`eb#wb3ZI>zQKzvdA<+ zMd8n%CI4yR0ia(O7#x0tub3Tyv&@Lyz8r0y1p+ti|>O^>ioJ-iH3@(F0!JAsl z`51%?TK3(+)Wk4hfKNc^*zY_yE_s4gp1d^ryx6^5Ky`TU=1~4k+}a~sMeKeJ{AXqJ zIb2oosA@rU`;1-BxO$Jx@$A3A;c?Masl~BTlyp`!zlVmZ!+4aE0~1zO!Y;10JCpWO+ znbYE0O1^EP_lXeh>eCYrZP_H&oKHrpvQMO=wx)H&wzS;!DsscFR`J2S++2;#R5{T? zPG+6a*Q0F2RZni2$d*R#v?EGA**i(IaiXQUS0iknQN@kgUpa0bm@S+a+0|%SoJeJK z6PE0t73CVC?}gIT9OJD=V=;KP@9f|1Gv^HaLDHO#FlP|EQ&RS!th@URJeUit976n3 z6I196f6jXw102jrnG2_FM6eIv45e^mNr zu-loQBS*)=&3bl;t4a)T@zfsO%E7fvozicn%CWRG)sTbdnA=&@GLITd!r36+890kN zft^jvCPfTeVt$3s@M$(&n=WeE+%H*hhVg86ghDPIMwxl!bQ*4LU4Nfc{=}kgUSU{T zeng^pWMUM7HYVu!ew;Er$_E5Y*|VhIaLzgOP%)Ge9-(PWg;nLC;2bVcKBSdwDS*Ti zv{ULiV~KV%p|LhN&(5ei-)7K|tiApMsLZ8(j@zS@uy1tOD&OL0Y-ei=oVjNux>MZl zy*>C^1M%TJwi0)P`ut;+fn^~F6E6BpXQ@CK^TCWbwwp<6CpL}U=1x2l;o4Xzgt~xn z$Vpkqk$o?GOz%R7jv8e4Q)8e-SW$V671t7+J+@93;!LeXQ_iZYm(|-WFQQM;5_rz7 z=!H2q^6Y-Zu#qvIz5UR$Y_tq_Pzr01iCdz}+ABoqHlar3>@ztLjW z;+xqd?xcyHrwToQ$MzLYejKaV5nc+b(RivZT-zsE9E6Qrh?jaR{9w2h4Ek&D#-RIt zZ0D{wTJL-z{ITM$H>Q8!FAyx3N^kt^Z(Y^XgZtJ!1r!|d^$ceBTP z$721*-((YD@+Q9qkG*$N*JernzrD$SO-X+3-sR|nIyD8Iq5?HZ4W5%f{c|%d5_S{I7Y?*?#zO=g`JY@k&W0J0vn~ zYbdcMs+qCBR$_N8^0nXrM^>C8p~_E5)fS!Q6`6rVVpX}wmZd9_!s~(M+&@5kSq!b! z(4`Njv!PCCQ)&-Bj_fLgz?F5LV>c2Dxle31q~A%dC&FIcUOAGsMsuSV0G{B>vwySB z(MZlK#FblNb#1QIitdzu@1}2!5{;R+U$NM^4z_yMJ|G0?-m+e?ywH}URJ)dMjQJu_ z$iYyeWRX9oH&0_6Ctj0`65NX&u3Bp_c(BLBN`{Y15P*1Udtl?_nc6sx#@vn%F(`b_7_jTkId1vy#1iOsZft7%a99f##5D^3yd*mJWFe$J(afkXNBx^cAq46lnavy{V-TVs));Fe%BLUa1@QCv)wU=%@6){nw1LCac$g7*g-`K`%< z&3=>H?Jn9~Gh#;<53X=RO!mRw+A=6vZ`FeNFtDP$Q^T0o8nYI0^6TRBWMSBPtY~r8 z5^5;ngyhmVMd<0YU~~`<3jKn-xGhXyjIse`Ym4T{<211BMalhP2jwxG0RkIy%Giiz zl6iZLEp63oY#={poS8|-63ITcXP?^nFF*0#x??2lskv=JTe1Yc^b%#cHGC}+Pl%e6 z4BQBkbL2H@F_KZ2tHR@W$AV^IzL6Ja5#J!tiZVWQplhw7ooVDM^D3}nXOcdC+d=#|WGK+}D4gJ;sAbI$DGPRBo#riCdXO6L&tASsG+k!#%F zbQ&a_IwFhM5%sxR>3YUhGh~#$2NMgbs5_Z`4Bd~12{7CA&ldqMO|w~ZYYP>BuKUdg zqfn>|f#3dcIvZ4|Wy=}U&X{p+z#SGNPQL(!xiccDEu?9RVwC-O9@E?2IrkwhxKgJc zrewhRJK5u*Ka}<%qsr&HsYtD8(NBQGqt}XLy^Cdk(Kl&EF&$R2?f9g zm7*mz4?)TJ0Vig$;QF2=L~L?AO%6iIK-D;+42*p^A_|D;7I(Jjr+}nZ4i%RRyjyaD zkbb8iS=kqV+SWmdNJSjs)gt?4WfKz&T3BJJofUjroUBb;WEO6cB-kG&L9I>$gGCA9 zQwYdo#*%_VBoa2>XrI>LwK=uK0ZcRjES`K$MFUPGYHZ(1`x6dy6-Y4ooUVioMCJZ46LxWZ07B#jL9`ucFyxGRBt&0L}$dC zy#`Ka2)Z>|P=;94SD6uSF%Z8-s9HlEv5f67bZ>raOS~?;g03E`VGZLQsTkhV5w;o) zi-Q?!20Ww@078iiFqey}=?r!l+-ChzJ-ZtM(2wjA!)EZ%M7BYvW281#gJ2`>9FKPn zhYMCKM5c;Wtr3$sTN4b6VO;DLFUdXcy!4y#NRQWu!OpTFlO!_Y(B|2mWeg|QlJ6Tn z*Wrx_-Uif2RWWU~b>6i|vArzCSrBkS|JVedU~7`x@P4rFIF%){gdZd*4x(~7CM zydJ4LANf6M`U1)}E|7_O7OT%y*~rXz&Dr4uPl-q>X;nDPc+TsK)SN>1tn!r+Y3z`V z9Mi7K&-u(L8Cv8?R?H+zTY3urpDt%4UAto3m$3M* z`LNn+wauWLniV#U(J%`)V-@Kz+eb_(RxAFT6e@2g zR5}lK3o7FB(N}VcUBhMqjr*L(()4yXr$;I27c)PKvd|Wd6=HU3Nh0fwTFvb4{7=uM zs{t);2-jDqWqWFq&!rwhk#NQ57UTFXWI!vBGzZ8la{|js;r-CUAhl7y2dE;o`Q}lW%jbv~-r3XZkKZ9dys5!Z&wc#~K zN+x!^5mIxF7F}#GBUpe>gphPZ-Wh3ue*1-U?i!#{n4ZPfiCiYet!NQ}d21p|vc;=& zhOy*_u?=fSXCRjpuaCa+v+wzwWe7Z!6QlnBviCMna$MJ)UsZJjYDttdU1*QXEiP2= zbxpI-03o0;raKc`?5eH-dIm!h7(zBo%hpU|NJEIeNXar+#~W2u*8npDXo3*s!{gl? z_cWR?Bx_9yODm2)!T|_+xoah&XgcdS$(p1o$D1%K2#ZyCcAZszw+56%%hIv3O0wtd zHZU{Y)%Ee-eP8$f-~Zn0?68bDNK@|M!|LHy@3&^)bF*h6n75%kGw7_r59`K7nu3`b9xn=j-APsGT>fvoVePE?%pbuldJb;n zE&tTtag{)aM=tJD(fg8nZJnNF_uFTn*J^zYk-D{2`=2{ft6H@qI{I+)a-*?(oQ=?U zv7_I|KC-i_zUTEMrL+MN2LZ>;SpQ;dY3D#t%7sL;FgeFvp z-6G$kmG#ef>o=ZM9V^Uqa7UVSPW@=K{CxKCdm5JyZQVhPIndOtn%IDsn)+!+l%cU``5bJtUcvFrtn(7pugNR zYj(PLal8HD<|RGeB{`R;cW#~eBhO;V+?Nm&_Vbl9_0wLnbzAec){(Rq+@w8rxqs=F zUc$jfl3wy`wlh0yOh)^Xp#Iz5VtDbXk2u8EuKjt?Oa4&J?5Sj0NB#S}mUD~Oe1B)s z+dp}Ku)j0kpFDi~j{V`*rTuEFNBm$&_nYdY$+-)a3ro9~W6uj~}BKoUD25=6E z8F^GLA{Hr##?!e&{CtEIAdfy5<$m!Smwk|sq)i;uxef>e<1=VAqfYuw$M}tSu>9Ur z5uo&9;`ep10_@r^kG%Rb8$R)KGo#80Tf#c=WgnXY6zzYW8+i~xpU)%_ z@jt#Ov1AWkB^CRUA{e4l5BNI{?%j?B|LKo=OXU`*SJm1_1-CES%fEy3_#?`CXFGF3tyZ)0lxsm3cu+NtFMT14~xRq&qz0WT{lC~fq{$-8C z>>vstOYWCfo`cV6Pu)39mMwtJFXDAwJ&`po*dN2o96p!s;s?J6pmSxQg8+YGpTMA< z2iY|rl^<|E{rQ=7Rlho)mug5fEb%o4q;DE)1 z%w$yd>1R9&p>d7=A{kmsRZ8;o4ULzA09f}T{EAGP#R>Ka4mUlDAo9%!n-7TeEG*N5 zD}*43%~=bCaO8d6a`qh^z-*Jf0YONew6uKsb_IzlXDP+*K31Yh1 zX?k#JaqRFb{{@|o7!GS??|tBac#1gziMj|U0u|4&r!2YOseK`lTm>=)=9w)z;5{qyx_BXiqJeQN^R zYBFIHDj!ZB{#E5*&@=$aXm*4}5;W2*3xV-qf;V^5d$c-i z(siEMuCG+^i{>&R7JF-Zh}wnhpcbDk<9_ca%ZDP}#wi|@7yrd#pWwn>iIzGF7+23s zkKVm{aBdbQ0xTGgYmq@u;MRS??X=ePQUr1K&dbx@wIADeAoA3M{hGO5x9`&1UhG>u zjQn$~=OP;%!zDK#F&?5gu+IqhH?j__sFrh}=d|ZT4SC?i8mMYv+1C_h`8P4c4hfM~ zg7wC0TSQf+$(F&)&C3JK3|PxICdPhFc)HYWSP@YpRt5(Vv$sUQUTNUhoYB53E{Zgc z`D`cz-CN&TBz|fyfi+A}28>obwhn^TuMx92C4bX!3os*X8^D?6ouS4E8|Oq%*tEgA zq!v^Fr9Cw-h2HIswE_8vOJTuDby7Y|$x;`zu;&5)?H zz8y5qDd%y$8L~6;(~Hp!z{4ZbJSK#rBPzvvKIfJjumN{k@aFT*j9(|U`kZl zN^zZ?btmYa(q`8`Q1OWrb{ubf&w^Uu+!P=Tlm7#qPZV0Bc)u(!ug zjX>vTUak!P>9_l}6Oi?O=lL(>@mRl08miX^b^XqSSg(1LZzRO}TixWlMTX76*WAP2 z&pqtF>DT_PtXeN7&;36{ZokPI-JqUchkySD*62I_;EgGsyktx^CU9L3i;?^gYL?G1 zKcXTw0k*_Msa@F{bq{3`+CE3UOnul3e_Tfsmck6c+J%e>r~qJ!Cd{k7;i)KCDQWQ{ zOb66(CJVghv&v)tioCjV&G+>}cmA7RSN?4ycGCN%tj{c_YM`=Zd#+-Arq|g8kJ3er z4STwEQ|Na-<+Gjqc*V9B$L??F&@*?KM*zvjVO~9ii=XecrnSQ6i<+?o7($3?ph(Z` zYtz-R4CYMn{n~H4jG4i>-r>Z&D9;`{rKX8e^5TLsB^}zVqL0 z^0J#7w)ZR>jJupwd+v}v-Pr0qu2pK*Ak*N-G^0R>c6&R_+ejpIz@M{ifNSslCY--0 zR(mRH#;T`fFR?XHiBR3viIw|L%yw2Qw_1s?D9_qae94EV2JeIn-!nJyUZ~|XpfQ*R09z)gIoz-W#EYd4kMIr+ z+_qdx0pb7&s~C(dGklOO+8GF?;9~%S%atx;l3`gE8=e`Hx4au~T93L2ayI#eB}iEy zF+nT7jKkBF5Bw})1x>>ZBl2cboqc6esTHaVI8cBAX1E$3 zExTUiOmU#)H)a1JKKk7pE{-$yQpoKcFJe)D^^f0*V7}GW+`MxFHpu1qOXqf643RT` zVFQwCn$=uh`R#dtO9c&Ao)t=&j4OwJD8|yvqzWrig#l$1b%B%QdwGG)a5>lUb=zuJ zv;qy_#sUFZa|IU=L+*(L^Rwa~%J{28>dE2aYL=yVa0A)bUw!3e!}v_d;ROvp%{AK; z)dqG-YDyQV2%KJG@)r$YMgejRBQcUAyRnA>y$vIvK;i%pNTCd-{2(sh0QLv;*TohF zAqBoe2=gqec9CAOa`oIkxyNfS%BZP_YvwZBNRLMyXm`VfA;=dLdE@T6aHzUSkFVQV zF%wBj)5WGd#4v7suVr||WH9tPNxxpZD*^CKxrq58ZwC=#LelWGUW_dQ5dt(*=XLM2 zkAZDMJ&+Tfiy#u*lAa3$*DxcxhDAy>%F@?Y?N3kuo@1zNVWV`?N#!9dM{*oS6(a5M zP6Wz5kP|=GU-V@hyD4}M9&Q{AY5p#)|IFfRXZ`#C{lpJ#`uD%d^*cyh-XGZOKNalz z73F{65~D-66MFgSkc#1!l&`=HOWDPTMamdh&v+w0-_NP<_=Puc#SiB7A5#Zrdiy~L z?S(UM&DS?AjTSLWGPBZvz^Ckl1X{(3#e^BL>fo^vqUF)pEKU6Gz*C5oMK{O;S4dC5 zKZTd==F71xC1EVn;_2wU|JhrZY2_b}iCMI+Yj51iHBlVQ{K2d^*H=!L%ObfKv#s>G z{KY|v_U$kCYoC61-s9waE{G{BZz)P_x?xdqz8wb{cktSI*>l93GhE8B&7P8)c%3als|l1FxBDxVIMD+G03- zj`lN^Uz>TO$A)Xt*vZVip?cL%uP)W@p_hJEos^tg7DB`I`L;v6<-o z`I^mIO3V$C5qen8RvnmEm)Yt{t#(G6237|?0(-iydD^Moc-Y*O&z6)Obt!RTV%b;-B0ro0<-@3tQ({1s zzEpiP{Z94*5tcY)h{D32nV(+d*4#Ft7jeYr?usGQ>3U|Hx=|E*1G}{&TQ4L@;F>Op z3dQ4yxak=_Lq-zH#*TDm>6i3#>HTA`{*U+b^oV-Wo*OTDgVkn;I@7j-1=^0rW~a{M z`usf2A;!#xaz`KHQ(SaS*{u*yk0Rzh6_;V-nxFW?LZsQQm|iK6cssS$Z)8u+cNNV7w5q zZbyWVH+JMLCHIfDnNLm|`=Q!wp?r3kC0@v=>v~tyVYyA!(-W6{zn|&N3t88_sIF|C zKadi5nFORaAZUViptk3tgcrb|)JT=OFp)UqM_#!^91Jx6iBbk}Llspd)sMH<8l#>L@2n;wHW+3YT55V+c%(z-H^%5&GP6K9PN4Hy==xZfSyb-2~zQzXxw+W*| zX$gE~#f-g#(;8-+rgVbDH5=I`AHl`RCgO(`a8Emx1S?tP86OV<=poqym9PHu8|v^G z$f1cj8Y_a1#Y#FPnmf~ATbqm&Vka>%%h+H~Fycyj84i=MAQKKT>J2y%QZo@uwP?iH zdhF{H0Z0=M$b~dRrMLRwpaQM>j#!l~SBG>FcK3@hbGVgP`T%xTY}E=bu2kHWDa2k0 z*%-tk9Vedvqm*KakYn?-lbi}+O+mRY3))36FF#4L{=@J;XW0%e%hn<*<#DTr=T+zy z7Xtmm<85o)iXu&G$@Vw|0nVYR9o%a)GA0*R%CdQyAvXtp?PFEPXB?lTFU%oWzbu;x zlBCV)bUBogk30X=u+m_zG@R~02?sNA240+OW`3By_cz|m97D$=b{q3T!lk)%-9k(H z0ew{H1k#UcrZSv?S_sjp?XYtgr^dFWYSX6WRDQ{o;MQf{Hf4CKs*r?Jc%S1$nW2W-w(*9ylTY~}>gF*UmFPJWw z=0-|yo9~{IEXcp1TA$ftKN!F|I$gyU-Nu@RM<2V4%FJxP={H_X%lK=MYUB(opHs=7 zbBD7^vq`KQPjoMIUrMvuneI^<{AmB&WV4zMRpV*DF_B*ICtEw6#&V&0t}{P>IGp0~_4E;J)dn9QEMrESYX7jYBR5i0URKC91 zZ{6(IUQG18t>?U_NcDImz2y~VxNxPWYl~^-YALsK%SsI{-fjx)R8#ek^SGas{o0X) z@KWlmcXDDi1%dwb{ViNh*o{u^qTN}04^Ke|x!qs=N~Rz6?2~rY8;;LD<@9d5|IZqw zJbfi@5r?AOH6tR$WO6;lWJ3kSh*3eN?V|Wh9P&ghVV0g4Dv5FmrdoIGXX`_P_Wc8I zJGAmgNmcoelShdrbFcHB-xLpv5u1uZd>;XWC0BIrR$=GqCz;NHS2y00Bv=IitS8{C z-seApL&is1rS7bJO%(2UD8aY!z&Met!pywaS&{ul8!(plk3?^u__aSOvBPJlUTN6ihe+ppS;St z$#7Cbzo^i zxbtjd*OK5leW7VR?duoWFr~E!AyYc=@>jMFRlBMEeSxtP=6_=-S_R({xMsKq4m+DZ z24$5EK7HqkTSU-5Ts1>u*+2Kg%<$cCs<3xu#C9DS`L@K_IrV@O9sBxE{BrvqR(+(I z82-EPG;nbvV6DMvhl_l6-h@lf%w0Qo2)U=xON2@&T zZ(&oHJx+E&*_7_$lrbo0ANHi(`C&;P>V3iV7l`4_ZQG&EiVmmBWM|t4HSme*R=1R9*~82HS!Hr&rpNvg zu78@0JdJvRQ&8jTgU1g<><}>mVzxP#$sBobMfRoheo6U&2}u=+dlEB0X%AURq^)of zDP;S#G5sz*#Y*4C$-;1R=LI^R$vaw-g-dqy8fj3XOoQFaC4bBxmG$+IHD#QFOjNK0 zw~J)Zi_N3N4)Py6w#kc!x?Nd$$&>hOD-!&tw?^?4tEenA+H-?Zk313wl16C2Z4S3lI&GARS>TY@IUq zCh}Ci*XX#~{^E*P2z@(CLSye}@0b4I&E%TYk>L<)!RaQQ!(CL5o-7dtjtaCzP;xa} zbY|e&JSf)I^7CxajjdP&rN+8p{-Pozb$#XRqLPl(=4@ zGTU)h>UDD)2_Xlblg*v7aACmhT-eaJs}KF_H-394mzj-FE`R^J=fK|=ABI;?^p52@ z5?}Abz+Tq3`!I+b#P{-H*hsYanh(S8Kh47U19pV?tsj6l#q~Y8-wa>#VHkPecy4oK z1msXFjTJK^;(u&L^b&zc44f4hviy(Opey3YaJZ340O{<}@J5SMI9tx#$PdZa!hg&^ zFogKahY}-%H*N~!EL;9sZ@bIU?|8L~-*M<~ap1kLn2)F|Ye!4zYV*di&C`BR{9>)w z(S+tjqiQGu9i-`Q-fvT9^l821u+4@k4iCK7fnDyL=wN>79he)dq|5R&%w7ATb4ylULZ+e}*!I z;Yu%ENPWUY)41&t+h;Os+GKRfVry)r#=PmA%7W768Q3C?myeq$9i2WiOH8N;-(B;r z+A*qOSk(kaF{Q>qX-6OL&KQ0ULII1bLt$T`%q&aJR(jJEhf3ayp-Gn4* zupj66Xt&IWEDP+mif)gxkA+~lW|xE79t0st;tMfBXof2Edjln2^Q}SHeeB4aTuzHx z2_4hG!iuqz47Tm$ek_+E^OB(gP)o}VQWJAYNY1Kmck%BR0EsrDL-c8#GaTg1|CIO3*EGs&}&{eVoDTm$KIE=R~FNjov>YL3CbD77>J}dGc|}!NH>{= zZW?(z!jK)>IbHU4H*3v`v*S~6RLR@9i^l>KFwGL6LM2*hE7O`76pqtEt`-w|D0b3( zyjH2E*qf{=Ivc7%NTjYfd-;yHAYdn}N4~hO2vr!52sT#{3|r1B)kk{vTxv(!+NMRi z3>aOjS@BbP9AxVKinM_>t46WNOMv)bDg$Sl9W&AHnoR)Pk`Cgb(pj(&4LrfaoH!dP zf2!E@_mAtYJ~`RaN7IQll#UlC$Wbp&bL@rg?uMzQ zV9uNatu>fa#ZZF-Fig%L%7#-n@+~XA6r1ehe;%}UAX$OLVOC{TmPZ>Qr zDcjZo$_vtxE8AGcL^C$p7ND;SnBy|X*rk}RlAp2eqZYg-{be!bqyW@A z_IM=EWcOIqF)Br@7yMVsD#_Yr6_l+Kqui<)Dc$g=4Z~EV9L!6Ts zs~{8^h2$15h9;8u(-RFqpfsSB%4zv&=8R9VjO*YKEQBbtybV$}^PA;5uj3BrxO7h64J~{ysA=H# zG}su^Aqq>uaPgLA=Rfih02?vXlCm-*P?Xp@e3;3Ck#Y*;4C!LdWtnG%)Fh0rKK%f^ z4#nKeS7V=3Y>v^$ItILD+QL=Z@=wDG35&Z(XB!s#rbmtO5KL0LPJtK<=1E2;-Hc~4 zUXc?w;$c1Xbn3;hNGLbQ99RQJFMRTyZ~d%VBk04)(9iiuMQQX!Nmr)ob+~lIfIn>PL-A~HEz*o#@EL`x2UQiU0+Dz z#sC=4wr_E|zwHN)IB`EsE;t(hu%Vjrlr=jH?(c=p>_gqHM^CD*D@*hJ_v_ZXy4B4( z#C7&Ay{YFnUQVwq{$6bEWbZFwjXv%7FOafl?jirOo@2Qmk}8|sn`*Dq!ky54DO|Iw zx(6T@_GLL8I(Dvz)-k=^dHy|kd4`xPlOQuYQ2fLH5x&MVX{%Uw(! zcVrmFNoOTEPxiXDU%PlX)V=DtiBrRgo!$9Uqe;E`XmI~6=ars)s(W;HZNA&RXC+I| zs@dI#_pY3)96kEviQ?7_RHO_gldL00`bnG<<+U9V^q8a(8@n0)0|wBENif#?0=+TF)5`1e+Ra=2|s-}dX>N>@WG?7V|-LZ(0jkqL$eBHaW)t#jfjZuYoB}b1539)Gl21auA{C9U2mv@s94TNC17oE%Wgr7A#xBrDr< zV3h}fb?F6_BVq3rM5&gCPTunXK}Lpnf(~~-;B*Zqw4o8N&Ms9>D)6Jb_TEQm43Mw~ zv(Y_MA360WE0-@*gV|Bt81$CUmuE+{Cp*PE0s=yiSqy!E>|#Jk2j_%n?7+1KcQ_6G zOCS<0iSVX6^Ljv|e4$zJ?mkyOur!?#LH0%h%ii^h-+1nG#6lo)$0B|a<*nlrWHsBf zT+&3U-6LR&1j@j0)dyFQBbXOo5)F7&1*OJE93KUwdZ$2nhqC18F^k#`0Psjicz@UD z{=WAG-@Lusdl1+qoc;RO4;w&M%O$d<4fZ^k0#23T*9dqYqGD61JRX|=qXMBD{7fnLn7Y`FxGG|&=f zM8j@24y<$m+7KN5YStsT2=On#%Ya&tF)=l|KBwa30Jb;Ui-<%2y=Mz?mR5%0N>RrdAs;trbJ*u zLUo+ihH$vuEhXaw7zy~v!Idy1l*fG(usi!Q(XQ_S$Xl_hU&Hy?GAP}kt_Kk4&zIxB z_{`>gLEKC5HP{d&nu+rOZp!p;(2?nED4}=ZFoXPn5jLy|%b@M813hEGHR%w&`oP6%dSwE6F^QtnL$K^j32U-V|4+L z5ZXNwo*6W{SWRd+;I+_qNBZ~Pd|L(l=|Du*7?nxw zrnzNRjrNjc@PTefJB7UldYq@@;*-Drma4hXUoNqZ?E&Z^3qVSZ@-|_W0712sF*e-d z9|(mEDRhQ4(^_8@?re;k# z_!*S)cP7lXGCk{s&mHLWXfo?e;C>mXZ){1b)#fGf}jY1U!TN^wKSOR5JjkJj?GhCwRK} z;JlG?RfKZePd`F&*>t*npm zo6H-+^5RTJyr}OctBI)77? zWH<(h`Y%u#%UoJS(r-m5rjcnL(x(3ja-ew#C>4d~{yE(}5=M$l)Onwi$H*$lJqe&I zhcNrF-uhO<)Q1z9&IcZbYmo)Q<>oB*X~8kBy!{*^#8!Vq>QI-snIzJQO}7sZgL}{t zB4nPe6kw%hw>gK(FvO@Ot++$w7hR4z6kh<$?TM%TGay~z z+{AK><|1vztrGk?AW-pkpnQ%<)3!5ZvLFM-a1LK_K3^oM#Yyyk5j6)k=;vKmIu&FM zzKr22`5|BZnR=sUm?g5zMMfqAfVI$b#mtp6L6XW#X-v7fsBbl6L~c6)56W+oTgr58 zf{JkocAu0Mb5x9d+s)`T#!RBERR*G4jIDO5_t=eZp->SZZ^B<`NBR#1r9~(nr4YnW z>)h%LD4?rl5c9GT2AmVQJrYthl~k0q22rCKbCh1M8BlyOu1tT;RSDgK3*{T`1FR6E zZOM(O3N$ybFqS3oHs~ir{-8w-4Q~*COKCVR1IQCBkEXF8{m`Cz5I~Kw0jlQdw^Rs) zgFX=QxF31ONMoR8u8X;)mT^z_)G{Rw2!tbpL~C zJB0Suo4fuoWx2-7* z4Rl-#z*}c5J<|)@MRzd_v|Heo&}T3%=m1PgbOzc#M38PVbft6@ATEYsSBmFaAA0`{ zRZEl{ncVU+%KYpPq-o`~5VVW*mWbQsHLe%uK#$^dktZSvBjF1=GXcX{nl-G_suglE zbpf%b8DgtPH`jho3ex??kL5gb>F(0me1nqGaMVZ&Dni6(QO0k+B+M|XVkRlR%%7c? zPbODC&gh9v&7u?+bqMc3E_YeHdR+q3{L~*0;9`}s9K{!%-lSv7ZTOQ<(lP~by0Q@D zK*C=0Sf0TtNLX5tzM**xhgl=&VFuBeD1{NP*;J0gyUN?79lQOtfA*`?HQ_5E)$+F~ zr#0gm-B51ZCQb+`@Q76tF-zJ;Tf(f9osx+r;zU}2g0UAN|3m`pg3Ia^o76~V3zwn* z*#J0w;-}vF$y#kOITfs=?6cHNqRlV%HH&_l@b9c@Btzv(MoL*ypjD|q4ZvpvdCkTs4DBv^arfOnV4tGcP@_sXHS!3=a=cy}&9@qldiAgU&Oaj+TZ>JWWR>yJ zlE_rfNrJ|MkHN`;k;R)TWwx8lt}E|oGaKCa4iZ*w~yQLU4 z)M96*ycDiiG~J%y-D-TL&uDNhpD6#bM8#=ROKU}YcIe$TRIXPWdUjVgt9s~7ja0AK zn$;8aYz^f=pAFZ&K?3@;+lhN=Lc49yrC_5l7{2WXQ-UEE*E)oK5rWoQc>|pih$_~h z;8IZlzbz<_l#T-G3Mjq-EomWBZN#=MfiqQu6?q&$lf5#UYzok1#~X|*%v-2mul;(v zZFi4x`|jJlcU*d#oi$!c2q2I=w*o3t2Bq6_UQdO3q_-b2;%4?jczzEi>q(L=4))MJ zG1XhG@;<`VR_CJu0aW)zgk+e&F6_KhQ|W5x@XOq~HS&CToPHd;o*oo0?zk{@lT;)kt4fs<=HEM6=n2hm)80{$`o5 zrBAfrbO3+2BlV%<1n`l_7N!PZ0*2Utd(r}PAb1JH4zQC(jf7f6ltMox8JWc8L>_U=^1QKQ><`M2I+_+&F5==^^SHLmfzJ4d^poDlroTJ#a}oFBOA|B-zghhk+A1&TNDm$&DfzSkp}3Of`la(6ZD~AOHgzkc{(A z`?5zeBv1)=sF|G)L}bG%x*=JKaxg5*02?Kcb4^FVR%m{!PXS586iOkH%X0S!pt0|3wY$77O?wP{}Wl7^E#RUgq zx`m)r9%=d)SP>1I(sQ)T_RPCrO!F&r3Zwh+x)t8FX&`N)8|hl)t^tJBr>t3|IasO| z+^gO>xrnDQN6T_Au>b@w;*j7mymyC9yf9e2M%u=SmjWO+- zJ;Jg>tFdBL7&7qe-nW@q%$j50(zr~3+2Vj1O5Da|oB{2?7b;P9EEB4encar9P`S&B ziw40Ecp8bqqR;K656>1g%Fck4_xHSQD~kciBiO)cvscYyQc$*&AD46;p057Sj^6KvY z*>-6mwc|@Pm*6ryVuSD`z>Lh%q`<*O67)v}hhTk0Gq9`ffkRvIxDgsA#Ddro%2Zx| zL`ald*3&t50Sq@}2LRn}$QGI|KNHN-9Gn0;CCAn;huZG4@gRgKjF$(yZ~Jz_Q}F*X zu=7pV|EFJ1c-qK1^(|oMy9aFri#h!2vOcz${KMDBL%1Hw;MHHg^X)_s+KBk-)nDC+ zBm37w8Eo|*cnuzUJ@@MMj3;6!jJzj*R7{=k_L7P0`b)GuUa>{@5y*xnG_w4S9F~cW z7o+sb-~AUZ-@g8J{o>ajy#9#^;Jd%B{LH6gG&C#(?ew2wqs+i`G4nJ7x_~t~5WUM{ z4mXL--!6*l2UA5;bYlvIvMCB#Y`G?|0y6+^Wf)k^mhUEXWl5L;M9?LQ89`4|BK}nl zyJa&J)9`fgrT-dh1=wd52GAqihx=hJFlH?I&x?`pPzA4%0wc*a838;?z>PQowm{iT zET#o!yWF&JKoFqMFb7r`v@$IbBA4*guC}_3C;rPKCNzT8r&y~JEF)$oLU@Xk2M#IR zG#)By%M)UZ-VNm&S))f<6bOAg7jT>w)+=y<`dGzGO`eH4U;t@20TcFM4T~{PMP}oJZ6O5lYqY)6lip--QdhRVg zk2>u`|7XA2UP!Y3VroQ2(`9ZaJTW~)zz&S+U_;Bd0X#@J%#7#5ifeY%B~Oz$1q&Nc zi)P@#7U52%>8PmRWnMarfC1V;v|^bB6I`B>rE<6Xjh}fNw6gjNzAL_TphZaKG`Jnr zi^PNE8q5i|hRMl9YK%`-jEbn!Vr1(^d6_j1`(9%g%vd}G>ji@&-vyu)VuYnMf*Ega ztT7#CsVX7`0G^flI2LbyAB*1D_Rh3zvJyQchfl{8=pJXj{BRUOWAY&XB<7Yg48(9GEN83om7P$ zN|=ZKB2ol14-l@EnSi--APplDNH{aLy4;B}T?&?L+uckYz*goj^L2iOVqvegr1rDk=;o zi|1@ilhS;&i9C>wpUaB(^gyt+7}!VL6Qz-6i%|nY${@{~gFX(i4lIRvg>D1h$&Q1YW)t#lSFC=(R92AgAPluz zy!lhCsvztoZCvEbNYvJaz^@k}&y5vDOaVey=) z%hnI#;{j;p@*K{JjDRs|av1^h@2QggOfduTEW7Rd4A`E2*}l_}^*Rcm!tIqsT@ zhc=Nq6KX!o2xzQ@t?+PlG}-XH~RoV-yglw%aS3>Ej7wt}scTH%~fom;vX3U7Ov}@9j_S-BH5ZQDMzXnxn zPS&6B6v3j;kUpL|LTw#_kTT@};pjxy3Lr5pQgq%Q&g$d(?8N+CI(xK2SYAXpoS1K@ zn~H#87a?e<%$pchdMAFEiFqfe07-*ZO_Bx?tUXzl7=F)3KpxM^FY2B1eE^4XXBz;k zOlKPW#rziXlE3@rc>=<4g`hFDLM(!7=6-CP@VdXfmxC#T#r;^JmPdvx4Us15&kzjSJd?^sxU& zNPiBV^SB@>nB4KGhE7=@TZ?WFFbMbV0CYJBBca*(Otf<}1$KZt|LyoSfv1qteD&{P zGomH9*0Rt64il1-#nB{(cw!RCQDW&43{n!9S6opU@EpSSCrI7cN5$_cY7_>#g%LR= z5h1alx$ksQQt24FC13*h1;(l5zXpqfI&X%8S3qs?M&&_t*Bh`y2YVYJ34kfAyZjyM z8&&a3FTGK!^bx$tGxur>hbYOuLMrEjQC;2%^it)~06-!};c!gZQD(xLvW#v^ zJ^VuhQVU~3=+T)=lqc|URq!V5s=?9+yiV^Nf1`no z&P4oS{8GreLu-jEqzmSRtw9$7#*itNhm6s40-44ki3y1W^mz_04iC|+Xo#3|SgoXO za|~i2>q-^v39tts3b3QTnU3Su`|LX#vvt$;%<#(pa+?948lDg|skSG92O^dZ77w{A z)itI)^eJ&BG;^Ol6dfQaiA)Qo0gf2wn&{B+8zr=*W zXpvG`01`YEng}A9Td|B7I}xpsabew<6^u|^$lT2~Nl9EBJt)Z_`eE5xV*O%S+v#K# zzfZ$IuCo*~Rj4|~!bKAJg6koPLzSwiirdb?j*c&8T8_giWtj3m=Hcww+4kukIvm&f zG%zS#34I8igrM?D5kk(~hH};tLJh$rSp>r?TYnVV4S6$|qFF>MtpnXaKoeGF!A$a5 zIm2iaBN?iU-+tKBcJ#htn+bG1b9J8U+aUTRh)b)P(~tzRF%2?-uUN>KROC(!qGE*2 z_L^rLZJ4J+rrprJco}6Pg+;^1fp^CT&A$!Wa&HAUvt|9kN}eOZ=~Z@qi%i2T+5^-s(o2BYQrr|W-wLvV|lFO@10j>}y92_dJ$H69MtaimS1GF?$JmSMdj6*V~ z_#8z84)R?x&Sw;(aJr0o%zKuC7MW_7W@7{nxjx!OuFKb_& z6uUgL#ii)t9Xy9L873&D+;9uoK8hUYSHzf!#IT6v#)9c`x;%>UV>EagCVpxD5@|ulB%P7S9NB&SI_)&vDL>O z;np}X^i56`;UhWAHF3?tVr*jOb(o69G7&q=kDP$-DI#GH5m8=4F2g-V2or|Zagk#{ zS{!uK0_F8%_7C56EKX8FaD*!bk$hu!8S_l9sTqOdIt4o}XajZ*h0};ro(MjLAg7y=C!hQ-%t7) zkC%bkHA#@dv8BKr+7Ud3z&JfQW5pJ>^+F(}_nBJTo;r)&Ty5e6iIFBVZbMno0J{L~ zUSX%Z%-yYVZ%ttjo%Uh@8cnB~)l{kl&tf&Sf#gtzjriKf{}`{U68EN$7~ozeC8WLD zo)yG-o6s{jM5U0L^vNWrGGUsDp_wM^W+P-2J+1muH*g_s#VVu1*gBL=ZcUSC*Jep( zVYoplak7LVC$=>HSd$fo3?jA&jfwSe9 z#z66v*!2vmHCbPbD?4UsXPQ`pGL^+;Rm(k$Kx!;cGFZ%I#iR$Yb<3i~_xVe#V!9Y& zm6u?xZ4Ac5*nURlqfNA?$saFFt9l0YfoE|~?oS*^q1Q;iL!inu z+EI~P^M>7dWwwh_XN(yw?jv+O4#U&nTd7n27sL9>zx;hyzlq{X&afZ}$Xeo|7vvA2 zsicr@KvkHAc6;0hB0!b|B*bD2z?|S-Vy`BBb1QQm?T0=mm(Vf{KbaHc4)TpwYhmTe z?~J?B)-*CO66`H1pU(&bWQ0R&X0v3U_Mi{!@@A}Eo}Mw8E@N|-7tG*F$V?MNTBgkw z79Dq?KmmSZ19kA+?Ua2W#mj$%v?iLd_M78(bR;&i_m(}|KuzIV+)##5XGEZ1PC zS|*CvqyhO>6U#M~a!T)#hFtgJCVl}vVjV9=^lS%Niwp%qk#aD{FuilOzS6zdwaBt$ zfeR;n)$}t`t=LV$p5RPSO>O8VJ;U5t*=U#-0t=Obnk>*cSq8Wnp^dTtW$|=HgsFia z1%l+Qyrkz)G=7logTJ&10vxqtf|@f~l3LV4(KI=}$an|NX-6554}znx4^2vGsl!5` zSq~zCep+#`6yo6+hf9Ic1le|Np=f;!2c!Ssq?2G}$3+KbG zWR_6gWD;g0O?uCI#YF{^o}3pOB9;_4Uw{%bqW9> z%$w;HuIcLF298DoK{59D4KA#CjC>jKK(a+M)zCJKVfiOLHjw;7L7V$hi0pIP z!!Jvklbg^|P%CA4NcHrUOP}S!_KJivX+sMPauLUn=V+MmBB$gJq66~TJrZiU2NOsj z0*vHYGO=)wAt9inOKWouf3Cl1_??6Ui9L1ge_L|XrVo9m9}+aE^C#J*AD=6~ryI;H zhQYX3Sf?Q}!rb~>y=pH68pgeM(wq6zWGiTbeg-T@um%=`7E#ThZv-=Gs!Y%hL4-RG z5n4I$cEg70XfWU)nhUeD%Gs~!d6ZV75z_6Hbb97|`W_QvTgOqVL?lmhBY^z-rytSGYI=*|MV(qHnyv5dLBl%Rto>8Fqvb)e> z!4}Af-Y<(b3nuRuwz%4aD)*sng=(qMORwWBty>OvJLyG#xx)4)HY}O}mMH;kP!ssT z&vo{SWGhL+T&vH0uyU5mYj6w(Z}U3LqC1nGQ{}`)jjeyslN^x2u3ij+`1+3lsFZ6! z8?EeGvCbd27QNkj@X4Y;COb*H>Jq>JkDcVsDc zInpQh5SwSmu(lOoB0W!Aesv1~%o%DhWS{ZuZtQ8NJz~C-2Ds+Q>9B7)0?_C?0!mz641kvm zex{(ml-shWwqG~;ft*}32RfiJ?`0Cgzd5Zig6eaW4ms~0L9RG87ijcGy~^2}*k8W85? zkO$O9O$ zsE>!`cvQdj_?r#Vm?J*DN?z$Tl@Sf6djq~n0Z(xd1S}aEHw}w=>QW`*eY26n6qKaB z6tws& zLlLf1XVP;aYGWA3ioCe|Puo9p-2sd5` zJOjd9U50^HjwIv`2}Fv9jI-e$Qh-uSBZl=xlB8Psqh6*0CVR@?_HdOddk~UbD~jlB zvJUefh!`l2l~m@HVL1%{?4?bHu`KFs%V4D^nAV{PWNV**<3NrB#b@`+Q6R_;#ILIP zLTKTnkl%ysAp_Fzj5N8Z3^qo#2n#P7DL}!NLjmzd8#V*<4T457J4O7`wBQt>NqPF& zGDxt{%Qil^ECW!FiZ|T^$U)a4_6`9grjx=ln#2dlDvQ2G1g%O@@4G0OvI(5Y)5v6D z1a9#BUZ&32TF+RnGWr5kc+rJ&ho|?UCj>woC3Xs!EK3dM5X2Z18#Q9>2wu8HLKSTR z58|vm!jWIasR;e#+OM5icLe9cGx>2J3e6rLecOgaL(LS>j7$yz!FuS;eBnfx#^?&$ zj#D=X)+NvqUA@F(75g>Ozz(JpNW!*rx`!s4LV{s{4Yy?M6kR|FJ(_SYhMFXNRhGu$v18rjd)bBDDs)(X#c7&dyh3o(>)c>V*^OUd+IJ zvNX664K0XiNRS_n>-O~%8WfaojPVxz@m5ZKl;WP3Sa(KVv$U+_oNbA)VZe)z~5EPryl>$Q_a z*~?#i6&G*)IB=xw-o17-|Es_46Wu`+!tXf#ZKr(e?Ug_Mzv5Di-d|_yxYXZGCcqZ) zI*3gHZ^qO{Yfel__9Mr!#nvlLa1Xz6u`*LY@pOl_4$y-xd2u0bdi7!;lb*$%nAn@> zxo()HVjM~ha7IxAOEPzt(bKT|V}11>d;z7_{Tv61ooHI6AG&d}k`?O$oc7 zqSK*cTNvcKD@bZhK~z?*vf_d<)AV+E;0KtEco$&HN%fI1sw}Pr6bN~8iHQj&>azaY z&Yz%=;IPPi7j?9N1{7ck)}^mesm01MoQ{bZ+)-jhpQaStf~A2Vq60M$vyg`=8!a49 z5pEy3HuaQ;iQU891gR18i)XMAtA7!epi+B~FW1J2nYlz|#sFokl7P6_F`4KQofN#C znFAZUP%dnHLB>=ey@3+Y9s(PnMgkh;y@f^DlCHpiK{Zmo24vymW1nSiV#WfdX6oR} zghJ*wLBIAXp@q83H-h1WMXIkc69on^X*P6oZUGoXaj-fmmV7O*suj}*xRKZ@bVVpK z*IxOM5r4{Q)k~+?yai6TZDuEbP6tIqlqLiZX;yq;6GZ?B%uY1HLWmTcKtL$4g#c3c zQ1L}xa`yYExLdQD2+-|Yu{=hi!m!OtAy7qBpITE`YSO*qxwlc{lgdv-?lk{+chX~0 zwlON|MZYGkCnxoYeFOeta=tE>7}`Jzrohai(c!X#oHNv`UyO)LmHcv9eqc zBjMsuUM63aYE1MNw@1X9k_%{FE#CTpw^CD7EfJM{itept4A56)TMw)SiMb*_ABzr4 z#Z9>^Kf+}KkRfIOC^-coFlO?xD{}{jT-0&->dJ2oT{uL11v?E97x5ZE6l<7;t`6XW zu+p(0GY(|b<$a#3n6L#86eRF zQlu_|;BZ&rZc$uU0FC@8;|p0b&roe%vI=URNo6-WB}H=S0XRBvEH?Q?-^yQ_XPLh{ z+;|wF%@xZl>m#wR@=nU42|ieZJ5p3GDs#SK_J#nP7BacMsEHS+?1gb137(o6Zv zqbLVmoYR^v<`)FGzk-z<7o>>ED#r)R9)OeLKT+fBxn9QzP(dH6?5YIqrQoy|h)wDn zyF3Y)G8Qd`SmKhjMVg3amL9@&QVi}U52W)+dr5{=<~fyYddDxlRfruT9YO%pC@i6J zW}N6S>tob=7OQY1bQ;zL+!LTM(4UY+<$4ovd$x}lLP7-MJ~HQUEM`^ax4h*Q_OASQ zFUejM4^JWj<8aJXsB!ebh@JpiK^Zl3n^5cW2H;Xgf=n;`LcDwyvAR+rEw!Q5G`B!{ z{4gSnEBi1{h+0B-wO6ganIPO)(MnvzlwtVeIpK!0sfrQERs1kMW#n$WTvO^|G7nK9 zW-Gvcf%Ig^@ZwEuUisW_Qy}Cx<^$yV*g8>D`YPqgPijiDLMI|MF=Gadjg(2$B+wle zn6YBV;=wLmG%s>~1%lWh<)fL=$E9EJ!>?Ff{j1-}PeDSZaUwD0AGoc|pfTaOqau9- zFesox`Sd7|3=cr8`~v#H8x$d=%95(eIXs!W=P#yPYU-ueZuy*u)Luw+`!Y3Umx9u{ zebWeHOKL@Rk$%9y87u13aI*y!2^d!dh6elrFr(jyyclu$3YgzM<^U3FaR(X%os2u_ zCxbWJguThwzdM?MA3)EhDS(Qkk#Xb!`{5sKOU5w^j!pq5M0#UhSd{aS>1!`$-q+qr z@6b{Q8OQR_D<@W2CgDdJnd5ZIk2nOzRxDR`r=UR+`s#wGhD76Wf(}kXm51>Qs*GQ* zbb7%Iw9>g3!^LpMn(1}Mi!ff!+AXQ>66~5uEj&|A3@xNuaBH=*I9JjQ&njkMg=CrU zWw0@{)=&jl4(JRrhy{jqH+|{Q8zgFuMaK74^+(-%{YeJ;Vxl`ZgW337aj$|)!2*B3 z-K?GwWNDArx#ZO^Pc~;##{FdHsY!4j6BbR>AYDSg*53ov#;F_?;1Lom5vwg4ZyCE^1CvSbT4F<6rVZl=ss7E_n{e+vK z^1tq<{4D^d_DujM_i93y$Nb~`ppxnE+kP0{A`i^VZ&7K4dnQm03riFK1nJB9XPM-` zc_I;VKJ<5lMdP$^9>5KSjH4~*)1`4-Vx}=2x7wY`6U`H1t(Q9`5SV|2DSSclqfUd` zfIa})ID=Ya%O)I|^5m{ZROe-4ot!I+k-fo_*@{(va!>nny4nk(DRF1w0`o@M?r*%L zFo!{rfFAZ_LaH$ycgzgKwQAzzHYjsq1=IPGP;Z)>2YOe266Vy&-8QG~8AKm{{?`Fa z{09?4D#!CHVn>r}(uC!(8+C0;gM)#&a64q_lOvoj7`%x)aW9i+-2CJ6{mpC4Rp5H6Dx?q>p}gtH&} z*-cgRz)HrVBTh!ywD)-;&+H5FGK)qvVah1nN1$OqAQWI`$aQ`+*fP}tK5(YNkE&^o ze!&R>q@jEOQJlq7=3og$8?@QV$=E5dQY<1(gpSKOfu#3eRA9lYA95XvNyJu=`X zfDn{h9YugUQKs*$6oE%7UY~hS#7ie*Q1Hq{#ghknkR#d+P(aQ;Vdc$fK#;rc`Li3} zDjsY&d-<7*pc2v+i=04sgc}6Z03l?Lv<*^-V5?A^YPv;=Gd<}|r2@+ddg?6I}(K7e&)II2I4B|4iqOG95FfkK|iGt=0!22fe1-YxaBmFy@rD!K1 z?3A2-zz;r7<`}JK?x#{zaRB_&9*ia5YTpFNK8vg!rRAD!fc~okku9)ZYn8D=K(-%iEvm(kctavRh%Mfk#L?2nu!UOB~MTXy0mlcyAA-;|MIor$7cmi=!0)8StArZIV-BG;TzL z#=E#Ojdy`hs2l_ZsT|-5R7gN678#kMb5yF$RKh7ZdjXfnfrtj516J zWMklrCCWMvKrKZ&A_R0$m^Zl)Sbd4%Vc6X(xBkZW0l$2wXVLc{-F!C;=RfG1gx|@z z$^I13j&^$eON^51FVQ=BUH`-A|K>L|#_L}60?~RNJO8aSUiba?^I_wmhVh9{Z-&pP zlEfw^lg(Dds)^;v4AK-R0gbTDuBjA+-D$;hfmP6nWKWopKv_j277bMNRQ#*qyfB#z zlx$SUDN>#Q*aqQ))KWH-Lhde(OxhhbFd*cb4~y^t}|hB zE9{MpmrE%an9AZwQVCRG>;)U2!2J8Tz#)0$@Bf@J=KtUAoo$R=$60{Sz24ZHwE6JH z4I87x=ZxKColx9tgm5fKZ?;a@MiEX)Q3d=+YXnpRB`wMiRHXXc>n*iOL_&lT)RuU? z4v@5hL>3e(Rc%zhR3SAH2!z@up#cd2KXPNNfRMmE&pYSb{mibvD*j}4@4e^Dyz_p| zJ0I`NoH<*M+%Y-c8`t%v*)?9LEA&`$gDYnyMwN5`dLSVX)?L(zh6aZW&pkA5WJ(bYD%l_l$zD1iL@M{3soF)c z;j~ef@CD}g+!(51I)q{CJUlUBP%@^3tL@A!q$%l;hC8VX2lu$|gH$CJVzNMtk2?(; z4DT{kVAvSM#N2=ZAINCDrGRBU`9(&;oUhn*96etZJzjss#sWMLmPeB06CX{CI=gOut?^mJapNgikY!2r%HtISMidCqLc&+Fy139{NHf?;IkrgH558@B{6%#|^VKn`aSEHn94WynL!c$? zbj%&W8EK-8oZIIH4;{U%C}(EfoK*CC<0J{ugp5n##N!~ws|rcOuyFg}QAdnBzLw@d z1;CK`zz4Rl`FE1HY?a+dHa(`l$;@IwRd3n@Rg$xdh>8(E*$zqu6eC`sAIjA5(1t9y zaSRpKmz>%64yB=1)!mN_=K3ZQa_0PP4nJ+6t-_FeT-@>mJPIo7CN33@>NZ)P-hYt7 z$yq5~$OH~bJ>zRR)IyyaR#`r{2@?%0CD)W}bc~JL_46j0>VFoIN3Px9iHrxt9Xsw9H{|7irAcg zRNyf0I#*q!Mqax&%PX@3cLmM9bP@U4?>vSSFObxm>a28321Gi{vwhwsv z#*%;vYT+X;ik+5{O{#q0+S1&cY7Rk}#If)9IWm3c@rimTr2{G8(&(q;)ANQH!-2oGat3Pw2QR1w58fbn|HHD1T)euh@GFRN! zOo4#TCf^zaXD2{;xHHY;JRBXfv#NGlC$aGXnS*0hg(%GHieiGpkYSrWClfPwcaD9F zi8>C^o!|L`j(Km##=qO2z>nXlYs+i_eiiOed|-*?`sC~sI^dtJC_W*OKg=t{^@*J^ zvk?ALU}>|FGyg|^QBw*_CptK)<{o_TBl06XIl7_PdDLJjV0C;ktHHhb za9DVxg{++S@bu3gICL4&w=!Y#I5|&FZ7Tchp>ckIXHO&xLbx#V0A$n(MeQ=G;5-W! zx_trDO{roYfK+;T<_wrV@K6xA;41R@W8RW-%T|H6?-U1qF+fl6KlH#B4)@^PhbbOD z8K-xdXI_Op%pGz;-EaPVtil#sw#rxy*X67oM{26^7~nq4QYN7;Z9e+GWXC<_o*~MD z2b+XrIy=1Wt2pS$_$V@+oa)9*f$nR}!Q`YY7fe_i#YH26^V|6MNFOsGj5*kWz`Qt( z0kZ;GY8BSjfnWl|vIfOGor&E?co% zn%VB8z&vSp4QzIdd=(UY86 za)dWm;}>{RZQUh>7QbK%0Ws_lKqee$40S7WIGh{Prs3K$eg1+o(SCGgV=u<;&Bn5u zh}}tahvh@xxMYq7j)njwAKkQ#=MLu>fj^&iVYmQl&~s&CfWN8i_WHC-Qe}4P1u7`r zaGImBBe8ZXM`E6gXKGOI0Itw5ho5LubRG~#!kZ7$STiAj{wp4T<;j+B|4eVZV6Ta1 z>EhROc8ZQS0{T1;NfuX9SOj8H09T%BgFjBRzLZ`(43(V_)iX;hV3guShBFZKR?Z{f z-Pf$-{rz9P?ZGd33TS3+58f6aIz+7twT|C^6e-mhBMygaiPIJxzVH^-Up~FL=<{s#c**G(*f663*X1zy zsBX~~MN0^ZS|uSo%^n}F-~w{gImfk;672w*a0pBz!V@;k_tIJv#p~a9B~RiGwjN>N zQ*J5__bYZxBvyPPBaxz$S1JT};SK-9F?kG%s3{Vr$xLw}Bl3t`%@GYs2*gaff5(*$ zY@_&UaQFchjs<~VF~A}8q)D@2D~bqaCnlgnVFA}z3kU|&74S3V=@YlPeq^PFp9}p9AMzw}aV}P4L31sbg^H1-}h3 zd6=HB028b3J5p5*RIIIqaIga>$(&QOTouK!e;(7-6W(=H_JHU=l$0nUM2IZj03I17 zN%3%v&4t|DQO*bYcdEpn*25kkYG8f9yuK*l_5KPa|MCi)EP!5F2$fFzW?0 z{0SW;2xbYln7x7$;_>;wJ0pn>7rZ44-eMs*2^9$Ptw@`xmB3ziiPyrLJ5mLSN{59Y zVR!g%U&b~peNi@M;hh=tuip|0ZlccF%tWB+%?x^Hb79Ss1ewqLmMV}xmi>bk(b{F3 z2ktQCP=zvP8ktmFlW0{k&~A5I{hKEF*PnQ&3$zRhVjl;;yjz*d6u5$WbCeANqDv)) z9oUS}B7`TQ&=W)=1ExVU^5&h}v%atw@B+jC5@Q38;zrPH8rA~?MQITWO+pl`0K|U8 zvb(!G{OltoN4;=^&Y2j7fE#iGnHYp7fP*`*nBGlHwgg3a>Qkqkx0|;2 z-mB+4v6p8;ZekO9Vv3FuN#vl-q}tj`p=XdvNtd0uP~x>B6v>U?*n0TWPI_W-wM^I; z0)|8?oOG$B*)vaHHsC1LojWP7>Nrn~P~&L3<7gM#K`?;Pf(`WtMHLS>1KCj`|E>0n zIG{u(;tLWoAHgz6gpx2kV<-!pvwi>V-R+m^c+XdOtGDc9lz#jcyd#F}_*mKfo(E6k z5Y-ECKb5@`B6T7#?8Sc)QH<&QtoVl}8Jfri@?;l>Gc;bk^>QEa*cVSf@Lmjj=Ic3= zGwpj#pLqfoe9*YeIGWi7pJpd}M^0vWlI2_^+MX(>yS3` z)02KWcJOfOO~D3x0p1#@rN;{Yn_v104{+oaT2N2>3S3xZgMMoGhNQj}>QGKDCLu{m2<;Vc0kbYNK`CYR0`XwH=D1#P7FCxsafSmFbw+Ux zVT_yX2amjCauKVKi7<~Hgg$O>f$vHA4Kg_V>w%L13vvuD7rNjx%HscIF5467WCfun^)fU zlQ;5{^|cBbBuouo%|DM3z<~W=F(n40F(>Z%w|5*_E*Zl~;CB?vW)R#SZl?FfltoN(bP<`zUwUQmG;9f>{gzz1sqp^Ru6 zFk2alZ@(Pd0BvSCW)$jS&+yhL0|iHTO{@aj^(ubd;i%hcZmthIgGPbtmKaR2#e|V*s{aZ^f8=i#(_Vuvb&* z!-PepHY)Yt4G{Z0YjAwX@ssJGK%*;Z~-^Eh6(-~N+e`YNzd-pKe~K!!1^d=9z+0Awi4)A z%H+oo_o8T|^1_h#5Dh^g_yH$WDRVo;Ugv!eu|EXNjD|X3j!WpY>;sxZMlC9@NDs7p z!J;8N$cXlui_jG`y!p?6DjQu{S>Zk?>zEqzJVbTE-e?NeFpHElY-^aBV5eQ}=wXHx3b$;zC~RNREZPVy>o2M>ILwmCX4x z#~thUt<%C?UM7SOcRjYAoqLzj7SQ#nZ|!=42EgiAc6$9mhxPK^JkO3xC4>TS)9Z5+ ztA)CFE^1N*_hyW=-#CA$p(UkvmYN*aQC+$k=M2E%?k9OfJle_zV8mi8CK0VX;gPz# zdE~Oirt9uod2&VZLiOsOj-AcXe;@6SUQFa(zOR`?zB}grSP!WTdXB<8KR zP0g*FAzud1x#)SKdur?b2sJ<{6KTlQ-RIsPL`#}_kaswQf&&uoNO+x0F|>tRFMH;fK}$SY&OD#J7$b&iw7_#1_{I!BzvVjM?!A zn_}DMl6a4JDhm4Y#jsp(h-Fh~45ER{JUqWJz7k=ivr2g$;hTTAGShOJro!$LvBTPo zUe0sF@$a(JWLX&X8p~q6SxsmK$n)Qc7vrsnJ-^%Ghd;P(#toTSlDpS=@weGwx^`Hh zm9>GE#Xfp;Y}x&V+-xM~IpL3>&7o%en3jVFHdyfd%L zcrjJB?ZIm=T}9x0Bi7M>ROE9igsXV^kRhB-RyY&It{LZ;TVH~)BHe_CxRdaF+FZPM z&H+~cY_d!h*)ds?B2F##RBGOT-S3NnSNrJ{Js2 zV83?yiY0d!cDX`jcU%y*;k*ANo;tK8yzp>n$7tZQ%AD82zRI)&DqFLE@V@R^na(F} zSMmD9MP#iS{_yXN*jVNdj$+U1!XAlmh}V;xZP?JN4^``qy3UqPz%4bkb84j5qB8vE z6Kh4EU)=Jlz5$Mns>q&qtvlx00Q>Ufr7ByVvc}y;g*kk7m6yK;ma~jfWtFhIJ)Wx< zbt~o`qGU_vF>Nq;+&zarz~vouj?TE2ZEQWp9MzF>jL33)hTc*5J%4^hpF>ek&djB+ zPx5Nyd(*aSo&UO*s=*PNj#=*&#^K%UVv}MLL4$zf6a&DBi7%p<%!Ohxy!JiCF5`A7V^-T$9V3t>{4P-h5hLdS zt~+FBFMq5S8(9=mFOQ57S&z?_S;51bpUR0B0&Rw9a0E5G;G`J*j8dqU9$k8q)b5HbDro){nDDnbzLtwIzz? z+Uu3i8q(M`EkAg_e^wXJ00B}Df1mx`r@(YQvN9thBO@atBC`rFyztU+_%AK|#a}=7 zmB0SV`d|Ik-~P?>fBV7<&p-dyU-`;k>yG=sdH(Od@|Car$hW^VqW|ANb$}cgKI{6M z@bz)PAHZk|Lv&Sib&bCnUEGX3j4m-xQgb6HQBUvvn{F<7R*-1&0(m0rTdIFzom zi_aZk4mb^Xz{Ta1b}{^Y<++$27uWNHz`cO#OM$PqUX>H>vi$IMnO5d0X)5!me+0w% zL`w@Oo}51_U7(cmTt2-xe_7v^fX239xDL^A6(Bm^2MEt1&;^vP=en01zZ9r%^?Y^! zB|SPPz{mjHi(m{;($N8wk5%T=zz+j@Bzn#a;MalS>*4z{y*!t6Gy&n19ICA)U&KFM zj{*Up_HG0&=8@6`qGR~1HY-hMr3-Yev=Wq7(xJ0(m*b;s?{Iy(RvCflssLqqJyRd5 zywZLXP#XlN0HqCs(n=`PmAAYWz7oWj5=y$tHYktiQ90ogAA5jg=05e zpOy9Nd;kasP|{MKm8|Pu0DcsR0MVv0zYUb>dZzn77=W%zDARQQJTQE}=OQ=;i01;y zLvb}aTGFF2Ay9hB4~@BUeBB4=UOdrRpzHkuC{l{F-v|C5K#wjWV~_9;*ROlE`R4%9 zqWcp5{Q#6M*$_`9|7G7vx0U1JrN+vW11R$f&oEu8ucWCwmo(^H(xK}r@NPgTMModd zS-6!}*74Pxmw>wf(IXy6_EpE_;PnG2pQ{aT2d)P6NObC6@*&+O7``r278n0~0Od2) zzdQuf12BLt^+?wx{L%n)Uk7x45D*`RVVE~ce+DR@i$5oT@?K|+UxDaRp9{)ytFvfP z|ENBl|1BU=^h|Q9vXTSQQo>8g#pU`)^$&yU6BHB`$0DUT{@MU^SMp0N7HuVzJRd%b z|HGhX!}r59=vw`LDH_VO9|KfhNt%)UDkKGb6H>cT(qlg zWxCiU8kAPT%h9Cg7t^6Rwj2u=^G0<`cM5bZ{qYXqPXNi9=3kv9lO>!TfbMl!rkCeC z0L|ILSEgy)4uhTzgW5iPUr=R6noZ_);62XnYri(ynCFqf85Qq-h z1EN!N$4?GG^N!}367;OR*7-{VxHwI82xJ2bCWfG_fA}o=1!bD(knCIHI7nu7U&8P> zz0|dWA72L4|0Rf?Au=v``OpAFhcbwE;Zd^a6Yq!XE9udBIQ`=5l7>>vi?5{(BK=u{ z^r}F%qCn}o*Ie~BVDkVz1n6FNhtB%|(V(;7t$@x-6Nnz!k0l-Audd6nQ8GjK(qB5u z9+FNIXsmxLAY1qM0FA>EKJ^lCv5x;^Kxri%pR_l1>q)m7rr6reQC!P0L6^{Z6KwSb-}?cV{i zTjl{hm#rpVDor+8Io6a<>3e};npC$y<5g!p(^>ch;*CJpvK@6+JwHDHUH>egv+Qcw z_BzW>{3$@!Wt#3wkj#}J87x6{3raeq?sQ-B^kO>HKGj`9NtblSM**GxmjV11@Y4e* z)1=GZG63=51AxvY=#j2PgP^q2L~oH&q?LUqUg^3#%P!OPyMP-2J-ZoDS~+K44`@CY zXbj8FkPN&Olx4*a$$?}^vafkV^NT?DF9(`41!X?rkuEFYmw{4W>8$i}+~}+}33R;` z(D@obvLX;2I%_=ZEIpw#opmqJwbDvZn)*c`delbQ&!s=EbJ;&-+G~L~0A;%R?KVJZ z0?l8#m%fr6EztG%0L5K#9M-MsJJml7WqqQlgfdNM)g#cgXi^(~7m!?)p!*Z<}M-Tu`Tx?HY%Ubo?LkG|&g z7q{|jMsdEt-#=kh#|g#tR;9*q)QU>ZF?D!SLc`-KaY!2Vb1!hL05y!tsc?9oPvQGU zJzS|Qc8v4TJ)SL6mbd532|dblJ=*mhkc zA5ok0I!MhDr{0O&Jy|bP!P#!?_r^$_6s|bpFzY+HGB`Ce?lvkCxW{eEot(E1aC)Fub|)%Hi8@==$rY>=M=f z{SSdlRPwS(7qg23>$jhI*-?_zm0wr6OBMPCTX`YMuR|^_64N1k-_jYn`Km52l!f6U z>+k>HhHt;I>&vwAz9FntslS4pylt;8n0l&JCL(g6=b4|RyWjo%D~5`{=u%u_!m$$r zXsfv&P#iQ))o5A+Q4qR;@G9$hrmp|eBYeCKZ|B`VJ+-28^iA+qV`GD1Va1d$6^vdN6`jp=cy}7um9P5UKY(I zGYDVV{&Psz7k~ZbJNX5@CQn4UiNS z4a%;6p!)JOsg! z1ydnf_GHuZ3kSlNzjb6-nO_q9`0AKrf1+pH%?Gz=vgrIDl|^oEj7sg_0MY2PKkyir zArd_rrESlNQyKKlD;5i_EY&_{aG!99w)oDr?y2rjZ8-?X%hR3SQ;}j#Au2yv1A`dt;ip&cpg!*3RF&+?7QO zv+M{PhiowQ#>S;}0})@s`YoSxI_1sFo{Qm7&}lOZB?Gyn+NP~9fBxkWAt3ybFP9du ziU6uCh2w5W2a8DEigNmB0PC&ym6tDnE_pyN384T?fpA{HgNOd~W&OB(6^-`V7{a`z zPkTx<>mT}aVWWc^$amqd4y5}f5((}fOC{f@x*F#av?_x^D8S#PPtW1Mtt+*1d1_^c zykxru^jB#uU2YxflE5$gkQZFn{I<9rJu!VUF-FIUPj=r`JDEhEJbF*5#An!lBw*JNB`FmC7qO-ZW`>vUPw8f1l+Rftg_ofTxG%f7M#myt{dF@v} z0@c$OLWoGK_Xe=Oe4@M@I;TU|!CwYC~1I3`rJxYald}&N6zEFXE5 zw0YaD4Pp53lcYh!pyr_`npAVqae-qqu(fQmE#(bzu{Xna8bXG4tlc(+=vk3crptoH z*~L(W8k#P8xf;Ow_kG7;k|&Km5Gd0yK)V=c$E?nhYJ5lJBXo1GMv_P!_vnKGVzDjE z-2e6M!)PvXZHtO?Lvoypry{Z!)TXIG6*3s|68F1*?P8xLzldH6fYE|1O~7&=;R@Xv z_>><3M@qe%f6CBW&mx`KH)KzjItY_Uv!II{GdSYRFb?r7)#%!Q&cTRV|MC;cJ>2=q z^&%*Aghg3AGhjmYJLre$YQfl8SvySb#pquodgvQ^h<3N#fXw!y7vV9Kq=o(szdfYYi}qc346GI#u2#=; zhE`}g>LhFfRHgL6IP&JzYDJj-h0o$7sTiFYdIipl2(HRwm9}xKQZABPv>VD%H>Bjo zbUaw>m>FXb;aX5{Q|FO3^>hsHLx45(fA!1OdkRe{gtp3}!oktHGwL&8wweqPlO3yH zFkLhCXrxxtMRnQ`eaTJxh-@qAAkj>>E`Bc)>VUxmRZ_O9tcspI_lE!v-4)k$vo_YF zDz{eexHCIFQw;{UO7ikG%bvXQZ16n{urb(@2l9P-V=wLejBh_7n@_yvFg|w9UkaRU zJi&ROKC{!fJE>uO)r=j$@i9T1)*qSPK&{OyuJ0FZ_!H3d#*mr1cnb-a0y}Bi$_7t! zO%bxqYvV3!H>j#rMxDcDeQjEU)+Rb*&X-OWNPDDR2Eb&6OOGLZaFTXo(p4LB*x`hc zYn-0h>7MI?^$&d(RjCr^l5FAOgLSG9+yTuV+dP_lGDw315B9H1zxeUjcOI603nc2#up$PI%!)6U+YaDV5qZ z__lNztlr`k)DJwo+3Ro`Mo2BiGpIi2`{lTK17X$dHS7`=ib6WE(H=pKxmzBTDqpW9mSnMQN|NRFBh#O4OkofWdg+gUpf}O~W8;HLc zH&&ep!9BOXf+w^_4`dR|DD`4RE^dIw?px4vs9LQQfl4PeLMmg7@FGLp=94CITAv@x zKOjVbsT7A|%nQc=Y*TVSjQup6j6EkMJ?zFs5Tw9HBL){dd$>J9b&zBnoVvE~Vhj$M z2_#ss%^!`0*$G-K>G*kjGICq{HLRtMtWSc)hCa7>3*x@~&J9)pqMZyBD@G!?Q2wMk zv1_3{cZu7y$WX|OyjLTe#|^u1jH;}?YMah}2Q#s8aLMU>n^eI$kY8nc&OetVe1jWX z<+(dhzWZ}FY>oZ{;K_?&&;m@;;#rUu#B!%uKX)CPpam`x)J4EU?|ntlQ9TXKpF;wsW>MKtHc%CJB|7Rc&wVw-3-#somy&iE}mAyh-jYMs|;2EY$J-Gfz7@CLy_p?UolRg-9s2I zL2ZE?1yNjxQY0xaC`4)4&MKZcVcb3Yhu?{e;Q-muAQoI=Jd_;BeJfv#1v?Z9P8Yb! zEV^6&p-*!ZMoY0!z66w%hH=EGD0>Nf!zi7tAGf5@YH^gGIAAV~HXKN44!L3M=Dw5m zlESw{9}JgS|Fd79xfb&vxe%-2Jm;=cuE2#aF|;ShvU4z0ZO+z@O@=i!xuCNcvFfbL zL5l~WPZymZTNh7^vsR z;zE4(!Jm>@Es3ht1Gj>eC>O_U#L1fOp6VBUEiPQNaAc_nH&hq;+4$J%+$~A1mn~%8 z!@=qpN!z+lWmiGe_-eMG@O`y6HX1!Wwi-E4v9z>x@A*Zku%A8pwku=>8TanOaYwStAN&wjgr1d`jlkMb5BT9w~5y-Lqf#fYa&OHj!lgVd|7Kb0M6o zDD<6MizaE`;CQr}wHz3@z_=gOV)eAva|T|H<2+UAXD_UJbRbC8B7u6-Hm|t7@F<2+ zr7&yhCe>785td^KSGW{-8lGKuyDeXcH}Wnecp=8~caW*FP%n%v3FZ*3To0jkzWZid zaG?G|4Bc^`=3+A!64hFeBb}P{zpNlZk!iy@uo5}fbL!3~Fqoir zpy64eAQVQmq%Cl2VPVtuwwG23^uiF>MmLRjy%zMhW9$qKfLfi2IH!FkJo~f1qGnp4 zFXW8$b z(Sgo99-s-QyjHmJg?%IDefU0+kg-tP_G;|Zk-K~$s38T@TdkrKTB-n1@$>G{7zbW6 zQmeWjvRhcG)^X+9Vae{H56KzMN5uFXS&1y6bSv7EDN1HeuJsMEK&>f3O~5S{r!Z$| zMHmYhltGGdZ#&9%uj4%W+(w55i*^~MrY0dh&;1f-aju5Y%1;e&T2jg-2x)=1=A;W9 z+`~^^myhHRM8tTZf=9@HkvaFuLUtq!ZVFrsSry=FBgp|-HMMJF)H`lKIXnv1+7J8Gu9TK`}psM)})w$dh7IzXiy-k zBK(4>P;H_cbDUA1CeV|dpdHXWh?bytB^w3g8$+-1Z6A@+g4jCB5uFl3JId`vbW=pJ zK*|>oFwY?WV6-EKvs^OO05DKmV)%F76aOPRDyvcG&uVSFGvP7Q6bn%_!rI>! zsqK#d2_Rh4TG}sU`>V7i4VVh{FSO&M1TOZ6lU-NrN|o}FB!FXhf>QiWEB6e0TDtef zzkcwi|Ed?vOwWMx$VffXE<&eTUkzBS(lkx=Ffg>ko4Peu*k93WFiztyz>vU#pxx{Q z*g!|clSe<2pu!j0{mOXU<Kc)dwofL{{hKZL8+8^;Sa7>>d{eZH<=>004pOuAJzN>BlGz0i$JyEGu+SwhGWX*2 zVT{wYO}oDJRG-rF4SHj#2S}YJEkE%5<)|f02Na*-TzIJ252)R(VZg3VrEY~k|7g*6*h}~W$zm0hp z(ku2%GU`vQNDq6x?9gpL`I?Y*ABBwTYnv#ETf;*&l@!maSo+JeZHAhBZ96p^7uztj z9%qaBa2!o1->a8ls-UiYC%2wmPo5*=PumHhV+y}ZBdR2I%Y`vePV3@cn}e2i+3 zPf<}3vPcf96Nwsn8n@i(KGbkp{p&G*SIa5QX1UtwjVo_bEnzBb~A-B7mLk} zw3==QV=sH*e|jwyf)PG9n7wMM9HH*+_UqP#%>ZWKBG#b)|;o1rgR2JY{;h0|Kv}g{|weEA{A0+b1x;pB#!Kf^7 zUt(1;R=tB|LYmT&$DaF6l;(a|w2?bH-g@%5*gljj)@%!7C%pSDulS}1%v!w-t6H-l z?9dyR0j4Iadh(c#m0`pznor6rMvRbAId4c&?v~|0Jn`yIc|ADWL2^-=y`7^^UK-ZF zx?-W-_47DBs(&)vQ)fv*;4~drQeK39*n+zch$ys?Ic> zrVbypLfoTbduLCfe~4PJ-^CtU#GuOKqaJs-XA*{91?JJh{i-zgYhi3W2spcZrw9%s zwr*-mVUCG}%YYspv?nZ@C3UH}fz4f@LAm1`hB>=+)1@@Bb#g0NGekMk@o=9;z7a1pXX%i>jCzXbMxF^cF1$86(cE znicR2XjV zqD3#;hPt^JD87XoBthKPLXs@^NTk`UX#MR9eyAM&%yr}@f|eut;b;*ol3n(LZ=Dg;=qYa;^eJS8!YBPkI8JV?z^9~n;>VWHnk z7t`tRdbX72lJCxqW z&un?6k#Go?9v~sgX4%Zp3i)U6K~HcF8MURu)hM_5siVEzq!4-voEQt&n zV(LD(tv5e$h42_ffCpm>V%43BhN@{C)gN>Z84KJZ93F|{D&d!qGuLVb9ShbH9{#OY zjhhLVZ>Zr-%ad)he>Chw<_JH!TL5x3kT?&u7<-bJ8?hJEzJgoLH_)`1`f3H$+IERI z+}c^KHhr^o8TSVFx{GUt(!l>&Gq_z^!>vJ7j0aARHM8qm zpGtpDPweUy_S%A9-xU4vSldg-6Aw=y>cb z@hv`%wcZz$gH6t5o$q;p5sHI@=QZx3(TD)J2JfZr@n;!>^S5UCLq3gbWQTN2MEoHL zsrIs+BduFEUa*Pz2Ta_6yMycbRIum*_v#dE4zyd7x!J0E`cH&&?_0N6B$3ngQ2nxLq zX>{B%t+xfgtup=g=YM$laP-*G+iGi@gX=!cE0B6!@>6R;@y6rDXY;guLGm9>@t+tX z{y*{#$VQ_3?B96o!#{rjCa%dxdJ!uLA_O%p zj;mtJZEg%d+%rpvo`v!i=z}^TQrB>QlyDO!g{sCFnj}l=)X=6*y8hueGf-swg%ORR z=g=4H@JSX#$2)23*{ z?clR~P?Vp!kEX*-IVwD*4K$k4AxnOo^i!I)sM!`;I|y42L2WsCw>{NYE`lb0n6bi(tb5Cw;^C6|k=zL9HPg-(jw%K35%YLSuLJ*;>`* zhL*)iOhrg2hjkdq;v6%^AlvW3eT4Q(1lx=I348`52tkc>O~l6zg(4 zT`mitRa^`bI8lXav=0?!kjY~`6qH@=LVp^%)UhGwi0s>ra@K#~21Gj2sDU5~fTch} z|940QR1Im^+@b1MXCuiul!V=m8*2HTuHc!qeym4n3o1z|V(=Q5;eeroWI-d9#FkiHa^ts{;0YSgg0uf}Qc1a`8Lvt=&{u%H0Cnij7`a>~ zk2;YR%!Sp;5>JWW;D@E@QY}RbSZ)+z?BKy#R8+hEu8PyiQ3ke-5Obs#ajVC+aa3SI zb%T>zc4|OjJ$8GkVLTt|CH1b?t6((a1d2;62KSO2O=WpRKZkMZ?*2t|ZHY`qkg%#E zg^3VtTM1O4H8iJ@7i3znHsMh=Tw&zQN(I*Ie2Z`=5Betk|2AR>KpV`R5<$$#iY~x=D6UER9;#Ldyb)o|nMzoUk3~4HR z+NcH#RsjU#CUOZkQO*gLdc>5lxM6HBrXhvX2dAX91fUmu;S1oiHE@LRFv8t~Mt(}S z5NeFOkO~EagYxdiUYeuoF+G?Zyj#6g>r!^n8s#c3iIvo^=2Fl5zjP&}qDWK~R|7c5 zCT2oaMk7#J^h0 zfk#L}uA-u#=!SeGWe!B9!if1%Ps0tgEac2cOUawI9{t@bI|^M^@wa7{=kAPjE#e|i zo0bSa>F6bPXm#2hJmDipA~Vr`AgZy1yB05WRyV(QX{j}n9y;9q;k6xyqkGrl_uh4= z-e1c71p?p1Za1Wayi@Ik+K=kj$W&C^9=iSXRPy1u*P@6BNu!J;F5wY$5k|r7?cat{-16>FP~bH*7|X5FJLsD6M7{DAROLDfpw)nDd<2 z3S;Tm2aEP=MXtsIhns-lE4t@ZbPTSA5)O&+mbXV-9tr!-WYh28vxjMD$Kmj}YEp-paT2&qr4rK!}b zy1jzqEcL?{gI{cSB&f}7mFwf#uFy+kVfS8A>K^^c3N$r=V$7N(w39wgrKYKN!T+UL z>O~zZeJ?LeY^AGhOLY))`H@^#|FSmAnm@FVfYepM&s4+lkQ#V+Z9B|mD;6u<+HP?x z&Neot-7Bw(#b(>shaU6QAj@PJJbnab6|cn_rBb2B1Z0Wl+^KFuU(ms7k^1r@QI5vx zO<@yxDP4|xYC{^Tf?}~{qYlvDg}=8Qe6^5bXM?wa?3?=L0VGp-qVJ&q1qdW)H2574PIgbWcIxrt1eeq%KT`4&xRcBPw}^v%Eg54F{M z(tf?@E$6>tbn(}VethupqaXkK`S{UgKR@)`u18s>zgbLOP9 zh5m;1tPRHm$x;>OkTaEQJyhn<0N(${Lm!Df|8lBwFqqDPy75I2y(qm$Y3601cdDgw3M#a+vUag6!505#YZDcN{0oB=G3;P2x{Vz)57YS2ll z^X)&~!39W zmsQD<ys->a<#hUF)~VMOGqmVHa1s8YKBj;xMlvV%UcMu}bcrs?aV#Z1K}ae||%Zo2!c0 zwb+z@&Kt(oK5d&6YN%7VRj=0JSf80#Mc@pdF86wg)4U1Q*BbW{iEnh&HH~h2N5wf- z33_QYtgy#4Som0l&a76KHlv|%HxRL9jIh@GcW#&w6^2M_%A;(iR?TYp=?ZC1Qgcql z&QvD;YmN69L6^2!i;}Pt#3CuQav3D=#h>J^<1SU%a+ROXP)<9$46ZzWtP)1-24-&X zTWlL|cJK8YUH^q!u9Pssr&^|w(_nusy(PXPHB+|2CP_3=U6v@Xp3|R5fG(?zuA6&# z=C`J)Iz6R$hAw#4;sxhjuL#KqI_~o}mmq5R5=j2kV32X2818hNBaB{-E56W?JR=Nr zUg{jkoV;)MbwDLtVzo3jq-}#B`gV{hHVsyB%7|226ecJQL38ql$H(r znUs6v8$`KmVr^3hM{Kbq^crm9_(7&DS?I7ROM=DwV<%hm@@B^7i@;BihSAs?^&9@N zxZ!8Tm+W(IsuHtr=7_i4OW`S;Krc*ZPK~Z43pF8}@_BPN&4R@Cfs@cOlfJ*j_qz~0 z!gx?s-Q4vOhl=1^t%mrTa5+^IgvOp=5jZ#daF(%COHrRAEts1Je(vIw=6=Epk-VUg zY^yj+^bEw9)>Jq4>i+sIycrgWC&chkD5L#|P70@E^%Fo>q%_ev7T20y({>hAlH5=i zHvF2|7CX5aizDw2Y3n2lvZe4$CEpE!{HRFsj9@ZSTa6f`@SkQn zNo&zh=&Ym~E9o zpXF*PTsOyJ)JPrj6O+GUl(cC+BD7R>=2@=^&>IwNF-$qp38*e&s~`U(C!(uq)8?)j z^QYoM)Qyt}dBe9=;2Rq?njcs~*Gcj$LlOE}a4f5h`qMpxd)p4Wkt7CdstSTYR2N;J zKKxTR881dJ(346G%)BdfqqYJ>KJ;i7;xWaT(%6QVC?0YwdW&wBO~u}vUt3hPE>F5H zLt`<5E#nov^}{f;REK`82`1uT>F$5}+Mb%LStHr(3!xKG1D(m3sXzdmuE$XFO>-X~5ygAr75#2c5 zi{ye=Yl|kRWZ6o(E4sciv-!-9#T(Q<77TK6HlFqo71t$n%pmP-%M#YDYdCs zfeNXJS~HE?(E~yKWW16cTS<1M*{*cq{w%{$4{FWj^gz0E2cGd-I{tKW{O(=J()`CN zE7`8(Z96KP{l&^N$;k?ya`afX*-saJ2{cv^Z9CNLOx^35<$F3;1rzP#&~8HWNX&q3 z9&GLknm6v4X?7yB=}fF{hhYXiF~6KP4gVV~--7h234==n8_s4T`eM>K(rGh+WURo? zKbyBaf=3Ug^!s%@O-hRBiyx`sRi{V~2HAL<>f!FbgW`#xOY@QV;9mbOr zF%FGME8UBJ{y05s8vHbs^S^S8b=Ni_4E5Eq`993&uroQ4Ex1B+fWs!?+UfS<`oUyA z?w&hrSo~OKBMtk4Y!`cf=PK;SrR!5?zQ3|5?Sd@t$M4$pnyZ7!M-2WHzR=ESY4)a+ zRruMnaa_APxG77vzGakaVh>u@pWVj}>JQGv+3xiCa?sj6_u!4q`<_X!oV`0^Gr;bI z_0h+(XR|CFrG@cW^z`fc+h@D})j{@fuz~LfFP!N*yR-fsulLeroUi43{>G0dr{xH8 zcjL@~blgpDj(4t&?ZMH_q^;`#B(Ir05G}WM)~|beGH3RTxW5K}9U7w#JZ)Ei#khwb z6v<}%E!oXkuqWG{spu{9p_(*zq%AIFvb$8P4`m<-Vtkv*u4h{PoA zHS6O6kGIG6Wi3S*WxJTo47V6j}~Aj=Vlx<{~UV|EMc-%Bv?RuTAMwkKOe5N<{Yt9k#9 zX^6uwe+;Fx;L|Oc(|cV&X6Ze->U6_W);eUKs&vmzfBC6suZi%u^+hgtH5NQ1Kx3JU@@Q}C4ct41Uh=QKUeo?Of_q=o8dt^cX!&Ecp`f; z>+l*yf9$5@CIs)%0q2LP| z8i}bo8FL;l#mv%ixks zZTQrK9V0uIHt=>qXDntngb!~9@5d*y)1fT%6OuC`9cCm`usDypT@=0BXnPhLv-qiQ zN~N-?KpaCoA2NY@TnG-Dx&1_T##{c&(4|yZHnT4~IOd#4-CLg3&lmDl(2v zoxx@?S&IoJ-TnDj{)4=I@Rn~Yf->c&r3ucQ3(B0h@Qc(%Q07-Z1(Uv!FH&DVDDxw~ zkEA0V_G>8iiax#=7|_~lB)6sbA%rZb;lMG40-^7uIAMg`tkcB}LoxIpe#C8f#bf1? z3|s<+Qbz|FtmRi`J%96slUG%>b60_xT@foNn8#rhc{8%Nux22{bDC|CBu;Hn>tdL4 zSggiNj;*xj{M2mAaz?fxoA$V81d~Sn#eOoUM7hYTNj}NfXOxLBDJ^PUpN)O_4@TIk z?|@GK(FtD>#>YbTu=hR7tK5@i+Q6O)N0^-;!%$~@0{;&0+BSon&{W0Qn(H1#3X>Mz z9SbppI;t(BVTM)udgISeJ@@mI)>CkTnJR&U#LPIo<}`yN?4(^{m9(;Ek_Mv@nCGZ) zik#>vxvPs_FU7e^J6Owv1%QShG#JC7N5mhxAtNOsMAMI&IGcu@kIR zqc}dmP<5NB4?_)WjtrK0<86yW&uQQS;p1_I=K{gFa&9=bf}W;9;5|UaZi*MSr0u_i zS}+AiE3q4jnRrea{CemA!GHgz*Chid#)&?d*4yrUl*I04y9#YPu%_A!RTaKP1ViD3 z6&@&a`A^wFNK>{|NX*$3EQ?= z?s>j!%oY!+g|FM<2Gb%sZ`7wO{$~;gFnKBNM*i~$*Ty>N_( zmJ`GLdFBcx#ukdL7c0uW{wHS;bI*zL)SIB!xC|yGH~DE(j5I0*d1D2UK++gc_*QU( zJO~Q?on+*;Jz;x>@VRxDG}aj}Hf?>s`euY*5+`&8B@tUTwNM!>w9a^g1^}Tq4}WP> zXq$wx*z7mDidXa^m1BWhI2GtPRl#}?8`Df0X8ndfA^prjY80c$ zNw6rK2BM^mGY$nw3q7)mM#enj&Iuojc`|yuLJp;C1cmxzu8HzMn3SxRpdlO^Frx%f(gb2}o792A;Bv}-U=&9nkTdAMV5nY}aOT~wYNQ>&^)u2BsR2PGb!-Ty7H6zN#T#X~te9kiQ_-=w;G=%C)A6W2xaH>%?3JJ=Lo!)U!MB0}Q_6*@`S z9+SEPiWns7kqXsN)~d4HKEgH^887_et8+6g{^wrISj4&;>w2&)4{-X0R80mNzU8CF z>HxqOx*VzveG0^al(ADK{#wO_R2gS}W4#;S{TABE}{&qYKwCDjn&dXdvu}SptnzY{;f=;cw6oooEbg%c+X~317$DmGeCB zFy_|Z@Vnt}1P`0{7;~CkfyaaEE8lm2WpOpSK4>mYO?ADMo6KT*hS1twaj?VOd6zkZ z);&Ijw4?dvrAp1ziFiKU5l^k8iyeYErbcKmOY{%()5(rinhDV$LL&bB_DZ7?x7$(T z&$p8km0)wlALR*GObW3+laAdPG>LK|^Z8nODx2GpHSYhrUJy*&IDHLbv&9@4dpem8 z?u^{6(Vf4W9)IK2aeeH>=4;INQTG-T{0mcgP}ntx7nEIYx1z`-QR@2_l*$IetRq=77J5_n^UBU@k%iiw$N zPI0R^&6ugyKl-6wJH{%XT#HOKo|(?xL16a#`S`b@Gtu5W#)#UG(lGwk1h=|x|Kp|c z``NmV7vaRg`L2?Lk)u89+fjMKrSjpo$TU>dHh zOdf{_dChc@YP%`res}8a(UO?hX8-SCk&wU;ZDC0i*m54OVCics3!_ajCw&ksaB_lr z1TAv$$*;}!v%^zf>#5(lDu5o-Ae>8w5Hth#dI>!+YS^5S z)J}D6d+8zsigf#*L}|~4qiF-V$fIQ6KGH{@oa?$UoEV1q^;Fi69p?=%Fkdn01aWOV z%`njqq%*;><=|=(oa?$YDZ-492^6MT+e;GDIB9r^qjT=72UM{~)izF~vMTsw`zR~P zP9X8aeBn`VZ;-G_Wj^yyoe__m`c&HWf9E&CmRH?FVBBRGY_1w?n(R(r@$Sc$dCw(s zvPr9HtJ1W4So`H|mpQ`%B9V|n$8uy9ssUYKRaw;RV_k4GW#W2`rS z$nE5qAr|O7dx_!%5DC;%@eBh{cYsXV^S}yoh((^VPbDQY9ylJpRAuAYH!PgbcB!ii z5K)pcIsjmJ5$yh?`;)v7+S3>@qx=s~2b0ZatPVeF0(vXG2F5wBn2=D&xtJFfb;VpKSV%$*^F|cdl7!4tMbWrw``Pop*(Ie;9d9w}pKsvB_Eqy~(T19k&Q02c~Nn4FG73WlC{!E2=ty(vz{iaKIChwP!tATL(HUcW-50H_E~2z&4>kP$kJ?wRIAoHu{xTxx@4{I6(V35E z5s?~NRNcnM02_$28$4WLgBAmo4Q@h7V_VsRN2ic&pqVS{yKjJJpfWZ`Qw${pJW3eO z>0!6dm{7r)O281waBEQ*&bw@J^Aqml6)dcVvj9NV}9OwjE>q?VILep#2t)D4N>;T(cvxlzAYQ= zN{61Ec{4?8G5Lc=Mp&#Hc!_D6U>QzF$?15)O$c1grRsucPr4^gBr0KIHtdJEpq`|i z`>|bG>d@;et?a3c7-%vbo?gl0PDYdYKn@Zn8Ejz6_)Q;H(`yOE!9n2c}xY6VhOQUp$po(v5LU%w*D=Z@UgcvnyCiQfI-V zqEnSz!G|PsFoTE&t~9&!g&Y+1cEN+#OEc#-!_F(3K4Fr;A4RSk%%LW7VuPwUPAd^9 z6a)cn9voou&0zt}sIdob0@m5EIAJo$PVR1Fh#cEqR zDa#pPU5l`{y%;7CwM#QvK5CdCkc^yYQ|?7+HAR(aj=YzLx!;H*SnfDiQ@!ZSHS;D1 zFq5;yF$q;Tto(_Cizl+m4c~qxtZaCrUIQP74ujK`Ws~FVG`$1qO%tR0;!`Gzvv}Uk zV$6YB%qW|awprcW(p=wa5d)t(kpK(ea?RWi6O^Y{xs&eQ|Oyo1kh!8$pF=MKrpcTsJlKxtNaKL4e_|)ZY@^ zWu95iKC$ok+)8%m@p$oUTDdyTPsaPw#rt=RKa&2DIkU1gNNx^pikiy@oA<{v^AE)4 z&>j7QyAB>o-`20}I@sFP4AYa9wS)5y>`1WF7CN)tgW0_H=2Ws>#P6GI_sNpQcrNR% z5E1gzbe;hjn`g4loXGH~+m`yp)1@ZSy-+fIsIairGp3R-CbW$qX9$%N<`pERk9oKr-1ylRt z%}<(tvXB2jE}5U}$Ga-K(q`ISJBZi>-xJTr)B9S^q1M&e{HL;GE4$*Rzd7^4Qt@wz z*`U^qpGhlcvT!A11ohH+#+Gv|o9mwawcm8`Bg|gD9Mj=2(cCxXWQ2CuHbd$%+hzh> z?oEVs?14qqss5x{d}6BqPj)6xPWg=|r`G1i;ni9iTsPP32f+<7nw|hFQW!24< zc*ElTy!X>Q$=Wx&c2-cPNu8}ryW%?UB$#Dw$6>3^VSD9jJ5Fq}U1>`2DE8;r+2OZ# zWrjJc?(NDBqoIlaoX9qveZ!wQF_DRV)??bWt!k8jFmPntiD#+I_3 zA3NbL{@g@kYHeb6$q>z0dFRU3tTy|J^yFPXGyT@*mb1zB(#r01ZSLye;dt6y9lR?$ znf15t-m;qgz%x6KBwlUv+vXPf+pn(lR%+R7|L)PZX1Cxv*Vp(GW{Mv=E|-1o!3j3# z%)Q3`w+nmOpunC9t+x|fV5`jDn<=8r2yupvU}KJHel*huIJHLyZ}UOZ=-z$J##ry5 zL_~pcaFZ3Mu{Jh}yGLlJ%f}Vgg8256W^McIW+EW7&&|zkoi*1!o2Famb}p@a|C~dE z*WzIAOnm6-;CtCxvh(TaV`lx;v7`tR;+-iAXV)07#3N*-Z&_gLAo_V*IyYZ`k$eAtxd32DLpSk%%FZ@XGFe@!9GB znKX8lF|khSYc)}0nw7xW3Y`$KpC{C|Ei+EQ1j_@wEi!6SF|Z=AhrZnH;3v!4c# zh$Qb~v&bJsd{^V$m5q7&wrorMvC7`;u#XfsA!xRb-i3kekYPX9SlG{UUODrV9)U^6 zVUNuzY$1YH&!k?yLf<=xkCXM7`nzX;;&(8<5LSoJ+l)25#&bq?DvXWB*(GM00n!*D zs-56T1KIJdKNFzMoKuGPy7INLTjnMSnnr}GDxOJhkGt<+dm-zU{iuO~!S*Wxbc>iU ze)yg&_L--c^SY3WK4=i0N(y0QsiHr{?inVOhaiu4uOd+POyg~_6TdTs+>GvuOdLrD zX9FnOmobr=iZ{D)Vs(0U>5gbmys~BE>~G-`#SVtY*P#-aBeT`vna@`h8E1*f`BJj+`J`?AAp)7sKF()8=zP5Wxv?dLx} z2c!H`XB<9x0}^J~5bf%p!t~L8z|_HXJk@1AHTIzc1+@O_zl|}n$C#ObPcTDDmTd6| zIi+52`qaI(>6N$^;N0#pJ=u@dYI2PNR>9+GoOygq^eU5J&h*k+H8Cbjm+J}pY9@je zn!TmlX?Hu?RwGUA)O{HfjWe@&Vm!T*X)<$e>9+VB@J39?+}|CH>@froX)(KZ-pK#W z;W>A1YLl)w7np%0aO`k!4l{FRlDpDR`rFTz_F6uW1Q+8(gxknKKG9AwN!rIfr`~KAwotAg#e)+FmBy^2! z24M0kkN(%INV5Xi7uq!*h<1^3%SZL{n#S&t#^IzoVSflIK0v+N8`*hUlbzRiJzWpO0_;i(PI1R<}*tm8G-^i{tJv|Ab{UXr(`I_4mv+VI)@ z&~}N^9qo7lR(giBb!V8CCw+;BGk?6p_a&=dG)IGd>-c2e6Txi_kJ zByvW`)|iF%iod1pJ)Av;MMaF?O!KYm+LrDUX}5j!D0{*w7fp*s=lTkM4#5Ri!2z7! zCLR_EIn_1%0~zgZg*!6SsAXOM-M{JP)0uI81Wr$#dA+k(xsSK7ylYQaNADZy$Bp~O zW_GYaCil|C*gr~Vw5$0D+DY%{g{IF7D<}Rx_TC3Lj_W=X>~1#cmI6C7?bh7O9FCrD zHpa~%MUxP$#qxZ1zn(Y4hvAR}AXuJa=^OwWF)$y1g7$?9&Cm{b^_Zj;)*uOTO!q>^3dE?c!# zcT>`UGt+-wzrVlV_xpa|Jn(17I zLqY29T-pHY;OVL1YQATs4Boa1=8R~i4N|$(Z~J8CIF&6*hS{VXyWYrNsym=!VdXo7;Pc>A$n@^U9=~_m1C) zPJ6!I-eZ9w9!Qe%PJ3PNUXr@A({x82vt`@$?p3$RE<&lWsnc9U7mX zFt;LieRcCJ&Ig9Drwk6-M+bc4FO zm}~|tS8u`}F3$wYbL5IUj;y0CZ?zqpy3f+0!=AkNeH8#5QzBL42OQsBwoRePd7{k2 zZW8w!=xl4Qq6iIZysgRhiWLYMY(PXHEi~S5q+&HE z#mA)*DA^8t|AfaND4mZ(A#HVy?*$quliS<~wdFl{>vfQq;>&7%ka zXu;DDQLdH-)|NJ+DYU2f9Pz#YGY%$-Q0n;wD>d97$bijzpw~!LTZ%{>z@ya5gc%I8 zLT&&aJgOIJL9S|6)u1WaTmRkr6qqWQc_Zs}S9N_BL_m0jLPO25uS?DJH9yv{`0kUF zQUsx1Mcs%h!zd&})&TBt#05{Hw9c6#1>?IdsYuHGVM{CpPBE4K=KBj2SL_kk{^)9<;^2p?tp}78*6oib7LB-9loAaK?XQT@5g5tZ> z3yfS+WixUO5t@1wOjnBeSis9ro@C#rITY zGI9`zb1{8Vw7%~Ko^xgxlQ2+K(S%u}Q*v0V&>q=M#GJL;6CC~4R#UMn)j-ZO%tnEK zQ@9bHRVtAm>!DFGvcr}+g2v(O>V+RqA^JnMV=oO!yF1Kw8nBSz10w(?Wh=V_b2}y` z7|vM!b;cAfYJkM4>{>`!JH~q)c@)Un2!eU<%1v>RFS(O~l?|{R>713jN%Oj+NQMAS zamX5#DF!~OZyDKO74xU{b zkSbgpj($?@a+c!2s2zb7wQS^LjbgzY32HKd-v%+0yOHXkKRYnODOSsb+8VTko6N8& zYn4v>al9DsX5k*Mr4@Q!1sxwwOn7rxF9s78*u4iF>P%rtR+3lvqGtnE+f;z=tB1iv zLd#Rp>Js4tSV00bW|7vj(}H+Td%B$1OY>GPkxpys${INwjZ0p30k&lrMqCD<>^P;R z3jnrgk%G8tePklM%WPBQVs4mmX^O9HJ>=l=km>B;b}mL*W@pdyja~!n4k46Oj6UJO zdJ&3fjAJm=i$C2(T+bbQS@p%n*W986@h4T?_WbS77?Orh$UB^c3{`We!ha zaDjPCiIS;}Di|lX7C#W4!Di#}X=e@DgqRjsF3oj8y=8L>V6W%MJi60vbPIupzaHsL zi|gGI=Y~%VK#Qg_2qy<6v4nC+M#uph7Njs#bJ|Id-Hjbl(lM5Kz{E0$mcZDQqNN5) zQv$8YG3%{?H1^$_@1y=iq)7V_PTpLhJTP1|#ILBfUorKa`Zg`R==cQIkr0?L=h+%l z1kh1X(7mvZQ5s5JI=j7t!x#f=6SBC4VXhgKBU+eQwNr-DQ_4n&c%@W=l-K2WM#!1E zCfKBXCdKdHzodT(1gWblm+o(yy(8vNw;&Luut4u$PW(L z_Z~Spu*=M@nD<|Fqd{I&o0(S&Q$l0Hy&=1wTb+BGTq#7?W&pW$Df>#`@|vPe#L5(K0kBE;w{;s z)q1!1He!UWsa~T?PSWb@ayfH=Oh&Edef&hXzp+@o(!9~U$WPiQkDIltykpTozI3y9 zgR(z4^9I`W(}nz#gHH^XuT{p6ZyR^2Q_FIoaxjZcqjpX|k|%FQdp>ByAiQL-*6Z>NXnZ5D@(C{6AAa(Q`^l3;<{zecH(21AXUG$& z#hFtyzifGx+k_pc4bBF=-Y#qF&enZabK#+v-L{m!=H9c&_ftNSex0ku#urJs>J3#N z{EmFQcHXLA5l>sPx+lJJBYuI=I%_?Ct#z{!_!eq zJ^YAh!X1=NKzl5cobf=W#(_UhiIZ?Qr@F7Wa>WEUuk!oR;{<=EBOG6OxFJS57LFWw z?m?UdvfCqK4vr>HYXOa%>b}&0OM0HUH>1zttZ_MKy?gxrO67)ljbG?Im&sh?!;;_~ z@Y%zyR_D3GjqZiED{s&X((RF1Ix<8*bkC;J4}wbJHDm;ybE4NCO|Kj|x#x}l!F|y5 zO3yhv-tS)iynBA|_w2F4r`^4uYgc~LS>1Ms-sTDV*HF)4NvrfcvGlo9(b(@CD&MrE z`3L0=5Y5Yv2HS2Ea(R^v@BfKexl0ayvwKK(oRjw*qBmrRHINg7tI&QZO$wDD=qxlC9|(GV6*wwa{5qDZ@t}bA*rg#J0CwK(#!F| zvFvTxe*XD?u+^Mx$J?!Us?c-#<9;GPQy+e1px!K!M4Bc zd5y9B-Z!JydgQf(lpbE^4wSzk@@R`T?ldk*|Jg3*$ww0WcGJ1gj4pM2cZ_P2{0rJu=$DQU3mu@cd^38H&@)auFf}j1mjJG9YJP{U zVDF`8|9N>O-K<~=K191{Iixq7^RyDG(5TIMmuoCV{*2WtTP}ZxIcljhJ$y<`$%6Ah ze8{yR^1~_@8Ofqa%h^A+tXF!SJh{sBIq#M3 z3rt$XIQ&H-PsIAu@$=8$V>U@A-P*(UG4GVPA?0zL!E(#3ZyEDQnXJ723wOCYstK#g zNm4=gFo0hJD|?!?E8g&_<+0TRZecuDynS?M=SZSGkURlyfK0}cF4;^)6CN3DA1bdn zt!3tU;?V_MCulxNc&84;x$r%q=3pY?X%r$ZC;4_NV6N=r?Cb{6HXF5pn~U5d;#RL0 z+>-Ld^J%kLW7#PTJwTt3nYK@+se-!4y7Umcb@SAyJMf&-f+r59=27_o&}a>#W}9|- z(IswkI>?T%Ht*g$Jry_rD-~s_F2vGL4L7S;`k04nvFz8$m_#8?iDl+Emm$kRDspgm ze;S~pBQZ9_1Mw2WLj})G!`{pO9Qs(N3{7U8?1wNj)C|sfku4`;xpdDQjLcfk1E8v$ zBd@k)XYgeDAW|mxy!@qCsykg^*vdi1zfV*)U#Y{D8PBZwT~j#ax8WrW_?sUi9E^wg<~SHbIa`LG0!M<@{ZtH zdlQPqs<5n__U&qty@Wh|26IMln|dHcI1$6TYZ}wQO|xPw4$u|UO8)J|XAZT`G6e`Q zIDqobYkPe&3RcrDLRjv$=-sLX7TJ8qb-mFTAT(+gNVF)dY)c~Cj&GQS2jY@0kkPv0 zAT=?omHNmjL6N!`W1Sam;NrYsdYuxS^(>C-Ov_yKbELT%c(bA+VKjm|$SMmSdJhL_ z=`H93zoXj2U~je>S{eb`k{ zeF{>Ak)0_NhnAW_tp`X~?3hNEOs&ft3gsK4abpZsgt*cTp&q1_z+j^>G^Iv?;H%Zu zJpK{V#iR*VS#A#rCdC@9;3{dXKzp+-RlZ!yCwPD$I8 z6b@0iVv33`Wq-#_$>@lXJA!diV)~j4d?Of@oWsI|n@~}ORr!iZtBzh;43t^MoK{x` z!&S)Gx7A=P$qchwU;E?9*agIz_X=Zt%FC15pqHvS$nPYhz)|i!zGRLTa&Q<7x<&+? zBs{RzT&Oz|tjbaFiOf0akqnW}bQcNrV|)Zl85`*g-A`&zL?DZ-paSa-$OX1y%DEmA zGBeLq1HT`K2^zG4%}$CYaO7zrz$IFjKg~(TC`xq>#_(b_nCv1X_&Aa7offi}{t&s4 zyRcGz8h`UolGpD)Pakf~$s`$asxjA0B&sskYVR})C-L{GZ(M%Sn-X6w{$v{%Y5V)b ziJJ9Rnf!e-x=rL~@sH`~)VrYT{nz+A$1DG%bw5rA?20L7L*07gDk$=`y&Lk@KgvZw zE&PvPWCOkZ{_wB;c}aflua)FSxQGY4;|6`_>7erN)8O+%cSTAVsY#v+;6_D}a8eQ< z0O^AXI199J$%5Y(Vx9uTs{lj@^_&j3Kmk&#Z~VXUAyMb8<&Zv!A3|f=i{S_WVhuGV z#^*r!7)yS6_mvP~HeTkte=rvR{RU9#?~t6f_)=^OlRGDw^=FFqX>*RogZ&_c zQA+~HlpbYfHPUOtioZStnh}%fdQZuzazypcSsiQPkE$LVVlRTT zil%D1`aFB(e@2~}Ylw@qH3XhD0YC>(lPmMVTeb#I2sl@Gkp-w)X_f)#C@dTz#X!$Z z3rFncMa>5m#Dxb8jB|wJk$X+QUl3&;R`c%I@a;s2}nPwWx1+`YQkr4tkL~04!r_w>$0%IX+?P3r-RL^Rsz0-W3oDIre zdPa=O259=sdymNiagui1`7Up${xb~P$B`5h95BOCdwt@ib(wc}-r-h5i;wWP=N-=MAgxkVIVmfEhyeSR1RhK#x6B-XUOQ#9B z8MlO9p?HUZb7Hh(avGHsQHLUI9-J@cc{fZOxns%7s(T>z@kP9wB32@Nf5Mg*pA1CM z-W(3teIOTZzIES2IZy0$YeUZ_{;KI4h@QYE@xU9z zko0wQH12T|pqcPpy`Y;I6H9J5pekh$@ZC*i!vRgrT9+e{>;jBw7Xhy!)xWL#uIyr- zzPj>bY&4j}v{kucvD5^zWQ9*9+)>2{7T6fRe;|cC9-IxG|?6o zhR-~kCn-{sDWZ#1tSdbtB~vgaQ{01go(g6o$`VtGXJ8DcjGX$su6(GvDTfdc zj5ymb2i1#iopeDu%4-buZI9-muV$_TL{}P)E)`MTk};s!b&;D)kaEkv9M9e58#_cO z@%TLla2)QGJMDRE{G@9Nx;EreXVl|92Sa=sisX7~-Ay_>>Vac{NaAuiy{V@R(ZJNJ zgqxMNTD1(*Z0L9jbQ=b|eTL>Vp|se-Itka~urF?rRWtlBGRNbvEsd*>Y_gHc$`b0R zi>^$h$b-!8X$6%I3_F>-QN?=RsKRE`VJdlYaGnUPFQwSl1!M-%cDasKCOWi`%EP2= zNP$wI^Aeh)x&#AJZhS(Q3XCwJ2`lK>ii|^IwDs~k1NY3k0;m?l+G4tL1hCztaL~;i z?znY<2mtfYN=(65N_#58D#ml20JE<$(k0LvfWaq~gi@NaNDRgniYw6lCIzM|ELI8V zOBz#BkzlJCv1l-(t>#uuiQS^OM7O>5=>kwphsy3~+P2nJNtNN!mBdDC`EQ zDokQ+Y-xx%$z;Yy6D7iG1c++m&d+^BS@T8$4Kx&KN?RhlXKMQ*9{@L# zsR=pf<_(EjKsDw}M0%W*fhd8>F%W{15vp{a(*~ybE|%nmq{#jEQ6Umo8kfA6vxU%Q zTSXhRg)0EckxN>LjSUgi_-~HoG^&V)q1Lh+!2lGK7TF$gB?6hQ<~B^j1eog} ze5K@332;H$$)tn;ub4ph^*uN%t@Av9G)O`Dl zhnjFFB>G2A=Sep)5em7a=CEYL8Vv>!+6)m^09)Tc&4`@Q(lLQ5%Ec(+Pm|cY`ls*x z)RA(&CU3$Nt3F}XrrqLeD?w$^yVoJJ_8b=bvu_5EdpDa-H?xcEbG+v3{9<|Jh~swV z+~x$o%7ZK3Of_O)fP9DF>wH937pjO=Ze$KCx@7`I1$0@^1w)3dUt?Tsow6@gq)tCcvWG>P&5Y)SH=Xl-6gem%Wd& za{agzUNOz|k!BZB;YWL{vA~-{R&jy9Ob_srv^9ney32e*A}x79m5Eg(ikGbi&-1Q# zu)ixVP4L-$#l}r}x+V{j)~{VT&4b2N+&a(6OdQC-Y4o^g$ekVD?nHw^eEGJzRsJ;d zHo)0|{53w72YA}NlMjH=etLTE4e@w_p4P=z%RojFf zh`0>Ab}D&?gUd@2UmRodachy64Ued%s~znt<`}S17KG>K5?osRytIl zFz39|oqB!m_m)AaTX0Uvw+rL#%P%^=KKuYHZ8_;&euy3Yf#)TE ze%^aymGz$|W9vs(J~x+bJ_&^Pj|mXC&(!z+aZD;Vp(8hXC3V4?>Y+Mr_XDFnY%P-D zuse3($j$VxkpyP}AQ!W3ug2+{%w7Hopi;f;9s>A}wYnC7UUn)PZyyAjahY{~sxU6D zr-2vB%2~E^ERMJ9r`qkl{pssvFe0xM%+cj@mJWDY%e>*m19 z&z@?${|+nLO@6-q+~6FF36ywxYj!{T={hv7%&&FM2OX#R$+vdovtxA%m_9Cberh&q z0kPcD+_}QC<5y;$!rf3ZoPZ- z*j7x6>>L>b;@W$qr$1&fc{6&rn><(3lV5SLTiI*Gukdh zVl@u-uABy4^=n7(Iy42Ccg4B(2Xm1&>awk69}w9A8#x^#QGAv%(&ieucop2 zUb4erVatiwa84LUfADYq*;0BxUvipTSL&xC&3@|t97Y0jImKT*k~B-x7+pQcwrg?+ z1D&+_$!||PC4DjiU({hGsVR?XOBFER+3Bazs2};RtuJ#Ln7UmWJ+V7cU$T}4etQ^2YM})CaE!89OxtX zr6Bx{#;1A`^d&xeg^tFf>@YaPj}g9#u7tj#%<&UO({NJjkcK_6%I1c_*}nC$4+3tL zh&$Zv`Qr|PGUH5X*5auhgR_7u6Jjm}Lvj3h6grrA%g)lk#4!Mf=MaKnQpt^739_Gv zm2B}z?z$TkV6a0I#XRss1D|x5Lj775A7#mXoDifxHdk4e{#msO_AMs1t>hI%ol#G? zkA~#=#D+K*8^8zPur9?)e)UVaJAe2aqb|BZt&L}NOV(?#Y1pVX)USc;bC(@(Z$VcB zj1W&7E!!y_hr-P4EiobYyIjBq*w+JJI!24p%X;`YL=XW3bD?ltGY8ZagUbN$-sTvF zfV9^+LN_Q>8}*3k%Y-A=pl<=Ok;-+cC&}DG<#<4+Y4DHz!h2IV^etr$8O#OEZ#d(t zQ$#cufvL(RQ+~Bg{Rb%#JdSpt^ktbjeRU6)N4_Er*7Ap5!?QKyXn`Jzfm1n{n| zCby4+(Rl6(3a4cHVdx492S-N0SCeJHCqO)fvIavl0K$+mf-nEf=H#j8o%Vf%rZ__4 zoM_MkarJ>Mr12_GY*wY7;<|&3Mn|z`8o{EQOD_h&)woyBiGCTS4RfF1N-M3m(!^C+ zNjPJ079g32q9Eyy=uIYNx4$Tv*F{H@LE$_}&sddhTGf#A7nNY0to2SDNA;|ci%WK> zpL)J8`jpg0^C3+nGe!qb`@rWavD@lI0;mF@}fSziOPG}OSj6DFa9QZzraM9lxlJg9%!KdVuXj(d=j(zZMLyA7ulzLkQ-eGwe5=&K} zvRj6KEs5>-k+$J4#<@HHwL!gk+9Y$+`oL4zmEIMN|^E~jw=LvvY13HHB zwu>Z>GhTW7;xoAz9VLWhj_&JWWDAhLd>2YNOh1f}xq?VZbtM1*$bGLv!~Ao0&W@s{ z79_p^PU8VA5;u|0NyNl9{=oMMU8wsiJk@hyj~64&Qo7J9Lf^|YNj zuJRYJevBQwQt$rxi?9FEKj6yd{?e~8-S`bDLki4%ylx$RDSXRzEaIkzoPpXdXB4j3 zFcSlx_uPw?moF1c_+Aj`nMcdH2i?5YHitZqzC1qX5{Gof3`l~ltVRzzdm9a-e5aD69~Yc+5j8whnz>yuS55FuZ}(PQXILy z40IyLRzs1bGa|td(-}QyS%`m-Q4D33A&2uEal3rZg3ke&KZJ0!wHiDi7Itb;TfBG8 zC0!G)D8K~U;gWC7WXRvQh4(s`{u~BK=$jfeAzt9_N{QZi^#My5%8{JKZbV zY%p45m5Y2fknJ}k5y4qsHF^1UDdD%3jT{=1?GtD`WPBPa*H#rc$V)aDrs^ahs{~zv zNKdjo11NxTj?b`RMagZ~iGS_!yZkd2+eOT3d0NV?jI{x8WhbO3ifT&(-slEF84^rP zahsBz-^r{tsH$-0TV@^eqeqKx3OMOhFY7^y4`Ub7GQfeUwk}JCNt(=pBMP)Ud{x?1 zzRrQFjSr6VhOJjNRn+5Noc_pgvjggC9INn&QEB&k+Bu?4246U@RqY@!$`NI{y=FEx z=izMT-Hr`sL_-?9Zu>e*@&yg07;rX>I>at70lFewV_%|9FhUaAB2e`};l&#JB+$U&aivU*u36o~t1A{a^UT|PRJ`CdUzi~m$OIo3rf6T_ z+ox!hyEg+qTs!(A;MFD5ynoUC*Hwsdcy;1ia-0BnLIKFh$~C|kTH`5Z$} zxWc!BSvj%~=yz(Toi=z2X?N4qNwG!V?z(Iz_-Z2ipyaJZ_qRLd(sn`~h|^8tsnf-a{%#sZe?5o>+p?|G4B=8(n;4+Y>x1VEP=7dQru{#EsHM@(F2l) zxd8ZJQDqchyGBPb{&gy3>Kd4x3X>RTEnrXC0A^akjbvrdg;M7kIjm%2d$TeRI;Oih z0^+eqzp&buOS0KTZK>>_s*G~~^@|$1I!zfqxNritLrx_vlnPrf z;0r}*ELgILSh{bF3;}nCWN(62p!~{F6$D}@H)Q(jyw_NWbGkXq0L{k8C-5wTna()Y z^2dI2i?1tJ(q>-IYZMO!*^C5}rgj3~<#d)~8V>_|QfE3O62Veh%oN5oC%32X-KsHVq_W-?V zZvin~$2~MZ&?z3m$JJq2f+vaR!3*w2Pqy_?XXvb$?eVt8ih7$dpf5_!UPgMdky*e5 z6Q)@QGf`0!4FmG;wh2CJ*P~zf(@nYGWWb>~!zG|kD#u+MH71#RHNk$tR=7JC7>;1Q zl+n;Im0>|ch3h5-LhidLjuOD$g_;;uG6o|gg)X7^{f6J?qXl%Op*Dh;8YdHQuz(O7 z;B=pR;4z9Vx8C@K%Tj$!U9mCDLv2)!2T4QG7VB&2%%SrLhiSMO=-e8NM^pulLqlR} zS9k-V0OZC~-a1Wa77t8`0Oz@(kI!3#jg+lzFTRpUp@GiYI(hO0Nxx(=oMi@Jd~MA&ejtCMRFN8(m-QIxD6k0Gf;}r6Ox}eqbvv8hE6gJ^BipX0Esy| z?A0|7V>q?w4yWQ(Td7h+LPXX``1{1ncN$Cft+A|P193#R6<3ej)BzBh0mD|o=u!d~ zAS*>np)+Rm1lb0pZ=kY$Aas0kt>8Te`G6L0jcJEKaJHCIJfyCGZ2F%;;CRwG#A_cL3ta z$VvuZ(i!jw?H=I`9Zw7{O!8g08S_qyCL_FId(>!YIYF@goGGV*@%H}-2g zY$X*e;1)frt_WGyf&`Oisqu*B38n~4xH)FfBs7u-wOwHx9UhQ!+VX5QsTmk?uyD$_ zb&|%zfUDTW}>LRw1lf6d~Ngc5c zey8$k{!Y!=%Kx=BTkq|6QQ2p^!F)wL61^5#wP-Z(+VVwrh|2>W>+)7)EmWI~q5HH0 zq?k0}TGU0$PP6{1CA-x%KEihPu&nWUURtltO&s7O-F|4W^qNEcFTDW@9y3Rn`>Mp; zZ0@I9t400F_o8&Knn0HJtMFya)VlT33)L5_8Wyts0hq7UY<;7euGR%&keQp2{GK>7 z)cf+6-g~c^Ic7dVh62W#<-;?)6dc{Q&z=ha`8_^yn0HHyz*DjM?5s=WgHqf`ZQ0&;6{Yo^Lgv_lH;1WD27JF$Ad78k0+VhcFD!01c ze*6AKukmxS{OljSck990?8NZZqI8jxIl>6$VwpRn37rK$cn6nQc~I@lnA)h>U=PvxJrAB1EbwwxXR}SfzHZe3}&nZ zMmq(Ht1LX+?FNY_DEZMhqZh~p*>OMc^j(K+`i<$|Z#>Y3I{q|J(7CL=15~zw9qf|; zzRm*QERA2;MC_;t7Y`%9f8qrgtH>KiD`C&$jktADij0q<0NL0&M$?KT*W z&uzPmB38jUwSe`Vyzl9SZ=D5i_m>tARnPAG?(b~gY7ML$+4}%LRPSs%>9ofjo~1vN z9~vJ9j<~~mjQ^SIX6pj^^XK@@V8!X3>gk2x!Jx1O+dmXES~>4&hXPc5u7A|r z%d8(*D^BSeWC5DB4lwW`;!c4&c=ogCHa_p9$>l!f-ikeX_75vc$$SppYz5gV$Ri(( zftpoxv9!@ZO(UmPbaSf(4Cer=paDxACN5WX>Fa)t5kNd>HEty0nz&*yX$uR4_v;CEY)ZvPxPA5Oculk?x@8s3LJRlC2dW zUpe(4s$2&&7Ke|MRs8%4yOylWbZCl7?0XGzvB(Od@m{SmxK!*|9%Mx^M3`Gy~a3 z@kr>#m+>_Q!~1bsRy_&4{JB9{ab3Xjxs@2CU}9opl^=XHvj#p!=_v+UZiz`b_Lhxm zf>0Ms9+c02qGF6*`Ct#)l{kQwVMXZ*06pB|GXCqEge3#LE>#g_g#9T8;MfF(ANrlP zI-<*2sXSKggB5ZT=(&R?MEAB6vmyv-Rfpvv0^W}{+V1L7G2d0JgqKj%i3oNRSzdPi8AcSGZu*kG z)r&zaO$v^HXikHD%9~E04@!A2>=4gP$fxk&sGhUa`ad^*{vp?sP0N85_=r;%>_E{Q z<@W{&W(o9nkUhPf4qB0pOYaSR|?wy_Wh0j#L(y8 z0$Tpv@YDa$MgAPL1o*lE!eZl)cTr1xu>rzf_#2VWcm4|U`RAsI~HTC`;4S(X{_ z4!6-=-F`W;U`-~hy=vWtbBTYAy7@YVbl3t1J|%TUHq<13904c_$7CKZ${KI#u99T> zh4rqesNrdr!&s4ml;P?q?Vbw_?Wy7nX{gh1rlhH~_Q1S_^7-lEs>*ivLixHpzB1Kz z9OpC)Ywt^M4}H)dcY_gnw?dae$+OP%!&qbW1^|;0HWW-sa2Nd{_x>T*43s4oKCf>~ zGSUuohItA2TkbScwt%d_B|aIv%oJgO6NRjK4KQiY zpa31K>s~Tp0+GnMdI&57jvIV@9tzjir4x*R;I0WkISPm;z?ImnA5~lkUMoP|@>%funO&kwk2T6$m>NN-S+ygYDC17?6zze{Y zP_3vSjZ(~f8wd9!(}`Uoe? z*norJV$L;h`}pbA4a_(0hGdQ6`y0$3vZAKL`XeH>xwKj|B8#Sb2QZaPq>vY4R8Kh%$#L z0M3CO1xFVr2|O+y*)ozRPbLiI%im3=1Se_jltE#%DL&R-pTubm7eVtU$1!Gz-AQe} zdzXYZ2^R83#G@!w#a{#}8mj!D3lbzTavMcyh`W%9&y$9nluPo~7qPr5ARXdH_#fyz ziWOjZp?t6(ux19RIvj#BzFX#|zVUIR#1uFN2vX=66qGZA<8irR5BTy?PK!+FQFjl~fYJjb#43v@S`m2-&8g*q$^yr86QlN`mjIMnoTKr$11(#aS^ zxez!xTgRN8i}YJx|F6(nw4~r5tm0l_TK|iu@O5Q8xf+hplQlJYhu%T*d2@1yWRXwo zN36Wb!GccLL=n|J{!#zj(NX0_z82ANUVTC^kJwNFG%2T|K96OzF6a ziSmX;5*`G6h+&U7XB zLY^623H6Tf{e}kwoXMr2ba#N;Ni3)W33SQA>LfM+4-TsBE^tR_pC-(s^%64 zEx;4Zv=M%@j8O#QXwPHvF@#Wo#dh<&P92zkz_3vV<0uit4?I|Zr7M;QPQoXK_seRu z0RbaL0QFp@HQ6`@d__mZ%;C63ig?e`OM!7!e#w%`MJMzIeg)|OR@T@W&n!iOdG1?A z$6FqiE@^5ai&UI?oNg4UHG`3?*9$^+L0yLBuN?2YHNH#1Xv4f|?f%GUp@(ZK zlc*wH3I^*5@m)CQUJ)D#b&Vw*2z?t8;FA;?exWKt7f*;&64nFE9uyH-t?~>@)kS9A zRk}&0f)is@=FULU79<4Mw(TuBE!B7HcW>jaqu?hl!}E8=#_)CAoSLc~=lDgbXi29n z!UaNq#lX*Pf$N~497P=?Nk=dhE&;}A4n81qHI}L48!T6ka4K}nNrdk)z<@4c)Vy+h zlZ{BPpRih_65464Thd0o5WSi*H&T<}AkKsJ3$s0i#zC=_0i3U3fq@;*;le;m2X0Ny za$&KM1N^8F8JkWy}_DNUxT-~`_5+8CdSA{<1Pu>YJ1 zN3R-SiprX#hulEu8EF^}V01y+Lr7Xo%+t2pLv$r4t@Q~4hJf%{k{}W? z7kKkXZdQ~fb1-ynibx9`+W;7^Ba_3I(arw%<&2bBizKAu^JS(9G8u@H0cEYbM}wWJ zt9UNtn}G&NluKFbPmxE(Bwcei;#wIUE*l)>iI4wnO}gprDxHGTEB_P#F}TZlawO6nIqo8Ex$!2=^4t6-3Jfk(U8 z5r-ON$wrU!8SA+w1)GF5Sq~Mg0x4d@|Cy>T{fE#gAcCe!{1q^2*SsZD1|dXZRE|Tv zRF93g?)n5;uyP~tv=FroXHa?NAKnEj2O3i31E!S>H`IL1pYTLRbCs#Y-p72&5Ozmj zPziP#z?#AEb%slQT!9;zD0<~wwUO>nv=V{#L&_){5PE8()!_BbGfb_fQeJ1p(?g!D zCA&s|bA&qm?jr_zk>+Ce0?+%3Hl>(t6;6ZpNQ`ZTcA{HW#~cz~BJ&dnfubiGNQXM< zP70))h{U6@Ri7ZAqGKWDg0x)b3hdkqno5vBy}RAV++pxdE81?CL$^tjp%z2>sGx>U z+(;0?#98?AKlt&K_Q42?4Vp43t&Ii|l0lq{NgEF|l8#jn3o(uWLSP_ZF`JYdE3BgA zKz-l|EP>&dwUDy26FHnpG6Nu4#dY;ISW|e+P&Ey1dV>9nX+iEA4(>do)3Ta7-V8V1e(H75K8jZtM-`S$Zf{#h;--TVFY>MK)>$79v(ycS!aPB5?*U>V{lr6u&y@lnRvFz%Cn=PasQ#?ZOx&NLilpDy zKFtsUZek}AgUnk^U12$!?$mu9t})V(5nN^Hk@6&Yq$>ku2N_DGiPl*lwGFIEqdm(5H(a3nbB^@4qkma{UH zAD+k{3v7n9-ge1g0UVG+;yH7CzsU;jv#(*#4n#aRQ^d(H(tkJjW27$TT_t%H-f2O}%pjURb?%%mTI2zB!Ph2?9&eW?b zTgNN*9ycXHjP6i!!hZE-HOmV(qdjC`#g?rx=Xztj`cuwz@j&&{`MrRQ>2)#onUNLL z##jL}-b0`9PMVpC{B@*VSf!4QTE{N!+mMnH1Y3uj3+$;WkwjI;lSrvcwvrYYxzKb; zc9mXBBz-zeB5|;ikZ?EjgAkU(89jtnuW{^dh0tn;;k1(oRhi~N4%ML-AZu~-XS_v% zLy12f8*wN+~@9-(5TpIK7!1K!rP0axfq#;=-6kV$o&8tfLik* zN|7m0yK;zies=Eztt6_72wUkUJ~j^{y85E?1gWhTwj63LvuYwcL|0iuOu-24?=N>s z5DLWMA9vqOzZyT#!$nHSWvN=`lMIp7-R*%mL!Fi8&x!1)qqFh-dW?;SgW*5sBLa1P&kHxftAW>Q8 zQXmjC#}P_yeuv9JZ{v}E-J3>W%awSb01p%wT=`=Bj=XtvQ*h$>vCL}wP_^J(i#xr& zCM3Mc{&++`w}6=HkyHQnJ+8912<>#V+O1)N9Ep?Z%MX=@{Ru=BOFwX2B*(;dHa+p} zf<|2%@-%5gbZ~bRX(IxxSDIt(QfHeefdISYKtx+-2$3zpBVY(NO(51wuO$JlrjbhG zs_1J0`KEXU%scRal0d2uZj!vfvzsq}o^?JhUg2m6hy+xgPa{F%Q044>qjBI>seT;{ z)I{;<+r3+t{*~_-ry83ER-7gucTlbeV#OR~$Y4}TzwWFc)ct%&$N!+9jUKs*DRdOM z5lR!?0eSsN$w1gmO3Oq=PvUzjo(VY_*jrC!h*%;|0p276T2jBfSPc=koI&(*XHvml z=N@!a3`O-7zWUa*@^mMw9^&uxI?mNty0E6m)3T~xA9?Gcz7PH0=B&z_Z^779+^b08 zfYSIa*V(p-mh?IES#t}K_H{b8zH}eM?U6S!!Jc~VKA9w(lvdeZGvO6zqrLqO@~Jj- zwy|nm#dHQD;5kAcLY$hrh*tJ;uf}6BPhE>8`UI+Vt5uYUsRH%D2Dzr*T> zA!x3NTlKn=>jWNMf{-Gbqo^MJLFr%Q#++?zHNhNFjJlmwcR{63UrN^>(cJ+JiCB-k zZ|~d1k389%V>5D(fNb9Qne~`&^XEH?=vB zZU~lVHOAn$gUqL)E$|cX0|CLsZkhAQK0-P)LXffq_W;&BD)zddNdQ_Y>9tXb*7f^v z7$VSU95Cb%gvNKLu+%}WERhBSNnrw!P*-B?CEF+>(8)c98xP@IOaIAd?}oZlVAyt& zpW-())Gk>lV_Cm?6gftqn{O(Cg_BfF$pf@cGrG3*Ekm}9=2>{pHb04G>TAF3wZ_G`cOo(!M^2zWHq zTrly3H%RGrTx+}TDx}es2qD3?(q}>RVc}Gl60?h#Ed+q+Vi^tvR054;kQfjEfqCia zkVBYB)v-5t`a6MZU28MFxegm!IHnYpX_0fcD3fB;syrN(ycJuyw_X_}BW~n$;kqD4 z-+=p~{NyK$<3=C_EZv#|FIEIKZYzkVn$0cb>QTe5<&_M?eOMU5yuxmTvI!PBHc$kO zr>I{|0_NRB-zZEkvH;Q2z+|Q6p(I?2xaoFjBegZx?Ip76PUaa5UZkXiogu$Ry%a=| zY_V7-N)g>Vj;N)RjYFvQp=+BnuYWYr+A0{LsPP-w3wSuF^p781!F#8KZ3sN##ilGXAbDoOE&7a2eNS5rxX%G>|=sT{-# z;Qi+=4k_4}7(furVD%hS1m-O>H0w}CLX4v*gw$YVk{Jv{1@`_%uqfa#oD+Lr0F#4t z`XKS-=7e2*kyiqc@-cxl}to4t)-+i2UOz-hFgVt|DsPm+ie#RqEl4!xN!9&p`j>5~sRcel$Cqq@v8A&|^ zXF1q!N%G*T<#%i{JnWscX5czSgPxGyh`m70S&l>d-RZyyZ#Ji+rJ?EFu32#wIvk3& z>Cb-jec%Bd6&?R>xi1pT*TsIjZL1V`3vujJAFbNv0x@NF$W6K6FBQ|EE9;G*-&5gt z!87og1^RXyWCP_KVX@R-ni5x~sEgegKyecztlHSaeUP4koaBV9ww=vfnIcXAxrbUs zqa?)asIgncPo1l)+gEIRw<`lkr3Jp;!65CKe$cT~<6bvi(q_W30Q=rGAmPW(!xMlj zZxTK!pd;;Sd9Vr-j|NS1E?5R|Rn?37B<4zk6^EE9TR;qQ%L4?`wj;}~^aj1cX->V7 zs2{C6>$yEVZ!~napRyoKBv7@k2NxFd6Uw~1HZz{mIAUdpTC!OukOsUiYe9miDqOoUVkPP;Ly~{2r)P z4oFAC9BuAmJ*f7W9O4K_-HDc6-?PY_@BFC?+R2V!*7okT?mgNmjytnuYQOa1`~jy| zFCaOCHjg0|xv&%7TQDx!PX{~S>;?sf#T~#;MhlhE_`2NJEhbfT6CtX^3`~PO` zZJ^t@&TG-Zc%%zEN(+oe$QWT1%d}fbAWY4I7gB6RoziAo4M8n`4&`RM#Yb(u1XgyB z4auX@3ZYiG2MJsD-Ozt(n+7r478G989yDR37F8XB%L%PFLmXc8O_2bQB5%H;o)vpV z)huN~;9w3i;?Vw(tR-s{my}|r!-+K`L@CM%z!G6sv80G_#a*2k$Mc5Q)w?Wo2S+bK znH!2XcCQrp;e*SFfffxZz&R9hqFA2u6u9L5Jr5&NLaZVBk-vu^h?_cY!=+J5Vp54H zF@FMcKmPB`OXo#5BtM){@6e+{I3ACx;SFmKxC5pSN`FPMz%Tje7#a^a#uw~3;oO{ST&g5|)UqamHkwua+L>uj zF>uNuEzTm$@{bck8MjL4f%dz8fC*m;tO9r=jB8x3VTF^H3ef6fx`I>Sk{4uyA*R}( z9deW~7XhwEWXH>vNJZ5T(hU$#ir(!kSqW&H$=$ftrlT&|XB98(aL|$6fVCcE!nnWE zbkQw8^TSaS6vUFR$c4*f5RoLk>dJEl!XxkQi~*vZ(w3{gyCcYYDm0Sh{*XUkQ)*hB78P`KpuRYfq+4K9_G?$(rUv#o zaqKJA#-|KnMj&|xL^CUV64ck-*Ue>>7MZ-ZJ~G3dHBD2*E@GckwP8^N5(XPXe<$xZ zRX_D+Ej-Sm!q!&$U1aL0clx*vMU<`Xw48p3Sy2>6_2T2@1UU9GGI&VQROC5=rrubH zMh+V!XfDO&-hVdk}^)^^>3V`l}Hf*9eA1SFcp#aB6!32w-*NgQQ zT5Oq|8u^H9V&|E#h_`t~{G?d8Ic=Y|ud;&M zuQoBejsF(8WapRr)gG+W*=EO1I|YJpRYNsMS-WH-L$S%O%;-mqs$tB-IwkC$vJY6Z zR%o?HT|2AC9nzf8s}GCj*{f9bU#9M+RlPU+MeBl%*>d0Xu)0%U+VrD|dr0W(R!>JO zJRnQ6LpRhXst0hpUKqC0y{z=Sde%HJtc&i=&lh;6+l;->5_;R~u`$+mfY?tkyMG9Q zM68#L7lfVt!Xu}%S6EMWZCOA2GC4SLaoa7MWKeBlH_B)gQwr7Lj3}y)*!`?ULzb2z z2-7YK?Hl$*o488CUsLrKA?&2{+{C<=ZrJ_qgHjfccAp}Cwo3@ zIiINa#GCqD?~!EhQ2xM4+t=r){kJZ5+|XwCzJ#6BmuYliZ|u1KBzyLor3dZDOcaz1 z+3)8&Ac6yM)rDmH%Y_#=rf%B+=;G{=ZC3nIy7wg$3b3>3J+aq437@N_A$uV0VX|k^ zFFqqC`G6VkyKScj3Z^&$LrL~X2YBZ^wZOMofMR2KhR-DS#{hC`LnwRU(1I5Tr1r@u zj^Xh<;=;J$%UlIiJit+Z;|aR=+&^L`7ly-t{`c+IQS1WXw|udFkd4&K`L9=0W#6}f3GU*aUeJU8n7TET(^o#{H8MQd z&b*(;yTvhajeX8^Ru;`8W@`9aTiw$b@~ULkpw&J;_!ql)3*bLsd%Qff#tjr2pDC<= z4Ong^ep<`b;-`B*s-+gkxAksr$%v4Sx`jR8>+O9AC@|2Y6XScnws=zj8*RVOLFr3< z8+3>!1;6phuN(0Lb!V&V`Ea*=NS?;ixb<=6+Dy@a$>pbs(+qdYmkkpD_27 zhky(V+}JdrsvN-GLOgiOW^FDTHrqX`Lfqinz`5#M;%<&xJCZ0yDLz=)SO2B*hwV#z z;e+Qp2th@L4F#D;R^xI?X;sRP@XW)N%U&bbJ^-|HXe~vCD_?G=gtChdj6<~~gDOhE zCawL!discwod<_9Qy)HJqH*DKd|9o}E5h{`E(ySBRTerupI zu;x{olzjW%c;#!Cfsv!oK~+$sFvQw7;#RJvPJ=MfoV(9yY$Jd@$sx$&n2?9s8(J^{ zuye~()kEsz(9{PTCq+*8LX>X25q5)Yw>7 zd6#O!xpUkctQ!nUK*E(XN-c*w@t#1%6M?rG1kvx@hKf1JJF&U5z;BS7P{_gc=Xg?1 z@@r}3nBzlXrxM3G18Zi?yJuo$gB?&3ixqr(q%u&`%P1Z2PI1Bnt}M=1x^#{G=yU#^ zDm`CO`Dv4lEbcwiZ4NbQdvLIl6w5m8Lu@%!fC>;)lh}GQrQL6y)NpeWnpo(18VGP> z-$J2mU*xVlSs#PJm$0D7WVu6}KvNu$==f2qi9CchQX4>-$;vQi4n&hG95^ca%WemZ zZVeWms5wg3JuKaUWy$(Miy8K2T7@sELt|wjAN{`h7fEXSf4%u`fOF_RU%< zB-6R^K`XitJmze)Ave!=K%vWXIgVo?V~@lPixi}RAcE@@@r*np)NhyleM~xsp$AA% zP7SZlnJEQ50#HAoWb|+p+T1zWJ3RvsB~ZdwY%KXa;-Kl_2ABaLIH0x(kRK{n%9lVl z5CeHV++|CX&X=KB?~7nGYxVq*%}XjV;zOQBzQfyF2YGS0B3Lnpa;n7ZOo8^oiIw$( zWXv2aM1``_f+3Vv2CXe=U=7yO_TMm5wG=DxfN9~nU>$}Yl8DFvV=?R44!+VPLJ&_b z>pTyl7ht|f3dKn&PT3ZS%z^P!$olj{o{32-PayC3I)}$6%AIWGBi1kAN&)yKQeqxs z3ROW*a*tmIjh$xQNIaaE$XF54C@{=KSPmRdgWR5274Xqq1X~469_;tv6k3LM(<7WE z@ONC2C4o#om)20hBEW+HfCmo1*2suEK^2R@RsfvA zkpr3T-cA;ln@<*o0>ivl>=H<=TM`*{S2S4fi_is-u#;2P8>Oa}ybRQD8R0SYC>CeYg9vn^A2YBOBR+*KxS9`}YJ>?)QTHRRAM zF{Ur(Ej4n>Ess`;Sihp?HP_bxwLE{+F_BwWIpAKB!67RcHQVOY=9pzpaqoyUgHN>t zZ!;C=@ffogh?K3!!ig|A(7T*oc2Q$9VnbsIoC|^DAbe4P3Y(#p4WkX}h`x zc&{?4Aj(u)7ce7Ivl}4m3!J+jq&)3ozxeYJ-+xs$_axdO)o$LAYX9L1yjT7|Nwxn^ z!12PHg75!vQte+{c}q0@Eva@7!2Wkdj~hpa=?FCxSK@NGT{JV0pT@-Vp=B3s(|+8ZFqCbHnZmd{uE7D zhAb^&=8Wux(AdsMtSCdO6rPb7J9rtM8MZ(`6NJfD@iQCASh5Y-unFzGpS}x!zVog- zU;m$<=v#jVJLS#q1h$dh13NZ6B0lNHtr9uj?;A8HjeJ1Ns|5Rn$X{7#mx`?%WZEla zCVP_0{fx+|d(J5$S#XQ%C+U#1hCRA$d7Ir%SX7VmCMsDXaz^ci;xq*mpcB?4u$~f( z7+?bp+q{u9P z!3%(eBhjL1`UXWOAxS1cMg-Hd;Ldp(wvh|ifn%#@a(uQyq+OT>u~~}9*qtKk2e6t3~Ad`6oH>u(W9Kl59E&VXX8r@S0@3xXi(UG$mfGL(k#94U*)V-~q<{ zn5wZ4Ht*cOpZkR7N)+(TPyI_{Wr$#B7EvhU5lGk~iU_iz7Ua+sxb z`oI!)Mur3zMD2{F1Y~hmE4b$^?0sokm$OPlu9EWx6*q53#S}-RI<@YIT8o>31U)R=d)8 zBmULI1c7S59#EB|8nT&#f`&TQqw8VEAPpXpjMOUOwqz8+ATtg?OuIwfkX#2B!u4-m z6vyGTxr7C>NWfLW-W41;U8)*B2eX+~b4=n>OYIE=awXwYh_acm{mVX@U=kD>3a5zho&+_@D)&3c4-RkHmIIH?O%L zv>@JjVN0tS{<3Jb$vveBS}{fGCaHYnHo5>Kf%UXJM3!2dHslu`MF!SP`0*dL zZK6t{gQSuZe@E7z7uuRuj@1oOkK~cAp{9r&8smJ_A)|&BVfJwj$*2Mnz$~F8fQMN{ zA-Z%MlWk;HD=ruTn>0y;bdS91S3v=Xnc*B%QfiiSMK!FbFN=1m(v5T(Gb82=;7C(| zXOL9K(t%v0hre^n{|(L#!zNP!Bf z0KGRlLJ!_Kc+r33m;Wr{twjO8j~XRNG=li-4}|c!NNnRHaw5@_1us)87{U(p>Qa*j z1Sq<`qM(@OXY7b5Kzp))$d5%70nKLl1$A|pEAdyn3rmP}XLq1p^Ln3fBQ0?_B5muPl zG_9xE@dChBUr1N|+Z`lu=a8EV$PuRW;$pY;-~^>AR`RlvRU8&j1Qnel9J?*5l#yKV zpkO%WRQ;~QRsFDIRh|$M?o7Pm_)5@Z?-6Rh|GU@TZUkJ#Kxg$KSwtab!yTa85ix6X zREaFxqZBo*vQ11gqb|}hHp&sp;TGHDA|g9?!ZY+P;W4zm{(#QFFz$=kDND{$3y@t= znGz+WhhV)^vD$nnP{G!*(kZ_CnLgJ?BF08p47!2is3z5p<7SF6iHSji6OAC-Q!Bpxt*KCOV&LMpXYC!xM4}#)6JDz*=x3br%B|cIVQZ zqrUdVU-U+^cex1u$4G^07AQv}EG;|EAOrG%ofs!)5kh0v7%?xJOpXeq>KzFw00+hz`*^KWBP$RAi#=TI(Glo7>ZZqSSGz!HLQpp`&oG3lXON%&x7Vf=7d@fQu^ zmkxO)r;VcJi-4I;0L=K@#23fzA!wgt6Nwsa90ST}A|ql%SsrO_DTV7pHp*7QA5%KU zFj?XwFdKB<`6P@uOruamn$jR8h3U^9Qb0UFjj;mb5I?v?xb(+`8`)5cmKMFpE@CPb zbQvzarro`qR#!78ruAqqt3Bj)1fiC`icyXFbGuK}f}sA++Vm(pq4i{-i_`&mDmyk~ zJ!yshGF<(-#lGXrm|I!jp}qDa?j+wDK0=^o8_&+f%`I11^-x!bdQI=(&GatKSzG2@ zFofT`tdSd@v%Qr8r%D&w zTx+QkzGS;6W)C~=qC0auB-&PIXR@aR9oBwypOR+oaGcc6TCh8fUl6%{gZaUI`cXbl zoui<->O=0);qvR&u!!2v60%7p7b?Ni<0*8RU3lSJk6zZxw1T7X4yR-`R zc@J^6pNbLaKix`??;Ceme1SoT*;H>a_5rV!K5>y??++|IV-CUKGw(WaQa3;;en#(w z=K^Gg>O=>$TmTtbx6W9`6+t}u^j!3g{?ntS>f%?P)^AsYyU#dfFUChgkj_65HmcY7 zOKNy=mFa&V`YJ4ZrN37M|F-mto7MCT6JcL`efdwA2IcXGo*92*C}&ZC>?u_{qs|Av z^(Sd%;ND}T-i!~e721KM7PemloLoB4vWKk(E+fH)l@Ivmsabh zQJxUHnVhuhO(d>Qct~2>!+EbzTIWvrJDgmobU9zi&Ks|-`ZEP%vhq^+fPQ$kA@ah#{)Qeml_}%A(`iEcG5WYgrFeOa8ht7K*0N}^^cCz+|tki8F;tx4V zt)7Ey%qv_0XOr>xkSG8h{W^mtR3T|kyRR>4~oB6s{bU!9M_N4VCy&nPtdjRWGnOcX6j8ddnK!cuGmnrtp`7G z_vMevp5S^&U&upr96Z<|7WNgQFRQ+CR;iaauLm#Ljt^bi`w0`kZ-cj9buWrVhSj?hfM#4~`~JFu zw5mZ1xJDHomvOh^CY=xvWJ>wffnXB&;&cmmv}jlOigVXjAe^6rdb}rQB?PqW`~8qw z%81k2DP$?YY6PHLxp8D*F8CFqbmYu-0@`-~OmWV`zVi1O-?M3C72#XUdH}o(T16=} zCJzLkP^y1La?CQDPy?*yo_LahU~<}gaadj15N9LxM;A=7y-r-rI32}?Ha6D{>V$VU zy7lrkj`MHweX4!J1WEW7{EM8Ub|eVxa@vpk-{;WGa0M7-VlmsPAF1FjtelAJCz}t{ zfyZZJ>Y1iTYM$eBmFJurx85b~Vr|0}9SClPWHe@Xvv&BBwgO1-hp!m9j_q8Dpzp0b zJPtF|XVMG2_Bu`-Sn|qH%$<4XNZUfHQ)L#}0J*^<7S z*Yi?FiEr$|rSqX2;hGcl2X6DMR9?hy9B-WXps=KrsN&GMAXqA+jw?kL4?nH<)>fg? zCG7|*bHU`@k@8R~9e^`gHm@b2`^$xoN0^lban)g zK57O?@DYIdl;P4QeE|016Oe-?0lX+8zQZHD=-Y+4NQygGATtKxdY|*9fU(6WfkC8SccxYlzA*zscMFDJQZ4QmKF86NMXsgnQE~w$8e(03$GkbLyG{Z9B zTMxv*uHAtojn5t@W1aLR+cj}2D7Y@-wVPz|6dodWp2TY35$sFo*2+v^~nOM#JxEzpw#_C=ud z%V0r!OzXMePF#>NO6Sz(N%e!eZqIkAXy(c{(%?8xq?9sf$l?9^BjB2k&F_^#ahH(k zP|N&eOU4MQnwBvA-Ma>c7wdVS15ctxdgb&UTlAtEt|2 z4vaG+*WAkUPSiBzU4o)^3lT1mX)qdru9XjRM=le%1Q2c2PAW@HigS&y*(}`jQh*nm z+%gl6nYSywozR}bn`AZHTc1M=7XsNEFixm@v|=!cz_TqX2QH~X2CCt-DFX4{XNA;k zV2F=dCa2am;u}k9f*)lwy$lRdgL)NZqU!c%ZueM|kn|7ZMKe^$5uO|rF@1i2%* z|JE_UlOF%8h@aUY#7BS$s71wQ0n1nPVGj!++O+z49hw7LrHe-s063Hlq2l3{ zN_4t%L_OSPb@!XS)yA0qpnK7jMVa$O!_4N1NSZP-a^?*1HS>syvzK7GZDkrHW6HqR z8Mc;c#4r?~Rj6SwgljZ)crAC5l5L53${hd^*^f>{vIL^S*9ZxKc=&@OE)$bYC=feql4Y^I`ME!;47%wwrSnxi_=~O#_7e;~#Y+OKa#uP|x2>jc%Cdam^dcg*c)dk(G z?7A4t5P+v*hbuj7TQioFMRlR&oY9)vxwBezRTJTgFq9gzKB~>R6aB8G-1u)#Bw!XJ z;$!m;?+hUy&`P_>s5oyq>-Cd1W3uId`0Y<)RwtYMgKm0}0XlWYK-UY*VpDjd0c(b=nJ0ROLvCLJr4x3tE`3i zs?0w&1yh?n?zJ)v><`jMC6hozgzR&-rNEe$s13XvIe<;Y};_hz(L>B{dlx;y?8t@$$3q+DmEF(*0OlHL%pfpXUj^oErK+5{cHo}3*il6E9- z8TP2gD@`*cY&rGDAAAi3^e3W1B`668E>~c!>$j(XrEx3!F^xarx@Ya@`S*2W)S&4D z$hVGRul9I8<=?4C3bduCg{Bv%RW2AsD6Uh8l7k!1W&XS``Fu|rgniwtGOJ9wG>cDU zr}YGssnf?T=zZ~Hlo;exi&*ADq!k*ol#)`~;EJ-MmwIh`^Ao!c+cs2^L-jEu!xo5` zB8l6-zT#mZ(nJ{r>9)Vy0diXwa9vX*l>zy}bQ+8(Mu!R&Fu??6Z3+c|VMKD!aZ@ni z9U)aA)D6;z#Lb8T0T=w82MQ={$Gq!If`kFL2DmS zhlt={CQFf!AFN58QB*-WK(2K#HtA@Zk4)(>V8tBFq+-p5?!l_#_U%njch0sdiI zf30;=Y_T<(kkR77m~9XfW#65uX#!!|D2Zl)btsaf78|yn@CA$9zezJ^8DRhotAq#z79zX? zKyL}4Fo(_{O2{;%NsBTF+n`^dAQk#uI781UMty^`YC{NiT61?W%Jw;KUi0Bo*Y~0``L5fEn zbS=Z2w^BZ*(~QUiMwo-spaN(t%~(MRvU(BD{DW3M@-zKDGqOyzpe7~c;JlhXK_NaQ z<6RO?Mq0v5iK!4$8#REI1a_xRLdj4ll_wS4{gZap^4lhGzoc@+jVLw=C^O~=y|L%n z$bObbXEHIX>If#}z*g+hmM|_&xr+Ob+=V=qfs)%R#J3F^27u?JCB6hh7mOVbd6Yx` znoojiB8Wkl)fMqH@hAKxt^`H|&H?bKfH6!-o&)geU$X6}Uyzc;{IG!JXO#;tOp+5k9r=^+hn@qHB%T1t|Ta!XpcFc2CflVu(W- zIQFn7t^~o^*P0d+7`>8xCwG$eW8N78AzRTfdNy zQMKvDgGt`%;}lD^0iOkCAlHD%rxkcFCe+9*_j=(nGFUn|#NGP@78NHjUXRo?JWfT=U{iY%^ z@(^!Elme|Ojv>`FdDQ7YZU>;C?_gjaf&BwYJ>(oWfqk?xFSWU@bz*uJy_9dveYkQE&=|p6o&*7 z1t=l&S!dVHgwV6dG*H=!yNXvyb(OlEb|vCoQEz?yg-(@;z&%xM6=iTV5v)y<&P|QY zK&gSqWAtGHVN)!j%zIHMt~H)16pC=S^@M_}9LA(TsUuBDPK_x%w8p`xr%R-W?x#~W z!6i|#VW)#BrkIaHB7sR<6x{_2Odjh-e3Fdp3T~kjt&L!4*4)}Lzug%UTc7yymTNop ztq)w;y6MU{&bDOxzCC@~9ClC4SmqI|TO>)sv!5KJGr!I+E6n*rUd$ekvrpXz;f4CQM@r>PAQ~l{-l%C~z zscYo#o;i4YGw%Z+ji!;z2(1av3gfaw_Q?Nv1dx}(c87nyr#6q|LpWN`(xvJ zjNJOrskz{GLSRg)=gd7<-5F4*3YF*`s-U_dW966 z@)<&aDW4&+^hkC$GxLDelOk8EUQ3%p%|=H3Fv#M{q&rDbqJPl3Z$Tbc^BWDE9GkA^~XZbW`7G-t!WniEjO6WwGeckO{ima~#|lw+4P5`gpAS8>I9WNYck8d!UKz({J2x{K zfOh!$>f!c${Is~u593@PdJF_}&&Fo7kMsyrj)OxU9tytKT=-4# zjwTrI1>;vZ81F}d@6|2_-3!<0`uI1;u7DUFPkukm0Hv!Q`kGUpHoMg@Osy6k^+=(R zHaMv3JsjxIF>;XAft!05V8;RNRvH*kYbjVK$K0Vx#%up7O7R~Gs~7o;ttw06XOr&A%UsO2uKYKy2=Y|=IbR>Iv^CX#%nXq5&Ew&6 z#sAv;skIX^&p7t|Yw-nWT|kxN<$v_;9=n-LBPe}eGNTlJLjzps@XW6BT$ULQ;N_%&@mOTUEiMqY#Yn9yE-jA!*+$zs}twK35a;pPK zn23AD1<|lu#f3tHXPSDI5*dOL+F)~+NAR6UXIAvoL4t(Kbw12hV4bG4aso5tW%ms| z1p5dvbban2+}(JA%%Dl`6_?+2~G*Rn8OaCD{h6e6EV}^i>@weND#mYChKXHo@0ECxb z0+!4_U(n>xt>$toyoxK)FR)u<|4e7y`*z&L!l(f_+5H8b&YL^V zs?k%i14Yj<38{%5}boZya1G0XZE#!~l*`3xkTs;!?$KCz>lPvCb zdDg%+U;IULj@w6}HIUOesMiQ`2h1fsjjT)%Lk=1)pw`Q&9v-;fIK`ABCVhQ_TJDLqL+=^WcN{>@WWM#_$+LIYMx=wpTOhGoH=yH3qp2I>g&f>2w$Z zJPw}W;<3t?!Y}wCNiIL`P#N|(-gBE&r>!1@t0h?EegsXs z8$m_Tc3z}v4C*T2NvN{)Bu@-|fU0C`Q|h}i78$x`WT1JpXjp&vjbB7XcPUR(V0pK! z7v+&2V8bh{$FqNhcG9;L3vR6udKdO>Q_QIPT6@$u<@1J)a{LngMv{C?hc-#xADC#` z30)RNamZKX0OXBhE+vP~>dm%Tm!56`;rf$g(B>0R3k@7^!Gz_Oxu6r3;Y_!+GGW6O z1~H_tFSar!MP>NKlHP6r(iTG55Xk6y;xq>m zUReMqd`?AkK;>Q!J+?XtjNEStC!#>kw|pN@T(Tda39#!#KmO$T$~wRqcG3(gE(jRk zrl=A{WIK=;0O?yQ;sr&*?Iz90j?F~%I40Sn%_OjW8vu~QmMS!}3`C>ljpy~B0=c20 zfeNmR_x~I-g7{k?_s<~9c+bx&aCirK(-HP(p>pdjsQjnRT>qENX@8E4$JY4&y2I`4 zQ$Ob*KV$R2evEOyr6&T6yG*r>4Z4wb1!KW0sz#@cm`|PZ_PO_tt3iCh?`e=?Qw2R7 zsC(E9yElnVV80;{`nwACeq~|vf?rc^L63BnaBu%-(JV9yId$sR-aLOYQ@&*~E9(Bb zIcVN+SzI?4tZlBf)n!|m_>^gF@&2ns-Dh2Q#AH!+^HcvKNkBhvSnq*;{?m^Lwjggl z00c%*@hN`%v-j2c=^x(wzR3Ji-xuaoh}bwXRI?#gU?`s;Y&VJcn;^h<8B$m_C7Lj(+$RQ2VHBT8*}U4L!Gl6A`sk4mJ_Vckh_S9_2>CL_ zKCNK_!JV?67M5a8WEM1RCxHw=5hY(LJ2R+z@gD+A^#0$L^emv1u&UICt?ouVnASu- zFU}$Ltg8@D)Vq7<&l3_P)7u8E@~}0@ja@2f0c-)7r4!F)hA&uj9&rU5-Ma<$^t4V1KI-7NQ^mauvj@fx<)Nx7;^ZpN8-6N)DboW_|h7K-@V4e*aANd64J*R8X zP~X#&mV%oUy4a;E${e5EO3|DaeJw_oy)Pt`t{B-Pob4$jJ->c(P{2d0TNK zj?d|h>|knMch0xm^D(CVy580E2){6-{Th4EEIU7Q@PVA0s&u!F_bdH3NpLojQ@657 zD$2u*rz%lsDf$S#%+=7}2%_3w;6a(EC)CEE2%)fi+z|oYRt)Z7ciNIwD6Ve$l6}n~ z3hLu|NeNUOM;#G>e|%w{N0rd)6UMSy8~b~6D`|Vzu-oTEk9P=%HJmS#(pY>KkOJd~ z+ShImxS6r9Y-63o-2E53g6kjr=*G}&NB%!sJE3yh8|LcT1-H?%Hbkm>Y4$#?HFfuc z)9jCvcJ>l`59f%v&WB8%XUs99;bzMqtIm)n?eEqaPEysoP2A!!CsCjdgt-)V&)c4susOczPvL0F~Gb7Pip&2K)ykE};SO10PXhX##N~Ke(k(v-Sszb-X-KXyPT1 zkpO^Hje;3TORi6+28Eb}jZkD!F!r1sP;PvwJKzJTX$7Nl3epW?tL7w-=;<@`5NuHc z)IZ21nwAHXl2jaW6C6uT5vxH&7C;3hkVMgZk5AsflmgxLynxKdjBX_zM3R1-*qY2sm^OKW^{Rk^svn=gEK;!!H@$KF_+ZD zm3q2G#%<6znEJdeC!`^Pl!Q_8;lco@kN6y%Mlon3c?HB0?Jq7+Y~m2gDz<1g57|%f zKDUysz`Gjvu0~OD&28oOqtMBp^>HvxRddSRLiftLZXgq~A;F)ZqW?Wu>5zb%YAHGEI<-!n zAu*eds% z)5Iw9N-*eyB`nCpye7l2IE=z%$-?qaLA9^+pe$5LtOw)4XLy~0V_hzG*|?Abn_(Z| zad30R^l*m~*FMR3htk?j`W>0len)u@DV4ml*)C>}_o}wAB6rt_Y`^wVf22+$M2K0q zUju*z;WVWCC#C}|>2DQu?YFk@w27k5N8N;feoCD*0P%XFIfkzlN`WYovWbskrh|bP zXtD{v%0~H+r!Nsv1R@k1FAK>C!2nTXx;MEZRn(D)B282spR`MJp)K>HcfL)M_F*YE zs=S*cYVY7KKxP_S)r=^a)!$*Hsi9Ezq|S_1ED8)F3H6xBHhX=zdTL`??%>W2suswYZ|v?2svh|ASgmlmmW<6VCQE1@a`SQLc% zK`YEQ3#n9BIib*`!fI252y)tH9QbhtoFzgrVoDmB z)*z*W5t(rm)%%>Hp+xXcGSAyR;PMuoiZjA0=+@~^R0dtA680mtp<0(e`gR;6AVVjp#tmsJ5&dRa3W&X!~__YsjaEb~R zRlFDC&5|^yVcL=qkHApPY?!W)iefk;xgb&tE=BIC`ES(zVs6AhXnG_{s!GH$gYG(s zl(^E}L7gs4om09~g>H9*#VAl0KW49q)iU5BL-C#~Q#5M71^ zY?|*ekXULJd4>!IDpWg+%!GGa;L;Rd_X)Fl*dZ#rxV%gD7Fc*X$xg5&C+vHWQ41!f zalxR_GGk_ZmSvgL!BUK7yY8|L(`B7Vj%lHL1vtY*#iXKQ15C$+CY2mVfaUL)K2%CN z?Sxe!XkldHvp6kEY9WF&H0F#t=hIZ-AUE%aS&%?X+ zt48gD-Oy`iH=etxCcmowlJh&py%&3L^6=U}o9bq=Io-ck?2I>$s3d!T1Y{0PJ=>JIPI~eIY3!(8u|sC zS!r`5fqXIm^Lmmd3g!Nb=CD=0pIGHLbP}7L4JoPB+3M`^qS2^~H%CM@+N{+6cdH zt>OC-&xe|uvn%1ytQsQEv+a%3v8XW}vbWGJbdqK%DnQ}pi|6VWwH^=&N(HAM5ft?J zt{^D2HGiL*f!Er#dF{gt_P%+LT-Q!nWA0z5Yr$cAceQ6^xh%?LMSZv~N*Aq$HT3K& zwR!gsho5Eh zrFV9H8-c;J{3F}9a9`+FI+M9p`X^o6yRZeZS1dDDD&LB+@O&4(7uNuk+b- zQj9q-Tum=@hhSrD4$5%6Ata=2}9ao%JR>gw)iN)27ryboZ-br4ouSFnAtGVCb9edgQO?~KH17>^oD){0-v&y*`SaJb89nv=bk;Z${4!0|Q_fLsfKTZc2GX19k*-Fv4*3yrQgwp1 zxH+q*S4B7{Qj4s5O1sHzz_F=6;b#uaT3|(sYtDm>-S%p(n)}XE`IiphfUCRhS4=3T zJXL#zlU&E=1+U-t+NXbzg+z4k?zSH^zXBnU*B1X+EIu|p$hT z=7rXkXJRj_^G51g?B)DJl`l7`Jvsl~Ye2{K*XDDF_r19BwJSG0(cN1dzL-a~6!s}# zftPYN2IrArqaSVlaMm9tR0q?y2158FBC{T1BwVZ<=lc#=o&*IuvT^0MIMjMjAK^Pm z`(vBcJ`Q)=eC?)iA5{+Bwli&u=2xrV6=zKI^z0wM+QW`o$sZhY+sEIv8_K|2G;K#I z*Zp4bYuN{ZK9)xc?J1RS`XlvXgp?VAHyi58akDq{I-h0X=Yy#i3xgzj zhLwZE1fY;ZRV zoR#PX2qF6cuy)yV!Sb-ZPh6o_IG~~C6ZLeJwFto;j0Pt4;G9)>zshhKfv14s3BKEw|ROmUhnK9r}a~5 z1)Ol`72jz@XhdYaoL1Arm^q+aXD)aoSXIJZEoC8Rso*24TRAh!f69>wQ)RV0WbRuC z(n_4|SxzgYolAvX>9~Aod;)Owp@+5j8{hl(Wn-RyU`+3zZzSp9VjtiBj`gW$c#j&m zz1L`eY|<$Y2i2qcrkg3c^;BZpbK2WPtya*HdA-$Wb5wksy5q6rg!fb(Q#w z!G1$P(7Kn!-+*$?1ixHLUY~eH^@OQdDG0S2{mSA9SjK79JXM)MEb)mecCQH=XuOJD z46TFt?&-0Z;V6TP^jAzhrJZJie#i8Xdx(`|e;GfZ`>{b#RX0EOB)2#Bu7u^m4ns~^}Yfh>etD(OyLRq>2YdvKC|xEuSiD+urt1^qHt)TMOhpyiiL zI#9{@$`^^tQA1igB^J5lL{5v5MTru;;!v zWbcfcST%uz?-Wz|wY|zQXN(w--cE&KBuo&D=9pCj7$}M`Lc67A4Mu9jPy<3o7k1v4FP`OgtK9pR8pzLJg^jx=Rp|a*&tCQwj+D z27A((Vi{`d!nfu>Z`0Z#%rM;k%d}~xE)h{El}EI2w^gn{OuDy%QQ4X0da1GIhKKB( z_MH0h79}>ZN^gAW;nC_=Hm3rQuKBQy+dEGnXhOI>J01ykQ)z3{L&!~$G6_SWw3Cvh z2S5ThnSMN-u;D9pX~J&`QXF%l!29tE#K$f59_YrQGt9DxPl62tD0HJJ5)Aqo7~T

(x^hR)ehasLlbii-&g3UB{F?;t|DWJ@+iz&^w4@fzmk5IGrUA)*C-hCV zQ^EC9y4nfGo+H5F2Eo>5@fQqHb%9y|6o9hw!Wg1{E?H1S!8aZP;VGct-<_X4v1&8p z65_fzCyfKCck-S~e|NQW%Lik<8cju4T zMdIOYoPOrPy&crke|vXjchDsJ^%*13h)-u{yVB;cXdUi&$lp%s(cse?<>)NgzEP2W zIjuQjSxvHnag60m{xrK~lMqYudv>ZSFf!4lVG}**imgC<@0ky<;kpFr`~pt^>*f)q ztZ>a%?IpVyb+F?K@mVbay}+6>$xWKAJzg!%yHmDv+DSU=m(G()-wbZ~R|PX_N55QHobL>dKlTIUiFx6kL2_PXz;VJL3_c$qIy76aIz@M;p

GIm=Q5X zML2(`&7IYZmSIr5kgd~EYy@=|yNd{6F#f~Le&8j}x2H)@lIiNuO zRd(6b+maL{(^;jFRW+;ZdQS-ojA>hmEl1gEd)-D-U`DIaYH+W% zysu#}RBmKBR%(lAW$D$LAx!Je20Mfx=FXh&p*~INn1yg!l&!R-a7_#A`n?@{2RDR) z<}pA%W*c_SD;R7SAe}tc|gbJ)2wl(Nj z8~QiUFfDjN*EVz>ng>F0{qg@&Y$G(B&#bbwGR-_>u5%Xp)aJUjj${F6?^7#JLr&o9 zminR|H&;O>*~>_6St?Nm5T#oVKV-ZJ7AHK30D-rm2Xbk(|&uJL5Jp~EZ9 ztDR~`9IC+vE{8Ym*-yTi#B{(_%4Xa$7FK>_SZNd6~_GV91bo z(7A^}S-}us8~`LUKv3TU6y3Ef7k$?PMAcTxgVu;{1+X*l>vGSPQ&jQ!%*MoD;F?EG%inM#m`H@oMJY~L8Gt@Eh{Vv z1M?UMAom)U6CnVx!dwR$Ak5;?svQi#u}TZZvr2n(h(~qRwByEAKZsfLJw$+F8&`sH zrFhz8?J9JU;6W+ORT2T)wHzBk7=Q4MOrM%~RTT^a=#pcj=>+Nq2$Kr(3hY@G7!cM0 zRj5&h4>YPvR?wkvo0NiH6CI|OKfoh=p5{64yiW2F=`!K0;YZGvMzYL*9MTI$yb2^gqWvPlT7V+)zqA1jNns%G{)H|Cc zk~nS+?c6MFCRuB~gIi4sb#?fS9#(d?1%OHdomcN&wt=h09IPSW{R%=cbVw~pcCQtJ zDG-uf4g@+-{73@I0E}Up0A~Z3u|~8x)$SI{c&k!xc4TYH)nXo%S-9Y8bDU`v*KzL_ zv`=!)dEmEy9aLcin{RR5=4qoZx=dMl#X9Vm3EE;oGm)Fj&B_EGTI#bBB`EBmle5%x zDp0aTpQWwCs6sIkV&J24TB}-=7R3z?3Is+#%y)9pS7M;ehQ#3gLWF3o88XG@VLfWE zAOlwMh;ver27_ulZ5pO9++?LFR-I`BMd~EvPr=2r0vcX^nvCVBEuj_-$pUlH@z6YAv>7cb_9hI3-U0R+9LQTL5r$LSeyrl&U@L8*d{>uRQb30H} z5zuGpeF8TJY+972)I^{vG#L!-8KqBzDXel8SU%pnznYjg=hn;-{*b*$5>gW>>wP=Q znH6(xh1OLw9pH(NR8?w#8^Ejz{X<8H4!aunilXPg0y(i^q2c&%QG!YdER^^!j6SyP z+mj3-F-Z`<(qN{48a+f%uwD-a?8MD2FU$?K_E(Eikv`WSc0(G`RgW;yDz@Dl4CFCb zSYjfO8S)z&-d+ren2<2db4loRfVf8;Z-sRdjSj(7ZJ)cH=;sF!WkjZB2`2ZjVTi1k z!%SFMkW-uF3Rw-wSoQInA1R7T>69in$wl6wm#ybXxUPkE#zW?F zW-w5q!)}YK44SF)mT7%PtDi?x#~=7$anw5RhQb^bI#9z2EK-mR3`eMPOy*G$V-X_z z*$FB)8#8uMhWP+xBk1Fj+4>TqJ2cKH8~P8QV>q3-{q=t|hSz`kP5!j<%wELJePO7l zqvdq^Mzi<7D-YC;JopXkKenDfHDf)-CX7v)H;i2VY{4z_vt;DFwWsgZ@}qC5`PK>B znkZ5A{HnL}^IGYuK54d!mArDFs&0Os-RGz@2(EN%hgB`c72viM_RKMdR^(Taqe*(! zHRfSvOD)o@oPrHbJCaq-wHq|qM!QDoH>`Fe71-v`dc3K?EM=k^_P+L<*VCG9S1Z5& z;DeLoLG!Zvk}{7VE`f=T@a#y-`6KT=^;6YdXAa&MZ+(Z)XWmffou||v>V-$f2Dk9b zm)|xnpFiAip!+z;9-Cg=9Da<~9y^xae#Ac=oKrIWLmov~c2)wE=d28|pK(8t7q>sY}$R@d~yCsik|RGw8UckkC)&SuAdIQ|l;b+o+H@Rs{h zKY7Wy`NYOK{*=M5xKC>rnyUrqQG?mMqw&)|ce@{G@2zIC<8y2bu?6k$?F>yCeS9Ci zKTYiSv(~-sX1M>s-=JV^r@#+_XEL~1c_#)=HoFf)OlaCxR6EE|TN3nsE*v-Hl4`(t zu*b3a!V-0x^$gj3jHY;jeFxqhoXV*Sv}zF8;|T@pi(vPp)*Zzw2xjpx<`lk8TyEkn6Qy(2WJn_I2 zZ!1mbPuxEK!ZZJB_B#`gZ=EEio7pX2d342C`5XN7(4XqB)P7ojpXiXGzRkuRP_0kg z9vgjNC6KCfHx}w^zcj}F+IuSdexEhQzB9h1p>`@RKAx)9=-N;T0tTsS=rF)@rK`53UM-BY zsdY%rbk%&4HeK{N+kIcs@~=MiMZEF=gJQKA2g>MnpJ^wdmxq?Wnv3Zhv~RS&JQOya zuL6|&VHtT{TlkCTq}D?g_b=YI;xSXPq@4p=ER?!RtNp;f5FQXp*P(O+ z=$o%o0dfDLgSE}s9nHH`TBV;fyQY2KDK~oPGtJgd>h-M!w(t{|D+o5p&w$&$b^VUf z-IIzJD4lXv#IER?drJGB`6A7{ckDOkl#kQKnfBJuOLmo{ZYGm!Kil~S>!&jxZV%IX)Wnb zgC)AzDW1=UX46`?#gD`%6bMz&{JY5PBNJKyA4<8aAjFUCs+{BTvFWBiO(!y*RNZ$D zY9FHtxf$2~Asr9O>bX&f!EmGs-rg7f9ef(G~=WUvJJnxgHt;h3$ zR!D{1r^jl_8--bN$+`{1JN8!gT0F0;H&gG|>uXb$nz#1c+WraciEB?h5BT@oH75{u z-kYyah4i%~VqItsC|!eV&t;7B)SIR3O=^k@SF!r!DXc&(A3cTs^m*?kM~0PwW%zk& z!BL@oLiK$FXdb>Ar|s{1A&ewz`zzvln0K`ARA{WxLaXIX5s81pXpkaKJLzP%?d00@ zmLEysD|=KjsPBR3#nmp_SZ&+nK1EO44|~~R(sEj>l>t8P&i)6xx^hCX?+?qH!Xt9* zE&7@5Ybl!;Z+b_ueZ=#uR?(y~95vtaY7l6_5?o%ba0fN_Yi}AM>ALzn4Fm} z5l+y5D20HB-_l9}4Z}yaI30op`;QyK1)Uj9hn0xcM?e{e>Jm9cj)unI_~3nSHuu^# zd3EGiWck%?tEJILwyz~4Hz!xGDXbkj@VhG_xm7~%#}Sh-BzW6O(hu@^-A3+OWaU#@ zAflgtda^k(U4FsTBhVLWR4+N2#V!wnxS;HJx4qD&yfF;WSHCgALG#XeKN!}Qm;Ne~ zN8XR6gc9G_@T+4jK9KkFurF( z5xY+Tf!t`BS*esJ)3Cz4^G!p-gEe_!_43$mb@k}X#w~*(_pL8V;eKkCbH?WkG;F20 zy3*Koh>U5vlPT9+~RC-Joo5mVL{X>dk{T3*BeFj$cS*^Ji zPHN=xbU;@$w&qJue zVK(;72knHjBnyt+M;(jPB5rKf@(>n>wTu^KUX+vxE(jU-G7A7f5FY>ful`&P9Dw(b z`^y4Aejo>ahxP4inm|wF^uj6A*Aq$J4+}T6Kp-D-Wl2E$jQ^Aa*6qH$ z2sQDsp&kFC2sdv2=MTY&fBumFkwD66K=G?9ehU6SJp}S9etzz#teC$j2Y&ySR2yqh z2bFv6Hfz0w_>$JW*sWyFFnUP;BKvK#KlErE7t~m&;fOvec5~B{GPB@$~b}#Xkehg;M z@cB`zX}SNYm+W*w{q~xqCifySZv9anyQiNWMKpB)5V3_zxzC5pKD6_koxb`F{e^ft$`-{kixm6QRSrLybTnFEmk=2Kw3*dF57}6 zTnG4{`ZiYHqjjk3X?9hC7SG#5sX3aJMnJwqNUsadpr^&Al?{_bsX?ewBC!r*&Tz*i zLrbdvxaQ9iVk1&Rg_e*VXsoLU9oOtY6QxnLU0VqN(hboLgQgtqtNO8!D<^Y&pXD^> zR41wztRs-!D;xvqs^mLkZJ->E#A$!GuQtH$MeE>y1Qb}IMATQpZC5R9uF8@*qcJvW zvSpgrEO%>~=1Bys-Ky3t1r>Vx@mod`RM1v&x{E^$Gi6@5!og0fC#8P&{8@_WD@{h| z;?PHR2cjt(l!UxWh5C#2T)ciS0F~}t+-pOsU9GVzdFIKIM!sakaBS14Q9i ziUra1;9^6#@>xddT-=Nfm135sL#w#R2Cc8ef0YACbJ~Ed_3?z<(qai{y-@8bfGwkuvjii(=UQK1@%x*|~4G*$%|0O12(taaE4 zljyD=QPlePdKdxW03ITW8JBD@V&$Sp6JYkfO2pvpue>aPJ(qT#R`YI%we95Y;;Q4~ zD0SLW*7Jj;JG3JtRL)1Ro!dZ0y?hlGm$GJFbY60t4t~J)_V7U0tX)gK?Kt(*jf2ie z6`Deg`rXM+#cgCS+ID!d9-URTLJ1rJ%l^Qe&>i7TPSDWZJn`-*8FVVo0y5%%Iaye;T z_2h-av)zZ9M>152uNu{w5VyiCRqdxch-D3#NiR}2$82rCv(33yWoOb>r`Kb?SXZ;Q zmUBwa@kY1tCO1=(-hBq;HfWFkN^#Z}np>Zyf#hlRLCA+IU2ZC{&n|wC&!|csjerl@ zTWtWJ+ob3f-B1>Xv`S6s8AQt&J*-uRIO(GkO1ZWb5g%WN5yV!Y0pMKx#63cbWyzo@ z+b~!xCX{{yc$sAhQQPK9)xvOz5f&WjaZU?HkxIa^dI8tK)kX|U*{Ti)|KKnk#;>$* zSsbvf=<+!;%Fs7N1UwMn_5~m(%G&yaNBRLzM-};`!|D{^97aNRf5jpet&!?knWhd= z>yVJJW?dyZ$T+|EkY^4$^*0xHohd-3!nii0{mbinbH2h`L?2Rv>IDw1{9|GfMJ6Y7#bMpk^&jROKb=z6jH!m6uILP^+L z$E(Fd4ho@YDAM|VyI7~i4%1;#$~lS@cpj7-v|^L!Kxu>!9<`wqtC>Jd5$9AV6%nRm zkRxFDqhWT%{8d2@2iB=jqA>(U6a8)zv_0yfgTRXS!kV$047p^EXd5!Yyy0!Ild4Lp zEI4C2Mq;^;uEST=q=~AL^u1_EQ9{BtXns^cUNh(NtjubyO#ky5&3(&{T`?T#@af zZqwnqc8(&LM$IBlL=y6vHl+(BaY6;F_bVsv0-d)6P3FMCdlQeksYsxoGA`7b0!=hO z%C0h4E?*eriL5Jw2(ZBk-xCR#HskQ!!mAI5wS(dPs-2Rea*t_k=T$Yzfxo=Xiz*}z++nPgQa)T|MBPyZ>fTf*O*s?f0V>K(jK?~C% zgEzff%T|+$qO>3ejC%$v2dSCJS}ze==EI40qwzFCxWEbl8zYO#3T(9ca&#oDRRd_S zMfR2>+Cr9z=R#H3lwibcmT6QTV6aAG$ai30yK(Oo^v{qKib@G75%3x`Ibn&@x^Ym` zH^EF$fvIU5*1(P~K;v719Gb|U1F?Z_P_VX<+^9&RvZKrr8_U{Y0KzL%M#?mXfZ_~N zAxRDJpX4mUiwh+K!%^G-?1HK>iZF*qFqjY2qO$e%VS}zy*XU~4de&Z8sct17wMrL; z=k5QRe{O8hP*ZvBkJN(-*!tmpq38s?1VmA~T+tu)vlWW%g-lT_}uh-WU3j6!M z`R%_7U#(xRo~gVPUu;mqZ_f0)!lqV4Zp43{K;EJmmd5*HGlcp{_2F$_Ho<#1FJjN zn!5PFV%Oc}%@~+x{-ddph^i3uiORvv9W@P7`eQJBfO}@+9znl-(3-K<#uW7xLwQp%g(tara zx{5g1XWJf9YJM(z`kGHSoHFU~SURxUv>$0$5q#VESbme!qml3AaYim25Rnxg}K9-qT*~N~Xg-bF!583RM%99y5g&(kXjUt{U zgjHIr4+ChsVrSlA%5B%~9Ax|Wxcd$+o-IUgWbEvWqI}MTW~O!f+w+;3_5;=#UfmX( z=RHp5ha-Isq&6t0Jq2uP$7m0UeU2TVrwhm1yVyPi^lA@3pUs>yKWlB_)k18S2J$D~ zx@g6bR*3b!1BDvI7`dbWf)JXgDYpLmC|Yyvb&M{-YN4`AJ3@bB&0lJZH!o_ z$f@{`)#sk7pSF!3H#8)x+s#c!x@hG8)Z*WNly0^r%oH$e>%ep_3qJHlA1g#zM5gh8 ztM-YFZ`5`f28@+yu-@p#%Y}p6ny5wZXN`vA*nP+m0nqZ8wg@7v3TrWQ;+`q8>T+ zwPr}!WHPa@ZR{mxBv<$XgtXfhAYoA@kP)f=8@%(JuK@if0P65wddn~(f1qVh+o3Y6 z8s>KzCY&9|+gGcbQ1c;{fvu*NGUm0^yHM5$ zSGSW*Jk4KdOJxleu}ytBmTZ?D89C%^haUC;j$n!3tLJE}+U{5L_6W4#Q`I57sX{y3 zwS_Ey2gZvY0w}X|f&dE+dB@2FWacIs7FGzUYN40+&>goXz8VenDW&`qgRA^p*+&VR zqIxM`eacX!dP-)czeT2)ACGhRm@j&sQch{-7el9qU|lLfA!JqT(==UORn84ht>kVI zH2K&LDYDC%Au*}wTHmY(&@J_9^G-M@?~oQ$uz%?$szG!DMkFOYNjv*I2UYS)ZJ|1( zELqV`YG_>&rI!K_lFwxISmR}?-i(`;5zH4FA;^;}0BlV` z#AApmt2MU13iHA=AjdI7Prx`6v)`+`jWdl0oEIw~si#iU*j;)`5|xnLQvv9m6R94YDhy?5|0{dLkZEh1EmFC3P8@pwskFe*^py)!)cr5 zpJUsU>BCh@hhI00cTE$WKBa#U5md5osjfA%vbx6>|j;&wq;xDHU)t zm3kMuH5WVzH#H(HTrdzk^z^9QTd)K~%uI1?wiFNpqR<3qL=wVzuZ3Fyio}I4jnq4aW@uRugcgTze91kEm2M zROebhw;`V6fX|*06Y?B{!mv_PvLOTzJwl5%l@;2+mr)8mi!;RF)}j$ytQR+`=>Xxi zqHf7Q_%C5uj64Xz~SYW={=X>%JzRi{3t!4XE^BJ``tYsv&-xj`{6)@I)t&=76`~Qg~_rJ*c{(r}{zC+ml z^ar{r`2EWixqnfuVEBKYpMUtJF#Mn2LC{So&tbi@--i3$ zjXSY0|I-;@De!p|8-M|1#Ui%pAS?-au&WT%>MmgllDV88rkm9sD|?uxk5F0^;TVkF z8U#=Pu6V9F#eszbNU%ZXCcHRf+aoguz9nX~YG+(^*8gF;Xl&eYB{1jw^QPnPn(!EM z{h^ZJ9~|2o&=GxP`_6u9>FkF;uqnTD;Pw7NK7_LGoc;OFDYUDX$7@X z-7AvTP^f>*0$$h32JM&iP#bn&BbFK%5`y{R`U`fKVN`A!n$V>yt;19}&JRt3T9pA? zL_ajdhTGGHWXmvZUu{H}+XJbTL#gq@`b_wo3sR}~lG?6156&h@*_eM617BIURKOl* zB@0f>x-tR^GJUWx(j17i({9l&8$WW7m|#EiSjfofTUu@C1qnweTO|jtxSA$ya-?QxR4CNozr6BGiH-me_fB(787{{i`;;*TT%Yr zO5#dH{>u{xDF)PFJ5*4%F>K3~lAI6?w0=#Fra z4iED%QH=;4DM^6h#`(r!(=kU%y23+{b)lPpcPcER-eW3S zUyp)tnbU?aG-WJlg=0|u_>)2+?+gkCWlbw8l9rfX&Eg{=XfLFO7{d-0PtCOo1?*pK z$%hMc0T$dQGb8~cHcdSt>2`EE#_~f-0zM@=gqG%2Ue(&8pE%t426*6eL(mOjnxPs{{6Z6q-@{Q` zYFTE*uOhfvJX^6Ge%PoWginVyR1v!xtqJw>HG>{BO>C$G*kMG7wHQtucKC=p=<=36 zb3~fcR1-mQ^){r|Jn-zVVN?mP%nbqJMX z1-E?T2RMy%7=k|~qSJB#&Na7{qkU2!k z^*F19IM=7uZe2{>K9qt`t7;^$!Xj4h@Ep}^AZ;PJGU`o_5-|xQttxS zF$lpB{4VO^_3Q&hNhkN5ezLVM*aDkLaf{O5YiN7fFTN>MDo3EIgnvuKIjb3|KqnX zuz%FG5~fsKW+Va@UX0!Wadad^@@0qNYfdGkiwj7nKMO#mSELM6NiV>@M4(SdU`|6| zDI^v$FIHGa1`MpV(C-38COQ$-YZjwAAiltX7XwkC;zh|U&J$kcLE_Gv0*UtVF;YBi zHm9}zQ9y#M7Xr$VZs&qwSeUdDSSKo&*NPT~Lxh0v6O$;ocyS%Te{l$+j(}wW?IRl^ zpjjx~Ajh~yj<%_|JWBOjeJO!k)QYl@JSZGgixR`47wrjc0F6!JmQI6MxCxxG%S&xb zz(_m*&pbP@6Ck$8q6BqQqIH7+BqY+hj$*q>SXhQXAf?>)lu3-Ztk@_a9V3ITz@Xif z4@1uzoN}Tu{`V_aSQZ&@tvU>LD8>+0r-{1_(763dx9U2!0&LJP;Gm2VOfZ7?_+Oyd zG0@TgKsabtJHd)2kLj3Mi1gQ0+=_lerAA3V zNK8CIpoXPGz_CHY0x{8Na2}L&y^3KS{l{O4i$V~*V@KAbcy+6Ur=pfQ)2w#EYL+XI zs=5d4^n{$4^o1Od4c{^duCm`~(ZkB($#2|+n=F`d?p8xhck`@YALtSZ3HuKTm%|Of zkqpCcOjTT|_rm1kNce?R2s-k}k`m_$bOtWei(<6a!K1J(imYfO?2K?iR9YBXC7i}E z6&7`|C=%iNmP}&ePn_Xn6N%hrzP+~~OQrU21 z7$0m{X3(c-Viq|n$_Ht9-cCqpZAwDPIswdUu<1bh5R*w1qVmAx5l&mz`Ny0G4*xp# zUj~8*9U&}gnIU*QusQ7zp6Yk@K8~kDAz#rVu&D+T(ECCYGj&6Nwh$|-(jbkh*pAF9 z2`aN<(i2-kWEEE>gSLphj$m1g5x;=7qE1}NL^K}hh>89u{C)P<5&hE-`HgW1!XPw` z{U?p~8AK486*K}F2|(2&Awl#Pls9z6eM>j47Hr>w;kPq?6or_*-(zt(LeYvF;CLYf z9aq%-D@;K-x&GILigLtkIHf7io8@^zjw*XY_VAU;e2dvXFwdGlLAu<=d2^lFtg7Kh znhoo6Q@`7LynamDmXQnwl@=p~PN6vw^k^&x*2R(*5yKZrpMz%6q!el_(eIS%9b&p2uY@7?tW2oc(4*_iOl&jEcU|FmE9)PEgSYJV|}q*=APJY zV;31#ULa@NW0&9QL+Xz`!fVsL$MJ85O{40z@+LcPBB{aGB3#$knFJ;nsbx3Wt;v;n zo2((!Vm;QYB-CMYzJXc?tQkACm{wYMb<8GHi?(7VcWFa4t!}X{melbda|2PP*&3{? z+BCLK+ovEF6S^qfKXu@PcFx<2UiH)H9xP4b01;EG2QdDx3#D?{>y>M=<;=1K?8l<+aE8^if*51>EwV$4Szx@zA^1A!g1QtPj}yBZ)CjG%}q{#mxrVZcWd zft@0rJLo53mpTbA;6b*q9D7M%#3SrT?)#ucqWzW}E)Xo+S&9eN9L`^6g;$-KGwubn$9#yUw58P5P8FBID@; zuyw)(d<*0_*6}$A?UM5OLP#p#(3EWk0J2sPm0QMg-$`9nZ)x#`TUZCfCp!xRIt5A? zI`AU&KU&OP4ew{O(4O9{_S3br^JYUsO71e$9eF271N{`@y)pq>3)A?S;%Zr6LwZAzb)P^4Z%$FK)&iJ$}8UKrxCN|&WyV)j-# zMT`veAQtk7*XP6EjlP<7s+wD^rEbwe=kA7|WB^S@ZZtH!Q9V6$d}E4!(}r@3+JNA2 z5J(`svnj6UW_6@H2aIiO`q=o)#sky9mA5N^ov-Pg%cg)ij(*BOL4 zbU!y>poWZNkd6)affo{%qB&DoZyK4?ih-wAAMVYfMs!pT_@(ayf7`A7M;p=~yR&x!l#a|T@SSLNc+H(#O|KYIkKLHSSUr1aQp0w#n~p6CcLj1dphA*zE{r$Tti zI958C+@;FpY0BXhroi$&NrCaAUZz4d#Q2+~y7CHp16=>(jlilpf2^u^YM{Nh zz72qzb4poK-6&~i$V~efJ9dgJNAlL|F_eEPu5Gri|A$ZZqPl?&*K?o=FFZydb~39M zb9^Pa!g81i7p~beq_p)VJ!U`V>WRMX_=kcHP@NlkFz==ODuB}`Sf05KX?Xf056C_)R=L^zZwF=SoFES0Ns6U3;`e2$TFc*&w0KcvN(oPmLYq=Gi14OOd8O?&S4n{{TgO|Us2`yw@zby=TO(RmJ^evMzFVLw1rD` zA8(cTJHT7zUZYS9QPp;w5eZA@#6^O&gf>!x7elM%x`Q>0VQ$VYT9`;Q+7FQ|YpOBq zT(E&OVj*cvj9M`pF3I;q3DmOAj05&5TEEDkUBzK6O`PgP8dglcO8^r6dXKu*kv63k#o$IAwt6 zi#^Kcv_0fH`xb^tg%G6c?ZQK;q(mkzNaEafvSczrxXd|NH)0;p?CN?bg^5=lEwz z)4w!^;V&Sj&n}5={3Y&Q5{drhF%0`MH~0OuKm=0_6^BCi>I{Esp_NQjLxTU;Ur^pT zmR8?bX2RtbKm9eT<&$_-`~mr(zeLDey|fU8+k2dtfNdToB2+s_K#?=4z9v zjJ#@o)P3WJA4!VXeOs1}xLm4|(y(XajKO&s0u_W~Kc-3vYNLRo7RLFarmE&4Qbt%& z3!5$M8YKXE*bX*B{)O*suw}r7G$D^{)UabFi4IjGG%41C7r0Vafv+kXG>lV1d9W5u zI+I?+4RnpiLEur?fct|7?+WS`od5H#-_;Z#4%kd61h1A7fu*g*2~dwx|qKgBTp=~gy%epT1ppCE*+o`H$(KNH|wow!<;2K%UDjQay%$a!Nu1FuB zQp_o%EP?h^)(v^8gPr6x^ZJDw0)5j#G{>MAb$5|TB?0h66eiSrB_Sblj3EW=?kKS} zQ}Uq%j0%#89S%0XIwcC2GEqrmI!A*7m>V=hj${t#f}kflYz`q(gpNbDpzgS6{x_z$ zp>c?1VQU@S?g|m(=I{SCicb=n1I_RRmeEYQ6}KIOHAz5S+267S12u|42+s}%1~u$C zg+xpg8Ua>-KNSq<*qBP1KTJ#5xB;py%6?NUy4aErJ3_+sTl!Mj`d-`DKlU6d-V8Sc z+Cycj*=sWu-YgCbm8K0H3^&yjwDW`ohkj7mZ(4$WlDpvdY16%~ zRVJ2UEhK89utUZfclO^?&>5ND^ON$=v02aYhQ*9{U20@h-1xe(HgD8&vQa&{7`n}PA>Tj?;Q zU{=NDMQL30ckI2H5g=|DoD8`v2{x+FOhU5<$XnD};d8|?WBHa8p(u{K;8~kby(mJJ z7D$GGSfLW%NwRly6@U`j4G;Daz*iXOERf)$BJlDc)sEzW5c%9JbeW)3^kEd{XGFog z=Z8>W1Wzwv^boSdXPjDGND{Fq>OslUU8)P#^>3X>_-V8#^Sc`Ux0E0}DJr!}Nw{{) z3H(8O_MI1+4m*DPNysL)|G;*{wB#wmn}kds!4xM3ab74A*qowr5%mplAR!A;cNtIt z1P%Svnxq7iFEIqe_7jF@eQ*@(wwaIy3d9x~Lqne9QbaNnxXuJ&oquW64(Onx1_U=6 zKnvHojc;<0M=5ht|mFMbTC1q*-ucc4^I0f6y!V*p4f1Km>) zJQRF15LG?&VHXuSh&!TE)e?GVu#U8%g9dzuWG(8cetbfp#R7{uZUFFa!l_eyN~9@X zp9xsPrP5ux&?+~fLn53q4vrW4KhYu%(m9eKs2wC+6S4u?h&OV*3CeA7BC%28O`c*a zGVP5;#ZlOCTPca#yixSBvR|gX0H2!dfG6k_C~sXwGK09hNs7t{gEv0xzwUo}_#>!) zpgul4tbh99gOlHQ3fc)DTpJbrlTjJ?X~Hj36rv9r`9~3LSn%+yM8H@&;kSMN@Xz5g zL_b94^<5fCLneqF*2%diu2R7wVfIlEO27(pp|LwVRwiGmaZ z4MSTrY@>1MnjUY!NRuV02n8^2Q18Rz6NH|!B@>UwLmU9AK8;fH zcbK>;3Ex^jH6;u;0$l!(){iRw!wnF!(Dr-$XiWb17;F676B_mqKl@%d$>DeF;62z4 z_}^m&_8(tFUhg~}UmN%uQG<%wt!MWdJ|Y=K#{OyL0{2G+_}MZ)JYAGgx&zR8`1#eu zNF%*y3&B0^3MM2#CPo|bZ_v>i&|PpxFY)hqFF5!{2Eq@#U2M3Rh zh(h9gXa)&7=$D-loQIDqzQDJ-P(;}YDtwyp-j=1J$)e=(On)=_OTI+8VJVRJQ}y3J zSeWBT^3t6N`Tnlu9~ROoKTo=i6r&^nE!-(mH2a^Q#pwU@0uB0oi@LZBmnIBL7CyWd zFzwQ5y!?%Qxc#3TMcnfXN8%3T`wQoB!oa@|hZOw(`frDgUZdBhIL%NOcMdyYyHvuk zb>|}*LX;39MLF-V1%1?=bS)HP!Y||~CcdcT!{)XT_2OvVL9BGq?4+WI+mP5|hph`J zd-wX5_fQN=kMvW4wD_Me3oI?;m(Jja|G^kA{og1C6czd!61;Re>KlTnMTHL%wv?L$ zl?*I+Z@u5LQ@=T6AqwCSEu?qT3&fDSJf7TJS>(w;nT5_EY>0C?Y*OHEUi=4b@BM3&kk`1tPo)y=4w5FN&BHZ4f5`XA| zzCCOj=|e-=i{zmXFP{GwFNPF_f3df2KKZ=8fv-b7RX#{XrR{?!BU}NV+{h8z2GOm<4abl5p zZsuL@QE#)Cne;As&Y4N)wg>O?5jG8uBZFgEL~lA~2^qtH5Q6P^mD`I>+S!X}4a44? z2ZR{Du0OTz^4FREf$OR?TBqP&-lBlA`|`gYQ0;##p^x%wF^iJf8E>SUG!$X+NMhKHYkze7<+J5*|##% zC`PKO%nRFh9H%xsH2(Gk*q=}E{Z9F1&&zw2MeXqF$+2>HQS0^I^uRd){N(_0!iq9F z>p=C}=x~^gnT2DVL{3Y$v)}KfcWR(mg#b3Hz{&2ROZnL3=K}daK*i1U6^bx-sYlN( z>_M#5F_diN%E&46lzFrf4DzE^W`+F1i!m>-;<3{>r&C$jMjt zYG`6(4DRuV&GOO4nCrgKl{sF>9N(Tfes#y!u4GP}%bcixcX6|GfWONxdW&A=nx_qW zs{s|C_XZhf6R?n@W=bF?piVL-H(OCV`@ISs_C}pWkHc#3A6pLGV_DWx#R$l=V{b;I zO6|E*HK?Di`YU{lzEWR6Zu;x5SY5TVZ9v(_;4kn=bIdIxD}b;2qoZW>+q}o@AzPd; z*DuhQ>lf--XV1CQd@ny;+3W3{G+J8x8%K75^Z>2@*zx{Mb^8wdJ-+=)toq&8s&!=Q zwX3{ZshGDt?|?U}?VlXK3}W#J0Lqb^S7r=|ywP-w3eIb@PO-Z9S`}J+HuK!FX~M}? z({6w9a-#o(E|M{1I|Dzx{yX_WeW>TMex3CDmD5#A?bSRyxIELy-F>0u#;+dnO~~~P^Ra-_Bhiz!N7RoVZU`%)6jvp$B)z3 zs(uFEt@xQhNeB48&{waqHq5Ws5Mc2VF<{^|WML8EIU&P++Ih$;qcr^a@f#!Z(z*=O z3(}3=fl5G}HO_S1tjiKvvC)?e{kPCna(;ARlFU<}gVZJmTo+goRn#p7hhIm7Fjx4U1a zxA|h1x36CD;+~ZX94-ZT1ioprO6PPVB0)u(j}>;zY~Qhdee8G^EoI)`SDzpAf{WgG z%ORLh2Vky$Nz=fOM!0R0Q8&<);>%aGz50(l=w0t>QKq@e^dly6+yr>{oq9+@VQqbp;CPwO$aYOJq%-Ls?Pi*$UF_BeJ|Wuabq#c_Me zN@-(g&iI0gAQG5R z^g;GX+%Ubdy^|c>z2jH`qXdmLH;)?4NW*4l!eL9vgw>-Gx`+`QH2snXv<0;uEg3lE zOoguyGF2{k-Z&C?eV@-=`0&7lNkL61tzZa7X^6oy2yL_1oK+%68{1>k2n{(n6dSz? z3jT2NIhx!<>+}+ZiI$gC%?yD^J{v-pBkM6DZ|t0DjK`|mBFF8_OtU#O9Fy%!V26)T zOm<)ih4*T=F}6&@mH`$@M4!$%j%`m>#*M&~H}7V!sdHT<>y6*Mn|?6(X5^^BIe20l zXtBV9`AVt(9IHW%K!HUVtz{ofM;jwYmCl(Q61`()$cf!Fs#Dnw97_QHdUogHyWXU? z-%EP4Y|IN^^ar>20VeGWMWzu!c!W1CAD-gH6VCi=C(eD>L&x|oX6J)`A`g@i{{&-{ zj)E-S6-CcLLC*4wY0ychIO$>a8{q7EcGCwdZ46Q9=}xdfvvry*)H%#ttn{c?3p;ra zz1A9bV@E@gqq;^S)in+V`OQ~jGfvOwmaM#Jmlk>HeO@`>40~=3wz42fDt^F~%D82^ zG4?Bc&tRgfrgyBb&R&`F#)rNAPG!>DhZzB*Q2Fz$QbWB@0LFnbgpSIWkrZLdv&Z?0 z%DWy$k5Mh)f}o*YJb*px(h;pvbg}}qx@DTFJGGJnzHRAI=d4(Rs}ee5bmV9#wk*;( zqsB8bnXBT_VQtGq{eF~Of$@CE`zEvVxX?Im{`x8UhF?er1EETh>ft&i~$Xu`pS=viG>7YhUI#^40FH#Qy4G>#Jfqx8Gk8N25- z+u6_UWPR~KeUei~NA`lQIL6>~%SRA`+QrqSneDME$+XUzvDtIu)q46`#V}^Q(QDpq zZ*t$gkuw+o&ZBEpV6mLU8Y04=Hk6SC+jU>_&6+NK@MTl(vToNlw45-)e%*$-Ism*RgXBe7#xYMnshqAW{+#d_lbu^?bAFJ0F z-uEi?vBfbfrG8o4tNHWXWj5q8guYOQ?X7wV{jEBKr0*}DKoe&60j$mrc>gzTZyy}j zb)I=P=(gPUa1sK9xYs=_4Z7KaZ+bY4NikI&tSdoZT!4p-CO~qK36{gzhzLf5!D?+u zW@ZtUCh2?opmDl!2}lYn)zWHgpwWa|SQKp~tXbHrazyY_x`JU!_OLRTE$>)jP+o|u zvgwQ_1#fAS{hbSdq8-{(`C~wcrYrzFAMeNWKJUjd)qCnEtd=xuIgxEN>t)X}hsXh- z&3FnaQrC-hgYhLZp?wv0p+nC1jJm1INmWVJy~;B} zeNGi)?qv1(cw)(*T1aU;Fw;D9D*mv8*|G`}>srraVNElpDyBhr-v9b8On$gXjrTR$ z?6EKEb+&@7yaBOC*Gc;PAj-6zS)4$#kv>qGO9^vG_0<=Cn6LVMvhsM4^)`Y)<*PEk zR1Lf=Wd{T=MF(3l+nk;~lFT`&B!;%psO1hubBi`&;S@~+B>L&+pD&*RRT7u*NzN%= zADFSNh^ul+)orE_3@dp-T~H3}?|AHvf8=g34)_vn1GaUH7SNj*woJZzAn!X*v@*c- zumPe?Bo8==n*Eez<#ttGl!xrzU?t<{pUH2MhNWBRLl1N`eDxY81=yH4Vj9UKN79LU zDqgqKZfz*HFtl7zb(uS=f(cm}1JakO)i0^(a)L*&$$5;&Sa1vA7jv~7Ai!4T3W?ZQ zWl()qX?nx?Dm?a9P$Dd>NSl4rj*2co*Zx&8VUt(Qv{K$U$@$h2<=MR)Fks|N{Yg{c z#+EIy@HsoTo6J#84a(x0TvC}(W*CUQ^TFAksFaRIgI*wDX+d>>DXw zFgj-UGAE~F_Gqq-=b%loR7o8?*vR)Z@_i_LB}0Vpp7SzYZcURs-Vtk=IhBi^L7i~Q zL8Y!LUR@S1Dn4D;iE~-ZS zIpdI7AlRNuq%ZZHj@R4M(YBgf*~r`I_0`;_R9!KG)WBH3%KhNKGVOzktv0u$=j?d> z+-P)Y=yt_S^E^dEj&5aQQ2EihdBMiF3u${g#1%Ah0fH?k@LX!bW67y6-5Ur2Lzg$;*Tv zTBIWgaS9ZakM`sT^?N|w!`ZCkw6J6i9yK7ZF+|23VFg##RlRb)Vw=r{SrkUry zEMch}o^JQEVnR;hKFUBz$N9qlP4+xoCa#XJ>WK=w6H|QcqUag4#|MJ({q}BMVKbJg zX3BHgak!Tb3&`yG1$Bt6uQY<|<_8{veD(O4JTF_{2Wb<;r7_+uN0Otnr{G5BlR*tI zv$*P3%yrqirp$&aFIC^g7>{ctL4#_n$oCni={EwZVJOVhheCL%C|0;YR%-L~pX_ig zZ8{DjVC%E@T+!r2o(%!?vpo95Q%cVo-0OE#(sgDZX)&05nA> z2cMS&T#Ho9UwB(-wNLC~xsS9A4$X9p%nXe*7EHD1yDRT2`Ai_zfS}J-AF2{<@PLj( zop^y$&BkZDHT;z;ri#r3fh82{R1UM)cYZHiVX2qW!Y9b=ami)bY-Xvub?6 z^Rp+tX$PM*?R?Q#GU0>{$7ho*Pi2Ov)AVh(H$CIq#}`#+MwE8vMQfl4bPaE|`8Uk8 zCXj%2zk512u}kEV^~T7`!9`gK#JG;eids;X3|_VXR2G|@vTi&f%6$+bqyP%Wxuh~e zEw?Ei2aZX3XXqbo$!P@CU=&+Rn;XnPGo z%~SgGR^{_n&rMr3?d)GcXKa`%IA6| zCx|6)cO( zx9YijKaxAKQW%<81^Wu|xn)nwo60tJcMk{$gCQlz^^YL$YI{2l%(3j*b3?g#R-Ilr z#A}Hwb#*}$&x?&=W@<$!pybLm-3ZEhpxzstPFd(0aZFHh)9FT zM985MNBkwh<}1dm1{U+D2Rlmhz>($Ag^gT&)pGlkN0K| zM4va$y#eXHh^j2Y|MUpc6F^i~;Yz-|{E6>B5M_6LZO@TH-_%Nq56;q;EG?-Coo(AVd}2?+0t%psEZ?iY6}@nM1G?MAIF7 z&(rnpj_7F34n|#*@Pe3WGVdJ~#n^a86~W14C}p`hz)3r^S=OE60Hdg*2w=*mY(`VX? z$=Yyop_XieV%!kJvgfGKch03uscTgX5Bp@2IM5R@fdhEzOuc)wmK?49U?{z?nnSeL z<-wQu9)PMW|4M=p4V0w@d}9kwOw~_e`f|}_?1};v6|mF*PzAn^{O0^8?s{wuh~PCI zwezsrefjZ>I4LD;@xC#LG+`b#iigc|!l@<4;;H&@(n^ZaTe*XmgGvnYZY>be$`a14 zbhP!DQ*3G}f|VU3zROHM{0S>vx6Pr-LPbHK&iH*IC|*$;3N3v3Ip+4lYGHZysYFw} zsWAJXel%2@hFna{$cju2sK{p(T#)nCj;OuO1r?$N$-ndr+kN)&0k}M?d%*X{MW!{R z-{H_VoLZuI#7w&f5;beE!%fy~mE_uTV%^{E6whKc)VI}S`T0Ml_ReGp_!u|gl;qqo zk**Jq5|lRJdskO{(I@&;4-9~UIPy$Q|AE<{Cc)z^r*k@eP>2T0YMBreRtWJ`Mfit5 zcxBsfM=aDYk~?q9VLNA^w+HZVpA}b6%I^KcS;u+DxoSo-0Atcn@#KNpV>9!vxzMmx zZcUnNYD7+X<5gA*L+QYmL$<;A9+*8aW}TVuw$k&dTx~I3_g7-M4S7D;kn0kIKBW-f z$?B9U>u!LDjFxc)_nUwZnmrYlh_G1?aX1#J<-BZ`)%mRM`e$}3tj`Zgap!x6F*mMZybrwJ(5hG z9?R7p8%gGe`zjrE_cdRQy@pjj}WiO`F_1u(yLLP4* z3)9jbQ^tq*;^FKck11YP#uHCFMfbUk5ue=~8F{*tgrpr>n16j)(-j!B9@q+;jY~cc zgHLa$*KFc21usiF1Rkjr)^GV8GGILok4P5V;8HXa`~C~Nw+#du$yG$)+(Z{1#Ez0q z%}U=HZyj;6_`NFW045#BK^(Qy9hU|~I$3MiokbP5Yf&BFkVlm&4&x8TI6h}zbUZfU zJYiN%7JP)1$6gXeGd;QaTell4Y8jQ;R6bfC<=G>d^z7-J zGuLB{)>7%Ao^-mxdiUSNu@4H*7*hiQjHzMpvQXx?z2$EhD~NvCGdovF2p-2Cgc^yU0AA-y*(+20siKSe4f%Z-#FBBdm}qXX{J zsTTO2RMgF>Mca}@dxe-wIO;fGp8NaTnwN^QA=YaVjrzoTBU0Ges}#+)bYp8mdCYET z$2^OlYkqq6;SN{2WH;RQ-PW=!ZHi$0rX&W$-6UxOr?Cl7mk11pZtor2>zKzgXX zesEzyXgj>#}O~KxARFW6G5>a_1j! z`;ABe5su`bu_QFXNgPMc))@(MGkH_1@noU^E;!&2@+U9#%sh4|)kx2K0upP(yC|-@ zj*CLKcpCa9N?Sn7&VCK^#sd@f1R%3}K-yjB9Ace! zfytSoi>=V7_DIL2htEJ>&$p)!&DWN58~Mg+sVXM@;`j1_EQ4s?Et^#w*LT!a6OWR}cR&L(DW!|p) zK_#W_3liN>URiVXh{uwlLkKScW`dAu&dqkWMO6WNxah4&_>~HANvxz|E>P%+-*4K+ zZXp9F+AabuPT2UqY2Lx5@FI{hYZECL-c_@u{HKP7oQcF&ehr8*|5*C5e5Gbv?lIBt zUlh`!YHv#MD4k*^TIQo=rd30@8G9L$Q8s+ny&x(v zMM97IvG2dsv_rjEC?b-bJ!$vUZNEE!dAY77B*GyBTfU>dXoP_Gk(MK8>Zeey;dHGI zO7?Qf+mIvDDt)Nr@ih;*JJ=xd(*(Rh9s~yZ$dUM0Qb{YFOfKFgle4-a7fJOhp$z1r z4A>k;37R>>W_-8v@TF$aMq*UOmZ_MqUGci9EzOj2E82bk=pQ_=Eg6Mq1YLx-f6eZR zdROdWDfeJ@$kBQGU`b{VKV&jaBhxXP$UH4;$5?Svnf>C=?FOjVLXJjMWh1C6^)2-! zTwv@WRPabqN9m8Wq#vFej+aQ~CQA#eWRldCfK>N}f~eoXo`T}M?2^Gq6+$PS7>QHP zNLUmR6`~gDT0N$LAY-CWs$czZH(C2o2Q?%`o}s|6*eQ&M*Qx{0ST_3((X~+?DjHDs zXdp=L!L}qo!`e(C0AfYiuiDfO3D2pFX{qoc+n17j*F~K;<>YKve2(BYNp5e!lc_~1 zErMGEovIp@H;rkXmDO2w@;(L`C+=oE3dx1Ec@3XGNL)t6R3otbF%ocJ_)oj2dpR03|GxGvAb*_^byZNf1^AutlMnMMT<>|&_-u4Lnwby=2tsitJVG6LMh2C+!5 zIzH&PU;$o%PWTIl1PP{NhLDfS8W8>vqs@p&1Z2ofbyL;7Cjc9<$rrrCiUc~mGFD2b zbY?=fYf&j{nX(#4_l!nnd;M>Hi*t_rq z6MvM^fgf(R1%wIzTB)gp5y8SsN6F);az>Uul=2$KG6|WeNsuDnsohL6G1oj=>tR5j zzbvqMltroXQ5;Pb3=P_k|H}WM9JnenlMZbiO@pU%c#i;-&;PN}u**=oDrD zPCgZ;9k7>}O)gX*g9!-O7eB0ywZ&!A$VqZ;qa0&OfBw&bd49sWWDyboXZeQZye!RRB| z=P53V|4F8nG?N98%=|C~7_}OPyXNK={mLqP3|GiE%&6iT;fT(oMh=%l=E_|R~8_>(ttGJXk0)@u$C40o~3haKNhGiv?h>rT> zXeUks1eXL*pj_@}2xfEmnEJ3;nc7VeNFxtZw?-rc!K`BV3H=d?7_^}Y@=I_)EH(9# zx}hA`#eY+zcITgYd$wsS=hODs=p*NY$$ep3C+SVR9FRp#COzRxH@I3!GD%-`Il6CjReW`;?#0Qt*fN~ z!VNQ^$oRLRW26-gAqbadvf3tDfzos)OFkOpqUBhdj`8%@tSx+;kY1l{A*Hfi!wN%9>ZuY=TYcAnp%CnVk*bR*AJJd{`4@Si5m>Ln+HhJ*Z z0Wh}2zpJQyG(g8N=A+4Zn@T#BmSFRh5p_f4u>OdyRAqpSgU%9>nN6TZV$l?w_e{PL z4R8^UdVGQOI13FfVqbmgXR-JsIGp7S245T7 zcs)x|3FG2lvb!3qH~a~%!#}I21CqrNF`@W+AaSP5U;&+8C4JMv2@jLr4Jr-Itmf7| zM15KcmJCpvr1bI$xIyxulqaIV1-+EIRBZr%B+Qoc{*3qfuyTZJ9}{+ISVXidol8jE zA5h2Cz@N|~HE-o&D-7LBz3)+as8b>>FTPT3B7qeK`XB};b?HGcSIXNxv$|}#xr~_M zLqKGaW>dHZsahn)%fjBs^KXscGG6 z_oZ9$B$>8piA`4QebPBr&T1S0IwN-Gdk9kY#7PC-`%r528kZRu*P*YJFw)^u&U1fu>L3WeT9T6uy7Y>R&CZ99Q ztQijR!T1Eeq~?!SVrcz{my0@H02w7+8pokp+o0C6ZJ0;|A>snX1E(+O$tYI~LIIOZ z!u-qs>-IV^AW;mWF0VX(ks3M(*KE(27z~K@z3W&}`Gn47#{IF4#F69(6&1^sx<5kz?XMga6MQ*J zzoA|*sueg)ND5+KY?`jFv1j~TgENI9&f|YGLWf6Gxd`0!o$vf`8&F0pp$ot3c6Nr~ z+3p^;i_*@YwfDefcAcZh6u2enVukmm?m1a=7aEmpB_?^yNi%J{M%Oh`=4*6`?o)ddNJw!i1Ed4b0u+um}?jJ3$}kn4ne{o(XiNSIC}CH?4Wuby8PJsXrX z$7YBu-gSQ6a5NY9kntLU5JEBjaUJBS0`_dRPuvj#d`@#QXBzoY?UyOJ-m<(=4Fcd# zY%6~SrGLrp6Eb_96+eEJyhAfHXiT`O4pN$D#uHQ`K}zQSvR+@g=pW0kyh@tQ9`sax zNo`=C7HsmU%T9))o2{iS02LIlUHH+}RF!;9CT5ud_m!Kr@cRR^`xJbov_&Ic{ACDo0>_bcZ~#n^?o=LZ&Bu`)DYg|vVwC6 zCKVNqdfUjLHuoqucgkO=MNCSXAo!1TG>7%MMO~2hijfV%ZV96WE=@0wZ^*^ml^Fl) z2A1p6%o>Z_Nwx2+jsNX#we4uO+c>LiT@lWEGYrfyM%-yI3ba|xN{X~g;Y_`>urP8g z$4iJoMc2)&sZCGnd+b+BxXBHbQJt}}`@9LTDn)ZqlbVST2iZd3`Glmxfz1r6G9%(S z%u}S}DaXaBX_~}g5I7s0k#u-aktLQZQ8IFsn9E6i;oRLa6l%e+bKQ(H_Jt#pDRKk=7j7tQ0CX*ZedlGzs^2s6Hhx*KaR^8I;^^`fT|(hCL0V#c6DeRUhZ2zHPuxpZvE z9IY>x>VfE0y#Y1&nSg#0&kPjRt1rG+e&wQGwGQpV(jWb8b9=dRMg^v2omX_~c?43d z$b;+DV2*IaMwr7XXR8Eg81>U|X%WcP%E5I{k=2fraT%Po9N#?JzrzZ1)LezlziOw< zLq8L?O|Bmi?uM48;sYTrBx^%N<2|G8?tCr1AllbOL-on9R`4cOVZ_B_k`)vwHQ;R? z>hK9EMzkAhuUWZtEq!};rLj6Cikm`Bcxq3W?81^=fY(9UaG6c<=xMTYoMHnfp;D<= zS@4914>pkwNY-y(X1+^!)RCtagicu@(p_X;L(yHk0uPRtVoLb}`CO)>~joYHy zhGnfjyEFP((H-*{MfY6mn_2L4tmtYVHBxvW-CnbUo_Zs9&@?}kb_H^`M0!r~KJ!bx zMuogK1Dew>jBQy6LL@+Ap77kaJAM0vP07ev>36@>di-i@Ine~6b>xC+ z&K!xm^UThwStGgE{i*uGrnIMQ?7e4i$T1CGOM~8pYWc_VqIb^egjiyCGnC9HleyV! znnICMx>j4j{|0?R1i)hsY_eL`<}Ae2&l-;ApLBeEhG>E67X$?oB~MJD3$dhbeULwT z^8tI?vbC}BI?XOUKv`+8K|o1Lfp*iy2GLD4&EKqx(B_YkySHD^)-`Ht1{LYdH9|0e`0&hZBb!aB9ART3J09`#kcI- zfXvbWlh4XGpG1GufrOiM4;)Bb`n9JD?fB!$t-i(8{QLR$DeIY(*FNy%_5IH%b3;^( zA|S5(MM{879ScGKKG~6~(d>DJC%>;t!o4@u!X z$h@UYs!WN9#3b3d4Q0Z<;v6LJE^ zXA-73Gdnbjh>U(yy6aX$bg7qox4a=}3OMiG^aFXEE|<31xi7GCcyi5WnXys~|M<1}Xm+Ri8Pb7bwzi9QBzsIg3SCX_ ziS2h9(6c}@$4EC7W?MyC(+$bdSAF#x^&DC%S5jhF!a}NS>83rVVx8K!Q)Z(}v&QR> zVf|9r(#5_N6?;_WFujgDP70&BbHiyiXK4mwAVAAt!AW7qu)WjxhNPtq5vZ|h_-Ni-V=BX>g(Q~lWFPZIunZ!%D(HZ_{eky%b_Iknb4gYhpFW)sN zDYfld4cDtHun9M(+|Kbrae9VF1alZ5&ZC|%VAQwfwPuls^uw8(4r5AL3Ks~$vt?bp- z<2qmCjE|Wc?jr{I<0BX{x<}G(aygY=N~y=~+!c9nQ*xpG`7!VD-~=S(kE<7_s*?tW z-{EmS3fD4m0=1!JE?Fbp*yyuzs;+t{*cBU!LUD>|Ojtq)3h%HkWjvL0pcFxwno75O z)WKnvO({8k5^nb=4Dk)u9g-vHA*^&4Zq2{u+njIo!hYlURj7++OaE0N8!gE?jQg{H{>g17C(;%LoQf<} z@5g;=WA;m1*aP|NMvU33fl2w(kxA0@d=8Cjmg6x#KR^8W)MZ%l)s?#4xB3=PF+Z{T zZE9rRP~!v@Xeuhfr>;YKj!H~)ZYGs1JTOYdd`$yJC>=sf`2;?(@^bTwUkA`>cu9*F zu}BE5;+LAwggLlML+MY_aMWj&H$42(q^4!J(5sY@ul~nRc5fTD0t&kGY4KnXR--Tc zH7!37bgD{+wdILlT9_hOJFvAg>iTJqJHNfN_1??uCT8&73cmi*hSKRB%>!Iu+t6PWTk|vM_d7FxAdO(uZn5#(i>qv$HBvV4 zVenHM|57zf^xWO}8kpklWhW9*YMS*;>&w0Yo|u-@?%zGQpW66W|Me%h^DS-D0oK-M zpJw-Z`Ng*3N%A?pbv*&|Y@Xne%cn9}2gmH2&)xIixT2S>VVVpl&_cVC(y)qqD zAKiLbtIB0>eLhGvKZZ{4Tk#X1v_DkvV)q{2Pi_9KKmF8wHlKsP%IR&he}rC;{kO0B z+3dBet>0?C1G3ThDgCGP)apXt`G5M+t>4ala~(L6zkBWB-rv&K&MvBQifcQCw4b_r z?=(G=h#VT7eK_3xW22YZ{?y8%Uc25sNBGg{{o>tUdN8i5$F#(wFabXRHNOztdwg2c zbwiRq|BW~P{{8koZ1bESTkqE-Bo1v_4*T7$#!dCyetMb-G9E+bN`8^Ho0x!R#)Elo(XXqM@e8+mZ`|<~l%CzL~1?|!uLUwr3K!J2d7#`WixS^4OD`P02N?w=jLuZ`={ zH5Z|kTlck%!<+EJ`9N^hXV~qU+E!4#^LZ-8wr~4~a5i@>oOWB!tNfR$$!7d1486U#S{s)ZEBM^KRgO@-(*zD%4Jzu4Epn=i;3EUw*+@g!y32z zlj_C(@=sn0y)V;iGad8-93aT+sQvDd-27)ok(%24ZEe@Nt<@V_SACCqYYE*{e7mlH zhKR<_JO@-FsCJnCq1nuphFtDb6H>?O7qk}B&*p8Z^PsxHdu8`=Vb*c&ytETr_u1pL zeVztbb)B9(8<_aEY5`U30(oLLOQo89sNSF7loIO=*u(n-6BPDvwP62gv8i5~KK`}SPwt>$B9rHbBY6nK=>0HoGdm$9(9p`t?#V%DhbWbd9e+(3lAq2?6$m9|! zwg{$5MQ?-~lk6UI5tcV;b2X^G`j3CcJ`l-6P0DVUi6}fEyCwj{-zUxq3I+qOb3>rH3#iuX*S_i$! zh%1))@)Y8_vf<%XFswg)br`o$+I9Vc-W1$T;^BuAuz*N-Y*3W@ITFE$1%?9aUq%BI0uutDoC~*2eZIia+n?L#DeQpVWc#)j| z-NRoD$~Y>r<7a)@x&JK8xv^=Q?XmQ(bd4^v$I>!YYFw^t`l!;B{wKJmh_0o4OW%~d z_~LuVzh32~0&-6W^q!6|u%lz{pPZTdOz0bHw=tJU-{R_25JKVL9zUUr&VhLvSm+&v ze0eK7vI-6Q%(Z7>Ti|SrMR-Azia+TuM6G)6YaTTQ3Sj`o4=jXn^vBQUy9F~;I$`J# zz!+*3iHsx$0OwTBXWjN1xXI-LCrx zULO<*`v_58U;bOT_6Z6S2qkr91yet6?rPhWPRV5IfzRs{T<;VK9?T#1mdFqzFC|6; zXZ+@`S6d>}jxG)fH}pvQEC2LNjjDhlP2p+7r(H2-Q-f}G?-gn1UJeH?gugL8tflQ? zv?xO1wKfjukOvK+|4_WUo25UwuhARUMhWT<%UZT{ZgpG8D0$fVO^NMqc6Y)&+%~ z=CyNAW5R3g+S)DLsOZfo4H17@;M7L>_qhN>A`DBNt-Vwl9-4FmL${?dI-UEGVKBb& z^Y(-0Xs3&H5LS17_jrt46P!?cY$jRQWz7sh2`MaGa03e$+PM7ZR&yE-1xvC~?S0`( z@_F4%u5aa|*!Y0UKD3t&RVR#XS(&;Y&fjvcddP;Ymp@Ial5~>}_6d4*ERlBR9!qOCiIhJFQA!h)ty<)1S2vIzH4354RU-wAHSarZiVGq2w^1pHDJG{XL+@@o^QpGJCuV^Ke}YPj#Fz+ z7a=Jg7%hRz#pQr4tn#nqC8NGmAop;g~+clk;hqC$KtO(|5HB zF4Anx&l>Y8N~e9TcDh=@n3}?<(@0xGT6b@Y@6lhOj17)q>+b$6r8%~&zE|o|FcIM= z23&?=_YTR1eEQ@W$^N{X{qcU_G6g{KgsuV(%OP6mgejHkJ^9V`dgU7!^Y@X(esM71 zwur#k>(s^G7hW9m;M@}qafr6+A+=`d8BJ*@S`BAcFl`mRy`PPhwdW;P--3NCoYumK zF{sxHCGL_JS*HVhD-H>D?xVZ=)mQHaCv@dt7>9(UO0SNs^T%Mw&#r#EwLd%C{4I)Z zLye2mz{sertrYfY%tF!~mQ+{1r3sHD=d{ zT_cV6j*sxHhy&#?tuGIqLqH|}qrDh7gFR`S5$Pr z5?eXg5EWYs^Y{2i!=~ww{N4HLAARM%dZ!~S4cdY2_~vOaZl@423PGMUVx&~vmTG3^ zNXN&Fv6;ur*VakdFWm5_Gyyr~5r_Z@wM1e}BkX@p0oyMSQu5xRWhklW)E^N)$q*Vhrpjs83+0tYum40%&+ z`V`jaKN2Iq_}-giQa8r$Q|uHJuZ_!7$L%Y z{Q#U^`YSzmIlUj&7rwdvq3^?X`2J2Xzsg^Uu)%BvOD}D_Irb99cl%!cbI9Unblk${ z-uT?_YL^+_>_RB7+$?L|7S-_Q{9=9!PLo@j@ew9{V4)HNtpAqV+)>OgeDQwPHMb?f z`mR5)5#V8pnxe8RLBIAS#ZMkeLR-i0=+-zxqNxyhkYT1Px@ku;=&!WYn{GO z@EB#SNyrHtG{>j;Om12`{3AKN>mfFNo2$k--dCjm>s0oPt-rOx$wA}eTFHL|_Z;5j zJ*e%gKe``m3!|Pb2Lm;dBhgCe7L`nNf?qOOtY&XO`V{A&q;fn`^Ri;eD@YvW58l zXT6isinC?3HvbE+dfkWh((W{Ls5wn)>bSgnFz6l>*Un`f$_nu-lS!^i8=#L;R-=Et zUhlo#--od4493o_ud@4Xk>%DAcAzwp{ac`wvM zdEdJBAUwdIckRFZAMUgJbsLsNlSfwoe=sfl`8=&K_SNw#``^9V{J5jbGp3!tDC*h2 zT3~-l=llDH8kRJrS~=F9el8pzQANiC2ts z+3NswrXL{wAy~jVWAnDue*OoyNn`Y*_uArZEB>nHw)GKcg8S<){e-+FZeq(ihaP$- zaXClcd|UI`{_RkRanXMllXnKbS8OFNwZ#l0g+TlaZn;+h{-2fm?4S57lmHF?HBr!K z7hCOt)o1tTzayJoGcGXLQZF>T`=4UOnfOJ6(&FSL1D_*FZR*KUT+z;M@OotzTGYM zUu-oVYV88B+%cGJHLYpT&?(Pg?R0d2R|cD_42yk98w6n4#Kb1A74*81L28}FHbAMo=u|I5F1zr5{s>M~4h zY$bEv@a6bf30ME_RpJPGMO(`MS?96HyFtY1X|_9tzxVRx^&e`Z??e8F4v*jT#iRQC zra4M&&FNy+eb_r0JZ(a22aae+w+-U9P2b@^Ic;RGg$D<|YhUr*T^kd#_Ji;;eXk(Wrj#|CQe zm_SWEhkdk=C1ITcP@X;S%{MA%BE*k+XT;)ZG#y0KqVZZoHH3}mJZHA?PzwNLb{sjZehh7nL7DF)S-|M%U{ z8&nkWKKupl@}YTKzqSS~fHvd<4d&mTaVJW<^2pJS)T$)wW}* zS}nh;*c|1)&`%0JZYF!0k>;{4EegFc4v)+7K{!lk4i(#@>)V}g{$om$p~v)`ufpu9 zGxb(xo!AA+CL?r26CFCb5+Z0leo95Wrb8s2imp3HA$4?A&JT<9!A812_l$o>5cAOw9&`{roNLo@) z$}zQM&_%XY`*4J(V4-Rcv_D{unui({-H_>yH)PQhvC4DwTGPzZLJJoWJ}=zG6CcN- ziy6P5qBMv|)~<)8$~MS@PO+IB(1rG-XsMxNpQqx{LMqyM)TUBZk7_5%462yf>o}m5{z5A&EdQ!Lc4}np?As)*#!X7-=Mo(?y7riF z@<6(t%>R|F_gl~VL9aTN?+-@gXQ3$9IQG@Azx48_zoe}e6!h%j;1*iWm19uZm0BItAsAnm#qW{Y2ZMwoV>oK798&1~!;^T<|Id4yK`c{(|0NpoYtN@`e@nI?5s5j8KV=alg_ zX6i6imipOjo8B*uCHbu(uzqV9nMd*he7N0Yl6$-9TGYbPXq(R$3*yUy=d4f9=?UkO7Ca^cW5RZmL^ zwY+-*O=-)5K4M{PE*#{)FtLI>Gw0ph(#G=Nu~SiO_fZP?{cJY_WwJkRCG(&=YxFFv z!xBnn&ZI|DUI z^K3cB#yH-KLvJkF$TInOC zT>pjmhB}Ns>%@E!t#0D&QC|O!xTNA@#TadXq^g*-hfN<;XTx`t@S13D>f?0tFn}mx zOKW_DrFz$^#)Z}st@NCX$8eho;#U@2qsnz|2v*-!jpQ-wm{9%p=j59M;+S;uu*toA zQ~WU2-{Y329Uh8iEGh@`mDEt$l?%x>X0+zaDt<_oHdu9Kw)w>vWkHBGW$>IW3Ecum z%W_MxqKe6974}j(e|SQVq}n=KMh-=Jzb|Wv?Da7rS`9o-5rNia$VH8~CyLB{WI_v> zw9{wivO101b0U@h#xU6JSV+n-ax37i2JeL4qfvg2@*+md47qyzMuN8R(?dY6T$0d8 znTw$qVHR3|ZEtwB*cyC?FHoOjVqzV#=k1j(hMB-k9cg<+ltyC#e&YO_6;o zk%h%PwZEJptC7E=RA0Z{6s2hM<~LuzD-vvE$p6sJ$gN( zBXS;dq7**K1h0;&&MI7iC6 zTH-a%I6hBCdL*4%sJTL@*EEunm8z1HFFcEZp=oNe8Q+enrb9-XIwXR@mltTpc3i+7 z)h=uQp;LGLNo_0=&co4&^_ne?`&_X+&akCHb@gP>^<*o(j=a;Pvj7+9qu1TQ@!1FC z2QH;Z>^G9{*nxjS_KFe!MqXP-B;OEI%rcEH$*jphhNj~4M%v+(0AnQnKypMhD#@6Y z!&6K%oyenL4C}U`2e{~NSOzy5KmdHBb~4&N({v;q6z)+)w+Yi)|7YFm6^gMT7!pMw zrkgohqt=OhXUx7XXrAlOZUv8eDlRpBbBFc(tm0hDV6MGXdaUP%sotR}zjD#;WO$tz z&cppvSa$K-t*dB#OH1*|3`LX%F>*^A&XhE2t|rrIx1P5=6J~P)Wy3eLJf!p>75dXA zoH8Z?{KV^J(%}=cdInDRov_EGT*JbRD zp!<9Fa|X%qPt&6s&SsA%*~4K*9~2yV2t%*VWD74BdwosCE~wHHGkmHq#+VnTM+{2h z1cOoFgtar$r4=Lya*QFlz%wh{P^_%w{LWu3Md%IYqu?YiJ$RbfAE=$Xh+FptxJ`S& zqxkk&gGTh`7~_u5xrYEEDAYXG4hUC!ESJ1(%?B%sfgspz*i;0q2eBfhR8!R{jHE|* z;AiR5h(s7Ns%>RD))r%~2!`Q#Ic2oaI^0xXpi@h3)~5TG(OH5>G{>uFMH>4oxvP7fkU6s<)g6sL6VxA(tfMWm)dXU2ff0eICk}q={F*`n)nX+RTHD!WLXi0Dk>Ez_=0=I{35x`LIPhl zr4?ee|yFb=wV$un$2j7c5&I_0O#B@@fgQEP6SO|&4n zW+lyF$u^YD*_u$LUZ{F6U>#^9H@Kq~UG(UDTre{NqosX{=)sb4Y!1an=RS2ZL~+O~ zgQ?=WhOiLrT=&o0Yl?hSK!-jP=lFZStCr2lM5Hb8a3VzmcKR>tGI^}8pjL^@3E4(e zS5teT}qq8;7u~wQ}*OUX= z9M?26fQBe9ADOg8G0G3a?t(lwFQk}WsqZ_2<%mE0@j`Tu&8Q~n=ba0b!qEY|7Cg5f z38PbJ+|b~z&7C`)!{!MzX(8x`eq55Y>Jr<1pp9)g_Ezv?@8XGzlX^ZEuTUJ(lW%uv zDvmOsne+^!Ka2E9fdobrgEzhZ2yOrw=~7w#4vMqzN3Cr#6}8lIfRV~w5h*#M^x#4; z@*SryTrGyT=?qf@B6c@D%W%HrGzYvrs|LgB_1EE2CzGZ&LMiFG(Om6d`ZB5YesR-& z+-5wa>|d=CfZ>GY@eJ}J%kERAa(7X(B-;@H#fPh9PSSED%!~mch~V>*4!>)f(MbR& z>EY$)(_Bm5c_lhy^9TU*aa@NuF>>ei&+qh04Z>w)twPkw(yP;L@Bf@2>_F>{0p?kT z<7;Gzj!mA6gA# z44RJo=&9(=+$L=S&hVAUj)iCIl5)U)vlZCG+)%mieCzrB;RM`wodf?~J;DU~0|XN+ z|4eKiv-F&Rs2a^21GuP{`l zCYLLw>nZT3S_cW!t6pIjUs94u+^{i>ta0R}Q&xd6wJw~TN)jBI*UcFvl$I5I{DWNo(}ri}E&ggCo@2 zoc99Rv$ndXjEfY>FHzPoP75kec{xd*rp;JZa%5!TQ;>Q29GZ4hooBsT=XAXHvg2*p zN1j4rQWVJOK|L2z%BWCn#GgGeCv0fP0;n&ve`>q`vu!pT*8`n8qgmo<#@was-H!KT z1B7_|IVvi`Mz;fp3`XZCYAtD}el%Sh9x?NOxt>ZdxV=yqt*N6@W#1yU*5>>tFJ0_z z-M?h$@JA0Fn$$yRkD$F&QNv{}F1n1;@nRRyt)Qw;kEG*dC*F1yT^iRw6AMc}){=70ZBI_+p^ zG<1&vzQw}xzGL%RD2e8%f4x-@Q|n$<$NMf_AOv=8Zc4WA8m$j)mf6^ab9CBGy<^uT)=tPHF~d z4`~SiutlFDo)x5OT_beL6ir4FJV0CYyZK&+x8()iL+TK zX6U%AHgY^S3wW31?0|nwzrr??xL}rN4%GF`uk!%@401PPi0qumpX|%j|Q@k!Kv_|C;Qhu;@oT)(`!B`g6)pM zx`_-cjl6a96FXiR>v-B~i|Qq|5x@YYlZwl-{SaBl2O5%W&4!iCZUE|h;o(ni_poa#-cn%F1@qg_+xu^VUnKnw z%096ltBlOGR84x4X-TGoxn{p(ui1ZDA3mg^=!U(tIv`d|(r)>|e0Hjp8=;+ms{2Cy zG0hMh)NL&dR_GUPE^y}4&jV?nFk&zS5&f!s1frXTGqa+q$v&Fg36h0a`qkaMV;5@v z&gyUmoddGWDf-tjha!7bI-QMVyXm^{q!OfEPmRvczkbsmwNLoVz3TN3ZK3j2IU%OJ z&SBva{o!HVT@i{(ci{>2>P!?qr>bIFKPKRo%oq=U=(jOXsx}~~{;OFgXE>v@C;O}_ zGS4xDYW&-sU-|Q$k$&MCL%Hk}ya+m|cp^qWex5PPEh3XKDPu{9GxP26AnrFgJVM8Q zQP1ZNR&DDoW(G4Eq7}AyP_;LbWnw?z zNPRfRv;wzY>P@E2TlvTHRv!z#Ag}3nK8*cq)HKSj*m%rjKxZ;S=Xo+&_>`G+U38Q% zi>YS}lTK_|AAG4MwosO^2QXHMRX81qFly(|$eD1B@~h8mS$RF6Q3t^=HJ!Y%W1bgc zKR3SbVk^T&@feNdc;gY^XiLjzorfccv1Gm@W#@+(J%3b)uGam7LUyMz;ofyfYw`L} z6N0AG0F_1s@@u7meGmUlRT?U;UjDSEyBrE*H5U@zHsn!6Q*~INSmf>h?qfQJ+cEh{e(R?~U#nF>^I@sy=1+1{7egj@dk{f-YA* z3YFw^_IPVX+c~ePtytW}b1kXDJcVPXi0@Gq8=$zStfe4QNWk#NN0L zk8Y#U0*|9Z3qJe*`~khht&cY7m%>GxmJvCQC6w2zhHP;hDhhO7)SyT5406R(`}cDc zlDH>i7mYFU&6{G-CYvd*UiW2wO%sSt8M>y;b#_GbUP~VDJ@I=;e(MNEakpG;1H*VH^9a~y`_$l6gnokYD$g;Vm4=h2 z^bRBayRMnR;U>+Y832%Frq}zHQbT!~$Bp$>CT6bl`m)Mz1dS@B%6U}BuPGPUz{R-MAK`Vi7N!7Sk$eL zwkWATo6$HTA9J*QcE+nDyDwF8KD8T{`M_ZwhfNxW;)nCsc7m zJt;{9VYygRWX2kb5LPLrh$J#9r>EoS7i}3VQqDqPJ36G-nn>ubB0Qvsfo3CH(spd$ z4lIjcP9mMri0?SElbq*>R)!WCf8La&^E+rRC7-P`(W&{N%c473|DbuN9*h4dRtP4V%ni!tF+oZ?!C6E zdX;Q$>iFuU$~`_e`}qwdC3ceCKlVZp2!g=i_wxLnm+$j7t>S4GSX>mIAALJ20f1UF1{9HCVXv>RuW!vi z?)XIX2T0u>;Da*jC6@=zUf9{NMi;QtX;baJsLj{yAaba*w<2mH^CST<9%TxAlCe zSrplTt<;`0alpfn^A)2a)GXp5{FewFMGia@kUTu`%yOe~cnJk?TQGjUM2Vvzp_WlK zLA+T+Qs_SJb6=FI#5R}<@vjJLvH6b`rr1Li^gZeYHH!xv7bu3X!g8W)Qn!bY-zY*N z3bLBOQ7ES3Uisulnz1pg>QyiP=fq678x43JPom&3ym>j`~fuf(QN*);m_`-6Vo8=*HTQc(}% zJ`#aqXcY`qm6S5uMg#-xuf(1mj&_+D{XCE9U%!5JYH%A5O{KYI(c`rs{q51j4xr!= z0OA!_^@a;<8NOaw3{ST6xf%P7?!UQ+*qtXJK|iuS5x6`LDGG7-{M)3Ljc7go0#CA@R)Ot=n@Q- zc}DL&aP##|LkW+d`iL9_MF!WV`Enp49(ey^)wK3;Dk~NN+8$PxMyCSvp3bT6u^FfI zgYDlA{#&ELRDS6Gu=I58q6ilhsZ5u`x$%ikx*NDcY{BNDd7&-|cv0L%+T#TCf*!>w zi_X`zhl3#z*SGk3lS5(1POsRrubai5%dpMdH=s0zDqj(t#{5MY; zPK9O&UPIK5MA4++05ucQHVfy&*T{qM#fs|`#RB#iBlqmVDCG8s?j@WMPlu;*-J-Rl zW&pti!C!`5R0Q-cG^0(?vN&paI->oGEqiZn!PCTAi$Mz}7-iJaNKII7LZ?F0Lxa1z zV`6&9@aDvDt+dDSx{2TpUU@$kVQ$eZDH9TH8hSptC=x)JC2Bn7HQLH`WO8+bL@PZ{ z#6%;QC+)U@A-t6ok^P}SKB9R&7=D*I`V}itBkwkv4pEf4f8tK@Nl_{yB1Ylve18Bm zN0gaeiPG1_AO$Uo8!`xUMcRLDCJ-Yb3(aX(ul$>iCMiZRx1hv&@hYP?uFYyYL3AR_ zD3bPGur(DKcHUky0ncV|GyRzv1LoLs!TxsrQqQRuCP;5MRqI*~C>w#84TZEiE+UAw zQgVRwk`wx2vDbW-$7xMOhV!HuHaNF*l^ow2;w#P>B30}f$4nenR5=OtJQ0?!*Wtk2QkB9QYY=1{KwbLn?4{hrvA7H zsQ^(vLSxSxI_il*&-R=51!!-%{I@THYfh}%9M;ak)dJt@ zTB0DPaA#?Gxk6+38BHDCX#}*h@9|eIO~l_0@sagR3hv~ZA!DsHdlra(t(d}`^)Q@( zK^G>4lL(hymf(*Ec0@jYAxUwA^od+6BVIo3#P4=gZi6VMnZAZs@iwZIcBTO+_76Dh z{*zd^{)`iDujl{Xxdb{h;Oy@#9a?rK+`FLFU z+U44D^gOmSj7dQrEi0DQF;{flf}vl)wjV`AbIpS(tfL|H^cFWE{LMla#M$iQBj3Q9 zdwx`pK1lBS!iPm89x)0}D!1eIt^;>wo#RfI!Tt|~hg_S==|EXnZXSNBMSy_J3e(M0 z{UBbx=$>~@*Uvk22ki{9#&V5lgxWU(_tOFAo(YxZvH+51C@))|&(E=4wX2SgyF)WF zkc8u-1v159C)QUe(Xd2zpZ({tkdSs!DVy}5w!agxGfn0Wcenb5D_YvhV)X)risVnP zh^iIJao+m=P5lRCo9&zM9{tuDy+bvGhl2pJNVB6Qw4>+gJ zbtrJk!JWYkqdoFgf6IvdU}OL3V6^sZ{5$ss)U~XgU;0!~eCD{7m`VX9c!I~ud2Jdq z+7H&x&r7t3K$DnY;h|EYzED&+s&LN&990G4B6gna`2=Qx#L26ME^d508IONm5O5al z++VTt#877ce&5f1#~?vrL^zAKyS?sWd59W#OH@fbk1MnGO%>Eb8SS4ku;cTydbsW4 z*8N?lmL~A6vZqU%U5-CUld627~rI=ay0iJD@Z^9(i2E`@=> zIH~cOkfgu9{F@?dl(m&Nbe66 zxQoFvE_vv}cAXqwoP0z;eu5ELUcVh5f9z=@P!AR3p-rLXt?(HNa?#_ z@!eYRV)}XWVK_QSK}wHmPpNvjPEE_%V6GglGu&{MPmCo6-xkyZ!>_4 zL(Ocs?*b4C z^K-5p)^PMBgvP0&20WrBgv!#AAb*&64aO@d06rmu>o zUOA%Rrkv71BN9|}dri`i4PC(-mjP4I;cbAFk>N38Wc#*}&E2Ay*E7yV*Ekp61^gZc z+rmSEe-Qr36%a*&O&$)6#h8pJ2C!r=zX7PpqgbOv^6nOq3K6v zLVjf^P8C!=iJ_J#BzD~S!2&GKlyErOwu%#tGGP>yXJI2dx+^{D_AXi2&|4{*>(Tmv z6cM~pnTqV*SDnobXY0X(L`O~4UTXAQEKR!M%g!j;>?=#|MOOtjI%Ikt;-7#7Y9q6{ z-+6(-Pau&AqTKEth9x0gg`aTz$D-&X>_Di5>NrCd059YKJxr8w5P@Wcte8(rv$r!p z7Nai9l0MZxR^OZrWOoXRb=)_C<0Vu9e3~rQi&vFPQkj4|WmOU7?H;2_8wpYM+~xWR zc{J{)8!ud1h9x+gOK*q4*#Y<2SDk4-h_XM~DLR;=Na7OqwG~o6F*QN3FdSY8uqlj= zV~>o6EoNWXB0MsbK87S%=y`o!mqk+`kmiF3vXJi01f56^ctY7K%P1I%e&6(GJEjZ_ z?lT5~+1;X(5s392wZ%6q%L(@iIbznPg|RTL4O4`BWUM~o?&zNH>3Q9WJRqWC)Ar!m z#MZF5>e)n1i@g$RcY-kK>g}>V*gr*AgH;S-sfVyneDyS#_mK*d>LZJj1oDaW&=lO4 zA}#Q;$ZY_HOyuV$1e%I+A555F_!2$BuFN)_^*UF6jO7>_&Wl4*`lLaQIUTrwNe=|h zVo*5O*w{);F|DnzQ1d>*%AcWf60+Cnx!~;S$v+AjL6L{c!IC=;VFw46&R(M1VesYS z1dMG|^=hZ7;)KpmTVt|0ic1f+QL-0s&>al?0`;50F{4&wm6!;aA>cP+!h&I-F%s`L ziDX7AOD4Q841OT$hbW-RX_{qh+BpNeoEnyp|QQ@o?!Og|i$e+x&ANI8v z@n-Zo*92A@x`V-`IBcMCaakrGFGtZ#o zEd%B8-ikuSl(q_lgmj;QX*7EB=8VuB%}exy@}-*Q5$|r9DJa>KvRAnU=Z=u;rLcnf zH%RO?b(@JE|RoRc5J7*1n>Drq{Y$BNt2Mw246E}fq+tdoRL1CsoUeUdo zdtCH&5|!+i0{UNk| zxF))mip()}QX$k@w8qR>=Y^_)c*Bhcr$k9oqnD^J&Dc&cI7fYi2&QYzsD7R8(z92PYYL?{jp1do4BL5=)@LVO=aaS0X| zjwf*VVXE!;p3JBt0?|)u)D0)!E0?3OwJmU2j$MV)jnrIC6k8!_&%|BJAp9A!P0nvhW=9EMz+$^T{^1b$SL-33fKeX~_OW>TAnb!-CMq>S5AgsezbNK~&yA7O zH_=Z_Bp~WHLPjE?tbF1h;*l=|Ymn9l+CDQ+x|VUq*leRM4mf}o&@*Zffq_Dq#}jeD zr`9_=jZN%~?dJ%5k=92}a3mne?osDZ<3MRkXJ9dih7bCh$f3B;wBei{gVV#+=6y3< zmxx4m_tW7ZT#FuL#IeyqX*{(Lf)!-pHC1;gaAqO|q6#Ebk;H_{X~co@iNPC$7(pu* zW=Tai7iJI?lIW%K0_}k|NKSp4rqwAzMMGcnjG+BD+_4MxUE3dbc5D~q)J6BWeGU3=?yEf-7B6mjIIZB_AHTD5 z$jr2nJ~p$ld~){~LvQ5g%y5EE*M5!oPsBE=GR9ehf-HVC8; z(m8*E&dmPw(5T=V-+5tP=%1xCKG6DU*Tsa#%SS&G*nTY*jH50`VGNPFjAl==q-E1@S2-e?QS^_n_0) zm&pYz(O&b1LE^#M<`AB7$(>9qK;}eBRa_wq&PpXIPfYsP|>1jYwgNVNZ`9xN#8nRg(RkQ0R~ zYyUGoc_am*`NUp=5oWqa%`tu0&R)dw++sKhvI7>v+OeYfF!k zBYMg(vR+7lA8dI?MxD}_c~g7Lx+FqVY) z*Tve3GX@_I3Rf$!QFWyp=q>ZNl|IzW9d70|yH$5ZaPnt%(0e~3D+ zM0q(qavn{2$q=nURxN$EbSU@^eR>)Xs={ppEN!Wi=J+rxD`fI0i88+IXXnZ1y9;ok zavrV>=gO?zILSd2_%4Q>Kq2r^3xV8Zw}e1J&|V-OUYWzv7sOyn?r49HJ~Ny*au@7- zjkHmBK+n68#8JEzZb5-Xo8uf9C|sxQ=P)EtJRKOPop*z!v*(vC*TU*jt$2PZQQHU# z&!qBpLZ~{jfK3?i{LDOhI<_mpe2f+5iF8mZTaXMf)(t-k5}08&X%^5WfXME}i1whyRkX~ky>oWYsOyey zGuAuv#~U=of&nbEOhNT^N*0FRjz!|vT=UiNf=?4gqTbBg<h%XY?>EPjuUrZj78iHGpRhi30@?R<>IBMMhqj~AlMx` zu{>!_`Yh*}tSDiM;=Mn?<30ENd^Q)29X)Str}ls-4nf^BYE3RhwNRd0I#jDZN}ac= zunD63(`Z9J2Wzy4hqAEl=iD>l2C>2-fr!1h>5*o_YE)DdK}+`c%nqx(r?_9d!A z5LxuDLxD2UH>YtZq;Jj=j(gXAoeX342c=omw(xY|9gyZf9D^H~^PHYjVa-fTB*O7I zwqwntNVpe?$f8Q)d*?F;warJwh9whCMg#{ydp_L}uAJ#=?o8RA-Z<3`AVJE6u)xX$ z%`9S20F7CqpDeoM1zMemfCJ&b7Cl3vbMsdBkG4N)ytTdFcnQ6cic2Msfy*V4`1W!* zxKwekP_suku-P3lTR=dB{{aZ32l!(OHl-u6f~(*zOm%{;j+0_L-eg;_gRk!lI1a~u zer}$Ti~GJ%Oosc_t&xH^e)a(|7c}JQy_wn7WAW_luw8o6h?i-YD^jzW@P3EUv#!ImlrNBQ*;X=TY7zoh@Rzrwbr|qt|D$srb4ij+(`!1$<1Rj zc1Hx_)h5CGUV=AOF5&cfbNo$|1ZMJtI^_M*k@-0b0M&_zOa#>kBHQm0*z~cJ%@iZ7 zOEeMfqyd&Ds%OH|_SYTT*%k%p;uCbEW8;yv7cn-_yyo`wG#mN6)%_OR^Z0ha%ZpTU z7E=nC5@+U)E z{~^js_4^wh}w z@Q*N|UUDdkDJquHcBU2;X{=I;^Tl9?2PPy~n}FvJd#{Bz&S{iC$$F2qj}bJNpUZ=G znWY3qE1ZKfRQnodNX#ml7g4ysf?r2R2V!GN5i{TZpQ!xxt*amguDukzMKja9{0w z$Yjno01ui4*C|az=>nlIb<$@TCEoY-`K2>qE#dhGMcUy(HS@J-i$tj%a2j07(W#0E z@haW1QB7Vj8aC+;!5}t^8Q}`P@^Aky0jCSq3;vTarrUaEayA@!{m53E$c4=))A$1$ ziv?UK&`)sa>Nauq;B?mu>+Ko)cKxY~aBPC`E(VvyM%}x7MN}3ekR_}=M;soD566BL z9pN5xk}u-|x;vJe)${c|FU;f6URnw#Cf)J$`H+xVib235niJWp{u233%s0ad*(Ht> zQ;N9 z_EvDYDpThq9(uYV~fuDVfj)BZZ;b3H?f_jZ+b*1apDM(RrpJDxwbWz!cjE7 z2P0w=Y7f&Ac#X(8d*Ji&C^H~JgtQ()|81#f|BOydM}dm-LBUnkON)Kvv_7rUk;=sJ zL@m?z>2Xh8ykP(L5ohai4^{o5YKDz_m+nACs3Mhc0yViEjSmUn5QdgojdER$3O)P zRGprYj1?AdAQ+D1Wo_T3Jp4ey(Y7=n35yD9d`<`5_UWxHKab`&~Dz zzK)tew93P^^Vr{j$57kePEk%p6QZvzw(s@;Y!9x$@m+$$y}{V-7F;CrwTBN=6|dPY z5P;!*Y{6$z^GrjqfyyMC)6_|$-~B2;!j+9cOG1&u${JL}>IJjLdc)PN?s)NZ2|njm zTh-Uyy_8~u^HS&M5FQlt1|eaQv)4JpTis8MeA}STu+!L4dd9ijSbAAZ@43cV_}%j0 z@^kL~cWVe(cI%qzkdGV|ggWVC^Xun*5rP)(2glp)9z-`6_#@H71P=*NqDM^cRD(+}{4!o*p@$i|5itgqhlq=rY_sptEvNiu2=Jcxrknw1bsLc7arE6URvQgF2A z)VleV*;BRJJ`qGxdm7N}Ac}Y9`&e{hPH%eJuNO?>7)3Lg5WB~@GSP(#S6+Yh>W2C9 zzDxz!XMcTt&kuycd&AK>7vM5Yj8CH% zHHlA1rfWJldxZ+#jd6r2(b&EuW`sX!Uu_VF4w7d1(TMj69gofO^0-}nj#jWA6r2bz ze5mVcM6D5Yzkf7*5N>gGGDzElLI?l#>O)2F3D)5-gvT>sjC=p`(GLl6E8;`c%z>HW zFkhA%wzueC0Y=*Fcr_=TD7wd0n(@JjL?Q@>>HUh{k5KeRc5D~+-sMK4xk>KfWtvc< z>79Kh99?22g7P?#qR0bh7(-8&bkN{1pO}Cz3;)AGkxM4%?xxI7g-iHql?zPR_uCb} zz$=y_c8*rmv@AgLdc%MDOw{!|E248ObfQ^(*mQg3?zp?laRYLKT$SiIP_ZLe71#IZ z7nD3vopX#@jm~v*yg8fbpttS|U=RW;U`Czv5;XD@p@R?A-58fnXt#~Ff60V=2 z=A^D|&V<>dvpK;MU~~8du5{klRPIoNGJXa85Aif>V7^#($tjtHD&p9sU9dA# z(Yvn#31TYB|I4xQYnKpUkXiH~__mqwg5bd)H8Z*dl~E(mo9)7*kDXk4%~9g)&}QsC zJTk4MF`gYDzS0Y(NV_2opa}qxxOYvjgC8_2zXSg?^}54Yuy=$n*4|s0Q^@Uvm{3r6 zY_LNS$VM?$k|9ey1_?7lTj%gZb_8qzhCuMjuRA)??!0$eouOA44FAKe%nQy9DHA|_ zpEq;!7%1hQZt(Yeg)*>B{M@&Apt}}B1M#U z@AA804Ony^A_F}y%5V^*N1XoLMl?LKOhkmF(^KEm6P{WE7+sz?9bOF3KE_3T&~KsX zMNID+UiaP^{#=m|paT;W0{W^l0b(h6|2eoC+8|?K^k=l(jIEb0JH|F+v%Q1R;o>P4qjdJ1vo}2;$Pt|-nqU(idTet~6H=L_g10fU^`-3%NH7St`pfh@8q?6nQjEQ*b9-Dn|;_(Lad*Nd3^wMef-6cK&2u!2vqnW7ftPs4y zih7?87|rpTu`8yQ3b^wMxewm@WQ7q&RiIy7arxwx0i&~vGg3sR~7aFb+-NykJl8zKSdD~ z8E;e~!dE-66N_MWPtW?g`vPK^6ln;6D4}a+FVIoMK|ow0N^Qa~S6StI(Idf1Cz^=M zRs0Aa?kmoRg~JAzGP0;PkJat`TivfYuQ~q?t%>u8G&=57iLhHP-a-C5J(LNpQL60X z)%1X0fcVB{AKyB>wR?Nx1%Q`Ga{{nDUmHYz9bO`0>dG~Zu=4;uQow$#LZ~}%5_7Cy zd|~E=u~QA)lrOoWCh%dDlF@J+v*a%)1#t=qO|Ij6>2&70!V9|>I|ttv+8|fiuJF#jNbhhErq@dD=MboO1`3i36flJ*2uZjR#FgBvh$i(htXe zaY?fE&RgfutyMf4wBQX&E;Qegly=7FlP%=nEOCZxx_!>FWMPHjFC< zT01+qwD{mv$PgoXpHL(PyRv&AQ35)-*B{KEYEH2sj^R2Qw;T!)-vZx#Vv;!ufZ*3~ zo1d#K03J<4Mu-hiDIBj?_8z6EN;JoEyVf3qk1@Gu8zZi|c))oMX~;A&X&iD-;rhZk z@~f1~r!ERuv>R>gnZ{)&I)~{0s9@pQ*O$KBN{Ypcj4}ccJH=V<#L=8Tv5|6t_X?JZ z^f<*%ifshg5>O6-stWs?bR173wSdeukw#gQ-80Tf>Np@X94 zzKm3ig1AJ6snBXTl95S(Toe|8K7(KsGZLgaGPbTh_Ja7O)Rd=7!ptVc;cKbCjCN2U zBZu)g|9X~c3SEf3y9V}5pxcm!HhG9_D52a) zd@Zq%>xGTAyEaitTZpuhrSh3v(iwK#jwxTV-f%*3ferpH_bc&aV7l>k0tP)hEjxx} z5n4gfF3I)Y_|}Kab#!!H8~4iiPlKeKGh-WUBWuZ4A)b__;D}xDiB8?6`Q)3+hR(N| zqtu%m(bolLLUXlH%O-Z2j;81ZSz4bi$IE^^8863utss*lW0fso*2<1#j7V>|MxqeN zl0-T9Rhm}l(c`uwEhvZ526M3q3ToJ*@r`MLw`J8JC5&Q zOElwKu58cnO$1c(>9sK)T<%;r8Rr%8V)M3vl8W)H8YgW(LB%It%<8gJ@Z*I&BT1P& zFEGgje=El}h`%PC4u?7+-Rt5DL0BdLCy*XH#)p!LEZ@Q9B~4rxIppvqq{E5NFoCRC zY_e0WfVXn!d0SbL-6R!AeDQXI4Y(C#(;zJW`t5JE4_FSun>$hQuj)gZ(r(K+-+#x} z*TrqSEl4YACvG`asykvUwU{w=gR*vG$IbdKU0*9_jeG53!)@ENzvLQALD#5T1YgDS zo5T=PTZT2|W<2(7XY{UPMmKMD+*`Z5)5q*B>ZV}JsN042NxZ+46rEj~c)OMx*4Nn$ zeaoJaE$4>sb&ff=+7t0E5-JV#-hdc7*S+*RAG|*P|G!V({=L5Imq@DY@2|W?S$V(m zFXF{A`vPHz2mA0NiL(AA>)xozm6T52kCK>X**B?%(yQCSS_K1nZLBKa>S~Adm6xH(M?7H95&qhl*!xeXTWYOjeH8 z&MG6;&8Btd#XSJ~9~jn^!B_GFqt)Yv(RI`5px@|qrH@an z*pOZ)uhF|XEt)B*nqf|*DW7Ai#u2+<%beCgOPY%8_38xkYg?BqA*tV4EDE=+t&ZTM%Ed(-~DW8Y3Ev@P*lGcEC!_}#YN@XKCZ zb#@t!rrW*w>gR*Pj9MNvlB?bx{22iXHzur_Pt^6|*W%-{XL!;p_J~)A2Tnbw2C@?* z)8}UbWu3CJJn4FNIVF2p^;mjc3^zw7~ zbVpNKtK3qh>W;Q(20^bX1%{*~O9`ph4GNir#tBX)h?TO`kg7#g3C^osx|Q&zG=dVy z_RJZcY$}1F?5gM@zt`eH31o$C5aTgVACKkYTvO?g6i0O=)ef}7nGbd?l5~^~l)uo6 zp0Y9R6^3jrSd^wyW>As~Lsns*l5m2y6n$C@xCG3BYgF}^r#RBuKJ$oK9`>m4q0szV zk9DocD2Y7Gf)XnSwdXt3vSl7M94Vi2=M>2cU>0x`P_FTfpN1W%%sgg#Qdg`cNL|UD z+VyPLQLUKJGs80jrZs+>**loarykLg1OCIl{j}jJ?vS;5(5%IrcKxVYRN+Pe@oj-& zRARs<3W->YX~of`gIZRPN&540kd@U>rfc$odBi}Y)`QwN*NB>CHE+mv)FXVZQ(6ql zjA9olTR?Kl{VvX%(CbQ@8~JNi^Uj&pm9By8imwfMC*!?ZQB8cVQ>SOoCdtc^0;kxO zAU1wXQuZGp&~wrVpr znU0n3gjU>AO=Vo`cYBTJ<7-AMX&gY|+GlgLPFed|H(1OCaxh&=9N9$W@wBNHUAcCT z5?9-H4Jq+cD$B$GfRfq*(JBc*K?H3 zatgVmNWH zO9*pO8%zJ|ZziN^MkN)h94&ix>1 z*-C7KCIuw!*T|1!Zw zl&_4b7XPIVX~QN-+K^7J3Z#}eUlVT$c$s-thAm4~Ez2M@a&Q*?Xt9ur7nG5Xw5M&7 zj9Q1DmDyyy>|3hc9oN9?C68;eDlD%UGHa|WR5RiW3A7XD zW#vM`+~s?@m~O)L4%lon-^nVX%>?CGa;3P!)z|n5ah7DhlW(_(h1B(0OwWy2WtgJ` zeWH&|QOvPpN-Iz%AhhF=D)YvL4@eu6P;^rHs3ITHHC>V#y^NJ*>a{fyrCw0=jqpuK z$c(Xa$urDfHdqXF8Y@wVcg3QmW|@_;zX+Rjr1A=8b~Js&D8w6H364}|&*>_o{AreqJ1?Ph|UD6fo#3~KZjYu^E~NRgJqNHUz0w{%1eeKZBUaz4C+tD zlZm7$E)(4#1a37wW-{S`uMuI;>>T^e4~y$cYV`{Y4ay(ea(-kk(I^Z7Z>>N*> zHZ`(Kt45b|K9I-T?1Zvlb}0h^a=a^LY&8GaE&lYSTaL6NsP44Wt$jvofAB}IDINM_ zy5Y6GHr!zzGID#(MQwen`B=Z#zE|lqKH_&OC#+3MTP#Q>8mI_Sp*<;p&{fDqso)lg zY4DJW=F2Ueoh<1<&>=ReWBdt}vx>Hu&OFJ$rnUT=){{nzW3sSG-|}pl-Oc#kKcR7r z6DGG+L*bEXa!cBA8>V$6UrhYf_=la{H^!VV-MFIE_sNfGdg^99Z9eqs%^iCEq3@+S z^p?SWzb|k0PQKbVqqGc~M+oxU1GyH+TaoD#yMM^@+I=gNSj!WsS1WX5)2rORV*jt?)j;?0F_Y|tI_*}*0TlB^v z$<6`Dleb&>vGtikf8%DwJDa}w#=*P3WTgg8EvbIF{V#Oy9~x;F4CaYH>wi1U{Pv>P zd5}H>RI|w_WFPj0+gc5cKTrRmoj$nHXs6XeJo7oQu)BkP{nh}$ml*Gv)EcEda}NP> zQy>TGRNMN_hY~HXhSp`nxc#5Bz0N89mOst(E$^^rZu6^jQ&G>Z;(bB>LZwSJP(+IL z8`qnk8+6vBc-ML(-#^!V(LQEZ2bb0xnZ1uDN^@-otVVWY+99U9WuN^?al!K`oGj@WE^UXGMJ6qRp|F0OrjJ~6qn9>h;#dtPl zJZawO#y!u%&86JokifX!kg7klcQ?erJ=k+PmP}cjRu> z)|>S&#nzjFq2btU=?{xU47?WhJ@MPIb$wsW>#4yxEz@UcApg`eX^d!vo!yk`?2iUb ziWcFqj9LW0HdkKh50Mk&c7hffpXDR&yDagROdRXwg>-Gk3|t^0)H-v+6pAe)!+_I=|g!57tL+v)!G4dW(+M zS{PKf_*-G32UBlaoWFo*rri zVV=?{AKrcAJD>iWUT60Wy@4e)rgYe3@G(u}Os!FihI}xkP0|0Os>mhQl#3H$^9?GW zz$TTz%aTe5S0$Do5hv=?!q;#2U`?vWcm&HsAMj#RnwmvzNBq@qQ&~B3mFNZtuRElk zHD$(QKF<|Z>9GG=sKx){Nr*FkQ15lVVJhi3@-VR)r9~>%WF^Qhss;`(X#DZXU&h2SY2b4AvMSk(hF^ zHQwuN)j`UuiTpRyeM@E5jfpPOm?)j^y?BIpIc0G7T7X}#KmyDrvzpMQht4bUpc=}` zp@6(jh+RxmWu;sS;%8Itq>XT+kWUz?QYJsEi8Md5a+N<-S4oFzJmq61A6^irWw0jx zUX1s;e~eOCWY=nBs5(=r7B@~5-4Mf>`(khKzuA=9NY^aP)q46S#r;hk)UIY>8JWHwwby$_s9Gh%xZJ@>Y8&tf@v&u2< zppfZK1-$EccY!qKfC?AEA}bttL+QU>zpCZj@1C|U_YbJuvn^WGKJ> zB4*t{E4cEY-P0Thzklq{(k0#3k7>C|hkld(nq|kNRJxG1QdTO~+kxgEL&@|11rbf;I^XjmnW$XVrX#R>gXIvw9K?0r|W3~P(MY9U?Nw64(- z->P-pwyERUJ8i3``HQW)EVVSGIoTnn{a)+Jo^6FLC!Xt5tH(_&2+YX%P18!&%F_%#`xoWbZf+o19H#s9kR9UkS zH>E8xu%J%I*uuF!k`|U?7Mxy}84e{~M1@o>P!8Eg+~4_qauV&N+#XA{e}2?ay$v@h z55<+TJ!+>ia?W*a_AEf;Eev|V>#-{7sg)tiLkgw}xe}W)vQ~V9OUv+d zySajx1V5HtR0P&wnN}gbs3ZyRl=Q+Tqk3Ud77b_m)<8D=x^+LRWbWpvW!(- zySlWnIu}o8m6ZA^Q%ZS93@oz|i?7p+q-n97MmZjc-N7bTtRSdY<#n-VwV1(n6K-m` z#|>*6&32T;x{a+?p+rJr&9hV`t~*dXOOYFN^RZ?5`IxSEQLjTCk5T2^30PgL&@dPB z#$N>=mV%r1=571l{9aww%!R>fdXegVtB)FV!tK)^ zozAWv^)V+UqXFIOU6ug~sssl$&Gg1)qjFrmHB&t|ddA=i72h88UsTq+QX80-DK8kr zVqUaUX_flymA!*O-KxE5_XQX{CC>v49Ig!N>(xrburvOjJJLNFz00|LDK8b4QtiMx zwr2^7wRxIcR&2Miu8;@IoOF8il5Su*ORe8}Z$%@WNk(}TCINWf= z$0H|eH`=n2Hp(e57A=`x$vPC|0Lkyj$+%Qb8OloZNSD*8KL$Og{g?-S4RJZU{G1Uh z01L&B5JbXY?KC=i!oo~0By{qjc`aN~mLXzET4Qw7l=x@P{RVV=YyLR2M2id+{(}jX$KS!tFqU}*=9}hK^Q2kvlAN~IL5TCn~}R5QNV^chBAK@@Cpp~*<$>N zz>i{<>73(KC98XDW z4`bP3+bT1Vax5#0pWL#kz)oHM`u7WNzjv1pF&XGpiM2;Fh6$I+#!MWW_Hom}WJk+&O-q*G4niLX)Rln5 zc$XLwx3w|*ug5+xrJ1`@+R>a`^Ob~=?Mn12<{AP#B`NKdWzFLIoq}9c2K6SCB1!AO z2q2&&Bak%cNp%t}Y4F!vtScsI3q~^C;OqttL1c--fa~nC%8R@ePovfq7yC{Pp11!) z#|EuUkEL}>hl{8~Ss~4BYsG4rD>A&G*pn8s3=GdR#HY_F0BqS9o6OLY;gDuH^gW>r z5Z)9=>@T>e(DB4(Ljy)k%pbfE@^IqWceWoY$280ld&E|}U7t;Qu}>z_3+b|F#e+sl z13R*y3P(c2lMAvZuEAj~*wUov9B-&r_2=>G>3)T^llvmdH zO4gluCmD>?5U4vhuE%fU?E=`odegHrnzX^OtdHK3om30(fK~<0W?89)TO?Jtq}1Zk z=&c0?4ZCKJtP;FkoD4s90zEexgv!Q%1szGld6FFBY)q0CSq=zRtZyln1HR|1fV7%P z!};+)-XZPM4_oqL$yR-31w>(KM>c{PYvYz|d|GxO9jlPuIchNMBwk+OM`rV)fh)`d z)RX;W)h+1=GZoG*{-#_4U*-uRmPcbUDZm$aMD zEUw*{&)uKPIOmk1%9f5c%UPe^yz%#y{tIW7FYAB0?$u+8Y!v$qXQ=87tgkAAd9S^s zu7o!vWl+{z6-6^V>4>7O-H1;_ZcFvgB!@jj#)9fJT8^*ldUH{^x5Z7T2mN5$0(fd| zq_v!Ve*d$rhiHGZC8av)Pp1#tspDydQb=7e1jU5A+S!=8<(qnHB>nNgy`cP9(L2_@ zrVsaTxko?OVt4A_RX(wC*c$5U$bVG3RsV>!S;^hm@loZ`z9)}vytOUap%(}9MsH8M z+taf%NcP-e2VR4NU$s|CM#0wmOp)?`x1z_Cb$eBRuR>5pudW)KwYxT^7h8@iudE;P zp2zTVKjA6o1E5InR+T_-PbZ*_bBIzayfy4?t%YyU+Y1UQ}6r#k|s8`t_~#4lO7-EXrt<Ea6BS`S6F%7K4+XBdZ+dBRBH7`9q3|k_bqL; z*Nnc~K6B%rcNW3C%|6d5dqzJz-j}~Mpw<09ucG;T-$hM*zR#J`Uu-SL*Qnof z8+4myJK9dUa{*Tk??cHsE_g~}c>S_I8>TDd;?6pgM z8$Xjeaofo5rr-{JI{y-NOq#$*bGR^Q?rwpT zfI}2^&TEQN*VKA@LhaSHg-pA=-UQmar6bcPa3`hMZ&T~XDF#E@ZX(e?J11rO?gE(t z=mAMA%f))iJDX4fbeRo?qAqULzme_>oqWGz(HS$HF4lFW*syQWx4%>FONn8Ben1=V zA6fllO&kC0@`$2-Za36>_J;t2hcZTcJUF@X?bg<_tuo&%5gdm&q+d*RXSZauD{>&! zPpUdgPg#n^OnZXqO3JC!)j{8;oCQTx&Sr96ALzv4>AoKbxU`x9ru=*@i#w=8Jz!bo z{u;vu?C7IYpb;x>GMFd$U5aL9V%(X}I$6EX*>1PJ^KMc4NT<0*4T}D-e|9hhLVb2k zi{AH-X14G3t@`c#;mMsJ=?yjM<;6ZBE~nq`_NUDRn(f*{~A4-%Eb-n`h=U5pnb(+|=43zb@+S;!8Oz)6dve2DHb1#c< zwq8eNKT5vW=Ys2kyiMtacqUd11byt-lz!*FkG!E-`h|)zFrv}V55n{MM!uo+g{%8M zmswH#z#Cd$Nh@Sb&Y|HH2GCP`YPmz?vG6 zmF3h^wbZH%9(a@)sW|YsrWPILjA}v7KwtPu7-TPHth~M@9KWJ&3dm%yQ%NTsAdfs*vxj0}a2LUBP(neTO0k9z^ z3aZRjLJCqlOA1xkuHp-qPT!oSs1yh~Fny8@-wFCw6n^Cm>e)nD8FVr+j~#a`rPt9R z62xAHC4+JFJE(hg{qXPN0TVE=M$5aY+j>K%H(YI{R;y!RWmEWqiOrRhzp%x)zZn01>j@pkLX5Se2W zVhC-=+KuS%^hO3g)uA3u%Ffz&?~|5vS3;`eK}Wc!{XWytya0gBNZKl2sIF9lL;K5? z_(?EE%b>oX23boTOm|{1V$PnkG3LtJVvt=-TUig>Sar%BX-fe}Y+Jz#=WLFB;FMo= z)p%Db-rFdm!oB^_a<1iwBvuW_OvxrIaGaM?W{oZep<~7 zMDM=;y@>~2K5M=Y%KQHU1pmiCdA|x(`~q&GHy5uw*!9sSnAaudBQVK7_^6S)0!aC( z(_4OFMh{(^(L*}G;4fg4c`ov}-2=c`|Cjv9_UIb3{XO^W`=GBL4ZPeOzjN%YfcV*zU=K%$EBy<_Ny&HrO9TCR}sUF;&H*767 z#lE9{quFHe1FFg`O8QU!;lolPcI|rQ7)p=Wd~w5Hoo)6YZ2o@jF)|?|9h9M5y|LA3 zuFYTGe&h?U*gMlj>#n1#-PEvBIg?7?xni?deRa#w^o=8_kK28%A8V-|)o#^F{i^v` z3eSI1PTG@tP#wUznM|Ct2k|^&k{MaBkptSgqiXvFWGfLRSRk(Jkhm-bF3*kF>sV=BTN3Ziyw0qv@_^=~1PW{1sbLfo9*ospoRV zeqe$`PJyVkgHkEIXLJkraj!k2Jevvuhzf~zTu`RP)cWdm;@~8&D$|&$;DT_uAa5)} zptJzm#XJZ5J{d03+c7;N5S&x7Wounb-(YNLrI4drr~|iCRxU({sdb8)R^v{!->v$J zif-*0o`#cF%0M01V}3jFl5WddC}~=|!l;CyIpAu584D`J5d_i+jV2)t0ZT$Co;2(LpK95ouHM!wB#>#S6q!vO{cH8$hHKlr;xKxG;+AZZK(TaYB=N z-Eq8UUe-v3vEVa5`q0C2^$dX78RKDj_3Iek)e-ENEuwxpFsDnfOUm`_cvfCm*VbWL zhOSp->4@%t5cJNJ)wpJ0QZ;rBB@+vSfd;;lja6dcLt5Zvth|6ma-`d*_`GoMfG^yvgeQVydzOEC?msGnQ(^lg-Jou76qI$jNU9sY@h17E}X>oLm zKwo}P9G;9sQ$_I8T`tu~L#$RJIa;a46*&DG2RRf=c2bl)?u}1d<$<7Vw8fNzn(SSdH23EEMxi=`q%*C4Q>B)q%D58~sOp{8YZh=;S7ROl@YcAFr6`lsss-1pwS-~H~rYaALlW3jD> zH3lBEOxZWQ1n#REl*D@cS(1O-xnNTc5;o-AfAa=qF!i$UFn57 zjRhl=As~;~#*_f4{s`;bd*jtR8$MjS_<&rkSo0VJ3~>;KH$q-;C9($Th^p+4l5R2+ z6PdYj6Xc-^F{gO)&2tLNEKxDLIWB?J4AvuN0DAzZ3Nx31;tUJrcV3r+u{Iza0D`Rt z{6$A9w}63w!C;l4-5ijVCn2aR+$OlV`~Z$NDKr=}IPnz>xSdWw^o1)z3Qr##?UA}R zH6p4zW4a%|an~NDrRZsH+V1wOa)5*5uwyxz%dOlA=bbbM0#u8xG{huD#&5trCexYA zz)Ys{q?H3WV-hq8?UIuU4=Va1;X~ORWlUGVmK7>m7DAr}m&;ZW^r0Rr+jrmew_fcVqGN=7AVU6;p21EPvTk0RPKLF}7g1BKUUX7cx~1 zhL|$hp7n!#Er>T?0Q zb7lEoOGwezndtQV8=#%5z=#Y>-K+^opqqr;E_v`O34h~Se@umqn?x!LoCDj#b8;WU(S}!JTQ43$lv16u&zRQG=;f9sZy-EQ>n$mFxtWf- zg+u(5PI^_S4n0ljrY6i2Gy+Vv5V;Z5;6mnIPyiHj94<@zHf@iM1R49w;R$9wr(&y| zbois;_%i6Mneg7_poAm}wb8itz4`7^>(yWX0Rg|RFvQ`K9vWJ%6~gz6+I>5b zq1YZfZR!2lsE%ufX@y&R5WiS-5jKoTvy_c)83^I-ElhD@Wuxgopxu+>!`S`?!f2s zj1Qc>_oQ3<82c7GIa(X|>-$LdJFS%`TA$dt`T_9T3U^kWhm3uIbJ9Z%CQb53z1NJZ zb`J=Bi}We;hggrWc*lb?0uYHI5hwwI573z`J7edT?foAomyOGO^Pv22wvcMCWFIKq z%gjf{M?`7Ss9iXtqgd2nvhULQ;!N;~v3C>(KYD90#0vY7%zB7z0f#3z3^EtMhM6sD z&Hg*b0rs&)RoY@x_a?DlcvD_Ie-c{PokeB!TLhgM3il9Cto|puuoE1-lR&V(e^3YJ z^}$#9)g}Opcmps60F1yABpC^?0g`dRaz_GfMAzcjRVJ4qODRNwuIdu{83OoG)3Z+H zY@fK=WOG(Ndt3nf!_$S|DK>(C(O0e(e&}Fe0gv2d0?Qd<^2a~|@AvEG0uGg%@ZGQM zZ_}AwcL8u3;`pm(-#G7NRhHU%121Gy3Ts==iKv{E>Nle9oKY|S3bTyvgK3K^}y>X_TJz-kzXR}CW_ zGJVQ^bjU~#&2CZ4NgLvZbPx^!SS5&nTO9&odZxt{1>FVc$lUT1xd9d^g`$S56m*WO zWDQ_G0(<6F(I^a^COE_uwbDKKA-v-;(xoF2;8s07OB~KTKUIR# zCe=8W*UAh>!ki(G1xXA?6x1|EMTsj!~#uk+uThw#~Xm8m7udPihka!@;y@J#=PP^;X z4p6C7wVC!&g0T)qX&Xx1AdNekpD278Cl>T6Uc-@?!#bG*L>$3}#7rt!DrizCRuia; zILokVz>?Kb`ydVOY4{gsl!E}gYaxJco8jhQ!wr?TsG{~~n{{6{==Z@jnR7i+0CQDy zkVm5y0{g8=h93A$qJ0$T9&n8*(WXH^kcyYxfFIVwVFiRrpn+33C(#mH385TGY9Dxd z;SBV3WOaR=rLbBt(GV>s{6f45VR<3|EmC1t)-^21&32{~!u97~3_*Kw-9~`DGGyLl z??%rJ@+VvXpiUZiR-WqTMs2+S{t~nUKyXkiIn;+~3RQ0j^Ci!3=n&9!{rNof0lvc0eFQU$pd$lOBNqolmu-g3T}tpZ2hlm@;n8 z67)L)))L5|MXsVb4(}Zxa6j7)baO?CMFgY3?Bx!E`CwmR6-ZlF(#v4=w7~AA zWI%|+P~92Ue*os32w?aj`ci8(4mp9`bR?q0VahuQTL~pr4?WmS#|g|0!Xp&|ifS~W zw1{D%oUsH)00}nG8 zl064Mlu-H@PDKEUyLb`%Y#3Ul8LMU;BG~K7A;@@$kcqGfc4k2uBObZ*4&f?$oKdsIHp6GUfXrCCTmdVEO?KV9Ycp#19P2fA zx`mTowMMjpw@g4Sqk|Y46LxE>-VlY(1m)3qAntq4e2!`?K;)6Z2Vp(Q&aRxHogIl`n=Oy4 zC%I{gK0pma;aG)+yRlmRgmd^~MyYUp@ka12NL@(IA+RWrb*M< z5i+DZeLJk(x?HQcc4^Zxe#}FH5+~Yh97@5XX?XJkR$A>Tmy6)ac+bf@MzA`q0WRd zrjc}UD9n}p2~mnsvM(;tD3~` z$wEhyH^+nHJ@SJX9(y^R!WY`fm4UB(Vq=8e$wdsWt9XHNIG;*eGo(k#Oarh4uv1)C z9!5%t-YMJ@@=EyTZvwC(b81MqUA7b7I{}knv4wsLQ;d@W?O1!XXgTZZ@?YVGWe3lJ z-3Bz!1&ZT1Idlmy5>y_Ej{pNkGuCc_>qcr05;aeh2sRo%j6ywX&ahuWcwVG(`Nh@w zY3yz=oOLiH;md@}71v^+f4)z)Z^Xkl2V;ggPJ#5?*@D&=G+$R_rvjIOq={ZhuAP9M zh&T5IOn02MR=@Slk)w)7PQ{g>@GVEGYz+Q~FaPx>+26FO`tfrF0iZ#U*SV_pjJv2t3V~$Wlr56IVJ!!i|_*tyzQo13zcWK2`*jYl%1RsjKx9Z#SwHI29!Q@Z5r_~W-pj8dxLz=GKcZw`caTjSipQcwsQ z?E{rr8%hqT6}Y$TjY$}hXswh8e3QDa2?!T=$E7;$Jj^{jur6Ffa#_u2M4ZcPLVE$k z8a3D1to2@^4o0mmz<={PG`A_!QQ#O^i*ucq3mzt!vFR$5hS*M?r}c zXa$EjxSU;f2X_prjg3HDf&D?NT((cK{?vB>wGBJIUek_aNv~?QcbgmU^uka49~&T; zU3MN?R=LHyEtJ%@JHlUEFzYyZ+5mNZib!5x$sJu@#T*~ZLRAQWrpf=kn!uYz*For!6aOam0FKmCV2u&Zisc&k_u~k&>Na!)|9uJx@TbVg~mQao$^%~ zhKLU&DG6X8sE?nY3~mEtcNTOsAT;CdRs+~k%Bg)U1VmOFnsBmLAG1$=Re(dYn7iZz zTJ7Ru1x9FXV>x-W$K7E_^WJeDaULiQGC*|mhz`857B7NSRk?Q#{KLY{&)8qIojUz? zmY@8sTfpBd4BQP&;||b%%x@gci#w9qfi)bV_OTXS)I6<>`Vlm9Y@r$5L7*=~ z)x%W_u)>+Pg%%WLBLoAF=+8D8pa<-06HX(fz8xY?dZ@a_=|RC>*xd>Unf}0{a@?uU z8S+EN9mxzDavh#FwN(qKM5&;2G+L4e0kb$2Zw_Ex;c@q&%?}y0?X}g7fbQo3N>vVf zVdi@v5dlnC{e8!j;GHmro|McSBvjsQAc}c_9Q>5~USMwwDZ(@cy9c3*82$u#$AIYE zOz={oh}BI`S!((^f>tea=w1g#1LAe>lJ8JzcP%Q9I05KLU;;UyxM0;Ht}*}201(bv zKMcJHAf_>HOEICB+_p;i4w14AeI z{b;9&Bhkj-2?rsuk~dX$;jGbkO}p!qA^ERE)t|O7y8*z_S_5O}_Icyr3eW~`vaqnKTxr5SDiT{DCCWyM(&GtrE!o@x)GG79@l4?S8x;o&pPZ$pbWSR;6FL} z>7i1ahNB1pJ?g7K_X^;mT|Q_b40vtPc@Cgi;E~{)0h*e3WK67MW>ttM=v{EM0bX0g z>ox5u8-OLG+6MdqzEP}o0&z^WT|4+ASO)3Aoe0%X=MwWmC7uI1%>-iEZVMjO5JUv5#Ww*uis!V*7# zzNhd`7ZUC&taZUH=NR!-Df9NkA#c76IQftTiH=^jO zQD*zqa`m?mCun+QBhsd+=esdI12c+aNH=+H>!O7&G|1-~&eHZ#cS^V;w{2H!WevfFNCjiIjAb>PEhz)X{o)t*yc{QNPjnKq@4 zWyopxiLbo9&{R^ZTN+M!@NP>P^!zRB0jYgZ;f{Y1a9PH(AnenygJtxq&p6OrF_;Lz zT1SaMdnK?~7%DBvE;;ir*yh zj0+f1cvV0wG2VcV^n??bcHo5%s&HOf{FJCAb!Jw=?}KXvM3ou4aR_j8TO=3VZDpGM{AAb#TDDPV{AWAjD0t7jWc#<4-n;H zp2PkN3~dq;jJyF{2nZhwQ_SgkRA8(Q=pY>;m6K!D+EhTWu} z?ZS4%Ll@c@a?%La5PgB3bJR(eYSVLwLFIMi^%7@bVYEq(3oM3CFc`+wW)%#IaaaLx z(7-b-2N^a978*3|LkQVK%Ec?)KLLAeE?|)+m_G>#4s%`=C=2wjR{899UZGFGK#4sTwdN#dP4zH$e|Kgq_(b9 zk@a4BNuE0Ww&1X3Fx4W303bsu0C7dV*?yJBvAW&fDZGZe%zOb4IV?vH($wgL@tyLm z8C(;>VKYV`OW45`vuO8Ag%^=vb)Tl>6a=O{3Y`{A8Ydka2;VW#a%rO@>MG^hJ|Ws~ zO#PCt=ZIKO*>Js+y6NsJ=j9+&?oh{|QYxWP*m3HhC(?Mc%SgA!_INc!I;J-u1_t{a zVpumRbu@rrznPb&K5}4r98cgr3JHgC%Sfd}rU#7HhcKEowBeDhHw3{ugU$e&|58w8 z#|dxlCX5xW;EWgCj2N4DXT~bo<-ZEcAN^-gjzJgLg=*4b-+vwmf5mtj$mYa zt>mQfe>N5~mcQo^aNrBP@Z zw$`x2ieWh8Jw?>MleUvj*5$1WUQn`-uOtjI;b2H>$g~ESQSkAdrkD)OuqPYowK#~S zZH_a}k@m7^Opb`d#LlwtdE57_*Mv&Vt})e9ME`kVUjO(HEV#8WxDX=+^t5?NTePVM zITOtF*4DeP<3MPE6&$M$xU#^V-rNQtjAIfx#o7*i02Y`Z1#1CEjFQuPO;9j#+*>&z zuLZR2rdHV7=?x90q+2!8q=FxT^j(g()EI}knC>zoU11m|Sfn)wb5(|K%1||&o@u7T99`S@L=m=;B-P-O+h9h??yr5D zM-Z!|r9f1LgLP|1nnL~SM{Xj=Le1|XK#BsslNEZbhS_4ZEd)JggLH}Jbu9$8rsmd8 zd)=NhO}r)InTi0W3>-^eA;7 z+As5mXxdeQjEt)h#l+wAy-n$jt&WN!yBSe;`b4aU5jhbkQ_LM2;{~SK+o&H3mEiPa zzdUV|wAEr}5i&?hffd5~2J~02*3*L_m?NNLLrwrsOJ^P+62P!Dl|dE)G-52kOqvY4 zbfjWeG`sD4SCG;}w*}kr=Nl(hx&f_Y6Pa`H#WF?%xxt35zz2biVrCf-ecALb8{6@c z30#GDjJkm5F!@`vNeO&H+;RpcXHv(^NK6yknc{~8fzWD+;mE2x3bfFBn%jAzMF+tKJ;uF zT5Xw^Yr{rhc3M-Ub*D$!IBS$7BnFThb$B!{2QKM$62-K?1WQB+Khd1V*#N`rfs;^3 zp$TQ^9mrNX9ZrKd1oaU6aftItz@r0!kfK0Em`(=0VP_dDTY-dUQk`B-`}T)7DhT%u zK}Um|Xo^kaIlH(iw+`g_4ABGI<#fA+wHy>SD%D!7Ii7-uAtZF5S{+t2z;z6~iUGhn zz+Ewo7zRA>w69UL>=^Ejk&s^jwyu5e3AI+|gbOIiWpK?$s>$#IRdX5~E)ttl+(3R9 zE*8RJ_|hEjs%K4*nvNL5a82#0n=)Z=-;w8QyajbJ8Ja~Nh*x5fM; z79xja9>mT;7BI|f#%4cWm3lR{@f;QfQuj?h=%n1qZa5xt#X7CEz%hUb5xIn%H3coe zW)qY>3^II2njq*O1P_Oflx%D+PBE06S%kUc6@ONOxsc`@rpJf#L#7@lB-W7ZF zC%?8K)9B8cT}^wu;!*EN^>u!lT{SxTFVQ1IjbHsevbAG(ep_&3m$$d6|HSs<^GE6A zzwr8Ai%%a~c3pF~*>WAunSs{|7gtWY`O;(V=dl~je|TUNS#{5<_jY;Pt+VHkoiMk0 zljm2QuOF+dY;*d~)8IhAJr34mqk+R{L`)JsjZrbF$~QMvvL&{?N5D?h*`PJJ#GBS3;%4nxD zgWyXv8W|6;qi-Lo_g@m+I)kLN;ZU(B#5Ul6+CC2cK6F3VTcI74 zJH8f}$gMKwobRuW1Y?Mw?EB5`Pg{HJ-Q>_uwx*Dw&T9krO^n6}3+}mXi1i}o%d1^r zaK9fdt)YLbkCL_rtl6-Hr@nP>oq!`SDEbj%IM|W}oOb3QU_(HBzyj}cU4T)0hg*Hd4`N9_ZDIBo9=4*oK*s3wV41@>`dxFRU)lhm!P@H(P|YejpA@MVF1>IWkU)VEfCHe>eXtz~s$LNl z*6u!Z%Qt?fMaH?_@%ID-pXhp-SH3@I?8y`|=1~j5 zoE-770v7n^cC@@f10aTXx#h*Y@c%Ir}4VP5AdsP2B!9IO17 z<_FF5$sNi43}{WZFvMDq*w_0@A7bXq;}7&-q^Z?!J>2cy-pll{A2iuP_FC~zh7SdE z?kXEJyh4NS$lRG!?_+nZKa&3}s|{VW5K;KYd)V!FEFP~b`{pZ8f=Xlwlyk}vE(CL{1NMNVFMeD}7iPIFx zw{{}D7D0`m1-`sI&)Tl-`dpbDe8X|lr8feE!0cgrD-XGaZx-u=8k(K%NIMN-ZY4weX9wnc{Vucew#%e-)7m5FAZ=WPw)qFGmU`mw%{_$-S|kc9)J zUsM(g3yjxng1KA$PY5X8YEJd{>$N{$)Ne;ika%sXDY(KuD4@nO zf=Lg?!B8VGv%v=$n3YJb@L4f;OO-v<^I+4LS^a}w*@o7WTUE|(0-d*T8F>zfbJu#3 zpC3#&oHlyb1jN5L77LsA%?AQ-EIU+wk~l4?k?c;d3RwY+*k;)9?yqJr>?Y6Q+jq6$ zpkSJ_HgwZL1_b*VT4$X1*Y|^Lx(q4T?RjidikW?yo~9Qh3JXo3@Hj(C#Z*6J=_~^= zXJ!qu#}t^Q^Br~9%vk2No}MYYzwX|2;qB#{n~|XqoIkI2H2B-zpp8CAzeM#%uiees z3w(>=u)l{B15@$Yx|1~qfn*!{w3juy!8{G?K;JPylJ}@*n~h&3+26DWTCh05KBT#k zKmyZ1**a|>UJbH?5Gmht208TvJ8Tau06@fWk2sL%~4|v{Fwz`G)o}urvbaG$N|GeG(p634b)^7)t z2K(Hhl(Otdy&?*9h41Zc zua>=b*3P!#9e(y1s@J!RW-@{pHFsoQz5tzC{-xFK>}rhzt(|3q89F>a0%`j5(JxcI z`V#gPf2+B0%j#*SKeFwHLRiINxP@Jc1FK?+YPaYAY2F>-t0#KlwCQ%;`u72a`~{&) zP23ZJO2EbQ2SDdb>tgxC5Gh*(7sFX%@3EJa2KO&EkY!JRhcaZgA}^ZERhqQw$2rOt z_$#6rAm>Je1^dW9SO-_&;E66jYk`uESYkI=GVe{L2cg*rqn0LWlOdSlE)!m0mH9wg@=-tC1FwkiTG6d5C>Q)Ef+;X zr#Zqo!9+z>a1V;9Xnmxac20ZwGwb5D_sI8Q(EuI5kDLnonc$aSU?Jw#tP=Sq?=5Uq z{*K4b-;;ywfojUXzf0>iz)&#@+PTsE=1P4sXsM3uF)}BcKzcjjUFt!HwtJ#|fC#oL z&czE3`ZKOI4;-6v4|id4+EXrAe+;w1AV2?kElz8pKxUBtmQ- z`Kx{x44G6$+hgt{m$*idF({L{?9deQ9_CY(a$KKO=ZBF1UJh4~(>{;<_08)5{CP?v zH`^lm;`2h2^?DdC47y%-30`}weQ9n*Ds0y2&Nlqu0X zn8{M^Bwhl!M7JgC+->`Z1akk>;Iafm)?Aaw4wdA92ZajkKL-U)hK0i&>R@BZ>O|p= ze~6$Q2!WCR`G1#7lz>DkI5bPli44uxBdy@3#x_O(El~ak z`Kn}WCy3ph4K_EU&>Y}9N+KYU2rkz!7U;Q>-WY)ef#FFbS(jK_Df4bl3-i~0`#)NN zd#km%G7y9Z>Oke(KB8H>>F;6$7{5#}JqK|*8ZKDo(cQsqvrO4TrK7?==`C3k>cbx9 zl_P^v2gm*iAUsSxrwYDzlFfx%!_H6?VPpY@AFCOz-l{K!1krC83b>QLtK}L%xg6}b zV(fCjLJE?F1}xv;o=iX2IJ`Um+)nzae9toF#m!W!bwK?z*%sF7bglzlkpgzO@mVyQl`5Y9>~}@t_F< zKV<+)D~C7^5H7iTwjHw+pH%sMaOx1f4>>%WwE4+G7mDtTEk78YLRlA)9i_ec%lE%y z&O}V$5~f$Ci$~fd?(0C^CIv7Zpm9M%rfbrCfI7}BxN8_Q>?dsooU+&n9iRf(f&idH zjX(|${Cg7+N!u=30G5yrUlR5vf{SU;L&Y$q?oX~4VyH~`_zAI{5v6ISC92q+R_2Ux zhgx>Zp73Wfcpmss`q&CSUv~Xh#71%3CP)lX8cQe`G;IllfJ3atb+p_C9_cvP5Dl5{ zo1;zBCi+1E*dk$>7$++A4>^(3ZMt)ga>e>z*K?X>S?7!JB$_{hd2a2OkAITln+)IL-C^Zyt(_`P#s6ql05V!tr z3wuq#4bxK1Fe@n0@j0{#;NYUok6rHdhC-N5LM#!~Q=ym;*aLbg@oelz*tQ|l*r_62 z{wg2G8HUc+nXlIvFtSE^($oSTycmmX|Lc#W*ArqIy7+)&A?6;F0}&r)prT@DL%)Hv zW`xQCTAOh-X%15Je%)qIrksdN>A_bL<%cNK-MG00P$p;9lT?$)vVgS5UZxLhk3ZI5x~%J%1SeQM59`|1*=2PBF_ z#HenBU(wJyX@P`$+Y#oDox`!mL4JKTpwMO=ys;zY6ueF8RH)1a0~~t3F3=(L6bC_$ z$P*|+4hX$O5PB)-FcZ*li(pV=*3xIlmFF%itPEh?=wxgC1VItZPFF8-RfIi)f@Y0z zNb9lJd?=Yvj}e@#wg6L}qI`e}G@1)eI~$yimr~6b4H+hG$|FzVG*`s{Pz*HoEO~S| z^5Ph#F)ctQNbCMBn|u{pvyKdjUZfg6W4eVT5&D>#)lk1Fw~ou93R?6|Oh@8m*sP5x zacoc{CWAl23^*F1Q5>dnHx($(mss{}i2lo6T?l-mg4fItlO?kN@Tk!NgkR@ZKL5iU z7Fyv1%LJ%b-N!&IWTjw`(05y*VZO7F>8jX69qK+_hYRLw-9eU$L620+hsvW@VsH-c z{WP5a%Pq5i#YfJD-y)SmeJfk{&A%3(_kJMk&pNx@-er^ZsbAxtxr!`rY~}eQ?9apB zo?Wo+oG8R2&g95EDxmB{yh1&!$@uO`mQ=~OPA9P=jI+23taF!`WPegBelzS2OQ@l7 zq2of>D22s~v6u;8qEpqgE3AK|TKsay1@THqUj)iN=x$zSY&*MSh7Fd~-Y&`*lu1MI z6UHvberk&_Qd_HSJK2l3vOc7EW!eZS&`jglKJN!soOz?|WhzR6Hb(bzdC2%ypot513(Gm<{hl9$h{Ue^ZDV zm>i62qatS=5)@3%kWcDokFc+@`g7J}{V$M4z?P4*zV7fvwz2qWhF`zL+>ch>XG$kK zN-ZBH*M9ta{vg))ZZ=S6eY(p61yr*U@KjA!v3re;Z6m>pb%O7E!u}7&?4Ub>Z?XGz zcJ%T15`P7$*IU{Ed?F|pVB$yi079Js`EtSBwftRe97SfoCwVx#;eNe%_E}LML=g}~ zB11{d9DTERKuWTH{Oe%|5At?+57w|&zE($lW)1jyjNwT@u@@1!jL0+?HIJ40Z}ZrF zVZQ`)`(~US+thtQ@P6|gyUGfCgLC9+vCt&$IQSvjG{3X3f1ouO7-X%YQq1cUm5I_~ zSAjkG!H0JCUS;ea3u(}BZ36P7_Zx>U(xawvee&Tw$DG==(&WDIS@XhT<>7s(vIg4O zLgKFe{luiwd%kL;j=;WBy->S8`PhA;)fyWA2;B=5wNCoqKyB+(>l+}lP3SLplMPY! zz98yMaYA0ZPAQU>O+El3XKkqV=0^&50Z(|L!H@{?<$5=}@|Z35Hp*vTD%~RnV7dXn z`fo*+y*7X03X=X6=8auJ;k%Yzc!TZQvBe44ZD!dzxD%-a2NAJaJgBEPwhge=I=lTm zMgy*zp=urg0n^>WM~`V@^~Vb>PR)~Xzt`ePylnoF8^3mDXj0i1{u`myu8Wy{Y!k2j zNEG{lJKaM79sVWJ0fD0|#nmuu)@wcez%j0e{V?A(QG0@+TMMk84J~e`n5esmp9;#0 zk6gaMkbRN&>#HAUdYjf)NBrfFip6&KD|fuD9H1yJ)J$t#u>VV&-|Rzve~VgSJ}v6y zi8&V;+0NWkcLS861;Bb|5n-+cDiin>?qrC`eX{T+*E}kK^iG+7lUyg{3Nl`9v|k3t zaL(AB>v z7ukIhe;k9b?PApJWNn#LVcz}2i)A*bTw%p_mL{hIf?j}0QWEoquaiB&mh~iu6pe)^ zU9SLm)j|xJZx6DFg5Akk74rJhB+H^;N{L*v%~KoQeU~bL69RB{ud_x!vY#FV{SGL# zgA93K9zp|v$u$-B>l`h{uN>%HQm3J)qc>$yO@~7){cQ0KhNn+0vh;-~>dYfWVut^% zSaiPf&37Q@Aqb(DyRCI>PjCBvpLGrR#;y3TD%%F_=}^g@2>XeK%GA}elx`ew4~v31 z$|qhQ)ea{R?)r{1p`ZhB4|snt87L1Q%j;JXELdH<>|JD-%|#IYCC-RgOZy?_qz?&@ z3q3^m=2*DE!t}EeOkLF>3aFO3+N6s|st{;V$8z{FQiZwXKKSlmZ)aDU-Z^*U7OcuX zBTx$u_FmguvB{3=Y4en^{~GPho-!(fHu*&Ng^Erv8tFwhyDn#j7+Mh^k0V_<<_LB# z3ZRLHg(;Wh!$Qik_G)-NnRM*kNm5SsC9e~phQz@Dzv99%AtcDC6c{NEX4^K3w<`Uc*^^9DF?C7pneW0Z2S?XWwr_K5m`n;n|QO8dN zey8oIkC4af)%*#l^`^!?tdmEor;9q-d#qI_W0p(*s0dP*^OZk(n#_WpX5G5Mf3!G22ms?L5%FM=bY-v)bEG3x^P0=@{~JmtvAm8vhnvc@FF9dPi4mJ^ zJEIirCi9H7;*3}7Ve1GdR_iSQeVT){@+e@4Zhu%2SeiZkl-FtN42aMUukS$~_R#(m zbR7)+O1~ne1Vr5PZI28bN?$v&eK;Wz0;+++C@GpCR(!tB zk4O0UEHL`1STVPw4pD-1h+C89ls%q8^-5%z2K16s9m!w&8O>JB=?u@aP{BC)-ApNU z=s36i#~AkArfa{oFx>aw2V?(3>S*o%yVTL2)>&C&eIk;4EsA*i$e*%)$dl}sdWYb<+L5*Hy?5);zTY~yGD498B&dCt$6nPONbqOuIL7Bd83gF~Y;kT*eyM0{L~km+zRJdTkDtJ8Lo1U9l}Er&VfSmbr5 zGi%n6mJl5mP7y|J5kLn982oPqq#F4=>@N5$73^zaTEV1EQq%zbho-x`~HiVR^E%8YUHPw6TPT1a!UPaojq&Jl)ff*r?PeU*n za2@QxVUZ+@9X7-*(sS!0uzllTV~4IJ69p}yA&ooZ*gxq-q*YJ)5Uy&(kH$#6+_1Tq zTX89G-bwF%S5^_r`<-4HtrcQ0y5(#~_9J~!iUa5|YC zkI@c2M!+VvGCJN(r`>U@$NTo@wRc;;GGd9%)qJ?QYI=K#@la5!n>DrO95H5gW*36q zczwGtcNrb-Ny|PO4%y*7fkoi9FqbFH1o(hh99P}x1UZcyCD-S%hKR%7x=5N6EJ~;# zbX$_kd!8Lv+`9(cXTxot(mHu24xI_|<$;9vP-S8;CdAIwF)Gw_`Y{Bfg1epJ&6IYm zn0$x0_TJx)++eWzTo@qOq|vnMA(s2O4pDl|T370_vPf2+@eam>Z6(iX)MYsYZ?_UVjhW9*5>OLc%bw-2;|wC*`zss7AmXokdM|7YZTDDG%N6&scpL z$~Iw50B%WnpVXKM&<0uHQ;6D>8wh|oV1IE=Lp9uF$p%w(RyY&$W^CHSG5m^>rqqFA3d5{-i_ zNSs)^(by8Y-L_Ifb)Z2S23f*Dayy4LYJ<83(i?IL#BfD7aT8H0Qh82m z)t#IU2oPJJXiep+KxiF+QCb@ytx$}S?huFsrJbe%739i91&OOwE-a-sWAkAr_QW*H z8APZ+;+ZEodx*wmND`3xaDpsCiIYOmD<`Xn=2Sl68Pg38vzaUS4zoIZ=f+ zr;qJYaG{SK5T;!j0i+v63ipXAhXqNes|x z(RSmUhanEb+@Zc?iN4w@REp1GpUE{~Vc^3t?!5`%pHCT78DGz-VQJDii3AnMZEar( z|3eO=>INaERH;?r8#53k%XJniODrPjtdIVl#afD$c*#q0Nh+5Llqi)TpO5Fwhw|m- z6GHfN)}=?WV)|1trvF~#~3m@kx^W2P+TGX5dSwv*^fglo0=0&m`g>e zS3NzKB1()=A7&22DgbnapUpam^A?sgPQ24U+5@`z3D7U+qZ6#vSqbZD6xKqlM>K*X zpx2Ch^(EKWdN6cqqF@h52^9LBCa#opi14ISQ)6^Inz!vNkUe_{-Uhk11E@_Rl~Tf% zSfuxgWF!F$imf;;xHD3W_w<-05|B6Ux>zQi=3YS5CP#S0`rbHRpF?JY0bB;~DZzn~ zlXr@hlaL&5qtc~#Z7JLti(2X$k%}3JfW^j9Du*ADV9DwXh)ByzANfN(q^?+yvWij@fx z=rM8%*d;^&t(14iy&?>BE)^^N*q|(6!#K>$lG;}}?dfDli zxBJh~0p-(|2|kjmhe^^8qqjr64!pHBNt!2TY5y5ke4bSmcI>vtUSX`t`U-;04i&|r zuRh#zvv|0BE1CLe>7zZ>-U~1P?`*W!{#>>8+@0aq==;5vAMY&Pejy0%T@gWx+m)xg z40H1gXPFVxCeWu;+NRmZ9p$_N2x=bOaq4T^eHuWcs71}Y0)_eLM8usZO-Hk`QL9xvH zX4vxXV0kO0L(hG#ukWh;!O`8}i+7J4&h&UMxL^3zhOHvMu$Ho_4~YLzxSREK)w+od zHt7r!#uP1{4=k}0v+ia9L)Oi6qz;~oRd-w&b&@P7CC{U?$!>3$|dg(~lyLpuV0Cu3dWa91Cu52HCUmPWG)zEc*%!_ZOxfpHGH*nSU0F497J)uIk{n%$^?l)gW{W}g>iERXE7l|Y>&ZozTz1rH zy45Vkda#``f0Trr)I0|c5;&D`5)nqL1qh!YwE- z0YccpkCHlq((?;$+dy?svA^C$_7njY0=#nl1I$CEFtg+(U&ki8kH*V(vajD&Blj~n z1+q5)#GL(fzpd?thMm2dOgz6}0NcDyq4*$iqe((^Lm@@Yry9!7n%b3>82F%5W;Z8a}h*Wh0lXI>e$FdM|*Kz)El0){z z0s+#Z;rs$RNtE89YBLCR$+Ps!R9x~F6YMnE6F^e5b|yEs9YlZCc{)bw=8hif(+%b7 zJ})(8WAyd%UxVy8mE9gj^TvOfY+i8wz{+Q>zF6 z0)k#GJZ|{=&2~faZf_1Q6c=_X4xr`xn4@f`{ivNg=dw8j^?~r1b)Uvswvz%d#b`l_ z4iX=3mAMAH=#nTPBxyx5sb@G2VX{ItykM-Z7v0W(z!->Ysyonsr#JxGu$ zG3!>6T}gYjZCT{q$GeUP)|D@AeaZ@6F^}|IZ?cP*P2@M-i@bZ4%Z2(Dn^?{3mz+rL z$5``j+NSM=HBx5nw9KFf9gu}n5`-nSb=i|c%+96S)+uf3M9P*K8Xu0Ed+1Xl1VlU0 zB*V~?UmZ{Y76Xr&rVccNDAlZYT&F7`bQxa*Z}v-U(z-r5S&+yckyV7vmtViym~5|R zm#tl_`9ks?USa)jtX^HpZ21gB1pC2eX4}TX&cftrFi@696~i5NT8XyRblNlmO*d5b z1*(hE_Vt}OMOk74l}}|BlN|IYJTZ|Pztnos1-KSa-<6>Jvn$DZj9jea&!t#FNxeDa zSQk}DvktA1?JhO5i^zOE&H9mktyNz-`B!K9$yE!vF%R3U@Wg%;Sn7Uf_dSmr*3HiCE9Tv&M<3q_qUPz*1@{UqVq|Iis8p%v zZpm^FyT%>?VygWx2CasJIIGHvD|5!DRRxN2?-?wl9UY*&KO7%>##AR36J>|@Z2Tm^ zZBvVPblBR&EQI4k|6>$#3=z&h4qov6MAQw4FWFVi>m8MaR&u`jK=F@9>(1`k53y#v ztNy`9CVpwMvh!WV9&+m~y_3CzJAb9#e1-P5e$*bSysOwgS~l88hn*eO`lt(Nb`fKq zclG**^*NM#R@zV;FWbyhS-vxAB@X(bmQq<&$u1{IOdX4$>H&n@(Jh)0N3Tpgi)S8O zi8qn$$CQWT9V9ip*q($6jyK&LtceVf>DPHkn%3@A>~H&vW16FU7F(fIz|qgFZpS zQP1_<{sr1U3fPnOy9)%b;GgvBN{v*3Nx}fz;9!?ua;jh&to?|S7~+m^k(YSTv!G7P zN2Dus?Oo7X73C*CS-18qjQ=-(zV-dBi~syNsTuzdA72>X*xy%;gR#@hac9E5?rK!6 z<{Iv3b`-TDmaN=KJBnL4i3t~tQQGoh(jj0D>d{K{6uK9-!T%L4AxQhLgi&GLx#p16Y7S34~!SmGL+Y2v%Ul zjwpula&|p22thLJ(6Pk8K1?>VCK)Et?8%-`G&jS^aW?yVO^I?cIXm;u?%A`2uSR!$ zym#Nd_ucz@_uVU0?l!l(wwv?`FU9%13+sGxovaSr4&=gVNLc}kY>Og@a>7w3cP6!H zP@yaeifa0*OQ#mi#8|9}rB&b|MVFr95&MIPBLNZhRvWEex451qB#!cbMAc1y```WR znz0m!=%Yw#$9wd0mHQ!TvvFmU4*!%}GoVQI>A~pOFtyO(CZqFCr?zna zAAcx2Yr@6mqhuvuMER;Vp^vy9Gu(`wQ%BWsL(moL0YYQa`cS;I0IV;ZF)`6i#dB~$ z;nRhP$`Zm!@z@>_fmI8pkakJk!61d>DJwhTHVQaTONr%?^D&ykCRMmf6Yq#9$|S&x zJaQ@%-gx;}J=GLVv%VS5=J7ZPx#T3+;3EOEHAeC&IAYSJBX;Zt01L^GW68%ONN9*E zPMmdTV>m_FfExibNF8^|L>+gB-Jv`+NM0=Z2|Q6%8G`Q%YrLX4{Ys61FiNawl`M%abQrI(D#x zXOXY@4e=+8p~Q$+$K%-<+J9;=UZ!vg5Qx+$W8$4CVARO!O1Fwdv<9uke7^hVl-IQY z5IOFC4sbG}u zN|foOQ44Ao0K{6v>|q!5NJLJ2*QmKHuO~yUy(pYh7`LL|&b+puf%x=5Elu)QE)1Lp_8gTs=2O@P5^ihV|PS?OT2)=!~Jjo z?66%GAL(JD+YS_{qSRcpuc%^`&(@(+8#Ev1;arOnlx`38>&I}vlt4azC4;|!K@cpD z!WM&s*&7NvAqt_y!K|!MTO%MEkXQ;Dh2UP`MzKxRQ;;{s!DCfKrrGO_II-+cz{@Ts zbQ2I<%P|5+vDdRDGzVyrOwD(FU(qDcu(8nGkPSuziL^DBAtf3TU!y&&JHwLy82g)uMIU&|~`^xViu#G!}WB{YutWod?pdz0{nmz1TVP2IN7-0%LYZ+O< zG;r5KM8oeeLa`ncu|ntodf9A?4 zU%rm_aNLf5wKb*2!cys2wHS+W1_%<{;v}qQD*N z;E@{Qc{prU&hytVmBKcsiD*RNuP9I*8DA4K(pf-K(k^p?fQxn-h*;_meguMMEEM8LsBdj>=H@|kT(rdAxH?s9jNG%cgiMi7O zcTQtMh$*H%t==}-7ra7KI~rGIwQlG{sYvCI?c8N!ynv2%yGbem=$aU1l9=Qqo=TJ@ zgDH8n+XtgMN_yT`eh}ZgF;ImP&N!x&ooS~j)?9fjVAPTyZi}kT|Nv8Ahdu0R*X(9a>AJ4Jv&_ z5Mn|dk;0^cQyqwlYQvU+#b&Dw=&JI6{$kB-KA#_DF6(eJhty!7JHdm)@x(PlP;J`z zD6_|}7*VCc1>4&3RmwnwUeX+E7{$BY5a01)V~3GHLgLAthI7hzLHiATlIo2MU8joT zimMk}>AzzD*SqHi8-+7xeg!Ea7tR;mg+Z%(F39UGqI*$vA7k;`B=;`L(GC#SilM_S z^hiACXUFtGtC)L(8O6@HkCcD%na>Rwr1|6SK9@{X#?8va^2oDPOjTz`-#lEXnl2%m zD~4Iwoc*E$mBmP9iG&)3NX3EHO49M&%3?S9n!u6i`dgszii$EEbk0#k0i0fJBv}B6vX=7|Bt7ZHp4h8mXX7ZgR(YMTOryb0G zr+CiD^*PNqjJ`$|pC!m#XQ;H6DIH@M**!zBrGf9>3w3`J2Jdq11vBbPpuc{{6Rck0 zwk6JEVdZP0TTk}INEA1RDiVE08g*y^%sFt?11YuNo6^5xWT*7x4{?q~u@+CuXHeS~ z+6zUbuQ-m-Wvm>QNwJq$h88{l;(FOm_SnnhY5!ekxHnM1=h$CTVM$G|ok_W5nZ{J>s@-8&HM^M_WD~IDr%xsJmKb z@-BccGqEym#EyTJwgUliS{_jB^F|AI8huTnjuWC)5*Eqc{L&-JI9hhyaoi#Eqm|~t zB=80^+lDLN+Zmz-_nEZ}V`!Id>&i4Cloqtf5!V@V^F)hXMN+T9&>Ee?ZaB9Yt(zQ1 z)9`8HTye29ODW1BV_Q5#4AVy-D|(<8$mi~#^9G&KY0AxQWx z^Yb_V`cG`2}?%=mKz%3ds>VGi0?OF$D0vQi`0 z2t`K&3&;fMWaDhYdO^63+uGr%^eXXK%i|ih^TTVy$*)n;YI7c22l8#N%x)yi6qf zxgiGDXgZ2EV!~9qUnWR)*$eK&H1}Mr)RKZ$dj*zPK6dVMK$Weok^nJFD6q-EF=~K2 zFzndlfY}*)nxjRoKtkM8?6Pakz#bqfxDHtYuwFdRFFSJcNvv-%l*>+LhCP3u2986V zDZ?IW@Z9jQEWonKxlKJKxZl`d-Dfr}5PN~4)d4sO-);?X4v=%lUQE2JF7gV~u;RAh zO`y5)WNOIWT683|9$s-TkwxDEekT8&bk8FyAh_%fk^qiIg4hc~vjHpDS@s!w^3gK_no&Evt&1sI7vyjY;Zqatr3gByiQq5ennFbu^!K@RnnrT2{7#AJx|J zyl{>!8`{(&4?k!w~SWwoF4c)Vxs*cAnfvjflQ+QN?s1}8z=3Z*YzA?Lgf{g4_LO;b&Kfe6#zxtd1 zT29FSuLt%$gshG-C**$-*!O-;$bVe#<#z4nTmNLy7$96&6?;D?WIeF&kAOhPBh)_l zjaM)m-#g$xy?2DV64wzg{Ev$y=vDt(1YQCI6{ebg`!4v53f0!lr(Tq00X%tY1pg^h z^=H7mu!|{W|h6yYeapWTXo#XnCKM8#lFu8*W`}6{YG@0J|rmal% zkZa7XSV2Xx!CZ^kR8F^w>wwL<&`7X@op8?2t8FZ;O(f1DcFbjm9R!-#sp)_=*>4qp=_qC>#E>5hT&9DXnDQ zB0FHCAv7gj5x@mi<%JY6oB*PRQ6avDyE=oGQWHf;mh7{u7^iI2rU*rmE(YdNS9$gR zkA#}7H&K0)pyz}By?|gwDcs-0)|rAKLeMQdN-qxd(qJ=y8#~e6*pQ9~5{!Yw8I9!V z4Z=#Gqn_8dQeSE@Wu&pqFkIVd~^oXO!gGN8u1JDke?`(&{9fc=L^qP}5_3MA| zMGvY%v5z8zL+2IvqbRhL0cAK;+BOE)Eipg|-34{wH7TA9CJqe*Ua3If5hDTK8dM14 zb(j$Z@RDdCeC@IGVw+(bKnu|IaGh%)sx{U}Y9&w+H@UvDTfxG+-XvDoX2)C!_L*?o|UQ}78NosaiXvd3`=j5d!E ztq{$#=HyExs(6~GF~BiYoc)^(QcB4Z=q8gZdX%f&Rs-ND-5MGLm%lE}p^C_}5-0OoX^j>COQE0&WfKFh@8+hydOMkP?ycSQmIX#KD>Br*|tMq&}m% zONc%*m7aMDmOBYJ1Tq5iVh4>Njj38kOcme5^o5V98-iu9>Y~&F+uz{vS`W zVhiXG=2>VIM4vl24Hu-fq8h>tu99!>`Y?f(v~>^j%e6Rz8#ZOZQ!YjkQyCyHTlKV% z2^UNXx%CwrCu%U&B9H(=2Z6xY1NM^ble7qNwUn~Ra%&CsHx&}x-vqqCRFJm8bWPHk z5?#Eyk})@Nu7le{NAGenbd*L_7}H#0X z<;(}mKpM<>-w*uGW$DB?-kZ=bbjg^mU?}X1M9q$_5w+FWfst~GoGk2CVr8Sy-MW~A ztm%xSZKfx|1+ot=N0(((GVvFnI0*O*kG3^xYkXKKn*)TpxDm6fIQg*7hN#8Dh;PX0 zKuMHo(^k7x0(4BVg(gX8>p=FI`t6yY6A^ZBD-25rUM58dy3IqHcz`g@)@v+xp5g!vfDWHd&W1* z2+{>jh+??N!>%Z{!K*&TRspgnTkYUI#plvKN8VQs!;tD^iP8~ftBTSeJQC{#Xl{V_ zo{WHoDMS^@_7P75o$wt5*GGPW-h~D*p-dDk$x&3(sF+))7bGid^s{t7;dta7@;Vgl zHEaz*Zr%!$Z?h}_UXJmPZ=FQm8x5nX zc=`bhr{+O7aNkpjGUN|oft2ETq3X2*eo_IJ>WBl^JRm@hGn~U}5qeJjw66G$Qs_f( z(nc94VF@RMKq42eDuoRc#twFXZi@Bl7w(DS{DvTEG#v<$R`D68lLs<2IGz|!+X3>X z4>=f4%)JH%%T+=j%|d6C;sEs_Ie5kDh$w3ik)wH!lR0qs&SGXiA8Yqsz2%s6vjoH_K&@F~B%`J|J% zZ@lwTpuZixKH%Tajep^o1Ll1^v+*@&&gpPn-u0Rpll7&}YSztRBH#wk4i9(a-zL%M zXcVdG72<9su7jg_fNf3-f{;ZCr%S~f?{7J?<`5)BGTNTtIHUN`p4R}Y?Pm-_J z<0L?5+X>?5-oh{NMk|A}gkJ^cZ5W4d)qmRkr#}B7>F*zpBlZh^WZiELWW>X|>3-=K zxl1>$4a~6KhX;1OCNft5jy0;MEv<}bjDc!)-QI@N*kibuj4LQz9Iea0T&3X|0`Ne*{6J}u@4E)T#XrN^t;+%c zrdn<@_U}|Z)w3K_XJs;1`54xx2DrlI7Ck^hut)&K^Uem1BZ>-G6*g`@NLtW2fK(ak zxKsjaeI!e?gG8gPtc55!sv+N^QhjVgFW*DF8*&%!kOPN4vyVU4+|N%}G}%MMqa8eh zYib#fe6vlpwbQJ<(&)_bmh!HbGJpbr*0peU+3~gQ{zts?4iVS=VKH{fH@CTOpp8H5 zq*~^)RC$4BJG3KG5CO$18?~06DzJ9&27lAKS3Se2UAK?kl%^q0yh`*+|{STFS6J zWLy%x;ylC7R0LATwJ|iexWH>5%C{rEedxkgTNF;75I=9vpsx&h||-tiW0U_HwabZkD=Og zLe0S+a+cn-K+=Ey2${=d0Djufm^wqls(GywLyirTno-Tih_=HI!Xg2B64p=fX@8ZV zI&F(29}*z;Rvo4DW`!Vtv7ilsWq~fVkjs)vOz-@gG6N>ry`gj9km8-`AM zHEb}DE8OU8hm~!UNcCO0PHqsbdN2mV{td%yKwGHSUsfn)0vIyRWk<$bF)+eS4yN@m zjJgbx#M6-}aVtR>B0*Ri@mX8NvVv}L#W~5qjD6(#_Ae?Z={=U(lmUj?eb$w*RrK&d zju1U?<)``m11K4)8cMg0xHqUU!^Zo0=b6gOq!Zg}WlmOcmc0DH5XE@5ko<>gq@YQ5 zd>KjrA#%_h#IYLv83t+37&m_ZdDIW7i;N(I}1{*HM62lq$PYi0d9=b!CV_O0C4 z;95X5Z*fSz9s8KeO}PDy0I58!Yo06#&>|nREx^7X=p3k^#Bnc0J9>Y)g(?!nlMlT} z5L2qP3I$pqAObD35&0Xdxib}Q$9Q%I%LMxDHqv&Fh{_UFc%6(LE$U z^t9g`zWe_#F=@Z&|HE3zyUzNRSk_i4eYE|-OTpj1z&>g|c+0Irid)?0`y~i>CAv}g zj>Pcrw^YaS{`R^55{A3+J4ftPB;Nmm<@XN`ICwAv-+K#_nLJ?mhp_(Yhcp@CU=r+G zfoBksSg*gsrP?lL)|s5UjQThzvT#F4Z|V_E5KNm7rd9AO1^ycbN6h< zsy=0JTWtXuQ(4+YYN)hIsG@AMYz>hn;Dv0=m=+>;QZAxf)Z5QUI5LDFxcWev^Q z!E2NZF<8Kpni8I5`(U4kT;%2$fGQ7g5hEq+04QtS5fSS;LU@5T(U24uS>c&S^!

    eF!CfebS-xcr|wTSeZw#H=(;G+48f|(NSl@pW3@gLswh!*O8s87?tg4deXi)y1D zstJ^vjHHq9n626%IO=$7_=d-WM;Xd*{-^c0lNd4(^`c9U6mD3?Su!t2c!IsbV=+7d z9X^#0vku+aiQc+Mm}c}hL&TA&T_??YfOr7{k_HIWh_F^%;Ceu~r)L+8vPAi9QST_$ zy2%jlj(MzmGFJK5x82JfbAs%26;uE*Y(G(V(9OOz9E-65G&*1k3f?@SA?KDBAlt>zb*2xN3W6LZe zxi#^ctL8V5M?OIjRa~s_Ev~<{#AtEYaohH_PiQ`CaTaQR$LNBQe+l)Bd(<%2YsQa% zzN|K>;xnxI7~othddS-2Se=6ocP<0+v$ zZL%tM#AT!Cuw&0hkm;?gLF@WDVw4+o)}S}DqXfGgeJ;q@tl{R>t;3Dfj1jmsrS0Hu z=VDM6vAUyuq~~IMoGiOhbCrcLOSyT9AHV`SrrPHT~US@ex2N)Dr$=Nhn3jpSaE_N z+TS&lBG!w#5E;irF@g9$!PY3?Ftx0csGQ2H2jNq4z^X`c$lVaj%({ZLK*!eXHf;A) z9whoSvFr*n7C!a&5RE2&DnMULQC~2I{xu*)2R8MG!1Y4>OJ%&LCaOS`QUDB6R6sG< znule;3_+h9@O7xmdN$mF#tJ}yp;!qyZk(s8LZ-3DQc)_PWw#2Y^LL*~1E7n<$xiDo zA5sGuMxTAetwEg_q2_561=V7oA+FVGCIIivw`hu@z=&y+Vc-rMT*uvGxXeGzwH>U1 z>v@8uFRdfgda;M{BGN|2jI(O$#o_Aejja}r6p%s5m>d8L{6YtYd5--BM1Nu1xxg->iYGI1_maTGPlr%+R z!>dL!)jAM%RdL+3n59;pT(TrB%iCBL#b8u}d~eb1m`_dp13*&~TZ!#n@fNs@HCk zoZ1!}#b7-3cx1@fH0py}RZ1I(Plkyy5+D>2D&7tKalK|j|8o+~R*R12+ND_I#?wg2 zoi=X%#cq!cu-qq$JW>S08Ii%x@UbZyY=p@nC2y*Af<1t0V0Wyi^CgM_PU|3!;?0+K zEc-p~2FyLSjuSl%a_OQOh680B>W5>&wXlperD^U5$T)i)$sSNaokg2D!%WJk;fPH^B|}bPDbKw4v`H z4l-qEic?ZCdlR6b&JNaNATr$4;6`;{Dc$XWdPuUVM2hFA6KVzv7v#2`Geig_2=81a zJ{8_ku$@NW4bo7h#2Y|cpI#P*K-eo+9N5)N=R*$RUCtaHLRTDKV!VRvAf2f&UZz97 zg0x%$cOgN4-5VfTuU7lt|c`Ynlxh3{V;^!I?Cas>oKAV&uP! z<*8V4Vrh--U^|E+V>$C+BNtjTzabw9)xifoG@s6VXy=*<5-S}fmugPV2i+hpYRQ|UpYem_}$~pStY}daL!>tRgFZApx zZ(BLM@+R$>X(%C5?up+uSIky|IgoT;B)PZQ$s<|km)jssrDvL#oA(TVj>;mD+>y9&Qs*~_yZ(w81u#x-?ScKtvq*)9rU+xTBJ9L z|I`hge%g8XNYgX9j!mMk(|R)cw^U4!%)iK7vJC5)jP;CpGM7o8cQTueIU|Z-8C|XT z%SQ2Sdc^vXt8I6d4dwf!y4TodxLxE$`UPh{`H#*G8W$s2tuKhuA2G(>YafiF{;@uWLtS(bD`bHU!_<5i}3@b%-Z<2GTqj& zhwhF^bqnp{7irW!P%ht-A7Ej{v5MC!YsKaMXPv_D4I01PaE7*<+xY8ODhKBZ{3yNA z_l|OK<8TarZZkt2-c5MfPQ(7eK5uaFVWgZN>_biIw|&CQdkFVka@;>S4?MExU|bm; zJKC%X{v;`=yi06d41JrN z<+up2BXYX0ZNrJc92Y3COrVaHSYnUa65cV5v9;#r4P`OkZ?u;8;IvBxdD?j(m=p43 zHkG;5-0uJNse6pvr#C(y9cdbTc#DI&Dmzf=`G*I1gVT5NpY@YpvVL{%6Gn@FT$ZX> zKROtFERy-{c=!|36okBS;E+3Z>7Bmv)7Id`!G2=`n_8RmC9;sTlu*U^oAZl1ce_s$ z`Vieaj!G}to?G#A&aOY=x5W6aadw2AY0ExzFn7@ydk6>sU`!OoBk3E>eAk)TK7ydY z2lfy55%Mgch~3v(P?qHeFK0(Da&^~B4{W+oVW0CGAL`jgS^#F!Gsact-E#RUHcx)A z*tfWy{mujXoxW;eeq&ePO7=#FHFk-#AK89kLqq?j+%p}=tOE~c#F%w712gDCCw2N> ze0ae*9=-7&I_IW2 zk2{JJ{@LMQ8GnITUom|9#%u!u4N2iDHVInsL)9DrC0hG*qgs$i*W;w{x!ygD)zb1Bv|* z@6>notvIged;}%8gu>kY?j@PS z(CBQKN6j0+M-NzjX`VFtN6HI3i)vxsX)w^>y=EtkH{O&e?bsl8de1%3`4<$#ed0-) z1!#kS!#$iV89ORS6nC&;e&=5Eptu@6l;mc}kN@n$*2C)aanxsegS>MEm2WsY@*fKG zD4O%9_Ziml=;4n$z{{1q=nnqSDswV7)m3% zSUyGCdd`ifc17own__k*KG&A*<2w+iH-D(5YSge|dR+!Xo@NWi6MhVu&k_S58nPbh zEyxoApSn{30?j^Mo*@JUQzo5V{-eBwbXW()a>rQkMRNZOcUuoXjKbx6n;wq(lU|;& zdU@El0{L0CzBHJ53%kBL*GHQc3F%!4)lMA@bWndj?q9W0rRGrpwvQajYMl!xs|kFR zB3*EUOc&WR2)won);6}9R+=B;`>Qna&r~-6(NnsQHq$?IhABa&OjX(K(C&qe#b?-j z8Kuo%=8sasR5=qw<(&Q#veu_YgyV+RlQCLFu3(eN^g99OXvNDz1# zk2^3}t*^{D%5U^BUl^3HuE;zKwxYc5Hh*(+OAp#dH*`L zSba(-M`SWKAo+I{Udn8GhAy;Oe)AlJbq)aGSPH8PHi~Uo>8o(NpbI({92m389_;hI z;+}*5=~(-_CeD$0XMfj!j>l^o_vimz+<~CM$#eZ*&G7wWY#v0 zs-c?Ml4(d`_pU!jc4hsOm0jxwuv(M!U=y^05-tSQrpdGwQTO@LjRzVKqKB~ARSWG! zad(dv=3dOE3Rk|nS-ae|*@$17KwvI6k15@PApTCfj)nQ<6`KwGj?Fp{zoLdd!Yfdj zrJo>f(Nr@pTHaovt^AxM94-^cq0mo>W_@51Xnk z`1-V2qG#NU-qyjJ7N|GET<uZM_e=g2N=7Ei1VO3RpJLc&LeknsN~7(Vk?s*50l0 zR)#YU5hFK`30I!e+l(xUP_6y|3Dtv|H}D|xl;E!nMtOlM2!Zqt;1t}ZlfC;=@&EM@ z#={fekdWnJS&_Q<%I)aGg;%cle~T!@h2KHpfm`Ev@$xN8JOv2!@zE3FDfg^DJPO3~ zc;&JGXEz2TM`b<1Awy=5chLa+|JU0;437mn>J_Z z?LYtEcUs<|e!%;m}w{2s@c|*u@;Q9 zm8hqA3~4H;oz_UKxNSliRS9X-fLlz{f{F#?gtB=*3)b7?cgMy8JEX%KQ1`Hs9y)VQ z;Tk8gR_W+K=xpgFz-e|7-a|K{4pGq5aUzoS-IrKJC`J?z1+M>mU~RrRGG_xxfgc(o zn|jH)XgQiSok2ZMP&_~o0PqVa*i%$O8H$re_7?!gr(=U^1~)<`jQuvKV3QmInp=}lf z?H$O^r$%B*#jbbR?KB^W(+OFJh7;0ObBKXW&#CY#QxUMD*;?1j2%W%gGZ?4F2*b`N zqw!FgDDcn%Hslwm&?Z3yz&tuOQGQn~II2}?MhA^Sj68XE#J+jz#{iHUMjQde$XAjM zWSGL%NODQ6LwVDcQ0Jj~3g`slQzY7|_%%SCAjc99Q$2Ljjq#;X5oV}}L3h7VsJ^R| zPL5!$n%inm1ll2Lv84Hd42nj4q}8U>d}0$nhzH+PBoG2vT(hjM+k6$Hxr&hh$Y8ED zp(5v;#L$$9fXY$8KMG5f2@HiiPvMcL1tP(=j}n2(q@%HB)R6d2ZVp*Ocq)8;q9s&< zE!_S4fBz9>M`jcm;8jIT=WKHFs7E7%h=`nPiY>iC`1h1kT@I=i=Y4_xM1Fo1C1{+o#)t~s5ahwaiHf)DS|Ze(8sdAFZ5FIdW}z0A>*Gt0D-hQR;Jul_d9L0fSG4H2^)f~?A% zyxhkH3S#(lyyw+xTiF^>=J6n45+0)}`V>mwB9QIG<5Hr4P6`CPsm5fSns)If_n@{6wDo-jTi=@1bd`4e5i*ft<@vJRnc#VTkR__)qS4R9x1hc^XdJL2oPI z(e-#UM#%1-2XQVg<2&M|1e6}K(vA*fga+u#OgNFk6d)W=+@6}F~44dn^^6j&}z0xYxHskEA5ibuF+ zt02onDwj&Uy93NZX&urULaD@|IxTx)cOdS}L9ej)N#a9M=mW%7B!F(@@q}>Lw^5`W ztx!;x7xNa#_xEcXBsu=82MARcd<+7skHtTE#r0qM)(7u@Ff|PY@dt0?6)-pb{dYh? z5~4BnNsUWBmPh?sV7-c5X!`6nd~AXnlnepoh^eO49Rir2?7U?A`ujsJ7K?$k%8ufF z#PTT-;&*UsiVqZA046k2T`51tCAU8*Vi+4Wc`q4Mr^MX&@o#^mH;_Z2;-+{U2v77- zE2Z`%n3mH}#rOyp_t!t`Bwzz^T38xB3lO0VpfUZyXRY-P_#^`chSZr*e-xs8dCx!CQ?G&6Cc(|0?Cy< zmyCq7@tZ)TD1#oxL!5y1Vgr*NKkaUa#?Vh24u(?SLWUjwSLxZ(J>VU&cRaB5^dS2A z!RK~;hm`>5l`bL661D{mCXhw!sEg9pGtL}Ao`DK&S%KW17C=H2th9|`ohkX?77D6B zpIH{Ft(9-|TH1k)1#sF`CBNJzBO3FbB!T94*hap)8BZ}F6nOnU&42tuTqO@E zPYhTn_b`As=o!XI5=3=0|{M7Qd_h!w>~F})~)r6x54{!Q=||aev!(mU_J&!9 z6yM>i4b=}$W!K3B1Lj&aNM}xuD<03*V zU8iXdO!X$=)wmLqf;L2&88g~QK0YVTHCsO_9~(a^ezb5b`IFU4t0-T=dj@;HFS;<9JWc7u+Y~C*wWAcajrR-|2bd=9Jhw^+rcBHJC&w3v~Zu zAMS*>V7ms62#Y~AjveRXEIW$`Xs3nWX?ZBqt_gurjZN)uU%3&c&qfO_N7~jRH@Z*YoJ+_e&EE)c+{$G2M^uP0b&!ym4lKQTNk-dHTKmP?(`qYtrrhaTjle<^^Pw-NtGc;CK9{CxZM6EKRNc^ z*R|DSA2c3=5U|@f#}nA(A}^ig9V>g26T!r}U?fS#E+ua8L^6i$hqvCXUG8Shg+U7^KmfN=xX(~fazFCU&QcV0>cKy^v@F-vj|zAq(5 z++(hls*xOH6+(;bYUK<)HU9bkY20cUK&|=Jv0qDY$+6^=WZK)E#qUVzB{@8U&-3mT z$jvv)?aN8AnvC{bKuT5cj(mXP-FoBSKvL1Dbfzz}83j+kYOQRak0hKC_N_~%ao%sT zQbQ8Q6^3*2I?~l5!EM*T^8fx%&{{UaO28!G^CH^Ze1pV<#9gPv?%)fnf0=xB5Uf6S zX%&1W-W#6!C7^W>EV1GWGU_g_7FXgf^CnMT8YsZ9@{cD^CoAGO+}tGkyPR}=>Ou)( z_qUb(XIKpBtdqH&VZ`pVxd@@e_ce&8&JF`GXCV5%04&ar^Ra;-ieS&x>2|^$I|bnc z(%a174iyS#$gXB5&4Wv*(ztp&7;Caz*)g0g)rmr-Xo48vzs0Gi+*9NJQ}kQn)=Kg^ zZ-O{K4>r1#K$9Sd6{P;Fd!=~R1#~k;z58fsnYH>`O>8a53o*;G^bTtT&@j*+{R9=s z_3mr5o$uyb`CJ~heT0HVV<`a~8VBaeiXtPqc}c~F(azad%{ z4=*NNXzJ)-chAV`g^}dhWb~b&Bf*0G9eqb}B&GK8&wuO94qjRlAogpC!hPOlW(~zE9UgN)3KEm3%^FKu)h2GV@;CI9u2Dg5C6ss|}RT>;*410U4 zUq0`b-!`a;y%#J`v5q1>;D90&TDQ=Lco`q-(Axu$!*alTng&ruH031#;>cyvMv7V( zlOqde+qjdp+G6K~z?8SzGZ^#)z#_&F7NolJe6in;ntK8??QT3#im#>Mn$(0_-sd}VDk(ber zZVnhOTAE!JyV>uE{9t)|@D7yx@ni*>;zTmFnDFrtDS%O(T|rq%*B~p*wdQZdXUAuk zw+54g@rj-{E=)ZqR-ojrC4-67FTeT44X|Bw&&OU1vp4hco6Lu1MZ4c+ps)Gy1&*al zPA5XfnsVf@uIeD>ZBj7#FV! zX#DodGTlZ==S@@u8hG>kHB14R6?#TsIHqB$!9mQ!kXm5@n4+~Dx2y(-&&J;xUn~D~ zy!+K^dvJV}!_Wx^R$j++koxxHcS#zj5uju$Lnchdv;M5Vk(wID97fI^CaAlU_hE%WH^KV-pp7tiF$q>F()VzmJGd;a_u>mKDj9LGK2sT!FAlZN=A+obh{ zSu0*_na9MHoQntJuU?vWVGaZW96a8XLKQ!>5KYu5!r`#A3)R~-xKhGQaU9A@JP+Gm zbhcy8fHd5CvQutPLo3l#8?mfxnlYOSOrh_Oxl-h@US<^(PhP98N&5Lgc`%0N$5bvj zk02aDay35Vk~jtdv&IbBhA-kyo+1BRA%)rXxny*8YOIIDK7SSxC9jhv%N;rb>uMLH zgUNT2&Yw;tod4T-@a5gZU8mxw66-55oEta}$Aj@Eu$PsRvJUd$ zyOgA0cXb|{f*wQ5_XmSLCqyUI1E_py_`|ok5a!=J2jdoDZ|II<|IAdRI9bS$Y@Qh4 zd&~R4sY$+jm|5<{mlZ01^^bP96+ss~|uRK=RA%>FC6nueGP}wd9;L+y- zY5K{AK|7A2L-m${>F8w(>=)>tI7Ck^2Vyz?!?w4TBa<)~x1T$$Rjp#L|BaBjsl+eImGtDxU^&n1HwzKi(@ z-4NgYT8jJ9LV{dK7fSjttgJ%4K$HBeQ4ehx!a`_eAxG<4F-NX3^C;6W#~oPZD;Nz} z5n>ecIMz7gs|50~oxBn!zXk98fQu2$XJy z0}L{M?QEcXJ&1|w#pD{eECqe%v1LLjv1deKesVJDh;tn=yrN4<0xP#8*#SEkat;i@ zBTx?4C|%%55bO#3r&mU%POSEd^FIKe)?^=W=3in7M^dlOe>Gm7VLAVZZ$vuC1yfkR zBfBB)^E9iwwv=@Z@b05!#J7Dx`~fc$4pWF@(IJ8t$IeOR633d0nOaKkw)mA-UdhhH z&QtEO@nchii=*x!Bs3X?prQd7#5yy2@ZlCk{JnGh7B3&ZwbK0uJS;=;ZNKlXD^{!snmrwsCktC4J-@Hnc|wL zu9OFoUf6EH6UD?C7d8=GWl7cTWSPL{x7rsHI%)OC(0=19NdzZj6zWh~Ll88j?qcDo zAwP@AK(=Rfxpa1AVHGL-4wj3kvdQR3!Y%GDAqyR*J^A^UgCcEB4{P3pZKtDtMri53H_m zxC|iF1e1O-X|9lBg*f31al0O+NvmkZoHF^=YAT)znpWWtNjnUzib+~p1JeR42=U%{ zfACYzyVjmN8+_{m+PHglY)ZOMvc2Nfp7ou)?#hFzb;rF7ZoSV0fdgAQZy&HM96Gp5 zIdIkr<4^}VeLG#F146*XKzsSh8=#sv0qY%TGIk&5rDPRWMKh8P-5O6iFS)@x$)(kE ziF+!+bc|_E+IbLao(%1ieA?O1IsB?4tRq-K413RkKqj;k+fXDxa;Q|Dn5>obQ4yz{ z92)if>O09ITpPIIlZ!Cl!O?O?q|kvNr@}g+h}mxH`~Gh6yfE)s={$g`Rw}wr&ee?x z$(oy`+`zdFHi0u??@HTO@~k{UxRH>d?G$u`{kxBExACDuX zfCk>wd=ZSvG%}gWT1SL+Ve)hWU;r90sA6WsbS)>I5L$YOmgfbudi#psb!p{T&&9=0 z1Y+z@fq!a+iE1v2;HhxxE8^(_%XdbYEL?jVR%oLstAy>+= zjwCEiKO#5Bmoc}Ab{LRrD}z06G+AIoMO^=1Uhtsu;6fsM<4M29b8_5nlqB#A?F({d zC3t#A!hzVqmdv(GlRqc};62J8seWhSCB1GvNw$jY7_J#M&CQVfMPy6DOamWd`>Hj7 zWb0ONEP;gy1&E0h=AWPvg{9wf7lW>|{H9>fv4w9;jvh@?y;G$@4!-V9u7CZpItA-6 z9qN@z5|$Yem{+6%3UHs>hYh)o_0Sw(2iwd39zbPa^lRTdI z!;dE=*9!PwB>*(z(Ui0SZ`<0qJ8qpjQ~q+f1$YZPA!QfCnur*6Os(M7Z@+dMA&^rc zm~EdA^l-rWn2*GvP&s!-6hbCPnwGUd5^XD8*t#%5b!>+R5v-&?!ICnj7(_T0!EPi> z&WXXewQkx!F@2QF(^>Dz^jt@Vlq!89=S}= zEGz)hr^pdHBY*}CC1c0LffbwmTpfme=;@n1`-t0$)T%6BAt3?}<$*Vpaqj4Da^e^K^6@NHe!o#zvZCP=CzBn>BY zqkIfJK0!|)B{~X|a8hSOJU&5BAWb?hx8-*H*+>vA)3ReVuBOAux*Oo}61s#S$?sTN{%kY~>!_(`<4HC7a5|ppe$NH}$k}At z1!-D;DB`|z&!6A1*h3x3EW2i}P9-Qx|O@sdH-UGaUt zGZ@~64OquR>WE!lq!6+F@L)N{+60xt@tsA7$n01alR9v6f$*Jn~>7_MmTFn|@fBLsD^EVKjKq&u;yO zH|_gCe^AX#zbk@>Frv>%maFIW(;>-7Fn($~+q2Y!SrhU@u_^(C1!!=`$=1D4gXi^I zx!@^42R@^C9BgpE#Kz)Rem}N`szv95?7_Ydc|8mu=Dh{UBzNL_*7fdyph7QXn&z^z zkR5Z93fVPy&1?h7BYfAMi7{oBIqr25&oHxdzC74p>KYHXcV5MxmX=5(p}qtyv!lRj zh-Y82SblKubZM0U(Q}VC>YVY9>(lC3^EGN;nM{!r!m*}|G@85fw zU9jd8@c^xR!n-9&DX0mDr=P=avtwC~*eqlhya}I$LO2K_sYDWB0yz`r*t@E8)npgg zCG2fZof;2MDgR{UH0wR3O0KNa2s02$TbY8B6DI-4-R*5(%>`d~?$@&cnCKpLTx`B` zb>+V`Fjin;5I7^555(ibg~gc~>#?)zFF`PMjN5<0JJ{>Qp-2!R=pXu!Ew0psXR@y0 zh3`_@KG>}jsc{kNOak1WauSOuSLIK>C%(LhbfkRx=GUMqj%HBdS3qC zFLUi80hU$4_P4#-(a4Ns@N=!o4ms4uCZl?ePn_jqJq)%BJoTXJRma$|rauE|IM8|4 zMQ?z9w$t{QUBwgYJqnrKTiS<9IZ1%M8jzV_7ifgx6wu{dkE1))nHN4A{Ppnc6rl}z zxkBm;4cr#29)82@EE*^%B`&3qmA;ie|4^JjPiE2$P}wkBfWQDHx)3e#yUt_}Qee0l z3}=P^9*$YcQqxTRwj%>!#7TM8aL9eA^Zk2D6wNw=t2!X1Tt4p#sI9yw~Y@F>lR;uc{gPrkb!_MD5b+$}0;=>HT z5n!YM02G04G%1{V)rysQXe#>*Zt+ZT4J0_F?;kFf0FVU5r~c_X#4wOuJIvNdW=Fgi zG!rCLR2&|mW7CGgSJ(y>Feg4i=J`_aWDmPk~?wT4#RqARiA(?F8$@z zupgb9ZHfk#jj@VXw!jp4J}-22t-1gH)KLwub3#{0)bC$9p#k#p3^R)#e{YNPkbi)R z(QQl-gE0d%&1|l#N31jH4N$Nh^MwjDxs%khNZd%pB%aOE_)-8BZ{K5gL28%h!*XX; z54gc{Q%bEXI#zBH!=#cVDF$f(51OcS)^s_lt~7?fQ`y%X)#H`Rv$6x?zQ6LRcj58P zt`>oCg+^vuBLkO35EHk&X|UuPmpm+@5Y3+P8JwcV%GS&i1xsE5uSjzIv~l(NWETW1h~E@xID8;TJ(HS;W`i5^Dq3(7V*El z3N=5TJs3}jxG>Kylx$PO#5nULdo>b>hCjUEp>XQBnmz^-%j28qkMDw0*)EwQHc*J| zbYlXA?hls0mfKwI#)zvdY2dmOEkwP! zYak|>jKYaIfm98-0bVn-N(E!wq%6ZN=fACL?hZP@TQiK7I4j>p?B$d3S zY{O#MyIoX9mE$Z6V4LX6s_E#A??++(k&=5-hgXqy+7f zWi4tNxg};JeAom*4LC8ce8$Iz#t}RFcRUy#Zvfd?-O=9n2<|n66l%3i*my>C$SOb~ z>$_-ezYattY~~bVPtsQ*Mddla=u6?lr|1XbwbK&Tdxg`3pRk9j=P^jvT@5AgYZ5?< zB&R@fDbnG;WNl<+LGGd-d$_s3^Zg7}7^!?)nKbqkr7^*wuyHi@3Gq@Jie z5;`c_#I|l~ns8N^5#Ix4i(h@MbS!s7;rPLoC@g(sVFXgob~!j?OxrcoB#O#Zqau{NRg39=)R=ajzBz?b<2tdBD^6P za`qUd!3Tb;R1+;A$>XO`v8e2EtpZd{l{3;_J=EMstqx6<#?^MH46Q3MEzrVjU?4me zc}u-eYk2$n8&2s;U8&L|fq%J#0ky6E)*I63Dp@-vy>Dfx#?3OpA z4)tg89*G-TZJn9dd&ipPn4y;b^Ix>OKCnSZBH`|EN9b^1CD&I%j_8QAB84c*v%T~E zF$;DuD)1L(NJ=HBwe6-*?+(v)&zDi=A^ll>NcV#rPLZ7VLk=~oIg|uRV>!wB(qH{h`XP^O~ zIoQ>gEhdo|U_aUh{nhXCW(juEj`Y}7blXj{rjFmO=tV7z)d^kV7kD9rEUF$OfIvu~ zzd5d8o!6QD7x9w8DMeq~^jQHu1D!V>jj&}#V%zJ3cRN@F+lI#iLGa6s?F1g#(I7x( z5BmPWq$&mj!%;#l)EGygQuL*9tl18|Fj81_7M(p#+mkq|CMv9_xmLPD+Sta*Kn&L% zA%1x~5(fy=31=sp8U`l_N%PEU2KOp656g zSSIOv`E4V z_n%G#{(v8jIkoH~eyOSVH}&9@iVe^_c>KdciAg%UrQl4&9d5Z0w-6U3w=N=p7TWIH zHD5?5oK|smtZ6+T%~(Zc72WAj;N2YSNK-ZKcl5ynE*Pn({MpX4B1gcM$-t^SFlC;P zg?sbP;EH-ft@w{LO8qLME>X?Fv0gr7@n)vrU zrZhe_aa1sI=BR?(*$afdmp8>Hm;z<1T@-5Ux@}LUORwf9Cwmags~S}Tu4^h;cn{I2 zfbf-wX2P9H-(BCK58d>d`~1C~@oo1H`9_sLMK!40pwaJOl-wR25@0KmNz>f?GF=b1klf&9e9pBefD6>NHR%%gNSBOCMUE z81oPAebpHQ&USJ^^|o>KJPBtdBJD$9IvWZnW#?_jDVLda5IQ~M_V#+EG^WdEb;*BR z(=TAsXX8@5!Mj!0-CkJVSqmyFT$p}RXZGpK_n6M1V)2jv<9qj_v#k`BgE5~@O0aPT z=%-(QAtt;fx*sPVME8=fLFaFSa7N9o6E+T<(C4PF~W!^8$o@ReE)JAuAZU-A&9;@xXJ&pr=dAt1PmKXX% zDiQUwXR?FTXQ|HG%T*Q4igPkH^#r;-%k-khzz1AOP8ff*fvVob5cOTbrbtJcMi6@gB zIk-he!ZMmRkZykvF=Z*u^?9?)bxNL+6Zp7kxw!cVxfr^!W)tb~!^H0h}b@ zcDi+!#WL-Kl=*4n=kHRbyjyxb(0KM{=JGy3&9qAbZ3@KvP_B$6g6Z<4TcY}x>k$@v z?`lO4_UodqxcpQi&j-IE&dksu?QQ?o8T)Yj_Fw<-$NO&Y_;gp7)8{33fQN5!Xg$i0 zIDz8@_`KvUI+uEuC;ce2CiQ6uevaAdh*&982FQAzC_U&N+)GE_K6hr!Zxmmu+@rpA zRf!z&q^48A^Q5a}@Qlg~PL;S3MDKHF=XJ4hvNF?ON$^d@A5U);gP<#oHmbJv`#Q1| zL}>r)%l_FOzdb_oD)fi9>DHc*a(oTGn0u3aFL{VUa-1Sv)Awdtl}?~b%q=Q*o(?MM z_>mIH_52Nwsn!t0{-N>g4jpcvXwE|83_k)tC$f^PmTCEGCg&18WdOJ%eO6ejoCC+Lc$WBaN?V5<`0Bk zd{fBnd-Ja+QB;?1D^G3YBhHQ zx?0DdEEEjaW@(Nry>M{*v9cjIpMQ;SmsU19YF8ispkyJ^Sq<`NZ|_-7F1I%_AIjYR zt-;&B=t9Ie|gM1231ERmDG2c&?r#@JR?#@ zsM9y{jiAzbe{j4x;1A<=i{JiwOR${AY%B7JJ!8o`ow4NY5Zx;@DaH8#>+2;_ULvIU zkxPCc4*cBnpZ9W@i@$d((U-8*bZ0wQE)}U)Ku!a7TVG>#?^O7zGo>EW&2kAk8*7xHHpG2Z@p9YKF)E6I31wzfcn&@ z`o?bC);IXcOq^-M;0DMkc=lVCT9|N9f@HTpu`>eL{Q)4H&!i`q7v154 zVMqizo}|`(PBI=#| z+9XNM$;xHgPln^ZJk+>;>VJtD5+;r=$Qg}4O&;?;lDV^Q3}>*N`e^)<_-1i>Qq>zl zIW~2-M+xY;#-HGG$--Cs31{YP@GZLk$126Wv{EQb5;Br`;phpK;WN(FiE(G|Z%pv{ z{Cz(fyecKyvEh5v-Z7vU+Ril-PYS&v-TpBCRpsn(d!38k8_vc0{i)(TIz-~9NJyy4 z5y(d0`lYq(HQs)^_cxir5A=QX)5)N{FlHywVL!47SxG*_=x+O7n&!&ROW^ z$P;z%LHD6!+54Q_&G0)r=4ak$j4zFH-|1iRL1*#XGYC2Z$K;JhC*W#5DiMcs-F}^ zev;uUb~lOdF=x!*M=n-6!+AG#HMr_eHXBQnl+@sOg)|9wHt?$5X9SE3&T^cqvHf?$ zn_j)QXUhA0^VKSiF;{i)7SFf$ezYIa9RHAb3{h*;1i!J}`}BuD&Q+Y~_J=><#6Qdm zr7t3x?A=rkfEdtl!~;-~MvOX*+@%p^UA}DXMb3oN=}0rNOa0RsKbPEH&z`j^uXb-qcv=OO?xe zK||DtuKwD)jaCn2MAoc2NlSD4=e*3X?}@iR?MaK=D`|)LU z%sXP564+mAijaBemYU3*KNf&LwBm2c*jyoMAc zLl}u7&gcvKBLe8-abZc@lm4gekKWhyA@2hy!yS&#**VdJTeP4cZ51%)1P`w;=8aB_ zO~6e)=h2D({5X4TWTru5#RMqFPVNM~+P158nwt_wU3WZn@EaQJ`m&}M%`c7lFQS%s zWPuUMqz6jLUZ0?Mzq0DrM|&I8|(B`;Up^SPORQaPL>dInxv3{ZQov@d-v&WlP^=h+X7W=XWp$R_) z#cv$*7xr`e0I>u)3*xKpB!o%`~=BeiK%1M2?!eg@+a#l&WFG1C^ zAFGf5hgL_2XnlM86PXe3&c2m6trK^~Zw?OL>GWkjxxO9jkEH(_=((`*WGjxNWV>qq zs87*2w-8LAn*$LPN7ME7+A6C9mZavBR2JwgM z_R@PSW*(-6yNjrM=2L79zKCt|GFkp)!?1tmF)$H?I1JNg& z>rYVmSrz;EpRcQ!gjgyBE6&Y~cV}GG3`DllH$gm*>{A>7>uh!Tt;eu6EyJ$(E4HL{ zB9#tW=O$%@ZIVnB)g^aYjuQ}bA?Tz?SBY(uH($yi}f29R=-2PTf>0HLU>5p!pq^iA=?VL zR0#=6&H{>auT*VO2^SA!_pq*87A8B%lB5)Hs#1zU_8J`*?ku=Qp*>jdVpd} zY3Hh}?Yt3MnNq6J(e>3qmy$}DA_e4fz|g|G{qlSeChL{HU?iAnYH1&s)h-WEoLTL? zvEEqw_iw5YD+45%9y>y@K92ZlDjkT;?vb+`Y$#c}BWshIlYB~>|9S<5EuH7Ige0=2 zk-*A1jnK2^8s4ZT3yJA4oaTmIAl94z!*^v8y1X{`P%4V+dy7Vyzm+ed8DK$0Jj*qL znSLliA*&2~I{hTB3pB;zFpt9d`8AP=PKyUMOKPo?Rhd+VM@05PqNZgR0#9XQbEu)B z;I}%3Vwl(Fszx||wYHIO3l9h=7ZoawdPZVd#RX#WLr73#f;m_ZD@x~Wy|IsSkg26% z#y{dPrHH{!VKWx>Q8?L%w4dDN5BYz!tQofh&}G$ceol#gL6!df!lFXtdp666%3iDJ z3IBu-!Gf2EmC|88EV4MtydVkNT^APHDBUw_27uIzWeO5B?6(E3a}8QnpZV3ac{aiO8@Q$?%MhqW;>Wcc$R>={7A*TI}SHkD4~Oc0?x025S%~CWa|g z6w#hPdD~u6%@_y?$6n^3oUuC8=M_&YD$((n513L``g$mO#;!(o3;hF^!uq`!2_S9ko`N>j6f+K?? zbmU2Z7#Wm!-iq6AmtxM3@IVugmu(yaVpzw{3FQmk#qij$$uQmFViV|I4?^vU=<;*w zx!PhVMPiy)D@2Rt4gB1xirHsU9{HCj7pjc<1sPOl1OqW`I+U@3)&K6FnXo|bmIzcF z+}|Tmewe%r73iUcVi|P!1+hzR5e~H=h&&++nm@m&*ycsW(E+KYBf1R%Dsp0Q>?Lm? z67)o|w*=bf_$_yd07rL|up>E2XM%iKQfp-saoDHUhY{eW0wy4GsAJ(u9QhSLOtM3{ z)O$8^5@xSpNBB&K=jY3dwatYgCj9TF(aOIu-q(@-28*VfSiPVs4ihPhQdCD~^x7=$ zTyY^jMyJh4*c7%daZdg_h$$>OkDv!h}i zd>A3FMb)(>-m*FY6YQ%MC=jr5TT+z9)iB-D;4F;TxWA_8*i&tbT6#N*GgGg} ze5ur;az$eR#;1ra#;FzY-fPZfGj!qZD0|>{&^(80w*yRG(T^9i5>LW;%aH*)LK;+_ zZ>?;Uvxh9p;B4d;+|Vv*hR6$g+VKW#z>$j49&skfaTE-z#S6E^{*G2P^ZEw__yZ2! z?tqhd=nS!DurK>BDYs+kxH{6bt8yOyc!(w7Ih?c?xkRqT(lFI-R&`wO6zQ>@XrKHR zqCf$Au%~E(r(}jBp>@bdDayDMRbmsq|4-l7N?KUpdLTtuKR?#9G-4nt%p`;T@n=2y zu(FPSzb^U%TNxJcBnyk+*Dg^4^z3>l3oCcaV!CzdY`HARa&2|IwIo_{M$o+Npju#A z@#sszU4ftzfrRQ)FK%78(N~hK2(jkbWO-}5NvOER3I-xT^Qbe(;&|Z9p}w5w`AqK< z>78|FaUj4;oNg*2_)^?R$bcl|w@-ilM$1s~aY#@6gux@0mks?8Yw(&wej6IM=sOe2 zqFsoX9h+I5u9*f3sbjIoIErV?Rb;phG0jRh7YWTJTs4o*({M6h3g8bdWTOGyzOjL= z=x~KaO3NRyA`MwBFxr zj;dvSg9bUt32_IYjW=&+hP$-#iEsXg3RX}TR8zOS-+Ev>jya=*!Wvjex9WR6MrW_gH_LBSrkbmPp+R^)9Ouu_AldP?92G+s zfr}Jd&QavO0UmD6I5w7!N^I)*3Bj-=ld*!hZo&46FkGyO1&I@y*WbF@6qOL26=x(_ z2O<`W>WRvntRU!_uasFb-z|VvsI>JZ8;8HibNu*Izue+Ej7NXPhS-3$$rvil#GGY& zJtmqb*i;rg7aDDzfJQ~B-N;97Me*TJ_;QMthj3~26nY@pgFn4ggR&_to?8v6Cd;!- zUk%8u5q=Yw)f5YaBQRx95aT5;*Jv1Cgf9(ss!@I`)DTgXmzT)Gp?Wm*prjBRMAW~z z(%Jzcz^6e)h73gU;W!{|prYVmHy_3@1)Gm!#4oDPGZ;l;Fh;M>w~yQeeNrHwp+ZjM zAj}{s4O;zpA;&gB~=nRE0an=G}T231tNvM1Ai}Y`jCW~faK^Fjm zmDOg@TKKw#-MS@5v0^ML0BaY^kDUmyn=0zFg_J6ht)jmp&0YS0v7^XDyo^`HMw z>+VR-O1FZxk*lnYb+xpABWklT>lVRLu7}pXV+5fCe2A4YBTf+Bw9rhnqE ztQCz&?9K|Vs7N3;TL5DYX$Ca2EW9TXi5)Ut-~w^NR6 zyGAMaa%+$>{-1~9DOMaYf+7E5z7g>Ur~L^vvy~WtFavs^6djrb0mmY*saIM4ya@sl zvZg9muZUA>`N#luXv!uCXU)V#Q~;iQJ@egbADb@$}$4i)9B9nviT#|?l;>{JJGQC7zx%jJQ z7-zgAvVYlWWJmm+ec8R&nF47s#U=x`Ms$lX*;PAHx1F6Gbz5#!QB&%7!!zN7Zt1sB zmf7*fNy5`$ziAbeT%J85SLU-!=^KZ7HJo%#dg|Ly+{|J)0T9jbbEh7Er#X-%9T9v| z=j0$FW%?cQe-v;3Dji0_2jM80x zmtU$j>T{}G!>v8e`xl|wr6toUo_;A}8m;9#+a08z*$4{A19bb0xuWsYXO(L*ga2Xw zqiE9^-#VbI#y}MOVWk))7ec8P^7b`bSKae5{ZQvv>FdD_9ZYEl)KQ8e76OgzDZBs~ zV2>D4&h4is?PS0d!B}Y?Rh^cgzPk9mR^H6P#4VQwIg@DYgON-ez2^Cue9sF3Sk?gt zJ_S)P`!)Yy(B(u&d~1NGvx=aJ6E+@cPpj6r+ZjrqpR_}=z5`U})ZmRKtyl$sKJZ#_ z#6aD1EUW32_Jl!DR(`NLtSSIiMvm}JWb~tdXKLv}UJByCHkZ35Bx4rmO-as z`c@PgwUkDBmrWIj=f1O4q*m))sv_mc;ID)a&=C8RH$$Gmc90YvK^P*3C4L>;;r5~Gy zE4hcL;JLUI5|aDqVXHH0>$&iThhGV89sJgniVm(0-w3!DlxVrO&uw;;d?yKa79Dk5 z=Z16t_35#2X0c*M3ONiNM^k5Fe_Z)xqhnmqP*}F{o!F<~kAzV7*u;hg%5h#2N#eK! zVZL!1Ob(#63K5Ad_~`G#++<|xQeMb6S!eUPKq77;=$YMh#(t_6^BYQvZHLLA1~Q?KR^ z1lw4Vlt%5;q6@eX(!r+LzpBqK)`)C6^9r<3Ws$55VQn}LmPJ1c$Lw`2c<90~X1a4h zGkzyvw3Zg4h2^h){o;B#i}RnVFB7n!qyX{)>k_QG79o%jZiv5zC-bs66hfJ0O;>G^ z5WVXh7zttVtD570othl{Wl&B`P>yNJ76^q?vT|-LH{T4hQ_aO-0v;373}+ElOo~^Z z`r?*W`#NgHyE)7(W+8zPT2XASaPh8umtNYQl<0Oxnv zBqPtLj(7N4CreiukPw!dxFl%_(Ts-;8SB^Zltd5(|LV>hK088;3?v=6>?55+iizIAfEM{@cX4R03KI4)KQksUz1%*Jsq+{g} zZY3-?#m;$$24_cwNipgxfgIBo_ZqT;;gZwivu6-ju6T~s|8#s!iQ2a08XU*S;8okN zIXHGaN<`FXLrAP;!l48aSQ)R&QGR5mb1NmZDBLor$fl7hPvPHnL1wm9?pI{1#c37- zje@NBDybJHcL{@zW+R@S^RM))q2cakpzEs0blA8?m8cXfo!!6+tX*D-Ga26g3|^B( zwMEyxXn`%o+)=gt4uFT%#9{Wi)WVo0K{4I=>VYkE;=l#wlIIGXaLi^}oK1AflqdvSHXgyJCKULf_)mA-7o&QW6EF zEW64X8$1obQD!iJcnbvUs*oV=dAw4%)8!81>CRY*MyQPFwy;z*zY2h9`1>iw)~__Z zidq0pPRCB^Oj)zyAU68Z_hr_XgFf>QJP&jr4vDSyH`gkrh-1t}6Hb(8q^e6(lO>q& zlG_%e7s!z)lTMe5Jim-gQ*Zr#7Il8 zHO*o>S1
  1. pcgy5P_v(sNg8{S;EO?%#(N`!xu1r8~~ok%OV>^qa!BGNlg)rl!nuu z{GwWRg9!bETFIPrkrBEf8bk|^DcWV)s!g5f>?dA_GaPws78CrX`piF!z@dDD5^-4Oe36YOUs|t$gyOXOWNgpO;t$M4 za6B1*&O z1>kLUXhBV>-xgEHph% z;AcV67|==l3i!gcAY{Q7^I@7uo^UxCij7i!8o(rCc!~tu9MC!!Txf&|-3%%+qaIVo z)TFf#vr)1WQa@u_PWS)6V%Jw*srjf{j_$HNc-yz35qtPXcbthf^{O=Hb~ zaqcTi9FLfZosgkUWGSb=*W!%G{LTE>Ty#4_a<wtqFr%DHG}!rtPC}$V89Yd z_GP|&7X45IG$72OMnbv+f3BfZX?uR&F2t5Pm&?Ix&EPcw+k&-?%E%G_tQV0kJ#Vhz zY50TqA6#L~xS4dVi~A8R&;6VK4wx#pq?;22^xkh<-~!1VeT>U9%n50lc~y-dX4*VI1zEIg7d`> zP))Uifyca#C+RLDx4ocpau5WgfZcUo+baK`#bdug(n z;j9Re0ph>N6vdk|%&;piCx;3b{IdG8X@^^YH9adSgN3+fR%@B{t-}i>M74-t_%|$5 z1lBTK3{y;1loGctSQe-j5%R`(2jB4;0PuWDV00bffj>9nTDc;4u2YYT%8X?t;;lcEo!&Pio~bm zNM+|@9ExR@4p}r!?&8_xl*pP!VQs9L5s>^;>wrHDgO=S#KPo}l_Z1y~P0IfGR{`7Cn43#gDr9i) zRHgHZp2pZGo3I5AJ4tZh$r5yPVRa*3;X;L<8|=8SE974kq5RZ6Dnnno3m9pbIP-F6`h=qPycX{01K1vQ(k&rp`h>8L1&YjB@l^= z+!61KV}gXBt~0kNmM9u)X?PYDn1*zjXCJsPM13(r9o9`YBB4<#VZF9}-nN((_n`iU zp6w)eZO#l&1W*u?!imsjr~tmc4tymy(MR>gZ2a?qjN|cP`GUO$SpLZ#F@2WBzZe>4nP;DjI6CIUEB~1hLcqES8Zi zF##N(ts0ql7K~GP+i37Y6d0O~1e|R_YGZOlWjZS82EbRyha99LB4P&+6&=vv^SUsv z+r~;?<18{WT1okbnK3Ffk0b|xarD^BUUw=KbZbqzHUHY*L5C$%p$PIf0+~l@sXy-9 zYKSJ;PmZ8C@g&XSyf%b}74eAjlr?{N!cXpfEc%$XgA2cRp=0V4{!ww&CqvMdzMA`Z4y z_5QP^2ldxvx;EZ^IhZ757T4Sa#%J+>JvCLX(Fbv})ci*9b+@58uiAvco+b#sY`;_R zskn$OP)%H(9pL$r&^P#&O}!Uu6!wo`;Ya0)gniB+1EH?b+c)F;+xS78l%}^@;*V`Z zZ08Ax;iB_g>GLT@u|5-$KnyQvc~4p!(63e?LTY$dp19n((1?FYU7;qc1N};KTz^|v z#2Je#KK;q}8iw6ySzsk5`bGxhLgQkYo07AHJ&-Jr`7d(MKyp_wEhmQ9%_t^!AVhTd zFiN~EEh4Bj(fMR}@PV^sy017mil^J~{TNqH&LL%O+Sa4iJ$k=CU*Xu;5@<5G!RnZ| zwfcd@P2}3MyoWr8`MPXoS1Vk%b`+i;l!-TlK8=UbgKT``IBu*#gdyya^396gyU9y7 ziw%NfxEu>lDAOlPDk(hOj^aqjQTon4CP>4kSGDp9Q7nv*StOw-_!7Req3g!aGzO0`LpvDB(M_sgY%FzW z=A9WlrBOA9ppCqeFssxZ*0mo$9pv~KUAMN&M$ogbbA}ZDyOLflM2#QY| zQen>CiH^Db=-B=0t1>iUCg21#o3j=sr3TmIV*=JtW&f9bnv2xERmK4`TsJJq=*6QY zgD*rn3EmXMhed7*%StF57W4~WN%{b1hzJ4e2v;ld_Tn$BdA6=xCPTzMXlATV0!~p8 z_Df7qa5kWq@G}?81%+C}^G?Z72PuW1;=9kSqfwlp58fn0WhLv|#U2WwA*(V8{Q)$Z zPaaPqG%?4ZQAsRdpX^u|3Xy?cpC&(Mly6HCqSW$e65(TBx#vPh^cx{Lq^%633-*-#Qx*HQlMaKLuEkz}icEm92y)m&JAa1^@9t_J@uwj0g~j3k9&F z*x_@EE)P?`r0wl$KtM!$hR+%oWnds{qgI4USN))wVI1>Ce=)1oqOPgqs=~;p(-K6b zo8sE{-qTVci@{2=L~uSmHXK=5s}jqfNwdwS_{7f>#&8foB_4o0BkGZl_&$||s(m_) zh~Y(G9|Wwfm$m7zln!(0F_*9WIXjETH{B>aa|1BofMhQ;25-JlK3ZEoKgqX>$ctep zGZhxEw^~qS@=JRUs}gx4Dd~a}SZKNfr>0>e>fdox- z1NEF)J^IwzkqZ+wSp-It;X_)N%p|=mRvO2G;pm1HO`FV#p(T<< z(I8c-Az|5cQ3KN%1qoytOGFV`7G+^3jyQ#!v!KSEQ_NBJbeV~>&~!~`x*700!X;$) zerc;u^yk$&bs)WA=A+NypRXyx+r*2%5RYY24<2O57bR9LG)GY^CLmxIgaVVv*PaLs z%xf0Lg2}U_rNJB&lMeNLXoA6X02SyQX9N$Cb2+YmQ{^sPB+sIh0qcp*s5gf{Atfm3 z-7%dhA zi8cC2H>2tN6M|Sn{0VVIA`bG7@bj$9srspUuXCXiLZkO?F-GEjy~O>dYr52Fr1-Ozqg-K30FV7C47;z zDp+m#K2l_vRx8s&zjh-J@z)9DR5 zzNEr%;3^Y(bO~o!B(H$|Z4s3?bF^ko4OP0Ej@RQho2P=2rAx#Bv3rUapjjIPa5qn%IxYEAE- zr!zSiFW<$x$sIl>yI^I2v4SHMDxFAvNZ4kL`xv$xqXWcUge3Ikd!G99tr??XMD8Ga z*^_e=Vz1aEne8pfzGD@lK^uc;D3gK*iWYL>x-DU843a@wiRY@%C|X<+TTmfd8?C^+zQ6n{1F}0Z5&NrtHso@k+*n7p2(e(q zBrtUS6<7t|ixhnH{vJsq$R?Ga zb(VpuSPA+01pAOyci+?AEWn2WINH?#4og{|`HOd(HutsT4dWyBAfH?^AEy zw$GdZZ5MuSSAP2soEJPVckb}yk?>jICS?k&ZB4apt5CNmb5+MpoJ%F_5?Xb-L9}5* z!ACJ-cRB=hvPQ-KhJWfz+~e$5wO~$NYb-Z|D3_Ih9Z(-(cmp^m@ZjBzUG)*~REQ+~ z^UzMY{ewKuv)F&U?zB9_Ruo5VTbZ#VCL3{*a&YiNm#Bqegr@f z)B7(phbj;1ug>b&j7)arq*~|V@%Q=gD(&sJ@yN`<==18f6W^V*-JomFt?oP#p)gVi z&pGZ9r9S2aHW?FzZRvft_)O&}!RZ~MLH;p^9JWiEKk5Hku#o5=uYrF^m3OYTW_AB80O zx1)2RU3T`UL9VdM?9rv)3u%z0g)8Lsqw{%>bp@xTZ;G+kzRY^W4kjR z!WqdNiKIW4M|k)>(K55VNP&F6=A@Oao|))y2(f{84e9Zh;6wpD2?)y(LRk>_L-oPu z07*^_9^$zFf(jT8-XyjoIp8aB82E%!#tNM?b@F1(f5C~y3B@qxa*WbTOclR!?0r&s zajo{-I=19$Ubi=L={k&n+_KVgFkym|fCg<8+h64zg3)d03;g7sKqS`AU$} zTo^Ooc+s6bLratlikNMZg3rW$`wOOl2LI9yAJXG<(d9t_8Ir9d94RB&= zYY6>1L6_i>Qy0|SaQQ+bnOnsX_BY#L@lI&Wjzspy&DLFTg~(k=q~uJaxB^WXG; zRBLk41|4em1VubGQ_L#I;qs_8tdXs2wFbj`N6VFku(p(&R4-PZsvT=~#_0W_5NNzo z@#WxG3`gxEd&fzI=kjT%6pE?|K54zg5xS6Q`MjGZRPYz3k4pX$#V-)^LL2j$obx8j z<&GtIq8z9KH+`>&bgt`M;- z8wCUlh39bM z5;s_q@E&F{NEf?ZKUr`=Cu)trt1N5vI80-4hK-*Vw&Ce#-zA+vEXls)rM9GNM5O8j zibF~%8K)5vt^{8KYRacmgoA3HjRa+orSR8nfoq3sBZ~sxA#&3=$vYXM7_%XtLkPY*hNjA zdf}92tQ}a7jDBYIBQFe?uH`#$7~I6u^`)ejkZ=o%nPGer!7Mx5>afY^8YTH)9sGQ88jAJUGW^4w6#T4$nEjwJ0$8 zR<%PUk#v}f=EA&fC8?aPwa~F7Y4J!@opdcvjIsCs7bi@1H_G#k?*!A%vZjF`XbQIu z@Z>-C6s3h(m&x9g_jG)#nu!wI#Qm+_|2gsL!nfhm?GM_ybE#hYyLL_L;OZUx8+>m| z;m|nbg)^z!v<3`Jcs7kdeO@LrlZy?E1rjPFZV~6p0=?aN*X0}2rSqZJ-RQcZ^-a$4 zX%ew}$ths3m|r7SN^*&@)l^|?knMQ?cjX8qT~8b}#ltY`rh=*!)l=VmuW1)*Yflon zBR!40d*nqn*d7pCLd!z)QQz879|Z#eFX8q>w+$5n%F zS`s)JXz`WsT@qsrI&Z&Zk9dz}Mh@QDQO%+@xK`#%Civ_1RSG8Y>})mlw7uu>LuVKy zvVU1Kq|fKR1sOGL^1;(GoJ4?%=UlVj(euq*`eJn<+wTQeCRFaNKxXs=H?<#u0Sk)RY= z7v?M8*fcZ&th14l?rT0D-$pxt#hONE-Yc|>CFnN{Q!DWa`_bfN+gI~8Dc3FaOM&I~ z++pC@_0;R)jd6M466d&|^?e!BgZpy1nz||mVtaNv#jPjxa`i_+JvZMd-KcRj#)(R) zQJGmGV2HC=L!UH-(uGee!daj5TCX__O;;qe&v*7!a3#glxmGFVuA~6?LiB?Z?hyxA zlDEAiL;(MKZC@D^n@=67W(x$()UqAPfSa5Nb;36RwP+>s;R%Uf_k!(qdp%h9g_62ZVoZ;JolRtYh00bK$Kj|j0cyXwKe{;j(f1DU-l!ZN z2X}BiC5YmK4F4od$j9c&tT`u33yggrWxE7b`cBUHm4-NC5^aE;#aRd(W@OF*wUl1R z--WqKtlW_E+`;UWZpf7=XqqGzW}_*%8@-OvR3v#V@PdJ}#TDg_VnOsF~0h)E^Vdy0oh*=1H&+=#u zkD&`?ki&(gGJ&@8x8f}cZEIg()m5dHs&P^Je{Q+M`0d4xEp{SuB>ul2eD+{dL!b^_#E*)Ut%kAR@3;Iyq$W?3mxF|kiMrj z+H*Dxuf$G+oF*$TsS56p(7%y()O<(W8o6<|et4-oU%B!I~D#+a6DC3G%sT?2<&l_!l!rVI}yCusPCxksC*-UT)_*CQe|3V&(|V!LPZ_OLm9vz zb-_1!IFG0WPH4FFJ z^(^o|ne0+6PXMH}dZ?|k@C6S|S@DleEN6e3$0FB74+-ZFbMLKEh0q3~73y}fs}F$b z+4I9cIp-YptRa5+4i+u@&XAkll9!$G4$&=%}!S(MbW(z7W$DK(;A8Er61+wdEx zP0%XERSY^3a{88CnEpqtU3fwmFjD<$)^m?sx38zZ`{AEvl9QMr1q2}_j8g+++9dLM zITZR+FaKzM#Qb--mVZ<Yu%G!cQ8$e3EmbL4(GKRvueWdK4rr)#UtHA`S)XvG~@(^b<%#nL{Mb}X?G3HhK+(Zsz=W``{ z%s+Ej9&W`kCc%?P!wHWAi;>QUvsJcx2XanSQ?7S-Wn?k@Zi;ce2$t)4`Zf%W?+j`ccs+-S)HatdZ=DmIXkT(iTw&1V$ zv_-XQrK*UVmj`mWl1O@BcYE7Cw%mTze#SfR4BZ%{g3OSNV=r9P3*Jfv8J|GOe8IJA z;hP|bS8aH^)D^5|erbpgreB;|&FPI}-e#b{EoSrYUR|^Ij`n244(xQEi=DgfjOX9a z%@;}87Bp!6S%8*3ud@e7Y7^q8&ZXdM7&Xg1w9=%&qnLZtn>5#V(mN&I%By>Gc25=l zqN=;E4E}-t&|*zO6Y+3lmOWq^Q2jG)&pS|vCsr=&$%X)20Kt<+}u=rbs%6{W7TjGy@D0zD( zX-B%f9q;em^Ba5I{0Fn9N}Z(`mo&_Z(I-inuGtH?EZkO}J$T)}={rZgxA`slL4c@; z)6R!QU{=pF_8B`_sHUzB|82@Y>M#1W;qFSWzQ6pG&w));JD_!RNelx86t^|vaqax8 zCd^-;hS)p2=C>Fr&8??0Qkp0E|C+}4^J~%i4vHQhPTF9sv^tzhJ$CSKGRgEi5>h8l zZtMiKP;Y7_^;6G#bj@e68|V|b=VsO$MbEsV)sU@7P)XoK)NFrUn>!u;PhoMUN=JRmwb^oWn z^AM(PSRfv01x6JNH@xPw%&D!&_Mh0EHJ3`)o!zSY^`q|Njb}XC3%iGV8&h%@Kt*v6 zt$;sTkVLjh?lTF3P2UUNC{WYlU<+<#Wl;B`{(#m*1~^SvN=JQqDlDLnAR+gw&J{V`BRW_3Dz%q765L-vP| z;y62;Zj~lF)Gjvq{d?4d{&#|J1k-dnxLEr{AXD;`l-__Q?2pqc!9-?(G>9dQ3R9Rj z!~D`TNT8$h?8L1O zYnaRPfY;lkff<*pPJo4-o+!k=W9Qtn*Wq;+URbQ3^>(O}YD85go7~v563~?=t(821 zl@pg0@nB(w7{a37YJ_wr%&%VAn8fn)T-NXZ-r9~}%~o1Ho4+~O^{Kv}Cc7RTOciaQ zch@a;l86)-f^)c=XYh}_o;vIY(m>92Us>;AGg$_nOI(%-9tT23j8@sdq}?K_unV%9tZ?1!;)){n?tFK)dxBsmLH$vGU-qbX za|3OSAg>8kY&2-wl-?;doe!sNoACFH9p581f24Zuo3*3Ce@XfK1xj@{yMw11H-`xtRJ(WRS?RJo#bfuK~ zljPuslIc{5NJ5jmal<6Jg%HOzf@w(7!AI)#ENu5C-pY*x(@_O)!^Fj=o4OHp2mrng zy_d36hn+{OgMZZcQX>;)e^T;JNI>C9%4hXG`X#1vNE-+Fd#jXN_voXRkS_6@I#(0- zwS@cng>dU{*3O@~WJR`p1a-)%&{0)D$wpOI^7O#O@$3;WmUGU4RD)ek5G)~nNYe%w zXNp-ALKVpq!E0{$+(>zT*!xa!3JM6`{b}J~q_8Wl7UD0;Q5`cejTDKnQrR3vu89_J zgSh|UzhAcji##GY0*C75s#2_?l>sEU9wrFttdnSs+8|x{G;vpa3d?<5iPl?+qiAZk zxibO0l|Fa)$T^!-t*HxJoAt`Po{ho6K(9!J%1r$e3I-G@i)E!(WDJyL|sNdiB_zn&K>6T!b<+96UJh* zf?{jr3VpNy&-hzeJ;-M_Jv7AWd&w@AZSn=O`<~V6^$}v=Ybnfp#|060tWlZ>PH3UJ zOm`ukGYh)%$?otyN8Ptd;Zc|S5*kg0pfx2x8@%zea{m{{TXMIkbha16(mSAHa;aKA zZ=60+4J9!;E>J^|fFu)-ny({yZzwN5M?Q`cq=Tnc{$JYOKRS-fK8cl7)xRpk0vK)Mq(I6lX2D*!+01U?|Q!iN%_ag z1K9cG%H@_dDnR&e6;tIZKP((}u`xy4@cv)aM#M;RpWqXYO=o<0<=>&>;`P zj=&nu_RtK?9FBH~MNavn}udSQIc7jk8zJy$bXA$@+a4@0FY7EVLxzh(%xx*0;!>uAPz_ zrQSwvM|)VM(KWRFn*etZ^Q}cmYu|n<=KJRDa2%ypqYgQ1wChM6MqVzzn|#-3me<0b zpQK?&0*B#-*R*->S`6#%yEgP0aRn>(+9a*H=mOmWk_apjP@?Cof=L`57!rj&y-5~^XH;%S1ervKM8n@9WFs>t;rZCs-6|Qy>RTRT$g0MD994&IBaiaah z#+qY$TW@~KPyau^e^=Z27aJ4QKIUJyB;lM;r{f?;#6e~ zg^Emo*!emj&XFU~nTz;e0-4bBE;`4f&1q}k&Q*pSMjLZ;KW%xL-~Yms?1w|)r@}{H z_{s}k^(%yVx#>N`bhr@t)8i

    {Yu}Mef~8~(!y7H)@U32HpIf~pVch_=+?^G=Vmx~5Qa$qL@7O*>j2{4tuYdMV89#$|hIp*n zLyP4n>?e46e!C*uy?m}hn%LO9>WL?ii~;m4aiD)-whU>feXu50jjd5W+Nlf+1ThA zCa!(EdB>d^GE1{zsP($#=oG@WnM1WC`rgR7_DvyilHGfgzkj54Jkm4>S1r=CpXnSl z!-}9uxckwWCegyXbm-e}J&%C$?xexW|Ky~7@5Jq>#mL#3k1)yD^e(wZXc~BMlkU@P z&ySLS(Ie{HDvSn9WhF9#Bkx9&_GJ0{(IN$eWv96R&a{EcJBNh`nEP{YZAG;eE|(y`*$Rq)sQiI%DqhYQWgcy&o70WFKrgP zdT+Idh8y2pdiJv(nunQ!aYUTXVHn$I>CRx8y1oyE>eVOVJTiFpg^~wq`qS??bpNzE2*y6FVm;1-1b%yJtKLM-7L% zM+FD&I2Y(qMDK_kvgiw^b&3+ey`eR0KeX!_X6S1`GoV+I0G6T?{O(NAaR(tA(m+6T zhX5?YWrF*+wA78m=PS;RqZj`2DBc)J9_o)=Y+P8oD!LXkglq^FQFzfKQGLqM`nS%# z3ZU)qrPr5kj(qFHrO061HHY#i7O`*azhg9D?HJ-_#5Z7JBHi}^x8Y82qPdCuCoI*` zPnf<)71m5&)POrW^j7^czOVyeEnxLQ{SU3%e>zcr3$4a1+_jN+nvK-9?nmfF9r4-G zHF)fQTuk;?KXnHlL!%IO-);)JeVa|QMti_tZa?n6_W!2Q*iUsFeh~N>6ow5oq@G`j zJn3k&N5sBdGqrcVosL*Rx91DrvBqLKWcVL-;Uc>5t$(@5=B+OnaeXWta&#E^y3DCL z9$N;V$llzmSg|ud+dn~i*xddAi=gQL87jwE9as_l?UrT%1 zhzj<;5}yx)oMz{+yF)1EvJ>qR_ti~3MB|FE>nyNyr|S3r+YjaRoNInWPI0AfqV~{i z$b)*!(p}pSq2h+pR%T;p%~92lVPpNY6ZCFakhxPLE@Rl$sN_z23QTbRFE;fQk?$EMPmwpUP464+X-Y^(I z3Ez6XCx+IK;M7+CkBpfhDx;C-<(4 z-fJqBjcHNpmc-lZ%ACZ+;AI~#Mi=?fZ%TBd2*dLONE}70wN3YWNsQj+e^6EV}$hT1+lwt9-JmO(nbu38MZ579Kr$P!9aKUv#1qtRpBAr3G zj7)rVH_sackV;HK047_2_9Haa1#N05D-I9HqBG;65=K;=>3gq5-T(jQasAiFF={`3 zKaAD~4~*dLM>KnDGkEuffA;4a@2>MTbFK$*cF@vQHe4d`2Tz8-=cL!eeG?JpJTlnl<;&h=30Yvr<0a?%6N|(w5BWf zic)OETw*gL|9%A4R9hBahTQKyc~o1WB7Gdz7* zPw9Qc^L111xJ*1{%67r@D$jRX8eMDgMpB`7Q)C zXT%yjW-jHJO48VeF^vNyt5Mi#lFcU%x6y+S9 zJ;fY|xrsVb?WXk+>l#xzs3B*>B1vkBOH&h$;x^rI&QRRLS}8^>$6z{?K7Q_)rpS_7 z-0doyI4BA#bZ97{M{G>4m2lL^Ew^;)tI#tirxRZ%(Mv_ub@~`zaCuG&rVHgL)r7_R zO-m=1-e)L`_^S?wrU?bz#{<&=2Orw|WcEXjW5(yRV0`i15UB_DZYM6aDacm*fT>Z3 z=5#@aP*InZAPT&wDT95JxzaqVBYKA6;5prMtW`7V)K!^uNQ`s-peat9ra}f`4v0P# z=0jI(I6{@a&i1n5BscV#v!NTCbz_plX~hD5{ejQ>VR+K0JU%>6IWLZ*k7HhskQ&CC zw#d|?PeV|?6q?u9G=i5j1^X$Dc;^7CH`W?rC9oQ0nbVlAmFntj+i+0uu`SV-URytE zFXm0+O9C4+IM?=|W*I$2Bc`^3$GOxI2NZ1kIYl~kLTCVXDOKd3qn}K*pgR;xe-_hW_{ep?Ks@jFBGrAv42MVPn zZOLJSW}|m+$VbppZhH3_juW2;Wj(Yz1g)wx!$fShEDB{eZ&na(rH?nOvJhSz~(?pE1 z-1_BCWeE6YgWG_ zEVU3bkv!4;utK4$is*@9rf^jUuA5?o=bX71`_2QdZDp+1;q3TQN^D!e;jWb>e1cnx!F-zz}VA&esE(v^6R~1Qjn0=iA9}AT?yoAAAPd}gVDgoYZMI_W< zc0%^CUEhh^nlU!TBVUuDIjRjEp*fR2;0nkeYFuJygd&8`>xNYG30=XP1&7OnngzxN z#c>x5PZ>2}>jK9TPFc!{w?#LZXa1rMVi{&LF6um1t*W^?yuUz^Y>q0vd+WFVyTbFB z4NBwClIUYm8d4=Jqf$pnx*BI3#$95u;EDxr)|10wuSiZa^)ai7Bea*RF=M4y9ha-7!mwSW8bRyW!XzfZBrm`fO*=dcbbX#>rO zlng6(G#r7cEEqT!Zrfnp&BZ(tgz>jLq;Y%*wnCCImy4)5waS{)*f{NoKqNH*XEZ1! zbVzXYJ}h&(fRT)2b8Mm zi@l(TV~xWln)u^hg(*DxP|P%j7JfIze_FW@3m^1L6r6 zsk(?IXM!U|af*vkQkwZ@mngQ3qFy^FPq%B8AU~Jd(ynrzq{Brb{a$T-cB<} zK5f+IJG)r1Wf#vHSvLE{7mhQh^nh!rD?7~N19a3r!tbE z^X=YcR=V+noqclKJRyA8<~?qCI$q{I^M>g>+D)d5Sl5MpuU*vcrMZtg(|fW;sXuvd zH=i@&!qX2A7=nvFS=?j}oWqA0$+ih+;*0gh4a2c6ioDJKtNW&0DFv?`I4!+bdi7DB z8!+-Gjnoy7vkr4uPPi#O{|J9ASe!^*T|H_YLNfE4`OJA`Y@!fAaP$NqDE3>6O=5izM-vtKok0tgM&A<3=HTqzY5g<<#a` zW;*!bJB71C+ba%5E6ZWckY|3_k>bx&GMeZk%cPH_#tYq76N~+DKw{z$=e;^|a`*MB%~8W=eMPagn9RO{+;h;T>vSO9WcFwXro?|NYkHL@Kc~lzOv~ zc+YKa{WJ4~)MszZ&+qhRw%?dPy+e=_;pN7-NIkRl*Lur|)B|z{ORbW^!(#K+R6?}+ z|MZuF(o*8M*?w{Gj4>{q?SC#8>)8__*NR3b#0-0m#KFdd^1hG!^bO@J3&*6iHdES>{IDY9fg~WnO*2$ zc%JkCMLM#$2lSyY8het>Y}7x;scmplSH08HRCchz8gR&6-com$DY3(h)MBaq<_p4V z*1_{IcX^vyRMs|7vNF=zof^NGZ$3!G7M8*^@6FhYAn9y95xi7t2`9x_vws&4mZ(zL zM$mY{Q<$X}Xojj8S`KPpuZ`7_B(0g)KG-7>ye zOm;EBMQVlgkmg2WTbExLA6m$Awy<@F!O?+E8UBNm1*!5<_yAz8FUalH#0!<}{{YW3 zbHnI7AzPG={`*~`<)+@3^I~6evQcRLy1cL6$Lkr*Ea=6jq3NjXYW&mz2oVH1Y4la@HD1m#n^+iP$JJ6owy`u_UNQgAZ#@h z7=ld8eXYciV1cIIEd7%ZneeK2PRJ(xEfZUNFl!@U*?QpxF|w|9i9Pg{gtEQ+eI$zq zt3gX_eI<|sDKd1TvS&Tb1vh^5 zAPjJ7T)>5Uk*I}aGm+w_=;n=hDJ_HXioM-d9U9H%LSol6KSQ-b8G6&3uunRi@aZij1XH58%&##Gkbef5LLxla&kQ^{KWVDou zF1Q(YvR+^`5i?2cm5PqZpvr}t6_!dkEjo5_#ZoOycdM?%*#q^0J{1Vx=JjSGXRwL7 zLXjs!JWSn~^NIgiPpJ+}d6QObJH;p5O##1*ym!0>iRekvt9ByP$ex#JxI)-wdfhM< zDDcbpw~8?^s%FA_W5gc@QietVbO>{ihA%aH^( zy9*Dutsv2&B-f&~7_(1=9SezL38`R`x=jpP2Hqjsi3z;_U$2vqV}_-e?WrQXz~XRmux3I(T6NKCuvVEMy#Ib2*cbMS6S@QcvSLZS%}TouFh$ zB9`eWh(N;tQoPMlceylcmN1;k6nbPT*MZkv$7BZ>Hz_`MrB zFH21h2hs@DF_O}jF*Y|TA|yeUrK4Ch56@5d;l>zsmV=bm#|Fpbro;G|U52X6dUfi0 zEqB|b=Z78j)G0?Aj#8qOUvZx!M9xt2Qg0Y0Vkx&%o^q0&9t6y!eD|{1E`@Gv6LU?$ zwBvA0n1+$?40hw%$*I>0n#HvY$AZXr4>&|jTk0mA^duR5vmB$z-DxE`nfC334$mBK zLA(t>CwNT40vdYqEiYzv$rUBJA?GCY#yHkWyl0TqbTfY1Rj_99i_+(vkS)a&N(OIm zk}+dY;pUk4*kL z=PaMD9z~2G8;)>5|dUt05NUC-I~l zp5V6*=RZ2I4W)w)yB{^S;p!u0Tz$Ou38#shefE_LO_F?T`&s<>|LrE*|HYPk>7Q+h z13uF`9D6)RZubVi|LWFtaO{8b0k`<}BUCID8wMmB)saJmdi>1c(UEf*1X_Kw_i0mNVF(sZg z5bF+U04IROZZSwsTQEgE-wb6Y)(i!dLP;9)EEtTpP%?kHUSBXw=pT;7fWJirdzO>q zxI>-jWVU6CYPDuh%M@$oeQl`X;;a=XSTU4<%&|TKU?UIHMVA4I%`qiAx9NAkUC;={ zf`G8a=~h!(Xj&RuhN3Hg58%Al1p>m53XDt~nqUkXSgCa-RLF=b7iJW{C!j)~)pvMi z?jjS)P`%7&ZK=8w3(VKtw9v!D8cDKlfOu(L0t#@_I=3`n05mRQS?~Jx_kA8yOvdBu zaof1LY9tThH~nplQbK=`1qsde)jH1r=WmvTT(lJca*nSlrkAV7hV*k;{)UJC7uU}@ zledu|A^^M+i^rU#)Y6`AX;EkJA*P78O$2?wx{xy-#K~~~`LpP)dy3gfI4P3Q(AI(+ z)oMoDP)we5XQ<+&NK8CB{tC9~@;F(MS+w49?2|z0qW{-Zy1Hxr#Pl*|~ZvYWpx~_UVnUd%F z+@PUga=lSQ;ep6X>J(x?m1ua9A(ll628@K?vZr{mQxBA2LLmVF4*X5veqQPQ&;8%b zLFueb6RV|uT4Se-yq0VdJEsMbCkGgU9L&46CycI$=#8wS!T+^gKzqEw@Bn9pha;|o0E339}aMS!xS zDwrk9D81163qH|^86kH81q@N_SRlX+EESG?40s&M392w~lnAA7U`VbqOLv?;hc=eN zp_rw$fyg?QaLR=%tYC^55S>|$E6f}Wu&E4Y z73C3)&xuj@MTRouye(eCz0o}(uo{$te!~iwN@1Qu&7ujW&UHqXP`5N-3e{;B4&WU8 zt$2MEZn%$oQs_JmmtO|tgL^1`+jH*!+u;xrh`2cd{rgOYOF0^(%n{~~yXdpE$m22L ze|ey!Tm4L_ZVKqqga<&?@SKaDAFNj}t{hMd3sn-l7TSOy1BFWD5!orGC|Q6s0Z!R+ zO+y#HM&VEaC(>*Bj>Pr1F zRh&GuzAAJof?$?F0x>tHdGo@5u++RNX)q>{a!`ug%QD=N!l!h$AX9@TQ4ajjV0!j4%ZV5-0MZ8ZLUZ?EJ`^mC%Z^)cwA@l~=`TGstYRTM&ggPw z%0=6k2M9dMJWC8z@WCFAJOTKCDbEs=r>klxQbje)1O=`Yx!I^6p3@~)0%X9usqRVu zV-3Kgjhjc$#Hs4Mlgu(1uppiZxq-C&-IVDlp6+FBpD0;ymhvm*w) zBobaYL=64Q8R+etxolYPRArL~P$1n8h*^>tnVb$ei8CTlXHX%9L34^*i8eDm<$tO6 zF{|ICfvm&_Sx`W3#7V?#s2ijq7oK8VICIojt!G%KA6nmM1Wp9JVuS!|=45%ufv1k) zf;yVCrVEe}Ku9pXh8y$CJd)o&Bmxq8{IsJ$1QZ|A6(d-0kUNlVLcjJaKcAH9GU6V4 z3P~ezZ=m#cAVe^o<&3haY%|7_>(hoH@{5M&>z)Esu+_3VR!62@nY4D`tm>#Eg8|w08U9!6*AxftV@8@Pt@+Iynlg>sKU5^%LXQL zlFJ10{Q!1*nChzx>sMg8Q1)5;h7I4q;@ug5th1D{*s`!Xti!rxI8h1`F^m>Lp&FtW zB+`A&+8W`*FM29b0t@C`;Z8}*>55FphypxyB-9|VH$T^F^(XD$+n+kcy2)g3DeELZ z*0sazdD^%zF*9m!G4j*Ai&csTsNVe&xlFHO1)X+*Xxgh3K-TJ@IrNG+#YT-|b~suU z`Lpel1A~og-l7?fhNEN#2!WT`Bl6EYc3h_BjnP_(%le>EnsJN!+(Dj{{YL2>eyS6Q zBDnNR;L@FgHGi9*>0C9dSyl{AKK`4|>_)>7*8*}yesQ4P=D=O6TKbYx8|Y2P0<*dw zKA<)2<{#z9_|QdB{Tt)Px%XjMl7BPdou58G%l}u?H6K4;d$o7^h<%JS`iY(IH%u(y zq_b%!vqrK^^PeWA3f*OAS>wpB$(9vyf$h(RreM% zYgdq&aGz!?+eGKXUeUb*FK@p0hfmqL!7k_6bg}>8OZ$x_GMX>;Prk-KHd#FQ zyB|WBhY0ov-_x|^abr(duGS8w!*_xS?^85mbE8GZ_7%hFpeIC>v>TUaFsNM)JI@>@XGtG-@-+79z8u>cS8`9=K ziFuwCc4(b8Yn3rMCi-0LAglXLmsXI*jl7lgTxXulTVix)=gJn1fYxUpEh)_7Av$0$IV~&ri|G$a!Kra&TDDuFzvZ`U)=$oe2J+ z(-bIAv0?i&{a>oM#_{O*t7qgB6H)9$EWxn z{aE+$t~nZ?#IE&^JHbNYM38uaQZvpv*dDzGq=BYD;R8ygrMSVa)XBWaM7=kpF0cg& z`&wdS_^}|$qy(%Dey6kD_Vnu!TNM~b!O+b&gw|!&-V)93#`q=RT!2FtMW&ez0D?Sa zh*kykFb>Q^C`ZBz52GiAPJl{vKy~$}7|@ypyfmU`_8XuV*Xq%Se9c~$boIdtW|Jxr z4l^MX@*-_+O{qNPPCW6XtDOjtCC^U?0Ko4X2L48O@kQ~J6BD1k>0s`}i)Nx#!Qh9Z zO`5V>6_mQDY!9bJ=DDq-3BK>SM7Tid7bGCfjXI!XOvy>J2Bc11*orC?4HZc?dDC=; z15{SpkomM3gX=^!bT!(?FtYww0>e!$oOoysi}LG-K0+RK-VWULa2N@IC_NQ$;LfO+ zlu`HmAkA<-Bc%|q(L`Z=>LpWsqplTO51y!^W4UWXtzYwb}<881p;`2!v&Pv z%w2q1jC}vvZ{>u3q}n?^ghe!j9M}mcYoPKh>p4XMvn$)x9%wJgouU*$hNB!fZWcBG z$)79%e_yOWe-A45ZCAa0NWWz|(8Aw>1l^EQfpo#~mCdbjj9V7?JVn|$lZaUpVqx!b z2NIss!HLLu2J!$o9TzkcG7|!^2lb}KYD)>%`PI%}AQjt*1_nvGl*+o*!h`OnPz8`k z?NlO0Gu$PBjG2vC9MJkgH$DN90JJjomBg@@aheIgiQ~mrvu_J%hfq?Bpk30pz4tu) z2?7NfW#M8%0uxt9@GGX&qD1#j1Z0B}2X+i^4 zZV%p#C&NwpGIXcqI>|OFAWleLCQ0~Z+}8>L;vVI`iXyfMYLoru^sG0%w+yM(ElqrKCIU-K8!~E9hSMt^)Q%&B^fi1IFmj}R=8@8a2QH6G$84`C zZC|TKNU0)&Lg3;sXDJ?AFOkrr(K{5bw6Oppq3C?#yC3difB(R5-+?)QdkyA%`D_vE z==_sQcVNz?+c4*s?!cV);iCX^-j{i2<<{@#Kiac~3qBAbr4hP`C+S;e>q&r&xJm2D zO=pYK)e{r{^zYVS&NXnc%lX=YKmH4>u>Ty+`FBU}fTjD6-Udt00xbQfFW&}B@7(1B zu=J;I^7T82>(|GB8gc#dZN&AT{zb&~>$eeCrxo?*2VaJ z?Z4n$06adp9?zMQgM9tW(}`<(RBM5f=!6O+Afc=fbivJqoyJnd*G0)R*X)(}#&U;{yfW>jfE7^zVzfLPf9 zhx>M*#*KNWNP5+{!#BY9SNzpUr*FWd6I7W*(oz#%AAkLeA5ti_RDcdXCtyi9rjQ8K z!6u~pp(hpy8uT@?)MJ{!RCbz02Y5-JS<)cmnLx0nO$FkEJe3{5C=eo%mO_DOG}Kvw z%R%80g7fr%lZz!|KHA~ZptK5%Mo52M#N=4Def|3V4J+(7p!@(rq>16N6Z0gF&^26P zbk0m+%XHPH6Ov|2biJifal{3eM+0G|&EO&ohZt>PA!#(=6*d2eW*RGCqp*?gP|YNq zRR)F&pNTk1Q(>H`RyYp8*iucKC|T11T+*WwiDtUL@t@;b&w9QkwGFjL+9-JG5}uAZ z#gMu}k`|FP>8u*@l71hNRsG{)gj{r5ipWEub2<`@5)<#`3`fi}@=&()l%u=CEol@G zR3Gp{PKro$0+Xx)owDAPwo1E7mQ1vOXo?qzNBOdu58DPGAv1DFm)5$JRO9UZ&>LeZ z+6}7;eo1#|yN>!_L8=TurZQ~=rk2)FVQl~g31Q6-y8(XGq)Opsz7**Hjt z<~c7Ex5j@MPyaPlr=HnjdZ1c09tnG=-Q;N_*x@t|FlLya5l;ggG={$+~$rMn<|B0Z*-q5$`o|lX+H~IWrACSHB8CGA*0QOXqhd zV-DRGgA1@Y-Nm}RVD^SE(=ju`3jydiw6d)JwLHm!B7ZM0Mg!P$Y5$hz8#cZo;f-AdriBh2k8Nl-4p;{%)kvX zi`Td| z3&s{hI00>BD*)e*a53`EDi;PLg9BB4CC!Y}PBpNR=;}ocPlEYT)6Nxnv+FEGIgWD5teP5=a=6Srzyg z;+5Iypk#=;1`KdTfgqcvj5uNqRgvHRElUH2c)B;jAmXmRObG?bC{^2$XfLIp->#=C0qPf#U`a zFegA;fdjkf$g=m9RfR9JXWdn^aXIrv7TC2_!ggeO%ZrRVuW31LE;4b+$(HDG0b&c! ztV?~O6b^W^mB3qY71#6E`RhvhD9N-8mt+z$ozQ(1I<~+)b9UM_l@tucGIJYkxO?J| z6XiawPzR-}124GJ5Ql)Q5GU`NY#s5c35mG<6EEFk)jP6*!e>v?Bi&%nS*HL|*G{p( zc}4^473*F;0f4d3F^6KV6geGC)toM@b&-n0NMjV8C=)_l1)@XV@WPjb8n{)aS!|sJ z{;rHa4J(L=pl&_^m;`~(7LQK)>-r2AX*Vx0u77>fQf)2e zcd)=h?4V1xp?bMkUL|;?PEDFS&8A#; zf%z>0lXl9nq?9xa1HfMgMa*2_LD!6>f=eH~CcKaI2Vt&;q{- zp@Pjnywc#oO9sWTW5?kER>n4X8EfURi>y-&D(#*pb4u>cnp2_zFGDcv?) zUk!ljHCF%^B=Y)ieA`k1bt>_TP7d<`E7Bl!fRCm_;Yg|Ri^A8X;<^C_I@gXGKwacl zbT{3`cfh%px<;qOnjCr25CO$SQclDN1hhJq)Q50+sx=K+Lb6tgnjR>*bK5rbY6Z~O zAE&;Si*Z)v6SAr*LC*j@6RN<|$-8c+=?oYiuMLQ#XAVSZ5-=EQ_ofmI=H9e26 zXVzMwPXby8jdf@M2UM(@F52106y-$_2Vr7;DUA&v>gn-GIVXre9pT-ITS9hZ_kVf! zBLbhO*tvs5Pl~oV$1IRQ6@{lYAP6}2c$$0K(X%33A-3f}{V;XZS-Jxb1x_ln`}WF@Sf z?L8=F!l7HttA0sbx^NKe&OIwDv+r>B2*|{xdEpf$Yw^;G*n4YGq$*v7v@Y*-YZ{yJpU`ZlV^<^hPTt$;g#Mr{_(y>-^)di${xAg zJ1*}_4>!}FVQ;0iN@0=>(_Uh1>n$B%UfbD0l2_5oR{y2Me-n|q7*FhA^@s9IZzB%Dx-YV$a>jQ)7>U2BLC{{p-O&>@!(mpVjww+ z_MAFcGk)j|ymocqN`8i>J&X_6W z^DU$BmMjY#Hjqq(D@Y8!CeDhNuvm%j%2S=v`;*z9FHJt@&>G;4c&$Qr^q%ux)goV9n$K&_-N(xv%kP{!XIVeT-iC&GKeY zJ|Fn%p6?J~GuL*N&rtV3>4%JM#wPk3l%@V)p8tTh0LYGCTUZ`0>HQLDAaZJ1&Z6vd<)T3UL3n=9fh21%ReI#lDxFe=v+)vb7gfNCSU+ zG;>)jiie)vns9$}JoP|SSuOccBXb6r%lh1LTn!ihg~ckzB06ZHMVUQHw=X7Y-=IxfaC`fk zNO}R1_4XZfJb*zbs=Os0`V}+SHT2xC6Oqj;>P0 z^HLjSYuqfz=PK3lI?eonxnER<25a?JWlVe%6T1o;IOgK71X~9#c*TBO&Otd#hT$HGV9? zcMUZs#zY>}%YLz?LLj$g!0Q!ElReCbh361-tm1GFGdLw4Y9$Jwse<(qhXFVt(X^fmrYQbcSL;IOz4s_sFJ4Hw7ZOzOtXJ@8rUOt zj0G)*_(yl%5UiDWL$rF75>V$Ak#A*9tCjFu>1^pup(_Oj6Q5e_hyRDk(E(8Q0$nS# z8Lfe?m3vr>&7ncGfyNWZ4)S|mB+Jyih(S!o&!|66X8O?+0M|_BwyHA_!#`J7a_7) z?xrxYh0nnhrc`B23=5o%(MqI()=<{mA@{C|s`w?$AsG zCn`J>2C{`5fkOx+zn{Q%wWtqlnsX01jOGv`DjDoYab7(*j?|I%OK;H?F#;*sA>0f;Fr#5czW35ST|_Kw?J^6=@PLto`N_sx;P&n- zgC7qvQje>THG!FH66H!E@Xf95B6}_(z;2JEJ-3wJo>qa1?-JMC=em{5a|zPW2O%}( zLAbkIcqt4KhkP_`fQYnqsPtXYvTHGSFc=nY94Q4YvVCGUB6$WFg51)OH`YKB#Vav2 z@SNe5h4gj}-O3PLnSS!Y4|kb+0J#E@Olm1x9Y}Sn4)A2)tYgf^6Hq~E3Iut%y^xJNEh1sCu%}2xFcEYJNHjl3!DcUj2wbW+X&VTB z8zKZIjsT$R1T{%@vAK+V4@liB4Qs!lLkA__cQw>_rukmnNNbYCC%ryebxS8{bwU=y z4!+r?@h~I+rh$CHk3@zX+L1LuJ>#7%7QZH%E za@{f(!6`8nyy0Stg;(}hF1hBEbaM#P;!+abmCFSOc+X_+v|G-hXUh^>4(r%-F(|xk zrw!##KCK;on1a_Zy+A<2+Y@MGl#;n2n)@rIb@CbFEE;-n4Ip!6w8CU-TnS_^r?m?8V5z4)6qDDx7YhgSU5&AwE||0vRyf z2Lghi84(&{q3Gq?53nr|DZy)(x);Ur(Z!MD?n3tQ;@US|nKFL#_aDA<#lQGtEeQMZ zJ0f)YXCKjPpCA87s(#)iy$RE6Q`sZ-HJ>uf@_9Soy=Vt5znf953e6axgL2(2p^Q?iPTpB=Lzq+JIpk1LWlC4#K;4 z?az!8K=O+jm9n3xGIJF07{0i=05)ZOBAC@g)~v`QN1&1DjRCNr0jJ@>R1 zkK_?5z8#P(6KPrwTfS;rt`09I$~<2^jxw;Wr!vK z=>|tk!)iBY9AlO%CAC${kgE)K!Ht=dN4P?%+r`p@2HnyL${HaDFmQe?v=g^}=P|`Z zB+}2!d%3XXz1*hNgl4i?f|6{rmwT(4Vgu2}=HK*Qxx>skE1nFzr^MjLta&WJG@yXu z>~&M&?EFl+5>yY{=}KXRi&>mRb5Za))SU1ZU31Pkzhb(jY5Ax2Q2ond>ae9x?9U|+Yxz~;+j@46nV4nX2K25bV*$;< z^Yo^|E^38m1m=^p8NmN!>=M%jFc4tdw1dQrdL;nnNo#seo^{mX3Q|;*2YIb%Lo?za ziy(d%rGC68VGcT`OzO*q>xjn2NjX%<=wkCF2>=AphCD3lSIu?BMLYc}A@|xj$vDFo&gZ$-Fcxb@JW7|oZMJHU1t4u5es zRjcQ{EneSbrl*R*T1;+86MHq`P=1>|@wY_Vgu zn-T8`aD!Kkk)~Tk4SVW}bI|MtI6l%4@&c>cEqnG&dGN|T0NyNZ&Ya84M!Y;IpbDB> zg#D5tNOyM7bc2lU;1I}(fPfh)=V4@g2JWB+L=#RlG=~K`l|XKFsT=`50qBJKy05w> zKxvUftq%!&1CF+#S2aF2=t%4ZZgVfKW6S%@k5a#2sYzFkH({1TRV=oNVi!?R!_?n! zG$r>CvkFVB0nEPT1}L$j`0G7OrG;3IF%2LhpK=4hQa+1N=ylcry99KL$w;pVvty2`U%Hf0Jtb6*U+&W2lh}wg2kLr#H>y&6}EN>i7N%nI$=!* z0XVhmU0_1^WDS)ycI$S$+(Z(ZDpN4r$YH?#9f$c)DU(V;V@e7fNLTUoWpjkT7XpIF zT@(QG>sl}=eA42Izsk~+USnhLURP=GnXq(5tawO#nqttmDQg;5xH^zuHH$;_^`Mo` zqsD=mZX}jSDJ#mpItYe7ZyBYlt355UFp<9bR}JO)K+9?JUOKx!cgXN^IuDsJtXt9ws5m5?5DUG7gyk6Ws*22Ol~CF=TY zg)1Xk75N2_1A=2@*>r$7LdIy+3Wb;J4f^*ZaxpJlAWJejG#cs_T^X3c*N_&cGQ7V$B^v0Kw zrYNe)in6m4iBRN0q|_mLSRvu%EfBDEvA_ty5+D`(wo#w(;C7UxaG;jI{CnsQD!M2O z*vG5n+$*eG&B3y=h~UbyP-n$e1S&kJu1L8Rq&%e6G(a#$<*2mKy@zN*c*rY|q$$dRl8We6-CK)ocr#tgCsT+ACQ;tdZ?E4IMTz+XK-Ayp7Hyj(@x z{~Z;|Vk&$Qeh93C3?dRRoE8&XK`JJyBao^-2Mjk9xk_M|}B1&KBYD3YbmiIncdab#eh zW4STRp3SJLEW=`R=xj_KJL-+#5a`^qlQHW0qIW|GD?(7Wee_?gP~P3>j)? zGl}E@dXoa;o&Cek?>nOSYNdEJ50&l`=iiin0e@%a1b@{yhLqZub{Fb@E5kqRt#JR< z$`i)yuaxqKzr+gfxdPd?+4;&uqvu(kxgyVW&L66tcVzK7f`e(MgW2~DXEeF@91vG| zxIJ+GRdeWU?|^sdsyzSj;A{U;z7rfYPCtA?bT8(209+07f7pA{tG?uwgOM^%zqit6 z+gk2$utof7eoLuq=Ko>teSq7@&O5>GR*QkXibJ&8+z!K)8;?(L3&W8`h^6HzzFoYB z2e1i3e@Mx5yg6-5VK6eRjN=)3>{Wc;xL@Nz1VS*PWO}08n~fn3JkjPRiAJWKxjJ8k zpg@OHBi~4}vYE~0&XO!==Pur|MwT6IE_=COQ#1BB<*HO&)m0tFCP4^jyngR{f4<-M z`+lGOrC+t$AH zHnP(mBd;YsbQHw4JK~z!GsGH;w75s`z7J0)uT&l{} z{$#FHJZ~R6H~ngo{K%*MVtu4Ba^$g>`sS>iVq0ZQ?(yQU4AHN8YtwwLulmCg|JBY@ zuVq;w{;W9nx$!SPyVHO5GxnRS(cM(vg4K`7u~WzO)BMTm{7CbVKmIKr1u2)Evz61i zDR4)Q#S4GPwau6TVz-Z>Su+*k{d(@ujK0H=uBU56x{r(twM<=7H-=@4%M@ zYK+JLetxW4!^aNyIU5AwhaY=@!nhO^(O3Kk#O}N%08#hhi#BLc6XNk>fTzdXBOv|) z+KyoGh+F*5H!ce@Rd2K@>Au=7S2@071JnK``uRuRbAYi?Fm31J3&<~?x1Mar=Z62( z{80R5=aBcw(xvnd9Ba0lTu%SH3zMe+>ipn&M}3H2*!_B9@>n9$5(vrO>)i-_y;0{c ziR09|CGNy;)DLy{ivm#Mdg@a8dL8K4ei40kRsmzacIB;N@%W2dBaGQU9U@mBHxT7G z0~h_+>FS|ZfehJyT0c}jzI5y~E-^s{uhkRn^60-T{-^Zv$gl8&ZYqk7X9PNXP%FsZEIEnaNS#C5x{*~o*4MLXn*ka zf3pdE$vIMGOZZuv%I_Wa);7|^? zboH8`C%oyVpMq!6765<8!537*nUx2+%R;>n_lff-oqfKSj$#ozz1KUFqSTneD1Bz{ zq2`!)JU(4dy+~`*%_4Geoe9y}8lcMM6k+Z{mfUl zq5e=rv)%$cRXyV$Cns!dU1&{1-zN%2t_>_TE#3D+-GHbCIs=q7tE%{Sr+KYOmDCGM zX>`QqznZ%V@f1V_ntCEL&G){rJ2wEenA~5KFQpIFYZbotx;Gi_*huStg!IM+L4dWF z$jwf?oo`NoI1bOf|0DIa=F+Df#X$0|y$N-Z24=_cwv~I}7mtHgiw-+o{eeAzK@f=D zTq(AnI4!dk@%R}>9q(~!bs{m$<2|P(Mx(toSMDO-^E8G8+7>>qP8IX*k2N>po>UG4 zc%l{~&cpdc&;j@+W8UH55+gV#SqpjL<^QA1?+S+R0hD zHiUUMDfUxP!2MR7HQR&)xM6)vRMeVnrmqTR$NUqZ$P18LQpw3W$H9EBrP`pY_`$tD zKafG_YP$ZUeLI}0UnHwetw@;vhA=Xi6e(+3?W10VTpKbmxI~1OI*X>C^~8RWY6Dro z5(%RgNwAC^hX-3d8s3t(l$jz--NAfoi8=P{CFu5q`O#Ol^!e5a@1$c~t;hYP zH0t6V9-W2nV_nSye%9y)s~E^~7m&6NoF4cyDsS3|A+!nCc9?;w6(ME;aNSU>6$gS1 zykmtGmJqNpRPK4$J_0UumopEX_-eh4)U|eU9w#PD5pW)*74tv)Li{R!G2VNDq;@;S zSbyS-@AW@p*AeuYmBGDJ|0=UTv~cA1Bo-R1IY*{;q`ewN+5lV!9LKJ5#}GK3GO)6x ziu4+14)^O|cj=Gtf-#0O@k-Za5gP$3igMvLsW$DmP72urhRQ`kd-Bw5slb4Gm-0(W zF|Ds6D%Gj_fO@RnEmbV}Ar4wYmcYM?S$)O2l9P}t?c2g1ePO17tU@ED=fZ>zQu5&> zc6NV9&%qR%k;PbMpvUPu0u0JAQ*2Rq8F79@Er{cI&&MMMG$Y`M7YyLr0IW?Q+v+yZ z5|#CZd;%xJrM`zs4|oQg+4t1Lw46?`WMTeelg1fb^v2 zDX+9sFfoM$m;zHJZeLA@(nMI%XeZ9Eqct|&E z(Pon5pi$aT_J4Zz|8@yf*g&^RS|*rZsygXGILsYfB`(liZ!|b-A?Z`IDpdoZZ5Hgq ztj>8-IAVnQBH-&(gS&vq7R#UX6d7EiJH>=8K!F2FyE4MZ&(dBmnYP6Wa=}YeEu_<8 zpO{t=eo+ICyW%v@LOj$`aJLv((5!m@|M-F%N4f!J2CadEzNiu;_!JXo0j^63;d(fKgJi2z*iH~I%9!RdlMv()OH+6= zV!_u)MRc>FEh++5GEZR;nUJm1hU$uOGYzfoY_~E_fd6rRxBms0mKQ>dE7V9d;0V&a zd))v2^IkLh5B>U+|7HX(0oEp1aa5R`xwgrB6Y#j>0{qbX|HJak*5>YbJb5ED7vhm>PIw50cqa75W&Vwbb;4apzHJJZd< zf8d>0{t24=_TAMV^=J4m?xyI8#Y~61>zWPASh*p$evuEnCp}O5;@#%<*gyZPpa1*A z_5b>B$W#Zq7)5Ykkb~{CokL|)^FQIa2}28n;oS_eJO$>UMR|P{1V1w%dS8>5v%N1R zyv&>I)F$TnybBl>M3$z`wJsCm5}2!&cL2WxfN&0~z~n1pyyL_7mm;QN_UB^_vl;50 zUayCU>6F=g>vQB_5*i9lo>~SlDh{C8@Otm$!jhCASTb$Doz9;ED$E?(SY~!o?ecqly69D8!QdPjUr4;Nec-(shg<}~ z9$hrJ3Xo;6hE7bfScClb4|+l8-(~L+;=PpYFrXl3B(E#v1eV8wxF6!}}lKyNkjJobpp= zQoM47adJZN9&aX}G_n4&W`}3P7ZW#?;bfN*q?kWP1(qu#-^P@^V3U;r(NE5RQ{*tG z;?^z=F`F)yRkBEJ#1M??J%6NMDD@3{!Y)E(tWM6BncVO76O}Ko_KzSIay-GjvKluR zrhTNK)1!Gmr4v8toTI$|SSTKAg7{k?W{s*z|MXji7aZllGF?5&(=R5C-WX6xt3{C` zDb2uudV)3u%W}GarZ@ID;fsu^{D4r9C&x!@35>OxpjXtfp1BfQ0iHL(0T2UqyJEVB z)wHK^WYPG5>P!2(^AK!?}a+orDJ8d!_n z&FD*z9TEW4h(v@{U=p!tqsOO}0-Ye}dfGVlI-vz|C^Z0G#pVbVBp0_w6s9T7$NbEL zfA!x5Q(O>0&r5tErS-mKw-RF9K)3;~LLEhOH?ag7NV=emxO(1f>e!HBfIyyAa!WMb zagHzp#7$Nl?@D(_F4XCdPtCT*&K+fSZ!zjcUA%76G4+82;#uQ}=%Ka&NkB zIl1r*Pnrq*nyMMSMj|o8twh4xu6pwL0`D0@ zRF7ZKcTumm4Zw6JWRF;cxVx#AAOySSPEeO=PozncL^clKU)B{0Ja_{LDwB@#Rj=Jd z7)^s=09!?1$HZ~27a2DSQ<{Jjr%c3@G;~L>*+T3D>1n`Q@7KP*Vhs%JAP~EV z?4c`cljLnd%b`{Q*$(@3O!^B#x~6RAV^WLe2*TrW=YjgGUVbBlb_IfLs~+R$1&%{q zEOSGfcj!-BXYCp0UgsD$t|iSPlr8fk9()(big5QR`hXO-!a%n9$e=<=2Bq&q3LMtY zJg5U~R~dKgnc&gkdR5F*(KMANgp%Wy?EoK)&KK_L;e~ScRQ!SS`nCGZOkZ1w zVu!Gu3Myyth-Z%DADY4;VL|RlwK=~IO08EjEk1tx2m*trLI$hx5$j6(EQljU&57pH zgn=-QzF={OH;t^RHK>l`re15yqxH(um$4U6`^gX{Joj3 zmodGAShvBMYtbTaQ;_=3Q^u-B#&JLzscnk-#)`>Z0<~>LZ>)hJ{>owO-MDEECv>IE zarY>pvc**bd;kVkzL!9!;E{_BEPp;`&5#z&m@H?^gcvR=aIUs-ZwMzKB*DQkiDd0P zt%ProP_HRT$iqltbOX!B#czgGp&$gP9avEsW)*5}nRBB`=Ido8HKpNL7~{QQIERK? z#)gA>8hF)LG7vH4xN_0YIQJj<8~UR4Ed4*(+5KZR?}OX}GkpEXHc_>&6rB(8m-!Ps zIWknZUQ)o~$sAZ$#p_F#>F?WPgOTnqltoHlcbCNYoi1PY!;gt9Yj3ZaJMAk!^6WWl z-i8)NU-OAt0o<<K`Gh!0&rPdsV*kKC=Zb)^ zchiIqlW(q;$-YYUxeCdTdVDN=jV8}k5M!GMC0wcrq)1_-uZZFewdm(ZoyQ=hRa4;$ z3DvlvMyB^AKA+z_HYjGF=v+Q=xWvT-%|oX6M^66LYp1TY*OKI=xLUFfByKOMdvuC@ zh;&NH($Sr8rPB5R5?{7YIN-k>Q;W1h{OlBT&srnB>$a*Mm7ghBC$i12`0wpU{9O$t&|BHXJehIs#SZk1b>S z=9fo`*+svrgnCu{gJK_kaD55L*D-9jVrz;9$4i zNVe&oX&=vzO7RJDT+buEu=&wEApgWQvO!yaGKw-h6chm z_;zv92VH;T-C)P_6nnnNf620<_ngGK1Qn6(Ks3LRx;Hxdy1XTpPrOJVmXUwt7=Xv~ zA4=YzVgQBdC&{H!ehPrtBZKt&ckUk(sT1mfU-(e|4@mA;LV#>zN1uEJDi>n_>cLm< z9u>#$gonNvMFVPwipL<1@p$o~1(f{t?tNPB|3MRyA9wig#n-0m$M<*#*sX3mKex3d zK0D$$h4$Fo*7!tz|72t9-_YD{u^tq`HNN+}Q@E4g8ULLBl9=1te@nGXbI`=aN5p^lV}Ov+ zt=Hb9z_>xXE-w?1=Ii_Uj_*oVEEVSV|m_fbxlI#rvkO z_>WV3#c@PAa6b^)co1RlKtbpI)>g50;h2XTsx(V70K)9|zc9R3$MlO8!c93O^{$8t zpbsLlxLl5!DnO1yI3<9-LMbL2v2)SCK+Jt`nDK9Ww<78%`Zu)*6rg>1|IZF&pp|{P zdRxAg#~e#>u~a%8^1nfmyvf@1#x#2@QkEG!Sl)>k&-wG>kz-Ja8E6q}BNxROKZ-yF znGq@B-#`jm_c%qQD*)Tksl6oj;@2#+$qzMaF(irv%g!cVSVV8<8pe1V05wLl?5u4; zpT6Ej2iI`x1qD|~c);?b1`B9#h&EyX&k9rCEth@Vm3G%hY7WTd1z`G;w?MlV{#8Wv z^1u6a4LEuRGY9D{gUAReCo+iBAFTgwd%IbC{5M;}Ye$wmf=)jh4KVm(VGfa+L#O4``aS`xfsRcY z$LoXZu7Ph#U0 z9FRXK=ZG4?CBh7W-7FDEw2;~b;ioLmOt&@!q~}4P{J^L=)`7bL?mtdaZR={fO|65+ zcIcfQX6?_3O{Ok93a{wGtwidHTidOvdR40HPyl@V2cu3#!bT)WVvlelYjxwQzvXFE z6~lBO$#usEn_c%)WU6i#U_Y2e5DkB%M6BFPgj~gK9$W{7Eyh)?ZaT`vM4h%hsK8@< zmq`y)TCC!Qq$b^xjP514_v^pcD|(#qNg8bWAdP7B)(rQgdZd(r<`t3qe+yA(9|;dx zC%i4ea3MQE-AKLPf9kL&y9J}>FCKGhPC4&XJ6(4YA-&NqLv+0=={N8U0gC*2n)x0I zIr-0*3PwYP)*H~4z_Az%owO%?0@n+?rZJ=de7IcT{<%^Kp{cAg_FqY<_=s?sXJQXl zKRWUIGmQjVv_pjm8}L2;jXmuVCANpU_&7Sa1ls#GP;Dn64t*rbwg&eGKw?G?>f-w`j6iN5Bb1rJr{P7+I4k< zkaS^zcyuqso}sGXHMsqXZH$vkPWt5I)>+DB;RK<5Wjb2D(@oRBXp&o;!q2TPdYM?` z5}ow-)6^I3y?Jd&EXIsfdkRPMeF>LUjqQ(Pc{2*QidK=lSGE9|4KWQ#IphVYsVAD8Nk% z-h$`MAeh>=Yo_)Ik`39jyu_*_p1{rlpom|Qi^Xle*Ra&8Ef{p5EzshO%%Zo=^Q*@= z3$sdw>EZ%nk)Dq#g^9B^XqPSS373Y{0902U_T0rXJS5-eiZLmC0p=S>ZN>Wz9jA1a zV%N)l>$iU1J!36AHnf^j&6h2sH3jEB>=5WzEF=RG$sP_FMUtuqAvCBfpBw^En>YNUxX~J z+G%_6+F#nvn$|f_H4KO698-FEQM_OY(ic-%1O6&F=`N$zv)-Z?+!j^X0BpBsv{BVZ zI|miEJ`kW8*HlBF5hMc)NZl016$98o6KJvLr{L#Qbs{~MY}=poe*rQZ3ba2>sS3lf z(#XdM_ra2btZN2QTu>^5G@SIT;WbAbINcjz4DCwS%OZm@tFw%vchsOcKH|Hyiwq#A zIO$_A$d*)K!g-cVp}Bbh9-XTr27`os#u=bIE$45+{*B<>TW9~1+d*iWy9Qy6xYv_* z{N&fYVZYByBLXrktb(2f8xzEB=b%bw%b{%A>qBmJk+Le{H{uGA-J^n7K)Sl5Xg^!7 zvkz0vzgb-#TJ%IAOwb!@FisO^hFXd0dD|-(zEUJWF%sAiu*|OPH5cq$OlkY6Y#K@W zoXC3+leNmcrp_k-?D*pD-#%yo8`gu(`E#PV-OzR&>0btUULm5@MS@oII{j@ACxmd` z@}A7^6mms)e%@J}evP%V7pHH_Rd1f&j#;?w^Yz1nd?2>ZCs!8P_CNl4aBIC@zh15ZTN;xPR$2@}DR%MVEi5Jtn< zfr!9>gjZjkn2|4xHLe($06-uVLzIS4NplO)Vu^DYTRt`e496=PWS@u7 zn}RyH$Abic4yV$;ePHvbHb%iDhy7yF6rieVP3ZC&$~u1~OF8KBx#m7I+z4Z=}M@}n>FT(q{*(TQxV3gFz=ve0NaK6fIO?A)LPAs0Vjd1wQ$ou z++cT;dvs%+W~cwfCf5K;YvPlPy9ph?BjAq!25&V3YC{UiFk;<@Gy%^uDZ= zXOSB-12f_%2-hBQpls0GykJMUB3>}%D_%hPO)m*8?5Y)Wq7=bZWZyK-L_$0c^mXmJ zsSc`Y!eodYRn4kFT-xS<)9sEZrEr~^1`;OF#= zgjqLbcT_7X*|xwCxuaOy3c#XP3Ck=>_1pgVCTQ98Q96iG;wem04Hts+OkfEFv$TlK zoT;a=cC*fbP?s9d+zGq%^F!|mI>;xsIZC%tvN(!e1;-^!&w#W!Q@j^z5X++|6*VWQ z#j$g6l@;*#=U6pCzJX*+=C%_p-sff836|EhbjDk@4H0Z@C&ovdrya`6r>aI1Iwu&3 ziq=~Jlt;mjWkVH z4SPfd1|pfRdG~*Pbj86u4FuGAK&hs>CNppNIJH3NcnaNsJJSNbg}XX7DG0txrzD#l zTkfF--wRL_2(Aot&>%5tctpJl8Vp@UT|OfNX=ViPdr{X82HT6(P0v)Yn+JT9GV~?8 z`x|kMDJl-OkxQa_iRk#Z*}pK^ON(m^7{3MM(_W^Xyf5w^Qa$bg$1O?GumgBSt{-~Y_d zjgeXQsMoh#89s7s!2h~`J~2LA**~yrV3s}hJuzH4An$v&mAoT{QLM5s>`iQc^^UqE z&eFmqaXTCt>2r!BLj*PVJ~dhn4}_f~Q`uqX$`1fR_w}9o@En^Mae$XXhq~N-^~}(5 zy|{gCbo`Px>`d@|p4{oAx>gMl+s!gZz>xZl5 zwQ*|t)e*n+*xw4Tk@jcB#P(8YHm4?Rp8cUu3!R9`KRj^psh5kls#M9_;;0y#y<%i< zsQ5Xrxu+=Dwqo`>3i*A-@n;VEZ6^1fe6>58AFXs#T~W%P^F`&#sXfKY{vn|2)$58E zpW?X+zruxm#NRd4IPCM@b&*FVQFZs|o>7Q4oS?4^-C|2D`8|ADb(P-z@_d9!uK<(= zLI{``Ketc%6Zyh-WkguEA(LXOhbk%NMIX-S*NZ^paOy4mK`B%`e*R#0M^$2aZnOc_cht zy%n)vffUb!bA5dKRD?3W|A#;>9+V?g&#DcjN%gIwm;fVu5ioOp=n07ijyM1yi@4uU z4O|hQy$y!$GeUK|j~o#LYmNjwHhVr&A4WAld98`tkN=LNiZ2n7Q_IevY;(B=M063= zybA4)K>c{Wa3}s%XA)HE$=QUqWAJ7FjxeilsyqCB@<11m7?5e;%-UWkVtg|~ReFy` z^4GZw>NEoBa27f71r|wL6kRfrts1qosYnv0y*B2hh_sG_##g z`d<67NYcgcn=T&U^e?5Jq!6(9UcSB6%6l&+W@RMYl0~~YG8%+mooeRPSG}oXHez+N zX#oOg1dE-PM@6nZ40#Oba{xUCv6(yP1Yie%PLCgz9S)O$<%q{SkYd~$N-W9|D!2~< z&kLeJ4Q;0zv%z8Gu^BdKI2TUgR?vD%n1O+2n)cxuK6JUr`qYq5d?AoUsBsMH$G_&>k`g%r;gl%0A-r5T)%?ad0PQ) z@BL0kwo4z_Zf?k|?1RANs;64pp|Om4)uIJ}ZS^pmtna7DAU<{3S$m15ST`babI{k6 z@U}T?b{qIJ%IEd7WzeT*WfwXu7zvUpv78BI>jEM^I@Ho>`~9e)!y{3fb&z}&j!GI% z00%J!8~SAc`i}^bGB5@Q_);FCCtN$-MaR883a?8fZx|5eCObzv`SYa@?!Q`Q=KSb3 z@QH5Jr=X?MZy|hs;7GYwfb^_RlB_T^QrjqUWj4GvT73Ds`@)Xd~fF?VUeEeiFhx72XxGBLH zY2Fe*sZ&HR0JdydC@8LiHr2G|WYGrz8N*;`dkr7)*bdptDAarIId)dv`}EpX!2knSf!6~J=7kpsG-?#x(BlcCpQvGYQrs65 zvj&*`X#X?fCDdwVDX4}cq_N;Zp8N%}b~wu55W!SkL#fYVkwFU{Mp=%Xb{op+2s!@x%hr}8MN(_CxqM`u+FH3qqs&5{;_*0eg;M+rRlS75$-l_XZXH znURemg>!w|JgBW~P}(1)qGE@)TTtiw>4irI)BNF zlw;mOk-z*liab@gcRr7kxzGIo283VHF+KWAk#LD~NO>qTq6Xsu5)S^ifh6L!U={Cb zaqg;Up22tHK0us}YizuvqK{}=U_#*(AjZQ#Gtd0*#>3Zt@^b^c_{pDm=HeTF<^wLm zPd)zbm{ET^1cvMZIYaq1@ad*h=1sXuw|R{v2z@!c8X_*y7@^bstG>Td(h2o3^ zq^x;rh1P`9d11Fx{l{Vdd0-sO6|mF5sDVpx0UZv{2wqzgPA#gh=_@B|BG&jhj8FpA1U~#fX|!H6 z;C-v&DlH~-2sQLuq7s7Sl&|aXXu*`<6BB@-rMC-_w?4b*qv1ucet@f_5#fnpmTD{X za#@r}N%eK@f=rm&lxz5i-YKIJnUFD!k%SYiX%Q#@B^#Y-)~@$ow9|IdWOBj#Z@=#9 z=>#eDn}A;ln6ATK#*>EZTNg4*C^4(yF#Ppb?KZeWW)KG)DK7ePdt?B0pPdE2Gv%e7 zS&l?=#;%m5R7q3_o3nt)M_y@P4BIE$bwtgCNl?P-dPJ*-1~ITAupB3SNi-EL(!DfI zrOVAm&-f2t*@1w)R*1I&SJBXf$O?#d*qXC1`_ga~tH`UWXGXG&$zi6wW@B|gRt0d$ z%(K%`p^2I)MaG0K?5NwLphhaj4VD=gh=C?rGa|>8p0O41Kn_KzSc98zNChBq)4|Nj z!~jqLKeP_~QIC_ngH8DbuxPl*v{{Q|6q~$Ws;wXz(zf!;PS`C1>e&qU*Y~lP%m4-0 z>R<6si)+3eE52N0ivt;Z)VYY#likb^GiS5S;fk8&aQ>TzR1?iQqAXxxQf^1ierCkW zIiofP-fr{&mxHoMh;d_QDynY43qw3l3eH8$aAjrc9fDYi3q_cW4)Ek>3`e0z7%&2L(aWA~% z8`WDv52Ru$(Xj;zd;|a_0lLp%ND1Hv&ytv5p6+r=Fi=%$1iI=|hKz~{Y6{vaIw%Sh z2uWZBSgOEXPMLSh-vR@~qE?nE2qGygB@&m30hhSz0g3?G99jJ6YR)(*NTCcL_d`|W zT`(UYpT%0XEHb5gO)R_n?F>*BEGC-P#rqUBd4{4e29r%3%K{Td{aS6EnCLbb_| z0Hg1X{g)a#sy7yg`DaAPVkCoFpuHhsjxW}I2IU8?X)2J2Zv$*&xIWG(evIW2uGPTW zL&^#}iBO47GN42nKwgB%>Htxc4iHM~9d#@$rC+>3`(7AQACH|NiHl zkOZ4bof(F6>G3$+M7hiEx?ddVvOYTomMctR9NbqSaxsffxtQd@$NhnrdX1&Za+en@ zR+!(%4V2zQj!%eCx(qIlXj5E{`lWH4@z?#7h&7Fc5n0u77IQ;GD}gni zpb6}F`|ThR8c+z*>kEQ>~&jbfCk25dZ{u>a9@J%3(2= z7b(a6EmL51HaJIaxKfX@bw4(=S)9}3-{p*F}vrfm|+cL z!EYFukrCjqnBP7oLB{q>9AU7TX)8E}%C5$m%f1YC4_&J(#B`vS8GOU>d1G;)dP=?j z900{2eojC^K9P*=LM|L+l`mmBM)d@UqC98_D6&jX9JtyQDScWr^&$ z$@=gLf7O0v15L4fz&P zI4X(@Yd4t*$luvAEf9&IDnTLf1Nk9OqE`cZy;w|?C5}KE+fNmi3Inq>?18;(aaSqw zWV~$^O(Nrg;t)W_0Wj9XRmcn}!4U9W^zQDz=RSUFXMZ}oHl5ncwu#i4k%=kjiaaUC z_Muh`uWzj_TAa9U)SrjP^KL;~SHhxAp{T=ubL|EPHN zyP-Kgdzo&lG(kK650c&q+asrQm&(J3#q``%|8wPEp``dV?@sQ-^qu_h2yzP6ru}VC z&E}hzKfKLv(A=}sd1X4hp=_{mk=*)Sb-PNRqfHWC_o2sfRE~FSN1~LBnBenx+3FT? zjA&ccQneUbBi=>-lD}kq`%leB)8l9Rx8GsO%e1iw@OC0m{DRj-=FT2x*$%&h?5t4_ zJM!ZvJbKw{ta{l~0y#FtMLJI#m;7`E;@3ynoIQ;w$+Lc$i85t;kN4Ad=_1L<9#ptW=sdNkR zk>Z|M>Pa;>_3G_V&su(zmsCR{r}AbxSFVG~blK=OR#9PoNRb2KGqiqos9}`)D&d!Y zIkrtb`J0hGO?;I}A_ zKOup9MtCotzgu+V#R%@Dz+%sBsAA(&5#bq-5WLR~t&;T(Y}tB6y!hBV65MYynCHa) za%wU{d(+-cd=Q@q$aO!iP;U{!RFFoAc+NQLU{|Rv3jzQE;x(NPh;4_Jmwd&M?GJwR zt15 z!=kcxLz!gnje2-Xv_E}N9^XKSfuBD9-SYrLAKB{c_s7lW0N09_;y{Xyk9cD&f8p5N z?gKKh!C(gJ`UF%h_Kk^ctQvn)EIm;(k|U&v*URK}z-9IK0kQ$!vlQnk^YxCNCTm+# zgOh8=@5Cjxs9H*0B2)FX@8x^O>Nh#as%tNixx_(1Y7gL>`CpPfKzQyUz6knkep>j2 zQ&UC10316)q4!SKB~TG?Nj(rsPb-w2YXA#GcnAXFFQ!j-Knyq5VxP@AKy6=k@K5cJ zIKA!Rz6)YPL^9Wfb{ynEV~iEr`F}S0I+MVnro?zVf4U0!Z_tz@rQSYp`XX4aU{LG|g<}~?Lkp1x0EcKmr8bRseWVV(i$fR~4snWtFq_Z# z7fP9v#YlREL*4{q5l(emgMA=A#=WT4;lVoa$S?h83?(4G zdBl35h|v<-8kDOs5;6sTRvZc>fDXF_Lj}gcX2Fc~yos~TX@O@Ndt9%*A;8n>Li(67 z{QuV|R5M&^6x+$C?Y7`4oN054Ca1c7;e32WOl`v<^J%AkSird~9jt$|=(Xa01!feG zsbcU2r?X!G<^}r1 zwy+fN*g**(9_-BrW!isR-okwWp$CS2fW0j6$5;mzA%WBaD^`H{uhrR5`!Ki?PN56v z4uH9PR2@e~WYmj@L;y?#ZrR=qMmC#A1pi}IJ!wL{1_oAr3&NgzH{}tsg(`XmE!9$A zaD;n49zYEpgxe6~{bs(WAB8F98gN`DG+e*%qu=<-O~#f;T0(6hXF^UWX9mnsx1_Ee zEap`8ydeF<&NqErCTCuB&I?b$m?1GN`nSiub`ETgmMEfnUtM!}>Qq8U?;T*WO%H^b{6|7D({~4n$U&9z()FL+8`A82>DpEGB80Q9ONU>qFKZ-&chx+ z`Ub80gcI9)+39MLDhPUX{Q!s1_!?|}E-qVJUyIkwz1CqLplNU~`|lyLyf+SxF4G7D z`PFR20dGI{E5* zd%aR9dByDrhjb2C$P7>SF6MFiwSpJ{2`?nWkPIhr8fMGFw^rD+vm(+5{T+ZwvH8e3 z<@Z-vy~pyoa-;Owe7jGIBeX14mYQq_HE~9=_SYwp1QX2+D7?~;n}Oxmvau}D*&`hM zElyUNIOti3#Y=i4@sFN6LZH;BZiftB?Ft*UY9{GDS3=-$V>f~lIHHgEf$5IOq=LAO z%1CGvkiJ-?Cn6a^hd{3zDY^mn;0<&)Ae-z_NRA(s;^P2hMZiEx4q?*-ly6moHxfOC zalz5S4wxs}1kJ1~k90ebnq{C>cz-v%1*q-)$&H=%697%$P5SksLWlFUx3#fieTVE}0k!exo_h zW&iuAIsZV(L`ZF;HQtvW>Y4Dlhp6p~KyB~+XV;0GC9MS}3?7jW1it`29DH$b4t=~9 zzzrhNd$dA`rwUJ-6c(aPFpiL&5mLJhk#%PZ|F^)s!0{iR^Ts1c=z$L2j+Y4UJ@aR8 zzo@Zyp5XUGx9nXnyz>-37F?Kj{`&iWXcxEsLOtDLGgVe1E({Fiwb?A+I}+u)RXa2V zIBg(S9q~xjKvBPoqys`v{7E+P} z-2&40lFfn1W{}rwx!g1V@Yp5~4w?HT#^FRaT&mo+XNgt?={PnqC0})jLNAz)*h+5I(%_~d_lO0RlQ*-TxO3s zeg^KZQ^kzOuE>e-niiFD0F()d9%3FckyH0Cd=ibF!+v>(8H@#pyC7RxNciSJZ9qdp z7O&cBvT>5zP>Jt4z7^bpYN#o2F4sz85NON%+Ws0(5X5ciFN! zcn4m=ZqFgo%Z!3$gu3&|n8Zn@q0a%73b3$%m(2k%1mO=7A+#~gn(Cl;qlEUz0^!bs z6BRv!!zpH@d&Y?*fGydJd~pl@xEty&Ku4|t>Xbl#G|mDZ%h-VWvD)%jAo)75B@a^} zhWX_Vq7UHE#~_94^u6*srYnzDp)liPGc~%71j(-B8tyPCsi3Tza4j<<6fp2u$e7bN z4X`kAhFYDb-oJ`?Ma@Jyy=q!93;O94Cx0Xvt9@Qy43UYZ&ijDp#UVjcuDYw9mPBzb zzrvMj7*@kT7{k**o&=l;u~-dlZdph^?R!u=7R2o#l#S2zdSp`$EJTgv*6jv6=iu;> z4K0(C*K94#+)~!Dwv}g*VZ2N+7H4hHLZ!p@oEst5Sm^8nYL#?A0-vYM&7QR1;J4+f zk0!%M&ntFidY@n2T!jyDuOUkz z`bvtl=T*Pp1DFcvr9ls>LTbH3pn@Xb*#Li5x_~?IzNP_gc2iF!41hA^ z+rPQRId}>vC`?x`TF4XXHD#cKKpx2`z{WGCn4n`}(6wPSKzcO=j$xA=?Y3ZrFafQT z@%=3;L#ikz5&J?c2XHAq>zi1BQ6(ZU+|aHY83@Q@0?A0An1HcqXqh)dsmy==cLriJ zRTFnPBN)yLy{MiQL6*Lj(g9~m-8C`;SmTkc5A(#)NmCVxg)eiKTE14)1JjM$UK|$$ zgoWm4Udpr(iNo40tY`_+w0@(ZxMA z5&S~>vVr=%8%;p#5mEfLL_p9z9u9KI7K&D#j2W@*qnXJV%f+MkOuUVGIQJj^ATslNFM~OLTFe;>u#nl!*H;K$r_-2D>mj1II#fY170^G z2)ubzVHx3BeZh-f5(1DGx(nzU0Llet1*+l!B62O(Z+!ooF`#4wRidLYZ2&6^P(ISY zxCCq{0r{x3D|O9t4Fn&2J2DwOT!U*Fbav3OGj)(0d$*vkW7vLx7_ufHM+?jIOjv{t z4q63^#Gq>)hL1!FgJ->&@T0eW4$FDcHv_E3U~j-o^h_N~#N~t$btQmb0%E4CN4o;) z08P%qVj^r3m4QK?guQVeM~E$b0DzQ#70|LwK(7T$Ao?zbFU0bM2army2_GLwJ~ABvG*XuuDq?FIN;SZCR1jasP-Aq^RwJVXDz*r$ zhQyG<=^{jDYSJ}=$QD-|3*&*7Ya}w!75|ri7?AL2Xh~ct4ULZwx)}gWb=(-PAAKL@ zj}a~KL=rT-h*dxfgbB05t(gLEfsG0m`Er;sigX1Bj!LbK5BJuk5tJmB6C53MP5PC) zpZ=wmGcH3`u13oUoQtSzLI58SKz9|m72Sjs8acy-hz1yh+y;9yJa3^2?Zn1;73n-NeMvX-k zU$GETp|Q5X7`2-+G=jILA9LsKc{b|l7C+@3_GN9vzN*gHl8r+=LGl7EH+_{r;@(94M<;t7B-S$!6)uwOsOOP>lvu7B2qbsy+~`2_4Aw_6 z4_0&cihTs+M{rjq%f38t!@XeY^;K|qQLb{>M$iV|=&{T3 zXGd)_`vgln;xr4tK(|qpblyFZ-A$25M)#Dnjlp4PkiTq4=_f0w`h)Qvt!`TJg6+7< z!hjWEX@r%Ed6Dr%5z27`h`%L7RQh+_$$o|EJ3*_Ry0Z9GQUC+zG6~vEELtk72}1M+ zR24(yemdtyaZGD0B~~iU#9y~>gTAUjZ zR$!yD9Dd>{;kFvw6eK2#KfL)qR@L_w@pF|Q0 z(|#5T?NUb9d>7sHQx0g@XhWOqnXVHDs;#Kv;nc&U8$f0B1E?uRH&X|CSCz|?_uBsr zibzF?;6MO!^m_gJ6=t56aoq+*5zxdoYgXl!$e4`bJQEQJ zRjnSP?%^7~_*3>sq^WQa?gco99(w9v9moQ{FN{E)NN+aSB(d%zk6kE;kr;h4JOxtnACi`9jUFNv!b?s6KV8m2D#hOtwq40$bd|ou5M5eaj2LU=?q#5 z?iq5^t%E@4@ODu{C0H4uj_892(NE;x$oK^T$z>=L56^bihR8J$!L7RwbeNgqCb}&` zO5%QOFOa4Sq#rFtH{)dIC?HHA;9jer7k5AUxtnYlqFVaJC^T4yH76#U%mdKa6nhPo z1q6z$axw{z=>XHcouhp*l8lO^)nXRju1P>a=i|6p$j=%rVPz*p=H!4`5IBo6RH#tE zebC9#h$;r$Mr%wKVYn=-mPgOW+06(XjXJU)7etLkfETEa8Nbi4|xI|)N7q_<6t);EtYA`aeF=JPo zkygMUD*fFX1;aLo_^-iR2pPmc#jOh>p-Yk4Bryl0GeWe4NfhUU^+Z#-cgTpYqsc;h zD`b)}zyoU(HmJj_fgfU4)l6uFqfK!{=h0!9bWh`07H_`OY2W`u&$fX8W-7HgfL!Rf zoHTL@)g0NQ0wD(=i!~&qr)ObZn2SfEg+prKat6;hpli-b98W&UPo0m0x>Jlo10bw2 z0E_T0+kjDXHA8rs{D0Vc8{jz3^Ukxo*ue<2$@*N`czWiAI0%PJkYXI}MVEjS;&5-}SdtZ=ZZ{QU zz=2Jjk+q~KiSE|7rW7WpyUWIutaG%*cHdH(aYY#iqIK-o6q^MS3-GV&X@#EtOV@oYOG)V#UGqqlo(ME zN%RiyD92zP=j@}pNR^9K&ATp8pKKkIlKOg_KhR}k$e+N2SS=c}3+m@8;RIx(O~bf#gOd&G70TRgx(G8F$|v4E=NA@W^_6WGhFSo(-U-(D z{O;EpLtOBSPc}Y2{X+WsgUPS@`wTF6`A_#g;KQYSQx0G!jLbc6L3%f-nC~ND$A}99 z?)@zW${-QvQh-hu`VqBn#Fxy*MXdNk*U{N0ApdEb3ETXT~b3Ih{D=WpLc z7y%S-0+okvLX0=B^VvJ|X$tqr#{pDB-0?^bKB%`$eS5*8R(5J*ND+AHo=0BI_8OF5=P z5UKR2)=OzMXP7m%$db@mWj7G)IF^3j=oYT6mBpzrz@x|qDwjdc#I@%o;MLFvY$0Zo zF%Bt9KD7Bw^@54s@nVSsrtujIkH&zWp_xQ{kj)V+#>}(qA3QMpsQH3(!yLrL)=e(c za;&PW2YHtxfNQ#@!?_dwi_4EW(<6K$2P#Kf&CPk?`M`9shG&vxedwROoAzh4pFgK% zT5|1{`7{@2Q^EXk5w&`(myDK=g$+gU%=E}C- zd~ONpNTVs_8iV0N3>Su;fp{p2u#F)HRYw3gkS&P6_OISCe0E{sGn?MynHU%TYi%>_ zZw-gVw0;v_;4NMT=QQx4dF3@7Z6#)M@o&q`%)H@B^uss4Oh+1(MFmmR3cdrh14H2BR&0Ki@NhI&gwctgGRnpL zootyFWc;^i21+df4w&nku^ir)Z+SAtC}~O5B&c^}TE)ve8^6hP)#ay58=!y}Z&}tq zykNe5Z(g7Nfm^;e7vd^xeBZNcS^s~-{!{z%|K&Z#(x_%k;-!QKGZvXy0A_udm^8I8 zENj)weDmLb%17V#bKl2bd~aU=ADY0X&)@!PxzXEyeDnL8d+g>mck?LO{G}NvUNzy~ z_~9>EP~90yY66-wiL;qK4-l8%2SRonHq(}Lvr&RVT38|AviV)e_R5h349w@)Ak8n> zNjEo4{*VtSc3PKrtT1ao|M0tw?#FO?FmdwQ9p1$J))MLFTr%I&*3I2h@dKC63>s)I z8*+=cUgp5%Jx5&IW?QO7)QP4;+}@m+<}bTetLTx|!Ua{8C`ECm{bu8b9by1$!ik%= z7Ci$eCxm7~u6(ogS}@!Bl%a+G#uHZ1v}Y6OxizP?Yr5+8IkZ%@>u{{%_l4AGPZ5VG zI+~aueW=ZkSOOW;6%J?G4+=}H|+E6)ezWc2qcy>M z>Ebx~#vTqjw`EszvQIC2^{!J7uF_sSIvr?Sx|Cy8xubdx!Z_CiH@8|Iz757jCJ7I; z1cZESWrf+;vSlf^pg0$?8o8USaT5*k6rF`Umo@&j8Ov(!M(-ms=uC zVaf;-v)cf~|)E$hy^j7^vk%3JoV(fNLPsNq8n zSt!u$o{Flu@K}PcClL%9u+8JnEfVf&n02|3Ta)A zm`yq_$#&7iXQoI>K(vUrR5KYwbeE{61GZtd9M*D|2rK`?pHWIl-r~Ymbr4ITHTAja zv`~)F6<_-J9f{635Oi!prGYh?5?^>YpvS_s2n{gucB#EhfSSpwt+-c!x?`?o%o!F1 zuU6u+{6PK+tbP@;iRN*UV;-0nGpZ-n7#L1OvL@nXq7CQL_+R{U%i2N83XP?cLMQ8d zJc?yhbPP4bcxP3dB(6$twC&-&%#Dzda-QZr2A6&{cV`Z>9{G+Vg%^WJywEhLmBa$q zr{h}L2X=-Oq;G7o7QM(0Ol-5s8CD$$G-v=<66V-*XS2}=PL63apAg)d`M_Bk((W32 zfs(31xN9onre@pcsK^K7tRmA$&;h}XW1iNtrc(dY-+4#l#iCOT3(AdaMQ2tviX6Dw zvuX}_!Dw_Wv3NUc*u=~^Jy=41>h7LAjMqwhwjsNm7p17r{@CL3P$Vqfvs*jb=3e|v zF4E@XoABmLO7U9ri_-ZxT~5B?y}S9M^u9QHZko6q;&8j8?40kZeVyi9yYb>0w34J! z*&y+}^i=eCRJ;;D{m`_E<16=cnlrle3(@PbkH}xy1ooQc$y(vM^qx;2nm$e5R#JoY zv3RlASA2VJdh|)33_@lh^*p1R7bk9C>)GVsamXsQIk}h|Z^v@5udS-{i8)GMNIiP3 z8EA*vJ8O_mbZ;&}7EhjzPDLW$eIh;^1+~MvcPUzY$$RbbkKAZSy9edcv|qa`ZI-!a ztvohYBR%h6rSWVEwM@asfnNCJ_}cWC=tthp@iC82KbQWod?}lgd_w}lG?@}#7=JFc zN9SSfz3Rt?V^$s=M2)p*(J3oQH7FKQbZ(O8Rk7G`gcvlQ^hv(R`-AbXdfVfMcuuz+ z(U(#lL`=r;#t7nF+C~7c!Ec6N_icvp{Gn=$$+|x&Hzj5&yNOm2yIUaP zpeS#CLCxWkSNBP>7zPuSj777c1qenmHbWqhhQfFM>5TBBn97|$J9Kr zIPAl;|b-D(G5 z`N1ge{2NN^#NqFQ>h^^~JALB&#vg#762@k9K zql);%a_y&Ob@V}}>MrDD8Jf2YJT7)EJ4~RX?5(38Tszv0$Bs$9CDbX0fzff_Dw*1v zu^!@g^sCd3N2vI;bs=jD2w`xz6s9d;*4(I?*~KxVf>qT#d?!libET4 z`x9F49lcs7sB%=B1Ze^?w4HE~iC~!c3EJJ(LK-_XZT~d8?5m&qx%;7cIdqe2qP1m8 ziV}1>Opl^cZNhF7&oK~!@Fw@*1L86Nu!`9nxfDO0>1?SJ)McoIT_2&OXpRigpnh}! zgIr$A?$T;vu8ldH=JsFD3M2f{!rcTB*Og1&gVSFJ1T+0z_uWH8aSk<&2}5heq2>V* z>oeM=k5PshN}ey|uBG4Ce4y<)h{7!7>MOVJDI6hs+HYLiMPC?_zv0OfsxdVjk8Ggz zLN8~h3hG+hb0=P+WN1jFR2?w!E2!$1sGB`PbG?Bkmn@Ux?oN7yon%>U5BjKZAXNdm zo<6)x_nMe&{^(U&*OP=q&51=rE&2cjGlE!@)%EHt>SSAwyI*?po%Khw*@3;rWQ?plS8GN&NU8GmBo zWV4{K1n4Sl@%-p#;b+{q?`t>waqQx{OPF`hmMRs)u}&@|dv+Xdww%+N{xT^Sq`<%k zuy!I9^HTdRk_I&4S%T6(Gk<@;K6$8nTBGlSxsD1tQCz}d!;Z>WVap=_lqlJl(5HJ7 z4!$W3vOtRIFoFm4;wI2zel;V-|9@*GRBhLVfz?5%bw0m6mTgD zM#%u6NLp^TZ}ni5OV`MP6G$ZLQ9YkbVA!l;)k^SQ?Cxcc@2L0+|C0+41>{gcTbzgm z{Q`3g1Csf(34ukJVf@aq;#u^Kr<-_H7fTlvx2u>9y-p}k?n&xH@iZv_ZnpQstdmbX z#0RhI&G_J^Z1`V3xPSkv-@X}eX*n=Ho3>?qOk)|Ef2y0`3-hybjoHjgW>(`!%D*i~ z8sNYfmMVV~BgXu;#S%6D;0v>z`~UOB5aas0U*YgFyr;hV{hL2wR}U|7^T$os;N}k( zmb21>{8k_#1l!FTD+e9tO8cze>ca}`QD%UbRW;d8n{{Q}yzk%CE$Fu~j%&NA7u_Sg%)4>4`?;E5BeO*V^iL%6f<270_ z=z%2$T30!UV`_h8c)0Mb@%>hh65Sce6Oc0f)Os*cftv{Hke*@>21cMey>QF+=&^uM zIE&YFfA*_eyeKw6owwwNcGDrX2JE4Ud)2Y6us;qolIz*MTl68_JC^nAsbJC83PC3` z%A(b_W9#X5aSN?frKe&7Sri_<8gaK+P^8f!qDlx3&;@=L+dP$@9J+ug5WYe+o|j{h z|A`;kvfGVlU=`icaug`iEpUspg0+y(VZsU(H;d(H%14brl^a_CH{qj($1;ecf%Mou z{ALAGr%fA((8<|Ec_$HMsH*1;ZepgQLSZ3FNN>i|>o}caqWho^M|I5^g*>S{?BnV@ zC{OmvKmP-(miSS|ysTyLmK|oVc4AST&z6gpDqF3LSxR53+(OjGK4{3;Q*L!U7n0Q! z$UZ*&Y?8ka2YuroYP?Dd0R`nM-GV|L&bKKsHk*uboOOhwdydFrE9r-~SgnXER>!kX z-;+}%CB)gtQhoE>Y-Y6eyx;RG(Sc$p97xNRBtcrlHp)Ck9mNYDBmA@Fh+rNj#UOvFX-lQu|;WWcNoY zDxgAl+DCM@J4wF+Ob474nyTX5v%CgbdA&iHGU+8f*FsVkH@GVO=h1zx5n;G=-bs!E6Y)x-W0{sJ!L{U=rWnd&fupOh*H9@z zZ@$Q(kKq%@s)F|exCG#)t<{LJWd8OWnKODM)m&M|g-C)D?ux5j^mQwimq~IzST6`r zBa2}+5l}Y%gR(C&3l29fd^@7(Kyo1t0SaOdglWvnRbT)7ZuX~+UCqVU`b?Hs#hw>{ zKq>gtaw>u*zd8=)*f!`$bviD=?!s3`S8*$490%8e(ApqtjDQ~dX2Kg>n zN=61q(w%D)^YsnHj!G-wXFklSkLqS0HBa7A%W`|C=Ju-q#G=MQS&zv8h zK28S5k|Hmt-|?6Nf~qZyg@0bPoKEI_vN`-LI}Z2CJn7}ngK*GA@h%_qlm`ym4w!0Y zS6wj*wR`b^M|4IK_Z_ac7Tnx5a>yb!W@aZgpx=F+1xEZglf?C=CUHhX^~JkVUH;@p&~X zK`95uP%L2qnUjH86}slpGqc)3nImO}L0as~`RJ9o4^`b(mf2`r2cz?{XTzRB>1(7V zI>n0~VB2`rZLl9gJ$EE~W(MP4>4a@RuNeb6wB>2jR5BH8_9IJUSE9OsvAXCcRX3#t z6xTIxu}-+Daz)&`RJ>okepxf7F`E^030O6QBazuAZf}NC669W^g(_FR%ZN=CY0m&O!J*Z~1oL1Wjw@4Dm81$Z9;SrR_z4^4Y;c4Gx zDphl{siw5!mUGgsxILqWRJTo#|X z{rgp=Xzs+qBUz@ZJw#x%xvs+O2g6w@N~WAy7Crn35d!g=Jd-eq*5bHzv1sCBfObK3 z(q?QXifu4fwjla)!3Ah#Eo=?lrPLsm-DoAs$K`pcEgqg45ug11sg={aTUp=FM{9l~ zD^ilRc{cDSj!8+aMe;~T^&_5lFle-E`pUGZm8VDDOpIOfDu8|x?Ttqe)~*C6YmJNE zZb0XF_wlwW@ANU88Yg01e5B~HWXJ*=Tk}=(ao_Pu(CT~VqKUJU{g6P`0OU>NkFPB! zi)-oJojRF5j!B~T4(3ZNj2^ID`!n;hwvz1kULMRKd*2+)&t)ca_N%w<4Bq#tcQr2- zYr9GaKFud`w_7U~YZXeH)l)a~ltjg9tW3dK2|*HlwQ}tXemRO+^QZj-#jN#;Ptlr> zb+)rXHrFbPyJC;itl?dk;-0otwH;1*Dw0#vwJkLk^J6gRH;Qv|_mjbi2%1$1O5=%m zzFp6?p3~k&Tu+a$-FqRvx0y?(n(=AXoR0Rjk?p<`q2zSjOaUfE+I%VDtn6IRqPe{v zdi|Y7^~4~7(5zu)O7a8ns2D`)>!Q@{qWwm4z!20kxdD!>l)vQi#GsE6Xg*R28Y>_9;s5EK+r5vBUMj%CC*KiIKlm}FUI{Ae%@r~E zKr?;P14zQ71~{9zEDlo34ga&QMt-z%CooP~Ly3k|J@fRwWKV83^k~fGP$< z01$G!cc%TE5jFwdLri<#Uz{1-nLN1nMDt|>1MV|a^7a|65fE8_l-h8}Xd|7x03ZIo z*Z%Qe9g04(6<|Ou3j-+%g9maWnxO!7vVIH%)dB-L0+C-T{Y2$NaD)R2&;&S>1{aP3 zfI*Wjp{cQcw+h&K4?)9MAy2{+kwU-~4|Vw@Vh|5UC?U)z*y0+P2t8DjAP^?nw7f|x zht=!$W()rzimb-JntrtaIaGI}=XU|csqEq!{2vQBEiBa_mw ztP+qqBwt>A?#%uEC$xjcbqK<@qu{$I4L%Cca=p-&A??=zO4#5JsbvG;n%2^tgT|%X52=PRnixqMY7jRaC5Q#F5i1(H z4sL55FfB}vSC0VPkbxnxntttHUbx|peq`%%fnf_XuuAlZNd|yHj^uR)>;=s9Oe)Fk zU~0OHuljt෠q$Mv*IyyTb$N>066cvD953;o%Q84Q-i7Ie1DV^vS?*UTm0?6&k z);}468GZFSGC`3mkL&1M&rOKO znFt@+ZP$t0lWQPbjzy>)jP^N5wuZ*eYNV=8pW1H9Exqph99dK~VtY8O;Vg)Wi-r30 zsx3j)=s}B9ahW5JKY~@rQXr|~(l$IeAXUybAQ+z7f20f4m0D(+ zVre?hsFhM2r2Aj{#a~$li@agFi6wyC2Kb?i!+AeuHS!{Y^)Lkdk%S=y26*!oo=drl zhXBIb=(X+BJ(fD1N#L?&o4%{!kizj=xjhf^wSgIZSr|L7 zWw+c_$>ZPW2TzHuA5~d#%JJ zua(r~7UpQxHW5tue*9%sD>=>BHN9k{3Y+dfxqWuA?jYgK!(cFGHa3m7v=@#9CU3W0 zERZw6d?HoZZaLL#3vjT#bZ>Z=l8!dVBj(%)8;Qv$5Vjv96iuXh?`)^Fu>@2Z z>Rd(>hpE~rysHxB{%*9@h`4|UJ{k$tH;QjWPQLc%_V(!CfttMm2ZEkONQ&GPOa9eO zj{e(#yiLvJW7+5aCXhG0hS6^RJ0UnDNOBWXoIpwBa|kyR`}!_H5`erRpf?2aKKplG z`ExoM}3HObol zu9x|l-G`UPv3&Da*sdYJ;kWSa&AW^xugy;mzvcTNIrH^*d8joE-$*y#e(UQd2jH!r z-}c?`S0Q7`>t>6p!-n1QV@p~BD8cxVV{Nwnfxj=~G|uXAJ9%Dj!BkBE?4)b}(QS|M z=__87CB>Vkr^!^53#6?CQ!;$D^AD^I+n|%=DM(j6Z7y$%{lOd5GM;62DvbB zLQcs6TR@1Sga*~JCb5i#)oQz;4YDOO5R3oqU*_FOry@yfFN#jv(^Ndc_e!w&9jVP| z@sk*YZ17p2aDxVuUTq7{b^vh!vnz9Nm07_5)!e9iWzCmapNfm#v6gjO+zV(ey-?EGiUk$*0@W1@yZSR?s40AQ# zrWLRjtm_{akE{HM3C3#D!pyp1ftaM)7RC|7FRRFE5FKb~Hg9xOT~Xnjjj z?^!*U?8MzAWakGLj*q5I4W)zN)Yg{M7vdm6ABq)Z{wE*4rOFsip{3FmblY;#64en1 zht)1p-J@`LAfpk@^;r(qYShQxE4eb`3V&N%}hA{oMYZ2$^vFf0{; zmI@0Yz2NWF6hj1Lft%Can@fQug5<`3@p3h?IX#W{Z{^`ulJJB@ma_(c4&g8@C$t_8WH3VG;}>5!kaaKhO|ic6+)#v8?-lXTLKV|L^k8#oRsBK2q7oc*l%?4P1JR>I>MZuvsqBRj9LSvaJrSnCWp6~}v z)Yx6sVQVM$Wu`MTkXh4GZX!cB{@Txe|ATI=U3BQPSg@#CUJiPw+j7`!SaXY>pCX5j z!c$B7>}>q0d6_}6mJ@+}N3WSlY{HWqkga>QOX4MBY>LTZu-RdVAW|HWgvea^o% zdNC=^C934cjCP|O^~AZ!td~%dq8#*g#a9le+b4HF#i#ny2P-?r9}7H4ev=c0ucz%f z43<$f*(NRWX#_d0%eka75DR;n_49MM@8P$o z9CuYeqjCB7Jnl;X!`lyzFYkC}1Bmg^@9j&r7sl=agL2MXd_Wyh@BjFzLLP)6sumB|OV;7d?QSuraEGWhL zI1;odd2q7<$QnQdS0DT1A#YE}t|JG)JFZ3PJFpfwPh zAi;o$XU^SnpdbOsxSy=+OS?9ofTr>n249IDDey3G73nGLbu=4Ruca3%9F51ERG>M_JUmd2HJ&@>zQk&g$F`{AFtWxN027li}V zT6HO0#$G4DGJ6hGH6Rt>yC7FF6Q-TqtsXPV768%HUOCxkv}ZQ%0OEx^y2N1YrN&^OXmKF-^TfGTzph=1?72@PxASWk zt24>wDt3TZH(^%rJSL41e!1w)hk0!}l8iR)}5oKO}O4jGQ^ zK8KPb9sy7b<_HCv*?hHesXS&MgwMF>!pO6TVw{Kp@C*RDA9m=%2!-yRGLr{okwaH$ zq0CMB3zJ(tw3CnVFlWB($hvPRvMfR@8w;(9Aycp(8IGohIyTON&{n+8L64PbuwvA8 z#$d!MeOEUV-um4sGs~!hzz!#t)~<35#XQq7LdKj|I%tnbh*=x>tjE!?vm`?=??! z%0oxyVqsxq>|C&L8RWfdp=XRv$zI@iF!&q0{&f|iBY#H+(|f*|r~qO}`PAKKt|BEq zl-p(Vju$Wq^X{I*sR1G@Jne;K0tup?xU0L7V>8eeP{PYuK^wL89K*cOya5Q;bh8 zn!;Bo_^Jg5%k`Or!;_5+lBC(s_GOkBNX!~tlqz#D9JT(atF<+Hwbvq-paqmnE#J^i4qW zKNbRf8z3pZhZp&PX~|uvj~H2FFw7yS`6kDaZ-(r|&9Zjqe|E@Dq$SWTx5saSk$-fv z8r_g7`M1Hy{imWk*JN@8!xmEo^Yrg|Va%If8oSxNBL_wC_AGlvFN>NK#(>j`OA62T6G{G0HcpH=1 z!hAbeo%DLb!C~0x*M47%a;jr-Wlrf}QP_LkR$|gYn(m;}E_x}+deiC|-Trc_fAHHs z^qbZPqP)kH=kZ3Yj233nDx2VdR;)%3lS>+%g;wA1L&$@lHgcLAzy9nRy@#i8JPm)q z1$wtet^6#I+Y?(p^h+hyk39B@~Eqt*L24L!l97U^1fBw|x5CJ8pG} zlCz=G0ypmh8@Q$3mZlR7@`&`>t6;RdqWpkjU+n~_u9c|s736<$i=Zh6#w}!kJ8UNj zIX~e{I>W z>6WvvP1dJNsqD$}xOGzMmS{Vc?$1hr<1MSlp~gs2?U~^WWJ6Bl!j|*nPrcpAO%pXZJ`o!Q@A*hH8 z$W%4JgC*mzjIea7YKaC9>57EmvpRmnvswkw`Om~_pF3;SW=dY;@xWorq6ag%N})A9 zcZDi?nN`N(nQuSsjEQqT6NI^X3MsWT_6D;u6LKn01I%O9eWa6S0$uV6`9?-y6L)`81+} zZVA4p${(+RjdFm3pt*9v#Rv1KnBMWeo<5iM{pPu1jMthdJ(D!4qjF)IO}6AVW#HDk zb8GqWAQ#X>oD6Ei9Wa3Cp=G1p@^oMCKAxxzbf)V;*I95ypC|%k1|Ilenl8Attgm?2 zVvS0Pbgy2cn@7{2=ho)40l4$$UtkMQHeg^mExWH2SjF_yHiN(sNmR1xwxCRTM5~M! zO}c7Kwr!?|tiftzwjqP3DHSrSxP&wY26k%C4g2&wE2Ga=BlbIrAHamH|MtVUjAp$s z_GJ6<0+Ux7EZ(ugLQc}pSv{@z`H_aBbgfu)W)t2ryg?Qe7{UnJ-nanpIdnD}JBzZy zlar>y1)B~@B7z)d6{kTGTZ?7Zy}K7V-`uDQCUq3eq@jHM-~0rPoUp+|h(pl0RrUp} zOh-US4pd_fOrh#TW@DA$H&s`oxB|DytaHfMAQo&IJehg+(6Rb0=V^(;+=Qz^Jr=;n zdEWrF<`slnZ)AUIg;~2@OLK9Ady@kmcH{OBG+upV2k?JYb#0TV zY0*cJw#Z^^+d7o-Ssz<*Au0f3XBkTp!Z34$yBJ0sr<-Int!hpPkg|Fu>eIuPm~Cfy zpmqzeWp8dtr9J<0Vu*T8Cx4aGVk$LUxybnm!RfH1zVVyyGSjSw%rDPjAjo=-#l8x5 z+VWJ6tt5aPCM}a(%8Z3yE0kFaoJv(R&V^}DJ`x@geqt#~4I(RCixk~8P|fzhY|X%B zJ%^p#t>#W9@Ze%LF>gk-{MQ%%8v};18w!UGrWJ);r)^uI@R&*Yl_KyIp>Ydfa5jq< z&{sD{NouezgS56#pkS@ExH6Q$Ht!9;wI-r+N*{r|?bDjyS+tjNLPa_K^n( zIJ7WAWSX6(Z!}h`7eV@Sn=3vK!8XwVCe3bqrot5ob}b>~Z+EZ}c*U~`Hxt4rMwl2D zS<-WDWY<^6K{HJSK~W8e`=zWVR*ss*moh7hsm&y?v^l~k04lPUlNh`cia9$0Xy9V; zmiSJ6@m94wW6jL`xEp`-U%zWLI+TPCe>TfF>acBB35b{l3dMIgc@+i>XH1Z<1o;tR zCX(tTE{3ztEG>1-v9#`U^0DFv#4=f8G&0VZ9cfj?uBkycr7(qHdN#o}a8*cF2bXKvS*zfy5zU=Uv#6w?SkLsc zg|cCd5i(jA1`NfwH6zk;B@t4|M**Ry;?SLHSjiy8uKI9eq|q-mu0;Df%)qsHW1HT= zO#-%XedXMA z`E(=~*>RiR5#4YW25}~(~bFsuO`{f^!Wb%e5v=v`26^t?bq%YU5Y-| zPNsv#**H5@gc8{-f3O2_??SXRIeKBbw`+QP{4_YDrutmrs4kasW8&-wy{DN`<;mUM zKTaO^&&6mQ&-DSlil_ao_xSjXZlIb>T0;G5)bpD2$P-LvhIkk?X7uT(nQ(4vo=uiE zCgYeV&N>NxS9ThQ1yZupq$0xpIaPZJQdB*qZfsg$D`|=>&f-?bMw9V2dhV-nBr?DlbJ1M$F^KR6>waO?B*B4N`!N0zId&d z+Pi`lo54*brFeV3iQzYZPGEob|mAv^y5N5fFayG;e@AUkun|#E&uYn=K zx=cy721YmEM~X~mLtlJeIr{h1J_R-M0t80>dDv8b+b4k~cLrZM+;~#H`q%%~s{gKl zE`?+d{^31V@p`bZcfH!x?3;Jg9d&W57AX zF=g4)K|-4}(iFhbkkYV&>#*gjWU5Xi(_n=pPgc_>6;vma(|m`jxnxDdA56bSET8&O z_dVZzVEn&R`n7-aTJ^|0DWP}v$r67ehV}<$L^7;Wd$y(5Arw|Wmh1svi7)Ls(?5K5 z`Szv+o4jgT0yui6ebHYDo}qmjSZw0kz76=Zosob`mUpI_mnau^J#qBHMnuXy9e<`T-90tso@|>w z9T=f$%w^<$wbO%e$a%3d5_G5LY3O#LGfA(rHmW9BUr|iYSr|cz)pU8EGg=DxWaJ++ z)l7#sc)aw0u*^)err76Gx_feovWZ;je)p!9+HmgGe7KmAxW|}aV z1;v+ULR}r0PB!>AB+zsr1iejMUR<2v*757T$rv{*}2Ke$b4* z{Zo%Hr5zyK8Hk1(EnJ2?xxpvceJ*WdK&-E2Hata;&?Fe4L4m#~fZXoq1eY*%s9t0E zttNBWnxLy74S|4P5`?RlIh!v4Dw*D#pa6>?u)IF5KP!yMpYMLQbm*#eO+{wjcAf41 z{)jIJ>9aHa$Cdk~KYEX32f;XEHc@QP;IEQVNsUpAhU>9oga~dY7rkv-IKf(DeAP98Pi&Qp3Bm~0UuFSh7$;fDHIr5HHht-(FDvcV zFRSDfzl8(rVkl*MpM>mNNGaqR#+yAyXcW$5^0TUsUxK^F4@MDv;M>0Zbv2n~f2`wPGg&SXA_ zlJxvuLZ}uc$FP3LT*7%WE=={}e4goq1YYQ_YK$K-@lxNmyaVd~-`uKYakkGzIx+&X zI})2PMZ55P0`>cNQduQuUtvPY)%Ya506D%=TtvSZ#19LfZ0S4~lz9S_7|+TjT3uBO zj)bW7@V#->jaRXBFuV}K>N#?miae_2)U&~}5;QNURX@XhRul8>=2=20 z|8^UC%#>odh5;+8**#fHAd4}_(T2S>tfz*L3PlBQwPm+Z=~r3pD6~iPIQC8y52unI zfZfO})M6&(a|?#MU(et-3P;_Bo7HfhAzxdQ1DT7(f?kPw(oJ+Wz7ko-`S&(=DNQEi z3uzDEFXh}C?YcV|v(NwPy8#}bl%`hd^Sh1a@tbMU|7Qu!Zwe;^>HW>DXs)rzhrCIq zyeU*XXL72MU!LIKA)6AxoZxi{bbPz^7}yf%&g&F?qAR&QSI=I`GAE8GS1<4t_he7jlH1NqQ@`s|XY zb`*XgN(T|3qe`iKt{a8(_;95Fd65caWkf8@x6w*Y29czqaU~i}ACA}|O8#|~_!Px%dd+c#d#GyL z*aP{)y*ca7wqH!#mi)6s`L8rS(~|QUPU&j21o*DoHs=sD0!24(>(ZX9F=>9re3#06 zlHCRJ#YoHo)E02XFqJZd0+r$N0RXlgtX4KOLFQ~iQH?G+y!R%mn&ZeYNGNH1QM_Ed z;vxs&{Q!PFOe*)+|7`1RNkwouRUO1o=5!NsX5fi~F~z1c9L)l(X2UD~{nP=Lif9ZQ z<&uve`G`8Cajh{wwKoo+L79b^{Pmcx!Bs$Jdya1_2;;<1j~o4qIrS`P!yn)MKWk(3~}es~Lyc z4yV@MI``gxW@b?9_9(EH#^HbnYd87N8GdCPI?L!#t~F3z|Yju412* zR#}rH5-ZIDoVw~ut0gG0nXqmnhe|I_Q*0sQO}Rl>NQ2|;Q@7%g;pba~d|;SP;O0FR zyPcKfJHHyv9Sa|V#~GfhSXO@_0>;X8V=jxE+j0PHxryRhH0C`#K4{z?;ktL^h4@ga z329CF`#}HcDYOdaiJsI~!>w!R}K-$&je7jSa^gfaPfFBoGN_jXr<5Xm8 zc$0I9E?xF&M^b%Yx;B>`pOx|6co#jDn;VU|XB;fb_{z~64?F@!VEScq=U_aUq%ex3 z(8&WD8D!s6)?<-fmL*qaEG~ylh{Z$AE_zb4yoMVRdx%+T06rZ@D9}19ct&R|HIOcA zzmu}DEqi0`r@u8K8ioBnc-s=(<$UmH(K1vws`nYqPgcxbKo5gV#93yxGFFKB3J@y6 zEf=7lB&{}x4Q+svN?s#!C4G9#Q0{_{wZWuFKnDX+d_(zqSc!`cyFk-fY<4^( z3>N_GQyuwhqM zD2^7YoGY>6+D)Cq4GIJujyw~hZ`s4jirrGpc-0^$IdIJ>ztwEr4!MbT2)my9Cgzm z?QFSm{s++jQ34Z?t;RDrYwBu(WDR?iDOTZL@_2i;Z2?R|?_8yS7!4h_q~#LY+(A>nqmBN(XJq~)YNPMeh6=%om)H8KZn{2;w}aVFdnYL@^|_Fw-D z4+uCXMI*5h z7(1k14Gsp&9*2P@e|xsQ%D|#nYfIhoIn_)ue#>EsXajq?OpnO^TKNtq4%>;Ti^p1J zZW*?-@i~^FVdulpRXm%)!Z{U56lfN=xg}R{XtFml2h2ogzjxRdp)N4!pk?{%iOa>R zE3lJY@{GIZDOn{3#~XII3nH!DJO0}5JYW?8YFjpULDeB-0GOybAZ)@_q0Mq$T#JU_ zFzj%|9WX#KaVWXK1F>up6-jnZFhX`E7thW~O%PHm9d)a( z|M$OQRogbbrg>+{SAd=bT$T`WcnH-6_?y*=?@5in0Fhjp4$dkucd(h7SqBzD%aMpa zU_xi?AOg#KftK5`Wd_Eo#_g@Mn&Z5tx(oPVK=S=4fe@NilKtYMzlwOwYk%~eJZKa> zSF??C!yqwzShtyg)@Ysw*i&iACV>t`&I-r?HrV4FoBwh#Xm+w~Dtsh0AT~sf{n>IuGHMLaMAsTNcVKcwCADm^~rL8y4xT=)2^kFH2RNY7jOTAUx?I;*|sC9e6nZ| z%_qe70fC5<-DR*Iz|1EqqF*Ww{|LPsE%RH(~fqPJ&DwSg&4-AP? zrgsCz)EPbxowy)d7JFtkverG)GR!uU7d>QiBA|FgLH8p!_fV7Is>!*f&KU^FFl+cs z2Obz;om#$q^Dm?uj}`t5bwa(Kf*ayp)%`~WTk$JCT5=hQ!$5--0IL8d+W)aUqM%-Iokk+VZs_UL&Me6JW`6!`QK>ssBkQ434$L;$@Q{eM^0eSYW_=|@@9PcA3xu-%tE`mGK`=Y}= z!wm(3OLzjn&b`qS&yIZTfd{uz`-(ZP>v%hwu0WKL&7az67HzX8PWU5M%?9@xspe=X zG(RM_$3d+~Ea#~qppk82)loyPYcB=%#C0&NN)^IK1%KMnDU2A^&6cyCd(5}P0&udH z$*2CpM8Kn>Y3ZH^f&k1nXg(Pk%fRFG^ z?GUNUL)`(U6IiZk#rjCNjif-b$cLoKCXLk#l=<=&E<_XCkVPAEJ#+9AqF587NMAXDWT4EZqY8^+$MkOjND+c*$|TGk+E`eD z)ro|rmB5K@uH3sP8IXvdBZ&&MdMc0y=O>(yQG8U1S!sx_z~ACKAAM%tEE--wmHtcKDEQv9HifGe42F%TZn;* znf(S3HL|HxW=w)X#9=)J(wZ+LHB*@@F!mgNX3T| z@%|(p&6TuwRfo0l9JH)>JDN#ICe{%5hc~K0GlKy-keQM#sFHfkXH$Ug64Qyy%xZc! zXzck2-WQk@e-NNZkQD_J2a;BcfEi(`Ty`W#6kI9f^pu&JKf>G<^u+F z;6G4M1Ei9XxE4u;`J}9G+;hu!UGeY#A-s8Wme=3+y7AO6KIW(T3mzh)niL^xbb7o& z@!iouyxTQ+CmxAfbZX>RBVh(HPm(JTo%1_XigQ~is6Q2)^BeGwF*(6LG0wHXqpr=h z?~j0N6x73b;&J~391I+jjKbwLVN-kF(8cyU@sg@dR~C+>dp7`oMqRJwTw8+y(EDuk zSh`F7*kv!AjwA`?@%~qz`24CrPhb(WtdxU?1vSa*&DFxJA1SFP$e1Iur)4(_LF6>E z>{zBV)jEqEr=HhENKvhbo1|t7L+IED%3*51#Ckoxnp3O3J;@M_c zv^6&dtc;*>;T#R(R_Qz)S@X|EQ;Z>a-E05VAao{+84rw?h!#W!oatI3wBc!>_m1Gz zP9IdMnZXEv^H7eeE-MwEOFN?D^0ce90*eDRh5i6a>Y2N< z_6p=!ID!(Az}2$wn1qKPdffDRNQ1TVQp|(%7^rEI(iUT!mOxt;p}6_c=W##Zb9dLW zEW$7S0Y}<<=bo84Ki>16bKduR-t(UNzSwp^NfIHv*QE>8V29i(;%v97KUOWr_UI*3 z$-BDs16i3&wS0;U9+ zKQ63?O(p!+35pYJ&k7g(T;?T=tk!V8AdUq&_xw24ox+)9DarswU9jFX2FwDBFKSDZ z8pB_Trkgxc(UU+)0}u_{sdW$ZRvNpnGF9jmHa^`g@4I~{Y3^>e>vDV;`Ulc#wXvh} zCOsTsZ{Jb6LtZ8I9r;pPrEzu`9n+*oyjhNymPr)$HDIZJ zCnzZ}md5Dw)}LozZ{fc@`P{yl8NW)<=*+^txSO$wba$hEZLQfoI#*S<-r^^t>}Y3W zer0u}Ok-(JWAgGEo7|G(pCuKb+m6P2n|ZrAUg4zcP>*#>m~)mTv+Ju>*y8s9A!k!8 zI6*IXD5&m?kF_UCIy^|z)eX!>Zm5P?PQ$wM#wvXz%eRB2t#wT}k*{T8eI+hnM% zHLe;1!(KXkIxGM0zhDDxVI#KI(r41Dz9YUI=mFRq^OZ(^xMV*g(}@M6pxw&ryN>#p zBswQ@Sh+zj{&SuLt!K6 z3T*90v&L12vk6m?1>Q@OyrfnIVCnjI-=Kw^N(EDqOC+?K1UHH|+aoIU9L@@NVZh8e zD80I=W^4~ZiJsig*m{``-Zb+^As!=$2?Jy1Ex-zp2nqP+ama=i-&l;}qQSH56N#wDw4bPu3I>%0FshNLIWV&tWw-0>jzE!762_);gR8S*6A4 z1cb(f+1h*sEIpP=_w#eo*O+9A3 zWhI457tpluAM}C1ZJOk{fdxDB+2q|x3Eqsscmc7?J5X8z0f&E=0Wj_@b4=t*FQq&S zzO7f3F7(UUEVZizbvEq+ysue-zK78ersfsy!-;|OT$}T`yJ3NT0U&dnt>A2+QyZ_X z(Ex5_=f0U|R-vJ~yBqA2mMOd${>#xjLc~fSyXshD_s)ewX_I0lvU$X;67&+$K$5|l zJg{^EOepA5V37neW(Wn|rpB;B8u|9hU!5Bm}37hW!$ei5=kI0z5A37=C@dLv-}Q7;A8@7&nM2HHnVk7j#iIlg^( zewDTsUxs5al?z!Ikh_t5kg@l-1_G~>U|@{(e>-X>Mr~vx-?nk((X3{Blh;?A7~4dI zm@G?fS&xx5^Z>lffgyG@lwa(6ljWh)RcX?KCTG_7J2OGymmTfE8V_c(V!%Q;v4Ho0 zBjI*&d{T_{eBfwEG+I4A`rD8QGT~l=3Ic5LqaHGW7v4CPo}DC`Qd`!B^k6=`4~c)R zQ?pt_F7Nk~RUi&9SNb(H_VY9wpU>Z!m0^(0R)$6?ma$qRk!HF(?`Gzjt1fLt<;f-h zWy@RW9a`AY-iOoQWY4}G`x-kwikqp%7AUnG7dWTa2H25556h6 z5evuUe(>x2{UfJo!8gV8*@8dZ-FftGB(vI``C5U%kmCgT{!4zj2L>~Kcwv5G?k#qD z;mnUGiQqxRAN)cuY2_}OOMo-EJA zP4Lik9}InccLrZW$?!||A?LiY`B=8vuo#N6BY8eW7CUO|ug^X=r~f!|MiM)ml_kf_ zaKsHQ!f`L-J701Yt2+3Ie0>k=)jMN2pAF~P{qLF24(yW!Dn5*=#k_k@Mu$!&Psi`% zwVRUqb$Bk=H*+%H6HnfKxLD4PJpPskX4B{Q9$olk)8o*Xrf|Y8ZXE?c?*AII9|+_Q zL4V+cwHdA(l}s0>_`HM9xn9Y^6!>=B+NteuOE!eFm&D$Xr|4H3cqNafZ6T5Dmt#2A z$2r!PubxRd?m_l)&-FgzKCvek^tdvv1=z)Vb77&~K^biJFqSH|-km=4`+v+aFrTs~ z+ZhTfkQ+GMO?Qs3fwF-E?tj%ivV^SPxbzu7#PFF*qkDF;Lwn@qItZo&Yn-@KJ5X@| z$v*I%t8L+;_KMNZ=2$~!O&u69w^ZRba;hu0k?h6&sKs+%ysEQfM!(j(;g4)1`HXC< zR$j&{C++_z*{GfQ3$-O|_a4CNZ0f4Js5^WD2nSQKMVO_a$ZA`(A&mF8@ z9*=%~DXQ)np)|*u6l~?%dOv^L@844cO07RyBAnc`l)kY|T3AEQnXs)0`N|X-PaOcFlCR0*&X=R(d(=0YN&0P`_S^tK-aEy2Rjma90+Tbho8DXbuUP!gIj-;a*m-@60E zc=Y}T+lZrLW$xBPwW(jUW6KjPv36D_fFiqKQX_c%!=>U3r-0ew@aUGz=hNtOm(C^@b)7TSEvtL$}T8?na>~&jbvQOh<@%i;- z1~KeX*TF1-egLH!U=^@(1P%#`vUrajJ>A5o>E0`N`O$@w4UEzR)3#W@*rb zO+4I!Wp&FImU(PjaG{{<+ztTnnNT`0UHj0m8z>_c{aoVbgH(06``wj zW@l47hcS{J86C-SK=@$H7VPUQFfLl=CYHnO@T+q$*mT8KQydo4)ehd&9IOCp9UnBO z-W~@Pz{w32$X*UVXIaHrMfQk4y^>=soZqrd&jUMGS%2gJ3AN$&l?+#lt&`Ucv94NY zqUm3ro91HW*B7_9hG@k*N>K4P?_`VXByNo7QtfRofZ8w|YT!q4@m{+7O%Gg$^o9JY zaRGB0&ZJ>~G82G|VT%n}HfOt^+vuG( zDvOQi3Y$`rhbBB~QLu~N>|m>Jg?M=ET0@^$9^nv|9cBbn|d(_EM^?a)I z%M`S)d>wYFxz#ReS(EO-($Vc1My2M7z6RQiOsg5)h5%e^QNp|egQn(E6>J;^9C``o z^K@@n9;p|PKX}EdX0aSKS#CO1rkp|1T^#cc*wfAOg@=Lr=d;mr^=Qh*s^kk*N|o?B zop9jAhxV{GyrcF)elua~=$IR-(BILGdl^={NQquPwdj}Z{62my!h=qPN!O6DPbG4Z zmtR{QPpWG@cA5+y&#>;eI!`Ed3*oOl^p7LQEfCPGo0nKRlIE`1f-O7% z#J8h)RM}AKR6t>h|IBu^$W(Q(yX*F}ma>YD0>9m^8JqLKu%<7p$Qp50+cl2uq~@iW zCBKq^iOIW<^za35Fodj%*wG1RlUNZ5AuK%et*<%Y5AV+yK5C=#(}2HsZyXD=0sP7J z;yKoW7XxnF+A)1G(8G`YAc<^lyWa69gQI1(>^ZS|bY_^@^rOA+G}(E+65sdPX+IR; zhq!0(;mug!3>azq9MlHg17I)Uhb{@ZKAsX>e1~Tho1<25-7SCTrCZlrblel?#{4kX z>yLg}k}z^@{<(>US#6E1#kk9vj5xV3nLtJ3Ji4Grfk6Oc@_b?BI4k|wlI&}|l7kG# zgVkoZ(6d9iTxm5?agW6e=6Qv#uI1URIbT}TKIW|w1?){I(nogO8}I8Tox^LWCC8Ej zzC|^}cCcF&s8d?f4&&b0Kl=!39pMxBc z&9Jj9%!0xPV(D7@q8*n(7MXq|59OoqvD3l`zJ9z31kwpAPIEwSF(?Xtzi}$I97X!A z?}%%1tbaJ>1(}uUxQy_|M7E&^W%w{)QT6adln=)l<51zH7B$9LX*Ed7Iga%L8ukc; zAX=yL5>6e?x=b0m1onY(l0FENZ*Toa?zR*~P#QCy2h_VGtbive8#)WLu;Mjh^G*O* zM+EUH2RryVm)43yr8AK{V+2&CPW&@G2twx{-DYs&%trGW??AfNnDkp^S>E3W-xY_} zqx>?2BrmCyY$HvRN!pH#K%&qLF;u0TiJ7ESC|gNM8)%CtdGdca8IJ=plAhP8sftAp zK(x5M_oa_qmava_mW`s50qm9Aw4Ou7a&icR6h>2=#!wxbT6|7=K*IRy_3c_`UtIXu zT;*{uLx#a5uoKfeY`Qycc{a@{XIdU>YCHY0yfXQzd8T?D8EZ0&0Zzzi9Hz^*$>6s4 zp@E`;b^=J!;SgKVjH1i6-!c7a5^u}|wMh6z?Syd#!vST+{l}nP+`Wn!H6kBlUcn+^ zaXcprYp%SxrW!(xROFNGR$k3qj$Mu;5F{)e8IO{WivlJH$QYBiy11PKJ=*Rt1D`{I z1ytvgl%T*=mNY}Lo4&~E*0)^7CH9hdj6|d|dCspR5%&+!Fl0s@n05di=ySP%fs||t zIK#24%yM~(#!LCCP%h{AhT%jG7sB9oZsiv*)k%`RTgczrZm84=$biP~fOnI~aJ3qP zGz9LglyBk)71aY=mgM26G@JwuvUE4~k(m#C;5lqg)v#QgV2;P?76>+-g(pnd<2{Cy z9AJi-Rr#f`a{wYLMgth)2iH7Q!2n4~$@sBWu9+?fqrqI;g^ZK90iP=DBR_O0JW+TI zI9Qy9LZaEH3fvCEo2e|5{xBxC zoKc4{BVbVgdRWVu`a4PNdKQ_5alEYvLU5_q(oEz*t<;`cdL+1CGek0Gi&WInfn0PR z`WJXsYFwtUcnyx=&>CN_xvs)dcxBa9l{Q0o_VF8v1wEnB4Dp)-9A+mcJ*|DEsiH7` z#{`56Jws)3dX4TD+6YA{imbM%aB=yVwT(?jU|T1WbmBIVW+K)gDZa%`fKon?kXkL z<_sx(Yw&^qbU%W82PW`5U*w3l4fRm*m7y1fm~Q5373INc^5M(E$okkD zv$#SNV_+Nl>UnwL3l4J+@%l_w^@cEqygM#Rrk|KGEruAH&kU@wYRADhyEB-H;vQM5 z96heet15tIM*}itWX-yTtpf4iZLfUBs4Y)Kt#S-Y-ulefv(*?QG7QtcmfG^`Y47Gq zOsipVtjEc6cRYSL1C2>$>|B<#Cw+~@tYm;{m8av}&gjr!Kp!77tejP;wRk*7C>>|p zF9l&Gq|>~qI`Adr)`f|yjDb+Rpj$KWmkU80Yw-Fk`yxq-Kz1=yV#;q15)_9ArtyGHkdRoO+DYB}uTfz~r*M0}SeS?c%E+WHY!~n_8JV)p%Lnt=^qHDy(}th%>af>0q)pv%Q~p zkNB_XS~I;eb?g83>L}U_^rpEVL5u9&)b@;o;AT1nWU+e>J3M9BlvALt`f%T>^8Ngl z8-D?oV<`5E<*^(L0(wOwm6hF(VgD^-DLIk7nP5Cpst&Rd&?1D*=6q)XWo9p*#$BCf z15#lMz_cmGd|--PO|w`db3meenuin^v8GqXX{EzYyvl2`f51yje+0n={a%X})JUXY z_C;(R>;&%bg)-0#*TB^I<)A&}f=@>=Ih0|Dpk*vYG)yH2m#RK^61#_&DzdA{7(=fH z=TB@7&d?$0%ibwm$sT&}8TD{kLQ5F=P=USKWtvyA+hdY}$Q7MliZU#qVcS^EGM2?{ zngRIBz(GKG+z5SOFM%^;-||ZVHJ=bSkWP1FhH!IEKSw-xbne;M@$@jgnlNnT%_~IX zCf5C$AcZa>vi9YlAevxMKm3F6QX?U#oJ2y7! z8nK4ir0L~3dqWw=1G`3Z1D>QsZ}LX$rq<$+U7VKDHC(MGHF~kvjMk5PX%6d6EvivU zZQ^8GaS6avGo(=EMH*KD{_Y_3pae&QqN=hQE8)R?F9o5&8J%f)g&mR|6&?|e&4r#h zRnZR;gf03YqBIpiGV^xb&U3zKVk@eO8A0J=_(es_*KL#E1{qD|CH1ZrtGMz^bt>iX zj9O-PL2EO!o&JMV;}<7yzT~`vGoFT*JbC2B#q==u6AHRK)lllMZ_lPr-f$^&b)nM$ zAkkzcbVRy}zH%fHx&^Zp;}QJK48kQ*ig+@dd%Y105YY42KmOR}LoOM9(CP{sU+%gC z+x|e|w#DswFR|Jt!V!NS(p^fIvFag`?q_^%n&CB$bF(@V5RWCufVnM@w?InfGBG=w zB+|TQ%TNwx7=6<1$bFr)9HZ5?L~Auke(#sO#?OnsT_{;+ayN0!@D% z#8Mha=4TIGekny~xI*$1seC1;%}`)-gDi+bYh`l;_Y;k$q)UZe>fEC_3^T4PDzs4{ z&|C(2hnZKz{*||E3qhv*Vu%zNQW>g8nbXV;no!z(ofs{)VCYg{HKBnWHIoFTPFk{} z&@c->10-Us@{PU(Ij4E@?;!eMUd1qJN}H_*riaHUv|3z=DUZL3HO zP4P1f@et+=N&4jOvvQS4tUaU%V#Rtoy=aNfx#!(#dY0siPAs#X zEXXZf)u}A(OUFz%l;}Y*TpN?&e}4y!QP))71bH_TIlC_*oPP5Ucb7b_zXCt}6SS|G zhssLVr&8=N4H$_P_z4!18lYa?ag~DwR4RA$uT;4N-5xmS5s1;;qA~#WP?Mg>qAYC4cu1$_PI3F$FP=3>@c7eC!Z8~`?nBuDyRdK~YPZR! z6Cb z1H6ZaJ86b?Ja9YfH8?BiTjLAG7wT}$<0)U+?dZ}#^#M=S>l_~R>kuc&9OlXSq*Jyr1zPzL+Xkx>B-Z1ssx*aP0gXgxYT zGCDL>Wi~!pzl4K6fg4b*7JRMgr~NSy1ka4fK^KRB%;l}SGjnwu)|L>_-icm))v{%! zsMW(zZ3M%WaM{M>Xt$xNO;nF$&oZ`ThbxL{bnAzFJc&9LcA!v;*%-yT?h6)MfNnc$W{l z?MBC?9!2m~#JGNhrq8X`f@T#=bVj`dj36X8U}PNo!%|Zq5r8X{tIy$(Qr_$JPsFr0 zn}no~q71j(FH8bZLE+`$0eAG#thLbywNeid`VJ6~E{mGSd^R1Qnf%wL*WaT%_5D(c z*We3s<Tr3lu{ht~s3c8{9gEkpk$0V&WO+CXbk%{D+HUw{9c>B)V8S{hrYcF< z1y2ykW$R4&HZ~P_n*KT^@lC@vAx4bVisufohBd_aOhGy&%tl>z1=x!_9k^4YqJ!7O z&r`=UND3@aWBS6$62xXX3N=7S|C0%0!A;EPNID;Do^&b4{?rozYm`@BqgyONr$rt%QmW!yia}ia2o9}^50T*%+ zB^OWUxrn;uP&sf1|1`UVpPWPn77~B`>*rcXeEd=x>1hFmJ|}Gck_f&THsdyb!?-Yd z@ahG^dbX$E!>ZiVqHgHroI~lu`)!LNhm}G|nQuDOrJ5!wf z_lvMS7YJl%i3R}^;aipBfzTV=>yQg{|W`5wINBKWu_ zeFl$_1G%{E5@dpotuzSw#=Ws#A#Xn|l%~6MYOyXAh}N?Rxb$nT^jOwiKQX48nleH1 zc)#?OO&C$t9@#0%CG3*a&n=!9#BUX&%e~C~N*bZR{`NQNVI_nk3sFT1r)WJ$NJW4; zgQ6l+5F08xMn8XJ{^r*p6w-uy&`nxCHuUSS`V^&rkkFv;ND0}3g<7w^TYEW&kdwGm zSA~l~4U`!_MQv-t9^;Ij5iQUe5Tl?v{~c}gBztFL1Cg$L?nalA0_(~i`nvCubHvh5 z_e;_2_R>$)QGxt=+$UxCL!X4qtS`KQk`01<*{BZEGTS_k2dK~oxt9j|q~>WrdPFw3 z14413_hFMlQ?x#$1`vk{$~KDghkr_08N>$-n<fZrxQ{=%K#l(uR&Uik|kT z{WX9yAO59DtxCdcK zDqyf7qTnP(k=3rhdqpK6b#Yo@5pP^0F#ymRIEN5hWGW4xguK2Pc-I9NXQ>F19e+j^ zMZDPSQ8T3$`=7WRd6{1#dybg+4V<2UDDg_hi`0(ehd{2ibLxD!Om8 z{_q-{qhedgq&-ExL_#VEL4<(19yCahUxj0%0evW&YT(+^4+qKd+eL~n(Ed;UM&qXb zS@>r`V>K^m6vK*)R^bj2ddB@h1Er_{V-cVj$@6aD=oy08nK_pgN-3zz|JoZ4SxJ^; zAm-EvuevanC`n>?!S)%6k-ms$bt>6q4LT|0+0MNm{MrN-E*;iO`LLA?IyX~Es`dG1GdkfJJ?vlpF zY#CvkZ~~0FH9&zJiZcVbMy9R{cN7XcJKS8=M3~|AHkD+q*oWLeX;iB zF7;D?RNraZPBBOLgwUeMlmA^?x#rr6<&1XL<1H*z!~wDW*cL1Nq1-9@6X}`fUezB# zC!VPH#|qTQy-vp-VmCyb*+GFT=N=hZhaVKYSC8hZsKRrMK`B19 zf*Nx&@hcRYaH!e0i4;ZlR>7NwJb|>ek6*?dmm_ z9*_9dEqL*L+vDY@S;pLt!babjkkW|$-2=l!_@;w3rQ)hkeG7WGAL+}pqhkZ@2o8ZA z)FuPvjYkxuC&M@^top}XYMdrXDZnOUP-T_(cE3OV#Fx=2TUu^KsbAhho3PkD26b3= zmL@=U=-d`1n`~MOJ{k}Y3@If#id%Nw%8d)nI2JY4xe9xeFJC;fb;$+KrtIYY;1+rstnt2;quBQSBJ~zJ?_%8oJ(OIkf^yNC(ofMKnZvep>FLVD5@?_= zkAnuBsvrjzWN^$Ieiq3HncXu^y)?(>xK)>WX)2W)ai*!9>RYS!Xwi_$l~2VcBNT9A zDkI8!VdW1pqAxhrx|`Sv!cL!A{Q21<8k!i8$2@dkjY~LHk(l9hWSt=}7{RE3bm+tm z?xQ4YU_wcze`rBnIjD}<5e)7r3%`h0>KdCG(_CsJL5MSkkH#VmWiXAO`Plb&A(H&0 z9^iKIm)EnWyHT$GOITBnxEP`F&>wEQ80ok))_>{x;#r6m8zKv6vyH@j2-oy;x{qT> z7?8P?ADZG#N_@Ui0)BH3iKqn_Wa%fE$>^C7xwLmX3fAyl-S^xyWnFGqX&>ijq*_9Qk;x=|9b|Kpn4fs^Z}I}Wkxqco}|P! zqzCE>CDrM{6kVbK5%fYHaOU$wQ7U>c697>N3K{ROfAU3olMqU{a=|GqgG8^i6Y7%dSrFHXzdk%OiLINr&Jn0piH@4o}%0^~uDmj7bs@XO|adzq@ zj3g=!g4>|JRMRcexT+44-K@jrYxzcm?Lbii=@H{vT0iOeXGedHbjd2^Ho0PL>Z;3u>PT6*PHG&GEq9AhnVPYA}jDs0XNI&V%^6kNe2vKy9m<6 zyEMB>Wc~4m$m|lP02XQt-s?G9Z0If%E^hEa8*E$*p4_~pbX7$Cec_umdJ86n)=INd ze&9FMMW|1~qNC40^&G}%HL~N|&4kYR-k|k4F=24m3!W9)DB_iHfeFI4nH*?OyHH}@ zz#1Ag4b^~>-YgVlXM~FMw}w#77NRNe-t=5OyQcmuNGZC6Q3=lp;Bty0QA$j7=Fxt1 z;glV*2TX@5Frc=&Bh@$d19!R&3sfI+iyF$OEv-bf4@=f)iwGT5xohe=KZFbzAq5?@ zDCOQNL-xk6Z)XTnOdG8&BoRje1_VulZm844MY%w>qRshfU*NaiVxoRx&o)O7)jTZ4 z`-N*X%#kUj6!NevNfjN@4Mpdtq*Taq5JU-grP8b)cnEsBT0v^k2cDpeo*)X{Kmcby z3_>AS7iJW4)z~3!qj0L28q(#EglAuO96~@-S1c93fNkiY#H!n<2peIuc$>dBzqd&2 zs_b6adFHP^h2LP6l$1zDgL0jAQ_0CW+(^|m;$}Kq-*6i0<=`hALD2`rK(F-8UEjTA z)UTue(E}$Y*rHc&GIYrKM33d$g)zDn3Q3)1Wz1tY+7Z-hK*RQ2+r|EhVui& zfrk1REWbh`>9yoc59JHpcCLQSZ*8BT+cYQ82+%^YQh=mw{7V;OAB-#(^}UE)b(F-? z{YZ+%30hv#Jei)KBfl*=JozwVkpmB?rl_mdlB)^?8z5R_Klgk(EMpjtJgJys>A0zY zZhddw-@K;^Jw4mv0;(#{i3{|f)!x4|U!=9sA?cX?iD9ka;*>}$xc0+n1Fe(Cy_w&Q z%qQAID6D(oJJy6*=zVmg9BSD|qbOz(w{OuQQAJJJsks?yILb=jIJ<8nRTfngxtY#g zu>OmN+P59MVqcJ%5FNI{vZ}^XRQTQNUWDc;txD>Gpl{iKYB5uK2@9KOXebYSql2}O z<)scW9WrvB%eh-b7<4PTkW)0ouhJ6rAI~YA&XY;)8FM;Sd;bt93@O4c32IQWyceSs zo)aMBmY88HGUFFI3x(RsWb4AEEOkYlO}g!-uBH@ysV}X^Z+=Y?q0vjN>F*^=v}f1N zIXnolhLt_N2Q~&eLQQd|*aw;1>Tz3HZC<##C^O|CRf%L(JnOT4`s{oDmFl<0(AokrucRypz3AA@n(PhQ!PYZ?eav45Z91?RVLO)MFaOF0H6SX9)#E=-W zK1-?gqBb+bF*c}tkXCxM2s0?}({dR^xV&6B9qo*26QbhIefvLbuV{Yi;&%|`m_wzm zp-WOv{|b_3h(U#Jao52SaI!L*xrc(%1zgz%+8nQKXnAg;?37g9=csLc4VFP^tt|Vw zc<>rb&wIttC#)oFt@tMRs&tF&usHV6B)vKn=lJrJ_~ z{9P!M18|G+!fA_CjxFj*6K#=khZSbXB6U&9rpA&^oh;jF5yDg!AI_U9!#t;IdY|<-x_rC*2a8G({(kxOWHIC~WBjstYCe)Hs(Q_2ms?9{E*` zH5VtKl3$#JlnQNg(GsIz&W^7n#m-iJolLixM+aJ*6FQ7hE(X2z0%85L*M(1g;Q|jm zC2Eiw$zC9=fBLsJ8R48VeQ&Na=|&=hM9)ug{bm1I`Dh3=gJYSw5MMtT;wLYWy1!3& z;w#TI2GX%7^ZPvcgWSIB^G_+s5Aw?QeC8*a;kF7LeqTn}Y|gD$&b#<0|8C1xW%&CN zFF)_)^M9^?^Zn;X{pi0hawq-K3v&LOKMe(w!1T9=bM1~U_~g31;)3x%Qlw}W>CIiN z0+@~~oEtw1UBbq0^x7H_OCAnWh6JrphnoXiAw!BK7K72~YY1qvtS~QHdp4$u$qVwt z9*wSFGSAQ66_JH`F5H|ubI5}^00v8&T{aRAr}*0)PF|K}=fFmlkVa`qosX zyWP)O9Wd`$irL9La&T#>$AO9dY+2@$TD-&h@t6PN#q8WhI;>E{7Fl=P3a+1|VZgxX z%Di<$7b-OSltSMPqFcAz)}=~WnyeP5&Cr9i3bzM0WHru8Emc zc(<$P6hh_R>nd`o5YhGgeUCESUZn6-F+l#_2uT&u_|tp7(=?EjeK0mHU4C KJ5OA+@c#jyA+t*W literal 0 HcmV?d00001 diff --git a/Arcade_MiST/Custom Hardware/Galaga_MIST/galaga_mist.qpf b/Arcade_MiST/Custom Hardware/Galaga_MIST/galaga_mist.qpf index 0ec77b14..82a737e3 100644 --- a/Arcade_MiST/Custom Hardware/Galaga_MIST/galaga_mist.qpf +++ b/Arcade_MiST/Custom Hardware/Galaga_MIST/galaga_mist.qpf @@ -1,29 +1,30 @@ # -------------------------------------------------------------------------- # # -# Copyright (C) 1991-2013 Altera Corporation -# Your use of Altera Corporation's design tools, logic functions +# Copyright (C) 2016 Intel Corporation. All rights reserved. +# Your use of Intel Corporation's design tools, logic functions # and other software and tools, and its AMPP partner logic # functions, and any output files from any of the foregoing # (including device programming or simulation files), and any # associated documentation or information are expressly subject -# to the terms and conditions of the Altera Program License -# Subscription Agreement, Altera MegaCore Function License -# Agreement, or other applicable license agreement, including, -# without limitation, that your use is for the sole purpose of -# programming logic devices manufactured by Altera and sold by -# Altera or its authorized distributors. Please refer to the -# applicable agreement for further details. +# to the terms and conditions of the Intel Program License +# Subscription Agreement, the Intel Quartus Prime License Agreement, +# the Intel MegaCore Function License Agreement, or other +# applicable license agreement, including, without limitation, +# that your use is for the sole purpose of programming logic +# devices manufactured by Intel and sold by Intel or its +# authorized distributors. Please refer to the applicable +# agreement for further details. # # -------------------------------------------------------------------------- # # -# Quartus II 64-Bit -# Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition -# Date created = 20:10:08 November 14, 2016 +# Quartus Prime +# Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition +# Date created = 11:01:44 November 04, 2017 # # -------------------------------------------------------------------------- # -QUARTUS_VERSION = "13.0" -DATE = "20:10:08 November 14, 2016" +QUARTUS_VERSION = "16.1" +DATE = "11:01:44 November 04, 2017" # Revisions diff --git a/Arcade_MiST/Custom Hardware/Galaga_MIST/galaga_mist.qsf b/Arcade_MiST/Custom Hardware/Galaga_MIST/galaga_mist.qsf index 274d00aa..01c085d2 100644 --- a/Arcade_MiST/Custom Hardware/Galaga_MIST/galaga_mist.qsf +++ b/Arcade_MiST/Custom Hardware/Galaga_MIST/galaga_mist.qsf @@ -1,6 +1,6 @@ # -------------------------------------------------------------------------- # # -# Copyright (C) 1991-2014 Altera Corporation +# Copyright (C) 1991-2013 Altera Corporation # Your use of Altera Corporation's design tools, logic functions # and other software and tools, and its AMPP partner logic # functions, and any output files from any of the foregoing @@ -17,23 +17,27 @@ # -------------------------------------------------------------------------- # # # Quartus II 64-Bit -# Version 13.1.4 Build 182 03/12/2014 SJ Web Edition -# Date created = 08:30:59 December 07, 2015 +# Version 13.1.0 Build 162 10/23/2013 SJ Web Edition +# Date created = 15:12:41 May 07, 2018 # # -------------------------------------------------------------------------- # - - - -# Project-Wide Assignments -# ======================== -set_global_assignment -name ORIGINAL_QUARTUS_VERSION 13.1 -set_global_assignment -name PROJECT_CREATION_TIME_DATE "21:40:24 MAY 17, 2014" +# +# Notes: +# +# 1) The default values for assignments are stored in the file: +# galaga_mist_assignment_defaults.qdf +# If this file doesn't exist, see file: +# assignment_defaults.qdf +# +# 2) Altera recommends that you do not modify this file. This +# file is updated automatically by the Quartus II software +# and any changes you make may be lost or overwritten. +# +# -------------------------------------------------------------------------- # +set_global_assignment -name ORIGINAL_QUARTUS_VERSION 15.1.0 +set_global_assignment -name PROJECT_CREATION_TIME_DATE "17:45:13 JUNE 17,2016" set_global_assignment -name LAST_QUARTUS_VERSION 13.1 set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files -set_global_assignment -name NUM_PARALLEL_PROCESSORS ALL - -# Pin & Location Assignments -# ========================== set_location_assignment PIN_7 -to LED set_location_assignment PIN_54 -to CLOCK_27 set_location_assignment PIN_144 -to VGA_R[5] @@ -61,143 +65,74 @@ set_location_assignment PIN_80 -to AUDIO_R set_location_assignment PIN_105 -to SPI_DO set_location_assignment PIN_88 -to SPI_DI set_location_assignment PIN_126 -to SPI_SCK +set_location_assignment PIN_127 -to SPI_SS2 set_location_assignment PIN_91 -to SPI_SS3 set_location_assignment PIN_13 -to CONF_DATA0 set_location_assignment PLL_1 -to "pll:pll|altpll:altpll_component" - -# Classic Timing Assignments -# ========================== -set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 -set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 - -# Analysis & Synthesis Assignments -# ================================ -set_global_assignment -name CYCLONEII_OPTIMIZATION_TECHNIQUE SPEED set_global_assignment -name FAMILY "Cyclone III" set_global_assignment -name TOP_LEVEL_ENTITY galaga_mist -set_global_assignment -name DEVICE_FILTER_PACKAGE TQFP set_global_assignment -name DEVICE_FILTER_PIN_COUNT 144 -set_global_assignment -name ALLOW_POWER_UP_DONT_CARE ON -set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS ON - -# Fitter Assignments -# ================== -set_global_assignment -name FITTER_EARLY_TIMING_ESTIMATE_MODE OPTIMISTIC -set_global_assignment -name PHYSICAL_SYNTHESIS_COMBO_LOGIC ON -set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_RETIMING ON -set_global_assignment -name PHYSICAL_SYNTHESIS_ASYNCHRONOUS_SIGNAL_PIPELINING ON -set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION ON -set_global_assignment -name PHYSICAL_SYNTHESIS_COMBO_LOGIC_FOR_AREA ON -set_global_assignment -name PHYSICAL_SYNTHESIS_MAP_LOGIC_TO_MEMORY_FOR_AREA ON -set_global_assignment -name PHYSICAL_SYNTHESIS_EFFORT EXTRA +set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 8 set_global_assignment -name DEVICE EP3C25E144C8 set_global_assignment -name CYCLONEIII_CONFIGURATION_SCHEME "PASSIVE SERIAL" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN OFF set_global_assignment -name FORCE_CONFIGURATION_VCCIO ON set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVTTL" -set_global_assignment -name CRC_ERROR_OPEN_DRAIN OFF +set_global_assignment -name CYCLONEII_RESERVE_NCEO_AFTER_CONFIGURATION "USE AS REGULAR IO" set_global_assignment -name RESERVE_DATA0_AFTER_CONFIGURATION "USE AS REGULAR IO" set_global_assignment -name RESERVE_DATA1_AFTER_CONFIGURATION "USE AS REGULAR IO" set_global_assignment -name RESERVE_FLASH_NCE_AFTER_CONFIGURATION "USE AS REGULAR IO" set_global_assignment -name RESERVE_DCLK_AFTER_CONFIGURATION "USE AS REGULAR IO" -set_global_assignment -name CYCLONEII_RESERVE_NCEO_AFTER_CONFIGURATION "USE AS REGULAR IO" -set_global_assignment -name OPTIMIZE_HOLD_TIMING "ALL PATHS" -set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING ON -set_global_assignment -name FITTER_EFFORT "STANDARD FIT" - -# Assembler Assignments -# ===================== -set_global_assignment -name GENERATE_RBF_FILE ON +set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim-Altera (VHDL)" set_global_assignment -name USE_CONFIGURATION_DEVICE OFF - -# SignalTap II Assignments -# ======================== -set_global_assignment -name ENABLE_SIGNALTAP OFF -set_global_assignment -name USE_SIGNALTAP_FILE stp1.stp - -# Power Estimation Assignments -# ============================ +set_global_assignment -name GENERATE_RBF_FILE ON set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW" set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)" - -# Advanced I/O Timing Assignments -# =============================== set_global_assignment -name OUTPUT_IO_TIMING_NEAR_END_VMEAS "HALF VCCIO" -rise set_global_assignment -name OUTPUT_IO_TIMING_NEAR_END_VMEAS "HALF VCCIO" -fall set_global_assignment -name OUTPUT_IO_TIMING_FAR_END_VMEAS "HALF SIGNAL SWING" -rise set_global_assignment -name OUTPUT_IO_TIMING_FAR_END_VMEAS "HALF SIGNAL SWING" -fall + set_global_assignment -name EDA_TIME_SCALE "1 ps" -section_id eda_simulation + set_global_assignment -name EDA_OUTPUT_DATA_FORMAT VHDL -section_id eda_simulation + set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity DE10_LITE_Default -section_id Top + set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity DE10_LITE_Default -section_id Top + set_global_assignment -name PARTITION_COLOR 16764057 -entity DE10_LITE_Default -section_id Top + set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity DE10_LITE_Default -section_id Top + set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top + set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top + set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top -# ------------------------ -# start ENTITY(galaga_mist) - -# Pin & Location Assignments -# ========================== - -# Fitter Assignments -# ================== -set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to VGA_R[5] -set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to VGA_R[4] -set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to VGA_R[3] -set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to VGA_R[2] -set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to VGA_R[1] -set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to VGA_R[0] -set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to VGA_G[5] -set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to VGA_G[4] -set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to VGA_G[3] -set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to VGA_G[2] -set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to VGA_G[1] -set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to VGA_G[0] -set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to VGA_B[5] -set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to VGA_B[4] -set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to VGA_B[3] -set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to VGA_B[2] -set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to VGA_B[1] -set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to VGA_B[0] -set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to VGA_HS -set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to VGA_VS -set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to LED -set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to AUDIO_L -set_instance_assignment -name CURRENT_STRENGTH_NEW 8MA -to AUDIO_R -set_instance_assignment -name CURRENT_STRENGTH_NEW 4MA -to SPI_DO -set_instance_assignment -name CURRENT_STRENGTH_NEW 4MA -to CONF_DATA0 - -# end ENTITY(galaga_mist) -# ---------------------- -set_global_assignment -name SMART_RECOMPILE ON -set_global_assignment -name SAVE_DISK_SPACE OFF -set_global_assignment -name FLOW_ENABLE_IO_ASSIGNMENT_ANALYSIS ON - -set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top -set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top -set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top -set_global_assignment -name VHDL_FILE rtl/ROM/sp_palette.vhd -set_global_assignment -name VHDL_FILE rtl/ROM/sp_graphx.vhd -set_global_assignment -name VHDL_FILE rtl/ROM/sound_seq.vhd -set_global_assignment -name VHDL_FILE rtl/ROM/sound_samples.vhd -set_global_assignment -name VHDL_FILE rtl/ROM/galaga_cpu3.vhd -set_global_assignment -name VHDL_FILE rtl/ROM/galaga_cpu2.vhd -set_global_assignment -name VHDL_FILE rtl/ROM/galaga_cpu1.vhd -set_global_assignment -name VHDL_FILE rtl/ROM/bg_palette.vhd -set_global_assignment -name VHDL_FILE rtl/ROM/bg_graphx.vhd -set_global_assignment -name VHDL_FILE rtl/CPU/T80se.vhd -set_global_assignment -name VHDL_FILE rtl/CPU/T80_Reg.vhd -set_global_assignment -name VHDL_FILE rtl/CPU/T80_Pack.vhd -set_global_assignment -name VHDL_FILE rtl/CPU/T80_MCode.vhd -set_global_assignment -name VHDL_FILE rtl/CPU/T80_ALU.vhd -set_global_assignment -name VHDL_FILE rtl/CPU/T80.vhd +set_global_assignment -name VHDL_FILE rtl/mb88.vhd +set_global_assignment -name VERILOG_FILE rtl/keyboard.v +set_global_assignment -name SYSTEMVERILOG_FILE rtl/hq2x.sv set_global_assignment -name SYSTEMVERILOG_FILE rtl/video_mixer.sv set_global_assignment -name VHDL_FILE rtl/stars_machine.vhd set_global_assignment -name VHDL_FILE rtl/stars.vhd +set_global_assignment -name VHDL_FILE rtl/sp_palette.vhd +set_global_assignment -name VHDL_FILE rtl/sp_graphx.vhd +set_global_assignment -name VHDL_FILE rtl/sound_seq.vhd +set_global_assignment -name VHDL_FILE rtl/sound_samples.vhd set_global_assignment -name VHDL_FILE rtl/sound_machine.vhd set_global_assignment -name VERILOG_FILE rtl/scandoubler.v set_global_assignment -name VHDL_FILE rtl/rgb.vhd set_global_assignment -name VHDL_FILE rtl/pll.vhd set_global_assignment -name VERILOG_FILE rtl/osd.v set_global_assignment -name VERILOG_FILE rtl/mist_io.v -set_global_assignment -name VERILOG_FILE rtl/keyboard.v -set_global_assignment -name SYSTEMVERILOG_FILE rtl/hq2x.sv -set_global_assignment -name VHDL_FILE rtl/gen_video.vhd -set_global_assignment -name VHDL_FILE rtl/gen_ram.vhd set_global_assignment -name VHDL_FILE rtl/galaga_mist.vhd +set_global_assignment -name VHDL_FILE rtl/galaga_cpu3.vhd +set_global_assignment -name VHDL_FILE rtl/galaga_cpu2.vhd +set_global_assignment -name VHDL_FILE rtl/galaga_cpu1.vhd set_global_assignment -name VHDL_FILE rtl/galaga.vhd +set_global_assignment -name VHDL_FILE rtl/gen_ram.vhd set_global_assignment -name VHDL_FILE rtl/dac.vhd +set_global_assignment -name VHDL_FILE rtl/cs54xx_prog.vhd +set_global_assignment -name VHDL_FILE rtl/bg_palette.vhd +set_global_assignment -name VHDL_FILE rtl/bg_graphx.vhd +set_global_assignment -name VHDL_FILE rtl/gen_video.vhd +set_global_assignment -name VHDL_FILE rtl/T80/T80se.vhd +set_global_assignment -name VHDL_FILE rtl/T80/T80_Pack.vhd +set_global_assignment -name VHDL_FILE rtl/T80/T80_Reg.vhd +set_global_assignment -name VHDL_FILE rtl/T80/T80_MCode.vhd +set_global_assignment -name VHDL_FILE rtl/T80/T80_ALU.vhd +set_global_assignment -name VHDL_FILE rtl/T80/T80.vhd set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file diff --git a/Arcade_MiST/Custom Hardware/Galaga_MIST/galaga_mist.srf b/Arcade_MiST/Custom Hardware/Galaga_MIST/galaga_mist.srf new file mode 100644 index 00000000..f5b4c3aa --- /dev/null +++ b/Arcade_MiST/Custom Hardware/Galaga_MIST/galaga_mist.srf @@ -0,0 +1 @@ +{ "" "" "" "*" { } { } 0 10036 "" 0 0 "Quartus II" 0 -1 0 ""} diff --git a/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/ROM/bg_palette.vhd b/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/ROM/bg_palette.vhd deleted file mode 100644 index b7edf1e5..00000000 --- a/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/ROM/bg_palette.vhd +++ /dev/null @@ -1,38 +0,0 @@ -library ieee; -use ieee.std_logic_1164.all,ieee.numeric_std.all; - -entity bg_palette is -port ( - clk : in std_logic; - addr : in std_logic_vector(7 downto 0); - data : out std_logic_vector(3 downto 0) -); -end entity; - -architecture prom of bg_palette is - type rom is array(0 to 255) of std_logic_vector(3 downto 0); - signal rom_data: rom := ( - X"F",X"0",X"0",X"6",X"F",X"D",X"1",X"0",X"F",X"2",X"C",X"D",X"F",X"B",X"1",X"0", - X"F",X"1",X"0",X"1",X"F",X"0",X"0",X"2",X"F",X"0",X"0",X"3",X"F",X"0",X"0",X"5", - X"F",X"0",X"0",X"9",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0", - X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"F",X"0",X"0",X"0",X"0",X"0",X"0",X"0", - X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0", - X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0", - X"F",X"B",X"7",X"6",X"F",X"6",X"B",X"7",X"F",X"7",X"6",X"B",X"F",X"F",X"F",X"1", - X"F",X"F",X"B",X"F",X"F",X"2",X"F",X"F",X"F",X"6",X"6",X"B",X"F",X"6",X"B",X"B", - X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0", - X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0", - X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0", - X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0", - X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0", - X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0", - X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0", - X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0"); -begin -process(clk) -begin - if rising_edge(clk) then - data <= rom_data(to_integer(unsigned(addr))); - end if; -end process; -end architecture; diff --git a/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/ROM/sound_samples.vhd b/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/ROM/sound_samples.vhd deleted file mode 100644 index b983efbd..00000000 --- a/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/ROM/sound_samples.vhd +++ /dev/null @@ -1,38 +0,0 @@ -library ieee; -use ieee.std_logic_1164.all,ieee.numeric_std.all; - -entity sound_samples is -port ( - clk : in std_logic; - addr : in std_logic_vector(7 downto 0); - data : out std_logic_vector(3 downto 0) -); -end entity; - -architecture prom of sound_samples is - type rom is array(0 to 255) of std_logic_vector(3 downto 0); - signal rom_data: rom := ( - X"7",X"9",X"A",X"B",X"C",X"D",X"D",X"E",X"E",X"E",X"D",X"D",X"C",X"B",X"A",X"9", - X"7",X"5",X"4",X"3",X"2",X"1",X"1",X"0",X"0",X"0",X"1",X"1",X"2",X"3",X"4",X"5", - X"7",X"9",X"A",X"B",X"7",X"D",X"D",X"7",X"E",X"7",X"D",X"D",X"7",X"B",X"A",X"9", - X"7",X"5",X"7",X"3",X"7",X"1",X"7",X"0",X"7",X"0",X"7",X"1",X"7",X"3",X"7",X"5", - X"E",X"E",X"E",X"E",X"E",X"E",X"E",X"E",X"E",X"E",X"E",X"E",X"E",X"E",X"E",X"E", - X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0", - X"B",X"D",X"E",X"D",X"C",X"A",X"8",X"8",X"8",X"A",X"C",X"D",X"E",X"D",X"B",X"8", - X"4",X"2",X"1",X"2",X"3",X"5",X"7",X"7",X"7",X"5",X"3",X"2",X"1",X"2",X"4",X"7", - X"7",X"A",X"C",X"D",X"E",X"D",X"C",X"A",X"7",X"4",X"2",X"1",X"0",X"1",X"2",X"4", - X"7",X"B",X"D",X"E",X"D",X"B",X"7",X"3",X"1",X"0",X"1",X"3",X"7",X"E",X"7",X"0", - X"7",X"E",X"C",X"9",X"C",X"E",X"A",X"7",X"C",X"F",X"D",X"8",X"A",X"B",X"7",X"2", - X"8",X"D",X"9",X"4",X"5",X"7",X"2",X"0",X"3",X"8",X"5",X"1",X"3",X"6",X"3",X"1", - X"7",X"8",X"A",X"C",X"E",X"D",X"C",X"C",X"B",X"A",X"8",X"7",X"5",X"6",X"7",X"8", - X"8",X"9",X"A",X"B",X"9",X"8",X"6",X"5",X"4",X"4",X"3",X"2",X"4",X"6",X"8",X"9", - X"A",X"C",X"C",X"A",X"7",X"7",X"8",X"B",X"D",X"E",X"D",X"A",X"6",X"5",X"5",X"7", - X"9",X"9",X"8",X"4",X"1",X"0",X"1",X"3",X"6",X"7",X"7",X"4",X"2",X"2",X"4",X"7"); -begin -process(clk) -begin - if rising_edge(clk) then - data <= rom_data(to_integer(unsigned(addr))); - end if; -end process; -end architecture; diff --git a/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/ROM/sound_seq.vhd b/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/ROM/sound_seq.vhd deleted file mode 100644 index 50ca39f7..00000000 --- a/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/ROM/sound_seq.vhd +++ /dev/null @@ -1,30 +0,0 @@ -library ieee; -use ieee.std_logic_1164.all,ieee.numeric_std.all; - -entity sound_seq is -port ( - clk : in std_logic; - addr : in std_logic_vector(6 downto 0); - data : out std_logic_vector(3 downto 0) -); -end entity; - -architecture prom of sound_seq is - type rom is array(0 to 127) of std_logic_vector(3 downto 0); - signal rom_data: rom := ( - X"F",X"D",X"F",X"F",X"F",X"D",X"F",X"F",X"F",X"D",X"F",X"F",X"F",X"D",X"F",X"F", - X"F",X"D",X"F",X"F",X"F",X"D",X"F",X"F",X"F",X"D",X"F",X"F",X"F",X"D",X"F",X"F", - X"F",X"D",X"F",X"F",X"F",X"D",X"F",X"F",X"F",X"D",X"F",X"F",X"F",X"D",X"F",X"F", - X"F",X"D",X"F",X"F",X"F",X"D",X"F",X"F",X"F",X"D",X"F",X"F",X"F",X"D",X"F",X"F", - X"7",X"F",X"E",X"D",X"F",X"F",X"E",X"D",X"F",X"F",X"E",X"D",X"F",X"F",X"E",X"D", - X"F",X"F",X"E",X"D",X"F",X"F",X"F",X"B",X"7",X"F",X"E",X"D",X"F",X"F",X"E",X"D", - X"F",X"F",X"E",X"D",X"F",X"F",X"E",X"D",X"F",X"F",X"F",X"B",X"7",X"F",X"E",X"D", - X"F",X"F",X"E",X"D",X"F",X"F",X"E",X"D",X"F",X"F",X"E",X"D",X"F",X"F",X"F",X"B"); -begin -process(clk) -begin - if rising_edge(clk) then - data <= rom_data(to_integer(unsigned(addr))); - end if; -end process; -end architecture; diff --git a/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/ROM/sp_palette.vhd b/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/ROM/sp_palette.vhd deleted file mode 100644 index 006b8ab1..00000000 --- a/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/ROM/sp_palette.vhd +++ /dev/null @@ -1,38 +0,0 @@ -library ieee; -use ieee.std_logic_1164.all,ieee.numeric_std.all; - -entity sp_palette is -port ( - clk : in std_logic; - addr : in std_logic_vector(7 downto 0); - data : out std_logic_vector(3 downto 0) -); -end entity; - -architecture prom of sp_palette is - type rom is array(0 to 255) of std_logic_vector(3 downto 0); - signal rom_data: rom := ( - X"F",X"8",X"E",X"2",X"F",X"5",X"B",X"C",X"F",X"0",X"B",X"1",X"F",X"1",X"B",X"2", - X"F",X"8",X"D",X"2",X"F",X"6",X"1",X"4",X"F",X"9",X"1",X"5",X"F",X"7",X"B",X"1", - X"F",X"1",X"6",X"B",X"F",X"1",X"B",X"0",X"F",X"1",X"2",X"0",X"F",X"0",X"1",X"6", - X"F",X"0",X"0",X"6",X"F",X"3",X"B",X"9",X"F",X"6",X"2",X"0",X"0",X"0",X"0",X"0", - X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0", - X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0", - X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0", - X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0", - X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0", - X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0", - X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0", - X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0", - X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0", - X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0", - X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0", - X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0",X"0"); -begin -process(clk) -begin - if rising_edge(clk) then - data <= rom_data(to_integer(unsigned(addr))); - end if; -end process; -end architecture; diff --git a/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/CPU/T80.vhd b/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/T80/T80.vhd similarity index 100% rename from Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/CPU/T80.vhd rename to Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/T80/T80.vhd diff --git a/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/CPU/T80_ALU.vhd b/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/T80/T80_ALU.vhd similarity index 100% rename from Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/CPU/T80_ALU.vhd rename to Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/T80/T80_ALU.vhd diff --git a/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/CPU/T80_MCode.vhd b/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/T80/T80_MCode.vhd similarity index 100% rename from Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/CPU/T80_MCode.vhd rename to Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/T80/T80_MCode.vhd diff --git a/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/CPU/T80_Pack.vhd b/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/T80/T80_Pack.vhd similarity index 100% rename from Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/CPU/T80_Pack.vhd rename to Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/T80/T80_Pack.vhd diff --git a/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/CPU/T80_Reg.vhd b/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/T80/T80_Reg.vhd similarity index 100% rename from Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/CPU/T80_Reg.vhd rename to Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/T80/T80_Reg.vhd diff --git a/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/CPU/T80se.vhd b/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/T80/T80se.vhd similarity index 100% rename from Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/CPU/T80se.vhd rename to Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/T80/T80se.vhd diff --git a/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/ROM/bg_graphx.vhd b/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/bg_graphx.vhd similarity index 100% rename from Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/ROM/bg_graphx.vhd rename to Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/bg_graphx.vhd diff --git a/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/bg_palette.vhd b/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/bg_palette.vhd new file mode 100644 index 00000000..579ca20f --- /dev/null +++ b/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/bg_palette.vhd @@ -0,0 +1,38 @@ +library ieee; +use ieee.std_logic_1164.all,ieee.numeric_std.all; + +entity bg_palette is +port ( + clk : in std_logic; + addr : in std_logic_vector(7 downto 0); + data : out std_logic_vector(7 downto 0) +); +end entity; + +architecture prom of bg_palette is + type rom is array(0 to 255) of std_logic_vector(7 downto 0); + signal rom_data: rom := ( + X"0F",X"00",X"00",X"06",X"0F",X"0D",X"01",X"00",X"0F",X"02",X"0C",X"0D",X"0F",X"0B",X"01",X"00", + X"0F",X"01",X"00",X"01",X"0F",X"00",X"00",X"02",X"0F",X"00",X"00",X"03",X"0F",X"00",X"00",X"05", + X"0F",X"00",X"00",X"09",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"0F",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"0F",X"0B",X"07",X"06",X"0F",X"06",X"0B",X"07",X"0F",X"07",X"06",X"0B",X"0F",X"0F",X"0F",X"01", + X"0F",X"0F",X"0B",X"0F",X"0F",X"02",X"0F",X"0F",X"0F",X"06",X"06",X"0B",X"0F",X"06",X"0B",X"0B", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00"); +begin +process(clk) +begin + if rising_edge(clk) then + data <= rom_data(to_integer(unsigned(addr))); + end if; +end process; +end architecture; diff --git a/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/galaga.vhd b/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/galaga.vhd index b6d04f39..3627d8a9 100644 --- a/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/galaga.vhd +++ b/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/galaga.vhd @@ -17,9 +17,14 @@ -- Do not redistribute roms whatever the form -- Use at your own risk --------------------------------------------------------------------------------- ------------------ -- Galaga releases -- +-- Release 0.3 - 06/05/2018 - Dar +-- add mb88 explosion sound ship +-- +-- Release 0.2 - 06/11/2017 - Dar +-- fixes twice bullets on single shot => add edge detection en fire +-- -- Release 0.1 - 04/11/2017 - Dar -- fixes 2 ships bullet bug (swap 2xH/2xV command bits) -- @@ -29,14 +34,14 @@ -- Features : -- TV 15KHz mode only (atm) -- Coctail mode ok --- Sound ok, Ship explode missing (custom chip 0x54XX todo) +-- Sound ok -- Starfield from MAME information -- Use with MAME roms from galagamw.zip -- -- Use make_galaga_proms.bat to build vhd file from binaries --- galaga_cpu1.vhd : 3200a.bin, 3300b.bin, 3400c.bin,3500d.bin, +-- galaga_cpu1.vhd : 3200a.bin, 3300b.bin, 3400c.bin,3500d.bin, -- galaga_cpu2.vhd : 3600e.bin -- galaga_cpu3.vhd : 3700g.bin -- bg_graphx.vhd : 2600j.bin @@ -77,7 +82,7 @@ -- simplified emulation in vhdl : 1coin/1credit, 1 or 2 players start -- -- Namco 54XX for sound effects --- no emulation in vhdl atm +-- m88 ok -- -- Namco sound waveform and frequency synthetizer -- full original emulation in vhdl @@ -99,14 +104,15 @@ use ieee.numeric_std.all; entity galaga is port( - clock_18 : in std_logic; - reset : in std_logic; + clock_18 : in std_logic; + reset : in std_logic; +-- tv15Khz_mode : in std_logic; video_r : out std_logic_vector(2 downto 0); video_g : out std_logic_vector(2 downto 0); video_b : out std_logic_vector(1 downto 0); - video_hs : out std_logic; - video_vs : out std_logic; video_blankn : out std_logic; + video_hs : out std_logic; + video_vs : out std_logic; pix_ce : out std_logic; audio : out std_logic_vector(9 downto 0); @@ -125,7 +131,6 @@ port( end galaga; architecture struct of galaga is - signal reset_n: std_logic; signal clock_18n : std_logic; @@ -144,7 +149,7 @@ architecture struct of galaga is signal cpu1_mreq_n : std_logic; signal cpu1_irq_n : std_logic; signal cpu1_nmi_n : std_logic; - + signal cpu1_m1_n : std_logic; signal cpu2_addr : std_logic_vector(15 downto 0); signal cpu2_di : std_logic_vector( 7 downto 0); @@ -152,7 +157,7 @@ architecture struct of galaga is signal cpu2_wr_n : std_logic; signal cpu2_mreq_n : std_logic; signal cpu2_irq_n : std_logic; - + signal cpu2_m1_n : std_logic; signal cpu3_addr : std_logic_vector(15 downto 0); signal cpu3_di : std_logic_vector( 7 downto 0); @@ -160,7 +165,7 @@ architecture struct of galaga is signal cpu3_wr_n : std_logic; signal cpu3_mreq_n : std_logic; signal cpu3_nmi_n : std_logic; - + signal cpu3_m1_n : std_logic; signal bgtile_addr : std_logic_vector(15 downto 0); signal sprite_addr : std_logic_vector(15 downto 0); @@ -191,10 +196,10 @@ architecture struct of galaga is signal cs06XX_do : std_logic_vector( 7 downto 0); signal cs06XX_di : std_logic_vector( 7 downto 0); - signal cs51XX_data_cnt : std_logic_vector( 1 downto 0); - signal cs51XX_coin_mode_cnt : std_logic_vector( 2 downto 0); - signal cs51XX_switch_mode : std_logic; - signal cs51XX_credit_mode : std_logic; + signal cs51XX_data_cnt : std_logic_vector( 1 downto 0) := "00"; + signal cs51XX_coin_mode_cnt : std_logic_vector( 2 downto 0) := "000"; + signal cs51XX_switch_mode : std_logic := '0'; + signal cs51XX_credit_mode : std_logic := '1'; signal cs51XX_do : std_logic_vector( 7 downto 0); signal cs51XX_switch_mode_do : std_logic_vector( 7 downto 0); signal cs51XX_non_switch_mode_do : std_logic_vector( 7 downto 0); @@ -202,8 +207,23 @@ architecture struct of galaga is signal credit_bcd_0 : std_logic_vector( 3 downto 0); signal credit_bcd_1 : std_logic_vector( 3 downto 0); - signal cs54XX_cmd : std_logic_vector( 3 downto 0); - signal cs54XX_do : std_logic_vector( 7 downto 0); +-- signal cs54xx_cmd : std_logic_vector( 3 downto 0); + signal cs54xx_do : std_logic_vector( 7 downto 0); + + signal cs54xx_ena : std_logic; + signal cs54xx_ena_div : std_logic_vector(2 downto 0) := "000"; + signal cs5Xxx_rw : std_logic; + + signal cs54xx_rom_addr : std_logic_vector(10 downto 0); + signal cs54xx_rom_do : std_logic_vector( 7 downto 0); + + signal cs54xx_irq_n : std_logic := '1'; + signal cs54xx_irq_cnt : std_logic_vector( 3 downto 0); + signal cs54xx_k_port_in : std_logic_vector( 3 downto 0); + signal cs54xx_r0_port_in : std_logic_vector( 3 downto 0); + signal cs54xx_audio_1 : std_logic_vector( 3 downto 0); + signal cs54xx_audio_2 : std_logic_vector( 3 downto 0); + signal cs54xx_audio_3 : std_logic_vector( 3 downto 0); signal cs05XX_ctrl : std_logic_vector( 5 downto 0); @@ -218,7 +238,7 @@ architecture struct of galaga is signal bggraphx_addr : std_logic_vector(11 downto 0); signal bggraphx_do : std_logic_vector( 7 downto 0); signal bgpalette_addr : std_logic_vector( 7 downto 0); - signal bgpalette_do : std_logic_vector( 3 downto 0); + signal bgpalette_do : std_logic_vector( 7 downto 0); signal bgbits : std_logic_vector( 3 downto 0); signal rgb_palette_addr : std_logic_vector( 4 downto 0); @@ -239,7 +259,7 @@ architecture struct of galaga is signal spgraphx_addr : std_logic_vector(12 downto 0); signal spgraphx_do : std_logic_vector(7 downto 0); signal sppalette_addr : std_logic_vector(7 downto 0); - signal sppalette_do : std_logic_vector(3 downto 0); + signal sppalette_do : std_logic_vector(7 downto 0); signal spbits_wr : std_logic_vector(3 downto 0); signal spbits_rd : std_logic_vector(3 downto 0); signal spflip_V ,spflip_H : std_logic; @@ -282,13 +302,19 @@ architecture struct of galaga is signal snd_ram_0_we : std_logic; signal snd_ram_1_we : std_logic; + signal snd_audio : std_logic_vector(9 downto 0); signal coin_r : std_logic; signal start1_r : std_logic; signal start2_r : std_logic; + + signal fire1_r : std_logic; + signal fire2_r : std_logic; + signal fire1_mem : std_logic; + signal fire2_mem : std_logic; + begin - pix_ce <= ena_vidgen; clock_18n <= not clock_18; reset_n <= not reset; @@ -298,6 +324,10 @@ dip_switch_b <= "10010111"; --lives:7-6/ bonus:5-3 / coinage:2-0 dip_switch_do <= dip_switch_a(to_integer(unsigned(mux_addr(3 downto 0)))) & dip_switch_b(to_integer(unsigned(mux_addr(3 downto 0)))); +audio <= ("00" & cs54xx_audio_1 & "0000" ) + ("00" & cs54xx_audio_2 & "0000" )+ ('0'&snd_audio(9 downto 1)); +--audio <= ("00" & cs54xx_audio_1 & "00000" ) + ('0'&snd_audio); +--audio <= ('0'&snd_audio); + -- make access slots from 18MHz -- 6MHz for pixel clock and sound machine -- 3MHz for cpu, background and sprite machine @@ -312,14 +342,16 @@ process (clock_18) begin if rising_edge(clock_18) then ena_vidgen <= '0'; - ena_snd_machine <= '0'; + ena_snd_machine <= '0'; cpu1_ena <= '0'; cpu2_ena <= '0'; cpu3_ena <= '0'; + cs54xx_ena <= '0'; if slot = "101" then slot <= (others => '0'); - else + cs54xx_ena_div <= cs54xx_ena_div +'1'; + else slot <= std_logic_vector(unsigned(slot) + 1); end if; @@ -328,6 +360,8 @@ begin if slot = "101" then cpu1_ena <= '1'; end if; if slot = "000" then cpu2_ena <= '1'; end if; if slot = "001" then cpu3_ena <= '1'; end if; + + if slot = "000" and cs54xx_ena_div = "000" then cs54xx_ena <= '1'; end if; end if; end process; @@ -439,15 +473,15 @@ spflips <= "0000000" & spflip_V & spflip_2H & spflip_V & with spdata(3 downto 2) select spgraphx_addr <= (sptile_num(6 downto 0) & spvcnt(3) & sphcnt(3 downto 2) & spvcnt(2 downto 0) ) xor spflips when "00", - (sptile_num(6 downto 1) & sphcnt(4) & spvcnt(3) & sphcnt(3 downto 2) & spvcnt(2 downto 0) ) xor spflips when "01", - (sptile_num(6 downto 2) & spvcnt(4) & sptile_num(0) & spvcnt(3) & sphcnt(3 downto 2) & spvcnt(2 downto 0) ) xor spflips when "10", - (sptile_num(6 downto 2) & spvcnt(4) & sphcnt(4) & spvcnt(3) & sphcnt(3 downto 2) & spvcnt(2 downto 0) ) xor spflips when others; + (sptile_num(6 downto 1) & sphcnt(4) & spvcnt(3) & sphcnt(3 downto 2) & spvcnt(2 downto 0) ) xor spflips when "01", + (sptile_num(6 downto 2) & spvcnt(4) & sptile_num(0) & spvcnt(3) & sphcnt(3 downto 2) & spvcnt(2 downto 0) ) xor spflips when "10", + (sptile_num(6 downto 2) & spvcnt(4) & sphcnt(4) & spvcnt(3) & sphcnt(3 downto 2) & spvcnt(2 downto 0) ) xor spflips when others; sppalette_addr <= sptile_color(5 downto 0) & spgraphx_do(to_integer(unsigned('1' & ((not sphcnt(1 downto 0)) xor spflip_2H )))) & spgraphx_do(to_integer(unsigned('0' & ((not sphcnt(1 downto 0)) xor spflip_2H )))); -spbits_wr <= sppalette_do; +spbits_wr <= sppalette_do(3 downto 0); --- BACKGROUND TILES MACHINE --- -----------------------_-------- @@ -456,12 +490,12 @@ spbits_wr <= sppalette_do; -- 0x8400-0x87FF : tile color bgtile_addr <= "10000" & hcnt(1) & vcnt(7 downto 3) & hcnt(7 downto 3) when (hcnt(8)='1' and flip_h='0') else - "10000" & hcnt(1) & hcnt(4) & hcnt(4) & hcnt(4) & hcnt(4) & hcnt(3) & vcnt(7 downto 3) when (hcnt(8)='0' and flip_h='0') else - "10000" & hcnt(1) & not( vcnt(7 downto 3) & hcnt(7 downto 3)) when (hcnt(8)='1' and flip_h='1') else - "10000" & hcnt(1) & not( hcnt(4) & hcnt(4) & hcnt(4) & hcnt(4) & hcnt(3) & vcnt(7 downto 3)); + "10000" & hcnt(1) & hcnt(4) & hcnt(4) & hcnt(4) & hcnt(4) & hcnt(3) & vcnt(7 downto 3) when (hcnt(8)='0' and flip_h='0') else + "10000" & hcnt(1) & not( vcnt(7 downto 3) & hcnt(7 downto 3)) when (hcnt(8)='1' and flip_h='1') else + "10000" & hcnt(1) & not( hcnt(4) & hcnt(4) & hcnt(4) & hcnt(4) & hcnt(3) & vcnt(7 downto 3)); --- Attention : slot et hcnt ne sont pas entierement synchronisés +-- Attention : slot et hcnt ne sont pas entierement synchronisés -- slot |0 |1 | 2 |3 |4 |5 | ... -- hcnt | 0 or 1 | 1 or 2 | ... @@ -488,7 +522,7 @@ bgpalette_addr <= bgtile_color_r(5 downto 0) & bggraphx_do(to_integer(unsigned('1' & (hcnt(1 downto 0)) xor (flip_h & flip_h)))) & bggraphx_do(to_integer(unsigned('0' & (hcnt(1 downto 0)) xor (flip_h & flip_h)))); -bgbits <= bgpalette_do; +bgbits <= bgpalette_do(3 downto 0); --- STARS MACHINE --- --------------------- @@ -557,7 +591,7 @@ port map( process (clock_18) subtype speed is integer range -3 to 3; type speed_array is array(0 to 7) of speed; - constant speeds : speed_array := ( -1, -2, -3, 0, 3, 2, 1, 0 ); + variable speeds : speed_array := ( -1, -2, -3, 0, 3, 2, 1, 0 ); begin if rising_edge(clock_18) then @@ -591,20 +625,19 @@ end process; rgb_palette_addr <= ('0' & spbits_rd) when bgbits = "1111" else ('1' & bgbits); -process (clock_18) begin - if rising_edge(clock_18) then - if ena_vidgen = '1' then - if rgb_palette_addr(3 downto 0) = "1111" then - video_r <= star_color(1 downto 0) & star_color(1); - video_g <= star_color(3 downto 2) & star_color(3); - video_b <= star_color(5 downto 4); - else - video_r <= rgb_palette_do(2 downto 0); - video_g <= rgb_palette_do(5 downto 3); - video_b <= rgb_palette_do(7 downto 6); - end if; - end if; +process (clock_18, rgb_palette_addr) +begin + if rising_edge(clock_18)then + if rgb_palette_addr(3 downto 0) = "1111" then + video_r <= star_color(1 downto 0) & "0"; + video_g <= star_color(3 downto 2) & "0"; + video_b <= star_color(5 downto 4); + else + video_r <= rgb_palette_do(2 downto 0); + video_g <= rgb_palette_do(5 downto 3); + video_b <= rgb_palette_do(7 downto 6); end if; + end if; end process; @@ -620,7 +653,7 @@ cpu_addr => mux_addr(3 downto 0), cpu_do => mux_cpu_do(3 downto 0), ram_0_we => snd_ram_0_we, ram_1_we => snd_ram_1_we, -audio => audio +audio => snd_audio ); --- CPUS ------------- @@ -636,17 +669,17 @@ mux_addr <= cpu1_addr when "000", with slot select mux_cpu_do <= cpu1_do when "000", - cpu2_do when "001", - cpu3_do when "010", - X"00" when others; + cpu2_do when "001", + cpu3_do when "010", + X"00" when others; mux_cpu_we <= (not cpu1_wr_n and cpu1_ena)or - (not cpu2_wr_n and cpu2_ena)or - (not cpu3_wr_n and cpu3_ena); + (not cpu2_wr_n and cpu2_ena)or + (not cpu3_wr_n and cpu3_ena); mux_cpu_mreq <= (not cpu1_mreq_n and cpu1_ena) or - (not cpu2_mreq_n and cpu2_ena) or - (not cpu3_mreq_n and cpu3_ena); + (not cpu2_mreq_n and cpu2_ena) or + (not cpu3_mreq_n and cpu3_ena); latch_we <= '1' when mux_cpu_we = '1' and mux_addr(15 downto 11) = "01101" else '0'; io_we <= '1' when mux_cpu_we = '1' and mux_addr(15 downto 11) = "01110" else '0'; @@ -671,8 +704,13 @@ begin cpu2_irq_n <= '1'; cs51XX_coin_mode_cnt <= "000"; cs51XX_data_cnt <= "00"; + cs51XX_switch_mode <= '0'; + cs51XX_credit_mode <= '1'; cs05XX_ctrl <= "000000"; flip_h <= '0'; + cs54xx_irq_n <= '1'; + cs54xx_irq_cnt <= X"0"; + else if rising_edge(clock_18n) then if latch_we ='1' and mux_addr(5 downto 4) = "10" then @@ -696,10 +734,26 @@ begin elsif vcnt = std_logic_vector(to_unsigned(240,9)) then cpu2_irq_n <= '0'; end if; + if cs54xx_irq_cnt = X"0" then + cs54xx_irq_n <= '1'; + else + if cs54xx_ena = '1' then + cs54xx_irq_cnt <= cs54xx_irq_cnt - '1'; + end if; + end if; + -- write to cs06XX if io_we = '1' then -- write to data register (0x7000) if mux_addr(8) = '0' then + -- write data to device#4 (cs54XX) + if cs06XX_control(3 downto 0) = "1000" then + -- write data for k and r#0 port and launch irq to advice cs50xx + cs54xx_k_port_in <= mux_cpu_do(7 downto 4); + cs54xx_r0_port_in <= mux_cpu_do(3 downto 0); + cs54xx_irq_n <= '0'; + cs54xx_irq_cnt <= X"7"; + end if; -- write data to device#1 (cs51XX) if cs06XX_control(3 downto 0) = "0001" then -- when not in coin mode @@ -745,7 +799,7 @@ begin -- generate periodic nmi when timer is on if cs06XX_nmi_cnt >= 1 then if cpu1_ena = '1' then -- to get 333ns tick - -- 600 * 333ns = 200µs + -- 600 * 333ns = 200µs if cs06XX_nmi_cnt < 600 then cs06XX_nmi_cnt := cs06XX_nmi_cnt + 1; cpu1_nmi_n <= '1'; @@ -763,13 +817,25 @@ begin change_next <= '1'; end if; end if ; - -- cycle data_cnt at each read + -- cycle data_cnt at each read and clear firex_mem in switch mode if change_next = '1' then if cs06XX_control(3 downto 0) = "0001" then + if cs51XX_data_cnt = "10" then cs51XX_data_cnt <= "00"; else cs51XX_data_cnt <= cs51XX_data_cnt + "01"; end if; + + if cs51XX_data_cnt = "10" then + fire1_mem <= '0'; + fire2_mem <= '0'; + end if; + end if; end if; + -- manage fire button rising edge detection + fire1_r <= fire1; + fire2_r <= fire2; + if fire1_r ='0' and fire1 ='1' then fire1_mem <= '1'; end if; + if fire2_r ='0' and fire2 ='1' then fire2_mem <= '1'; end if; -- manage credit count (bcd) -- increase at each coin up to 99 @@ -787,7 +853,7 @@ begin end if; end if; - -- decrease only when in credit mode + -- decrease only when in credit mode if cs51XX_credit_mode = '1' then if (start1 = '1' and start1_r = '0') then cs51XX_credit_mode <= '0'; @@ -825,37 +891,35 @@ end process; with cs51XX_data_cnt select cs51XX_switch_mode_do <= not (left2 & '0' & right2 & '0' & left1 & '0' & right1 & '0' ) when "00", - not (b_test & b_svce & '0' & coin & start2 & start1 & fire2 & fire1) when "01", - X"00" when others; + not (b_test & b_svce & '0' & coin & start2 & start1 & fire2_mem & fire1_mem) when "01", + X"00" when others; with cs51XX_data_cnt select cs51XX_non_switch_mode_do <= credit_bcd_1 & credit_bcd_0 when "00", -- credits (cpu spy this) - not ("110" & fire1 & left1 & '0' & right1 & '0' ) when "01", - not ("110" & fire2 & left2 & '0' & right2 & '0' ) when "10", - X"00" when "11"; -- N.U. + not ("110" & fire1_mem & left1 & '0' & right1 & '0' ) when "01", + not ("110" & fire2_mem & left2 & '0' & right2 & '0' ) when "10", + X"00" when "11"; -- N.U. cs51XX_do <= cs51XX_switch_mode_do when cs51XX_switch_mode = '1' else cs51XX_non_switch_mode_do; -cs54XX_do <= X"FF"; -- todo (maybe) +cs54XX_do <= X"FF"; -- no data from CS54XX with cs06XX_control(3 downto 0) select cs06XX_di <= cs51XX_do when "0001", - cs54XX_do when "1000", - X"00" when others; + cs54XX_do when "1000", + X"00" when others; cs06XX_do <= cs06XX_di when mux_addr(8)= '0' else cs06XX_control; process (clock_18, nmion_n) begin - if nmion_n = '1' then - elsif rising_edge(clock_18) then - if ena_vidgen = '1' then - if hcnt = "100000000" then - if vcnt = "001000000" or vcnt = "011000000" then cpu3_nmi_n <= '0'; end if; - if vcnt = "001000001" or vcnt = "011000001" then cpu3_nmi_n <= '1'; end if; - end if; + if nmion_n = '1' then + elsif rising_edge(clock_18) and ena_vidgen = '1' then + if hcnt = "100000000" then + if vcnt = "001000000" or vcnt = "011000000" then cpu3_nmi_n <= '0'; end if; + if vcnt = "001000001" or vcnt = "011000001" then cpu3_nmi_n <= '1'; end if; end if; - end if; + end if; end process; with cpu1_addr(15 downto 11) select @@ -920,7 +984,7 @@ port map( INT_n => cpu1_irq_n, NMI_n => cpu1_nmi_n, BUSRQ_n => '1', - M1_n => open, + M1_n => cpu1_m1_n, MREQ_n => cpu1_mreq_n, IORQ_n => open, RD_n => open, @@ -945,7 +1009,7 @@ port map( INT_n => cpu2_irq_n, NMI_n => '1', --cpu_int_n, BUSRQ_n => '1', - M1_n => open, + M1_n => cpu2_m1_n, MREQ_n => cpu2_mreq_n, IORQ_n => open, RD_n => open, @@ -970,7 +1034,7 @@ port map( INT_n => '1', NMI_n => cpu3_nmi_n, BUSRQ_n => '1', - M1_n => open, + M1_n => cpu3_m1_n, MREQ_n => cpu3_mreq_n, IORQ_n => open, RD_n => open, @@ -983,6 +1047,47 @@ port map( DO => cpu3_do ); +-- mb88 - cs54xx (28 pins IC, 1024 bytes rom) +mb88_54xx : entity work.mb88 +port map( + reset_n => reset_cpu_n, --reset_n, + clock => clock_18, + ena => cs54xx_ena, + + r0_port_in => cs54xx_r0_port_in, -- pin 12,13,15,16 + r1_port_in => X"0", + r2_port_in => X"0", + r3_port_in => X"0", + r0_port_out => open, + r1_port_out => cs54xx_audio_3, -- pin 17,18,19,20 (resistor divider ) + r2_port_out => open, + r3_port_out => open, + k_port_in => cs54xx_k_port_in, -- pin 24,25,26,27 + ol_port_out => cs54xx_audio_1, -- pin 4, 5, 6, 7 (resistor divider 150K/22K) + oh_port_out => cs54xx_audio_2, -- pin 8, 9,10,11 (resistor divider 47K/10K) + p_port_out => open, + + stby_n => '0', + tc_n => '0', + irq_n => cs54xx_irq_n, + sc_in_n => '0', + si_n => '0', + sc_out_n => open, + so_n => open, + to_n => open, + + rom_addr => cs54xx_rom_addr, + rom_data => cs54xx_rom_do +); + +-- cs54xx program ROM +cs54xx_prog : entity work.cs54xx_prog +port map( + clk => clock_18n, + addr => cs54xx_rom_addr(9 downto 0), + data => cs54xx_rom_do +); + -- cpu1 program ROM rom_cpu1 : entity work.galaga_cpu1 port map( @@ -1109,4 +1214,4 @@ port map( data => rgb_palette_do ); -end struct; +end struct; \ No newline at end of file diff --git a/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/ROM/galaga_cpu1.vhd b/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/galaga_cpu1.vhd similarity index 100% rename from Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/ROM/galaga_cpu1.vhd rename to Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/galaga_cpu1.vhd diff --git a/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/ROM/galaga_cpu2.vhd b/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/galaga_cpu2.vhd similarity index 100% rename from Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/ROM/galaga_cpu2.vhd rename to Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/galaga_cpu2.vhd diff --git a/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/ROM/galaga_cpu3.vhd b/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/galaga_cpu3.vhd similarity index 100% rename from Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/ROM/galaga_cpu3.vhd rename to Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/galaga_cpu3.vhd diff --git a/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/galaga_mist.vhd b/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/galaga_mist.vhd index 6e089ceb..0fdbb774 100644 --- a/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/galaga_mist.vhd +++ b/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/galaga_mist.vhd @@ -1,37 +1,3 @@ ---------------------------------------------------------------------------------- --- Mist FPGA Top level for Galaga Midway by Gehstock. Original DE2 Toplevel by Dar (darfpga@aol.fr) (December 2016) --- http://darfpga.blogspot.fr ---------------------------------------------------------------------------------- --- Educational use only --- Do not redistribute synthetized file with roms --- Do not redistribute roms whatever the form --- Use at your own risk ---------------------------------------------------------------------------------- --- --- Main features : --- PS2 keyboard input --- Joystick input --- Sigma Delta sound output --- NO board SRAM/Flash used --- --- Uses 1 pll for 18MHz, 11MHz and 14khz generation from 27MHz --- --- Board key : --- 0 : reset --- --- Keyboard inputs : --- ESC : Add coin --- 1 : Start 1 player --- 2 : Start 2 players --- SPACE : Fire player 1 & 2 --- UP arrow : Move right player 1 & 2 --- DOWN arrow : Move left player 1 & 2 --- --- Dip switch and other details : see galaga.vhd - ---------------------------------------------------------------------------------- - - library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.ALL; @@ -235,8 +201,8 @@ galaga : entity work.galaga pix_ce => pix_ce, audio => audio, - b_test => '0', --no Function at all - b_svce => '0', --no Function at all + b_test => '0', + b_svce => '0', coin => kbd_joy(3) or status(1), start1 => kbd_joy(1) or status(2), start2 => kbd_joy(2) or status(3), @@ -248,9 +214,9 @@ galaga : entity work.galaga fire2 => joy0(4) or joy1(4) or kbd_joy(0) ); -VGA_R_O <= r when blankn = '1' else "000"; -VGA_G_O <= g when blankn = '1' else "000"; -VGA_B_O <= b & b(1) when blankn = '1' else "000"; +VGA_R_O <= r when blankn = '1' else "000"; +VGA_G_O <= g when blankn = '1' else "000"; +VGA_B_O <= b&b(1) when blankn = '1' else "000"; u_dac : entity work.dac port map( diff --git a/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/sound_machine.vhd b/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/sound_machine.vhd index e8df4c1a..d4cfda81 100644 --- a/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/sound_machine.vhd +++ b/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/sound_machine.vhd @@ -38,10 +38,11 @@ architecture struct of sound_machine is signal snd_ram_0_do : std_logic_vector(3 downto 0); signal snd_ram_1_do : std_logic_vector(3 downto 0); - signal snd_seq_do : std_logic_vector(3 downto 0); + signal snd_seq_addr : std_logic_vector(7 downto 0); + signal snd_seq_do : std_logic_vector(7 downto 0); signal snd_samples_addr : std_logic_vector(7 downto 0); - signal snd_samples_do : std_logic_vector(3 downto 0); + signal snd_samples_do : std_logic_vector(7 downto 0); signal sum : std_logic_vector(4 downto 0) := (others => '0'); signal sum_r : std_logic_vector(4 downto 0) := (others => '0'); @@ -58,6 +59,8 @@ begin clock_18n <= not clock_18; +snd_seq_addr <= '0' & not ram_0_we & hcnt(5 downto 0); + snd_ram_addr <= cpu_addr when (ram_0_we = '1' or ram_1_we = '1') else hcnt(5 downto 2); snd_ram_di <= cpu_do when (ram_0_we = '1' or ram_1_we = '1') else sum_r(3 downto 0); @@ -67,49 +70,38 @@ snd_ram_1_we <= ram_1_we; sum <= ('0' & snd_ram_0_do) + ('0' & snd_ram_1_do) + ("0000" & sum_r(4)); process (clock_18) - function mul4x4(arg1, arg2: std_logic_vector(3 downto 0)) return std_logic_vector is - variable rval: std_logic_vector(9 downto 0); - begin - rval := "0000000000"; - if arg2(3) = '1' then rval := rval + (arg1 & "000"); end if; - if arg2(2) = '1' then rval := rval + (arg1 & "00"); end if; - if arg2(1) = '1' then rval := rval + (arg1 & "0"); end if; - if arg2(0) = '1' then rval := rval + arg1; end if; - return rval; - end mul4x4; begin - if rising_edge(clock_18) then - if ena = '1' then - if snd_seq_do(3) = '0' then - sum_r <= (others => '0'); - sum_3_rr <= '0'; - elsif snd_seq_do(0) = '0' then - sum_r <= sum; - sum_3_rr <= sum_r(3); - end if ; + if rising_edge(clock_18) and ena = '1' then + if snd_seq_do(3) = '0' then + sum_r <= (others => '0'); + sum_3_rr <= '0'; + elsif snd_seq_do(0) = '0' then + sum_r <= sum; + sum_3_rr <= sum_r(3); + end if ; - snd_samples_addr <= snd_ram_0_do(2 downto 0) & sum_r(3 downto 0) & sum_3_rr; - - if snd_seq_do(2) = '0' then - if hcnt(5 downto 2) = X"5" then - samples_ch0 <= snd_samples_do(3 downto 0); - volume_ch0 <= snd_ram_1_do; - end if; - if hcnt(5 downto 2) = X"A" then - samples_ch1 <= snd_samples_do(3 downto 0); - volume_ch1 <= snd_ram_1_do; - end if; - if hcnt(5 downto 2) = X"F" then - samples_ch2 <= snd_samples_do(3 downto 0); - volume_ch2 <= snd_ram_1_do; - end if; - end if; + snd_samples_addr <= snd_ram_0_do(2 downto 0) & sum_r(3 downto 0) & sum_3_rr; - audio <= mul4x4(samples_ch0, volume_ch0) + - mul4x4(samples_ch1, volume_ch1) + - mul4x4(samples_ch2, volume_ch2); + if snd_seq_do(2) = '0' then + if hcnt(5 downto 2) = X"5" then + samples_ch0 <= snd_samples_do(3 downto 0); + volume_ch0 <= snd_ram_1_do; + end if; + if hcnt(5 downto 2) = X"A" then + samples_ch1 <= snd_samples_do(3 downto 0); + volume_ch1 <= snd_ram_1_do; + end if; + if hcnt(5 downto 2) = X"F" then + samples_ch2 <= snd_samples_do(3 downto 0); + volume_ch2 <= snd_ram_1_do; end if; end if; + + audio <= ("00" & samples_ch0) * volume_ch0 + + ("00" & samples_ch1) * volume_ch1 + + ("00" & samples_ch2) * volume_ch2; + + end if; end process; -- sound register RAM0 @@ -146,7 +138,7 @@ port map( sound_seq : entity work.sound_seq port map( clk => clock_18n, - addr => not ram_0_we & hcnt(5 downto 0), + addr => snd_seq_addr, data => snd_seq_do ); diff --git a/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/sound_samples.vhd b/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/sound_samples.vhd new file mode 100644 index 00000000..b1f03df1 --- /dev/null +++ b/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/sound_samples.vhd @@ -0,0 +1,38 @@ +library ieee; +use ieee.std_logic_1164.all,ieee.numeric_std.all; + +entity sound_samples is +port ( + clk : in std_logic; + addr : in std_logic_vector(7 downto 0); + data : out std_logic_vector(7 downto 0) +); +end entity; + +architecture prom of sound_samples is + type rom is array(0 to 255) of std_logic_vector(7 downto 0); + signal rom_data: rom := ( + X"07",X"09",X"0A",X"0B",X"0C",X"0D",X"0D",X"0E",X"0E",X"0E",X"0D",X"0D",X"0C",X"0B",X"0A",X"09", + X"07",X"05",X"04",X"03",X"02",X"01",X"01",X"00",X"00",X"00",X"01",X"01",X"02",X"03",X"04",X"05", + X"07",X"09",X"0A",X"0B",X"07",X"0D",X"0D",X"07",X"0E",X"07",X"0D",X"0D",X"07",X"0B",X"0A",X"09", + X"07",X"05",X"07",X"03",X"07",X"01",X"07",X"00",X"07",X"00",X"07",X"01",X"07",X"03",X"07",X"05", + X"0E",X"0E",X"0E",X"0E",X"0E",X"0E",X"0E",X"0E",X"0E",X"0E",X"0E",X"0E",X"0E",X"0E",X"0E",X"0E", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"0B",X"0D",X"0E",X"0D",X"0C",X"0A",X"08",X"08",X"08",X"0A",X"0C",X"0D",X"0E",X"0D",X"0B",X"08", + X"04",X"02",X"01",X"02",X"03",X"05",X"07",X"07",X"07",X"05",X"03",X"02",X"01",X"02",X"04",X"07", + X"07",X"0A",X"0C",X"0D",X"0E",X"0D",X"0C",X"0A",X"07",X"04",X"02",X"01",X"00",X"01",X"02",X"04", + X"07",X"0B",X"0D",X"0E",X"0D",X"0B",X"07",X"03",X"01",X"00",X"01",X"03",X"07",X"0E",X"07",X"00", + X"07",X"0E",X"0C",X"09",X"0C",X"0E",X"0A",X"07",X"0C",X"0F",X"0D",X"08",X"0A",X"0B",X"07",X"02", + X"08",X"0D",X"09",X"04",X"05",X"07",X"02",X"00",X"03",X"08",X"05",X"01",X"03",X"06",X"03",X"01", + X"07",X"08",X"0A",X"0C",X"0E",X"0D",X"0C",X"0C",X"0B",X"0A",X"08",X"07",X"05",X"06",X"07",X"08", + X"08",X"09",X"0A",X"0B",X"09",X"08",X"06",X"05",X"04",X"04",X"03",X"02",X"04",X"06",X"08",X"09", + X"0A",X"0C",X"0C",X"0A",X"07",X"07",X"08",X"0B",X"0D",X"0E",X"0D",X"0A",X"06",X"05",X"05",X"07", + X"09",X"09",X"08",X"04",X"01",X"00",X"01",X"03",X"06",X"07",X"07",X"04",X"02",X"02",X"04",X"07"); +begin +process(clk) +begin + if rising_edge(clk) then + data <= rom_data(to_integer(unsigned(addr))); + end if; +end process; +end architecture; diff --git a/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/sound_seq.vhd b/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/sound_seq.vhd new file mode 100644 index 00000000..ee3785a1 --- /dev/null +++ b/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/sound_seq.vhd @@ -0,0 +1,38 @@ +library ieee; +use ieee.std_logic_1164.all,ieee.numeric_std.all; + +entity sound_seq is +port ( + clk : in std_logic; + addr : in std_logic_vector(7 downto 0); + data : out std_logic_vector(7 downto 0) +); +end entity; + +architecture prom of sound_seq is + type rom is array(0 to 255) of std_logic_vector(7 downto 0); + signal rom_data: rom := ( + X"0F",X"0D",X"0F",X"0F",X"0F",X"0D",X"0F",X"0F",X"0F",X"0D",X"0F",X"0F",X"0F",X"0D",X"0F",X"0F", + X"0F",X"0D",X"0F",X"0F",X"0F",X"0D",X"0F",X"0F",X"0F",X"0D",X"0F",X"0F",X"0F",X"0D",X"0F",X"0F", + X"0F",X"0D",X"0F",X"0F",X"0F",X"0D",X"0F",X"0F",X"0F",X"0D",X"0F",X"0F",X"0F",X"0D",X"0F",X"0F", + X"0F",X"0D",X"0F",X"0F",X"0F",X"0D",X"0F",X"0F",X"0F",X"0D",X"0F",X"0F",X"0F",X"0D",X"0F",X"0F", + X"07",X"0F",X"0E",X"0D",X"0F",X"0F",X"0E",X"0D",X"0F",X"0F",X"0E",X"0D",X"0F",X"0F",X"0E",X"0D", + X"0F",X"0F",X"0E",X"0D",X"0F",X"0F",X"0F",X"0B",X"07",X"0F",X"0E",X"0D",X"0F",X"0F",X"0E",X"0D", + X"0F",X"0F",X"0E",X"0D",X"0F",X"0F",X"0E",X"0D",X"0F",X"0F",X"0F",X"0B",X"07",X"0F",X"0E",X"0D", + X"0F",X"0F",X"0E",X"0D",X"0F",X"0F",X"0E",X"0D",X"0F",X"0F",X"0E",X"0D",X"0F",X"0F",X"0F",X"0B", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00"); +begin +process(clk) +begin + if rising_edge(clk) then + data <= rom_data(to_integer(unsigned(addr))); + end if; +end process; +end architecture; diff --git a/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/ROM/sp_graphx.vhd b/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/sp_graphx.vhd similarity index 100% rename from Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/ROM/sp_graphx.vhd rename to Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/sp_graphx.vhd diff --git a/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/sp_palette.vhd b/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/sp_palette.vhd new file mode 100644 index 00000000..2d6637ce --- /dev/null +++ b/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/sp_palette.vhd @@ -0,0 +1,38 @@ +library ieee; +use ieee.std_logic_1164.all,ieee.numeric_std.all; + +entity sp_palette is +port ( + clk : in std_logic; + addr : in std_logic_vector(7 downto 0); + data : out std_logic_vector(7 downto 0) +); +end entity; + +architecture prom of sp_palette is + type rom is array(0 to 255) of std_logic_vector(7 downto 0); + signal rom_data: rom := ( + X"0F",X"08",X"0E",X"02",X"0F",X"05",X"0B",X"0C",X"0F",X"00",X"0B",X"01",X"0F",X"01",X"0B",X"02", + X"0F",X"08",X"0D",X"02",X"0F",X"06",X"01",X"04",X"0F",X"09",X"01",X"05",X"0F",X"07",X"0B",X"01", + X"0F",X"01",X"06",X"0B",X"0F",X"01",X"0B",X"00",X"0F",X"01",X"02",X"00",X"0F",X"00",X"01",X"06", + X"0F",X"00",X"00",X"06",X"0F",X"03",X"0B",X"09",X"0F",X"06",X"02",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00"); +begin +process(clk) +begin + if rising_edge(clk) then + data <= rom_data(to_integer(unsigned(addr))); + end if; +end process; +end architecture; diff --git a/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/stars.vhd b/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/stars.vhd index ba229cb1..04f46591 100644 --- a/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/stars.vhd +++ b/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/stars.vhd @@ -45,7 +45,7 @@ end entity; architecture stars_table of stars is -type table0 is array(0 to 127) of std_logic_vector(15 downto 0); +type table0 is array(0 to 119) of std_logic_vector(15 downto 0); signal data0 : table0 := ( X"8006", -- line 0x06 X"3584", -- one star at 0x84, color is 0x35 @@ -166,18 +166,9 @@ X"80F7", X"2B4E", X"80FF", X"2AFA", -X"C000", -X"0000", -X"0000", -X"0000", -X"0000", -X"0000", -X"0000", -X"0000", -X"0000" -); +X"C000"); -type table1 is array(0 to 127) of std_logic_vector(15 downto 0); +type table1 is array(0 to 118) of std_logic_vector(15 downto 0); signal data1 : table1 := ( X"8004", X"3DFD", @@ -297,19 +288,9 @@ X"80FB", X"3338", X"80FC", X"2727", -X"C000", -X"0000", -X"0000", -X"0000", -X"0000", -X"0000", -X"0000", -X"0000", -X"0000", -X"0000" -); +X"C000"); -type table2 is array(0 to 127) of std_logic_vector(15 downto 0); +type table2 is array(0 to 122) of std_logic_vector(15 downto 0); signal data2 : table2 := ( X"8006", X"19F9", @@ -433,15 +414,9 @@ X"80F9", X"2268", X"80FF", X"243E", -X"C000", -X"0000", -X"0000", -X"0000", -X"0000", -X"0000" -); +X"C000"); -type table3 is array(0 to 127) of std_logic_vector(15 downto 0); +type table3 is array(0 to 122) of std_logic_vector(15 downto 0); signal data3 : table3 := ( X"8010", X"3470", @@ -565,13 +540,7 @@ X"80F9", X"1DDD", X"80FA", X"132B", -X"C000", -X"0000", -X"0000", -X"0000", -X"0000", -X"0000" -); +X"C000"); begin process(clk)