diff --git a/Sharp - MZ-80K_MiST/Output/mz80k_mist.asm.rpt b/Sharp - MZ-80K_MiST/Output/mz80k_mist.asm.rpt deleted file mode 100644 index d010ea41..00000000 --- a/Sharp - MZ-80K_MiST/Output/mz80k_mist.asm.rpt +++ /dev/null @@ -1,128 +0,0 @@ -Assembler report for mz80k_mist -Sun Jun 24 13:31:13 2018 -Quartus II 64-Bit Version 13.1.0 Build 162 10/23/2013 SJ Web Edition - - ---------------------- -; Table of Contents ; ---------------------- - 1. Legal Notice - 2. Assembler Summary - 3. Assembler Settings - 4. Assembler Generated Files - 5. Assembler Device Options: D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/Output/mz80k_mist.sof - 6. Assembler Device Options: D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/Output/mz80k_mist.rbf - 7. Assembler Messages - - - ----------------- -; Legal Notice ; ----------------- -Copyright (C) 1991-2013 Altera Corporation -Your use of Altera Corporation's design tools, logic functions -and other software and tools, and its AMPP partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Altera Program License -Subscription Agreement, Altera MegaCore Function License -Agreement, or other applicable license agreement, including, -without limitation, that your use is for the sole purpose of -programming logic devices manufactured by Altera and sold by -Altera or its authorized distributors. Please refer to the -applicable agreement for further details. - - - -+---------------------------------------------------------------+ -; Assembler Summary ; -+-----------------------+---------------------------------------+ -; Assembler Status ; Successful - Sun Jun 24 13:31:13 2018 ; -; Revision Name ; mz80k_mist ; -; Top-level Entity Name ; mz80k_mist ; -; Family ; Cyclone III ; -; Device ; EP3C25E144C8 ; -+-----------------------+---------------------------------------+ - - -+--------------------------------------------------------------------------------------------------------+ -; Assembler Settings ; -+-----------------------------------------------------------------------------+----------+---------------+ -; Option ; Setting ; Default Value ; -+-----------------------------------------------------------------------------+----------+---------------+ -; Generate Raw Binary File (.rbf) For Target Device ; On ; Off ; -; Use smart compilation ; Off ; Off ; -; Enable parallel Assembler and TimeQuest Timing Analyzer during compilation ; On ; On ; -; Enable compact report table ; Off ; Off ; -; Generate compressed bitstreams ; On ; On ; -; Compression mode ; Off ; Off ; -; Clock source for configuration device ; Internal ; Internal ; -; Clock frequency of the configuration device ; 10 MHZ ; 10 MHz ; -; Divide clock frequency by ; 1 ; 1 ; -; Auto user code ; On ; On ; -; Use configuration device ; Off ; Off ; -; Configuration device ; Auto ; Auto ; -; Configuration device auto user code ; Off ; Off ; -; Generate Tabular Text File (.ttf) For Target Device ; Off ; Off ; -; Generate Hexadecimal (Intel-Format) Output File (.hexout) for Target Device ; Off ; Off ; -; Hexadecimal Output File start address ; 0 ; 0 ; -; Hexadecimal Output File count direction ; Up ; Up ; -; Release clears before tri-states ; Off ; Off ; -; Auto-restart configuration after error ; On ; On ; -; Enable OCT_DONE ; Off ; Off ; -; Generate Serial Vector Format File (.svf) for Target Device ; Off ; Off ; -; Generate a JEDEC STAPL Format File (.jam) for Target Device ; Off ; Off ; -; Generate a compressed Jam STAPL Byte Code 2.0 File (.jbc) for Target Device ; Off ; Off ; -; Generate a compressed Jam STAPL Byte Code 2.0 File (.jbc) for Target Device ; On ; On ; -+-----------------------------------------------------------------------------+----------+---------------+ - - -+---------------------------------------------------------------+ -; Assembler Generated Files ; -+---------------------------------------------------------------+ -; File Name ; -+---------------------------------------------------------------+ -; D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/Output/mz80k_mist.sof ; -; D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/Output/mz80k_mist.rbf ; -+---------------------------------------------------------------+ - - -+-----------------------------------------------------------------------------------------+ -; Assembler Device Options: D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/Output/mz80k_mist.sof ; -+----------------+------------------------------------------------------------------------+ -; Option ; Setting ; -+----------------+------------------------------------------------------------------------+ -; Device ; EP3C25E144C8 ; -; JTAG usercode ; 0x003EEC1B ; -; Checksum ; 0x003EEC1B ; -+----------------+------------------------------------------------------------------------+ - - -+-----------------------------------------------------------------------------------------+ -; Assembler Device Options: D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/Output/mz80k_mist.rbf ; -+---------------------+-------------------------------------------------------------------+ -; Option ; Setting ; -+---------------------+-------------------------------------------------------------------+ -; Raw Binary File ; ; -; Compression Ratio ; 2 ; -+---------------------+-------------------------------------------------------------------+ - - -+--------------------+ -; Assembler Messages ; -+--------------------+ -Info: ******************************************************************* -Info: Running Quartus II 64-Bit Assembler - Info: Version 13.1.0 Build 162 10/23/2013 SJ Web Edition - Info: Processing started: Sun Jun 24 13:31:10 2018 -Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off mz80k_mist -c mz80k_mist -Info (115031): Writing out detailed assembly data for power analysis -Info (115030): Assembler is generating device programming files -Info: Quartus II 64-Bit Assembler was successful. 0 errors, 0 warnings - Info: Peak virtual memory: 4635 megabytes - Info: Processing ended: Sun Jun 24 13:31:13 2018 - Info: Elapsed time: 00:00:03 - Info: Total CPU time (on all processors): 00:00:03 - - diff --git a/Sharp - MZ-80K_MiST/Output/mz80k_mist.cdf b/Sharp - MZ-80K_MiST/Output/mz80k_mist.cdf deleted file mode 100644 index b7c9fc8d..00000000 --- a/Sharp - MZ-80K_MiST/Output/mz80k_mist.cdf +++ /dev/null @@ -1,13 +0,0 @@ -/* Quartus II 64-Bit Version 13.1.0 Build 162 10/23/2013 SJ Web Edition */ -JedecChain; - FileRevision(JESD32A); - DefaultMfr(6E); - - P ActionCode(Cfg) - Device PartName(EP3C25E144) Path("D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/Output/") File("mz80k_mist.sof") MfrSpec(OpMask(1)); - -ChainEnd; - -AlteraBegin; - ChainType(JTAG); -AlteraEnd; diff --git a/Sharp - MZ-80K_MiST/Output/mz80k_mist.done b/Sharp - MZ-80K_MiST/Output/mz80k_mist.done deleted file mode 100644 index 511f88ca..00000000 --- a/Sharp - MZ-80K_MiST/Output/mz80k_mist.done +++ /dev/null @@ -1 +0,0 @@ -Sun Jun 24 13:31:33 2018 diff --git a/Sharp - MZ-80K_MiST/Output/mz80k_mist.eda.rpt b/Sharp - MZ-80K_MiST/Output/mz80k_mist.eda.rpt deleted file mode 100644 index 47a6a422..00000000 --- a/Sharp - MZ-80K_MiST/Output/mz80k_mist.eda.rpt +++ /dev/null @@ -1,107 +0,0 @@ -EDA Netlist Writer report for mz80k_mist -Sun Jun 24 13:31:32 2018 -Quartus II 64-Bit Version 13.1.0 Build 162 10/23/2013 SJ Web Edition - - ---------------------- -; Table of Contents ; ---------------------- - 1. Legal Notice - 2. EDA Netlist Writer Summary - 3. Simulation Settings - 4. Simulation Generated Files - 5. EDA Netlist Writer Messages - - - ----------------- -; Legal Notice ; ----------------- -Copyright (C) 1991-2013 Altera Corporation -Your use of Altera Corporation's design tools, logic functions -and other software and tools, and its AMPP partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Altera Program License -Subscription Agreement, Altera MegaCore Function License -Agreement, or other applicable license agreement, including, -without limitation, that your use is for the sole purpose of -programming logic devices manufactured by Altera and sold by -Altera or its authorized distributors. Please refer to the -applicable agreement for further details. - - - -+-------------------------------------------------------------------+ -; EDA Netlist Writer Summary ; -+---------------------------+---------------------------------------+ -; EDA Netlist Writer Status ; Successful - Sun Jun 24 13:31:32 2018 ; -; Revision Name ; mz80k_mist ; -; Top-level Entity Name ; mz80k_mist ; -; Family ; Cyclone III ; -; Simulation Files Creation ; Successful ; -+---------------------------+---------------------------------------+ - - -+----------------------------------------------------------------------------------------------------------------------------+ -; Simulation Settings ; -+---------------------------------------------------------------------------------------------------+------------------------+ -; Option ; Setting ; -+---------------------------------------------------------------------------------------------------+------------------------+ -; Tool Name ; ModelSim-Altera (VHDL) ; -; Generate netlist for functional simulation only ; Off ; -; Time scale ; 1 ps ; -; Truncate long hierarchy paths ; Off ; -; Map illegal HDL characters ; Off ; -; Flatten buses into individual nodes ; Off ; -; Maintain hierarchy ; Off ; -; Bring out device-wide set/reset signals as ports ; Off ; -; Enable glitch filtering ; Off ; -; Do not write top level VHDL entity ; Off ; -; Disable detection of setup and hold time violations in the input registers of bi-directional pins ; Off ; -; Architecture name in VHDL output netlist ; structure ; -; Generate third-party EDA tool command script for RTL functional simulation ; Off ; -; Generate third-party EDA tool command script for gate-level simulation ; Off ; -+---------------------------------------------------------------------------------------------------+------------------------+ - - -+---------------------------------------------------------------------------------------------------+ -; Simulation Generated Files ; -+---------------------------------------------------------------------------------------------------+ -; Generated Files ; -+---------------------------------------------------------------------------------------------------+ -; D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/simulation/modelsim/mz80k_mist_8_1200mv_85c_slow.vho ; -; D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/simulation/modelsim/mz80k_mist_8_1200mv_0c_slow.vho ; -; D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/simulation/modelsim/mz80k_mist_min_1200mv_0c_fast.vho ; -; D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/simulation/modelsim/mz80k_mist.vho ; -; D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/simulation/modelsim/mz80k_mist_8_1200mv_85c_vhd_slow.sdo ; -; D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/simulation/modelsim/mz80k_mist_8_1200mv_0c_vhd_slow.sdo ; -; D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/simulation/modelsim/mz80k_mist_min_1200mv_0c_vhd_fast.sdo ; -; D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/simulation/modelsim/mz80k_mist_vhd.sdo ; -+---------------------------------------------------------------------------------------------------+ - - -+-----------------------------+ -; EDA Netlist Writer Messages ; -+-----------------------------+ -Info: ******************************************************************* -Info: Running Quartus II 64-Bit EDA Netlist Writer - Info: Version 13.1.0 Build 162 10/23/2013 SJ Web Edition - Info: Processing started: Sun Jun 24 13:31:27 2018 -Info: Command: quartus_eda --read_settings_files=off --write_settings_files=off mz80k_mist -c mz80k_mist -Info (204019): Generated file mz80k_mist_8_1200mv_85c_slow.vho in folder "D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/simulation/modelsim/" for EDA simulation tool -Info (204019): Generated file mz80k_mist_8_1200mv_0c_slow.vho in folder "D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/simulation/modelsim/" for EDA simulation tool -Info (204019): Generated file mz80k_mist_min_1200mv_0c_fast.vho in folder "D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/simulation/modelsim/" for EDA simulation tool -Info (204019): Generated file mz80k_mist.vho in folder "D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/simulation/modelsim/" for EDA simulation tool -Info (204019): Generated file mz80k_mist_8_1200mv_85c_vhd_slow.sdo in folder "D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/simulation/modelsim/" for EDA simulation tool -Info (204019): Generated file mz80k_mist_8_1200mv_0c_vhd_slow.sdo in folder "D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/simulation/modelsim/" for EDA simulation tool -Info (204019): Generated file mz80k_mist_min_1200mv_0c_vhd_fast.sdo in folder "D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/simulation/modelsim/" for EDA simulation tool -Info (204019): Generated file mz80k_mist_vhd.sdo in folder "D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/simulation/modelsim/" for EDA simulation tool -Info: Quartus II 64-Bit EDA Netlist Writer was successful. 0 errors, 0 warnings - Info: Peak virtual memory: 4636 megabytes - Info: Processing ended: Sun Jun 24 13:31:32 2018 - Info: Elapsed time: 00:00:05 - Info: Total CPU time (on all processors): 00:00:04 - - diff --git a/Sharp - MZ-80K_MiST/Output/mz80k_mist.fit.rpt b/Sharp - MZ-80K_MiST/Output/mz80k_mist.fit.rpt deleted file mode 100644 index 62ef1437..00000000 --- a/Sharp - MZ-80K_MiST/Output/mz80k_mist.fit.rpt +++ /dev/null @@ -1,7777 +0,0 @@ -Fitter report for mz80k_mist -Sun Jun 24 13:31:05 2018 -Quartus II 64-Bit Version 13.1.0 Build 162 10/23/2013 SJ Web Edition - - ---------------------- -; Table of Contents ; ---------------------- - 1. Legal Notice - 2. Fitter Summary - 3. Fitter Settings - 4. Parallel Compilation - 5. I/O Assignment Warnings - 6. Ignored Assignments - 7. Incremental Compilation Preservation Summary - 8. Incremental Compilation Partition Settings - 9. Incremental Compilation Placement Preservation - 10. Pin-Out File - 11. Fitter Resource Usage Summary - 12. Fitter Partition Statistics - 13. Input Pins - 14. Output Pins - 15. Dual Purpose and Dedicated Pins - 16. I/O Bank Usage - 17. All Package Pins - 18. PLL Summary - 19. PLL Usage - 20. Fitter Resource Utilization by Entity - 21. Delay Chain Summary - 22. Pad To Core Delay Chain Fanout - 23. Control Signals - 24. Global & Other Fast Signals - 25. Non-Global High Fan-Out Signals - 26. Fitter RAM Summary - 27. |mz80k_mist|mz80k_top:mz80k_top|vga:vga1|cg_rom:cg_rom|altsyncram:altsyncram_component|altsyncram_f7a1:auto_generated|ALTSYNCRAM - 28. |mz80k_mist|mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ALTSYNCRAM - 29. Routing Usage Summary - 30. LAB Logic Elements - 31. LAB-wide Signals - 32. LAB Signals Sourced - 33. LAB Signals Sourced Out - 34. LAB Distinct Inputs - 35. I/O Rules Summary - 36. I/O Rules Details - 37. I/O Rules Matrix - 38. Fitter Device Options - 39. Operating Settings and Conditions - 40. Estimated Delay Added for Hold Timing Summary - 41. Estimated Delay Added for Hold Timing Details - 42. Fitter Messages - 43. Fitter Suppressed Messages - - - ----------------- -; Legal Notice ; ----------------- -Copyright (C) 1991-2013 Altera Corporation -Your use of Altera Corporation's design tools, logic functions -and other software and tools, and its AMPP partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Altera Program License -Subscription Agreement, Altera MegaCore Function License -Agreement, or other applicable license agreement, including, -without limitation, that your use is for the sole purpose of -programming logic devices manufactured by Altera and sold by -Altera or its authorized distributors. Please refer to the -applicable agreement for further details. - - - -+---------------------------------------------------------------------------------+ -; Fitter Summary ; -+------------------------------------+--------------------------------------------+ -; Fitter Status ; Successful - Sun Jun 24 13:31:05 2018 ; -; Quartus II 64-Bit Version ; 13.1.0 Build 162 10/23/2013 SJ Web Edition ; -; Revision Name ; mz80k_mist ; -; Top-level Entity Name ; mz80k_mist ; -; Family ; Cyclone III ; -; Device ; EP3C25E144C8 ; -; Timing Models ; Final ; -; Total logic elements ; 3,012 / 24,624 ( 12 % ) ; -; Total combinational functions ; 2,886 / 24,624 ( 12 % ) ; -; Dedicated logic registers ; 891 / 24,624 ( 4 % ) ; -; Total registers ; 891 ; -; Total pins ; 31 / 83 ( 37 % ) ; -; Total virtual pins ; 0 ; -; Total memory bits ; 311,296 / 608,256 ( 51 % ) ; -; Embedded Multiplier 9-bit elements ; 0 / 132 ( 0 % ) ; -; Total PLLs ; 1 / 4 ( 25 % ) ; -+------------------------------------+--------------------------------------------+ - - -+------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Fitter Settings ; -+----------------------------------------------------------------------------+---------------------------------------+---------------------------------------+ -; Option ; Setting ; Default Value ; -+----------------------------------------------------------------------------+---------------------------------------+---------------------------------------+ -; Device ; EP3C25E144C8 ; ; -; Minimum Core Junction Temperature ; 0 ; ; -; Maximum Core Junction Temperature ; 85 ; ; -; Fit Attempts to Skip ; 0 ; 0.0 ; -; Device I/O Standard ; 3.3-V LVTTL ; ; -; Use smart compilation ; Off ; Off ; -; Enable parallel Assembler and TimeQuest Timing Analyzer during compilation ; On ; On ; -; Enable compact report table ; Off ; Off ; -; Auto Merge PLLs ; On ; On ; -; Router Timing Optimization Level ; Normal ; Normal ; -; Perform Clocking Topology Analysis During Routing ; Off ; Off ; -; Placement Effort Multiplier ; 1.0 ; 1.0 ; -; Router Effort Multiplier ; 1.0 ; 1.0 ; -; Optimize Hold Timing ; All Paths ; All Paths ; -; Optimize Multi-Corner Timing ; On ; On ; -; PowerPlay Power Optimization ; Normal compilation ; Normal compilation ; -; SSN Optimization ; Off ; Off ; -; Optimize Timing ; Normal compilation ; Normal compilation ; -; Optimize Timing for ECOs ; Off ; Off ; -; Regenerate full fit report during ECO compiles ; Off ; Off ; -; Optimize IOC Register Placement for Timing ; Normal ; Normal ; -; Limit to One Fitting Attempt ; Off ; Off ; -; Final Placement Optimizations ; Automatically ; Automatically ; -; Fitter Aggressive Routability Optimizations ; Automatically ; Automatically ; -; Fitter Initial Placement Seed ; 1 ; 1 ; -; PCI I/O ; Off ; Off ; -; Weak Pull-Up Resistor ; Off ; Off ; -; Enable Bus-Hold Circuitry ; Off ; Off ; -; Auto Packed Registers ; Auto ; Auto ; -; Auto Delay Chains ; On ; On ; -; Auto Delay Chains for High Fanout Input Pins ; Off ; Off ; -; Allow Single-ended Buffer for Differential-XSTL Input ; Off ; Off ; -; Treat Bidirectional Pin as Output Pin ; Off ; Off ; -; Perform Physical Synthesis for Combinational Logic for Fitting ; Off ; Off ; -; Perform Physical Synthesis for Combinational Logic for Performance ; Off ; Off ; -; Perform Register Duplication for Performance ; Off ; Off ; -; Perform Logic to Memory Mapping for Fitting ; Off ; Off ; -; Perform Register Retiming for Performance ; Off ; Off ; -; Perform Asynchronous Signal Pipelining ; Off ; Off ; -; Fitter Effort ; Auto Fit ; Auto Fit ; -; Physical Synthesis Effort Level ; Normal ; Normal ; -; Logic Cell Insertion - Logic Duplication ; Auto ; Auto ; -; Auto Register Duplication ; Auto ; Auto ; -; Auto Global Clock ; On ; On ; -; Auto Global Register Control Signals ; On ; On ; -; Reserve all unused pins ; As input tri-stated with weak pull-up ; As input tri-stated with weak pull-up ; -; Synchronizer Identification ; Off ; Off ; -; Enable Beneficial Skew Optimization ; On ; On ; -; Optimize Design for Metastability ; On ; On ; -; Force Fitter to Avoid Periphery Placement Warnings ; Off ; Off ; -; RAM Bit Reservation (Cyclone III) ; Off ; Off ; -; Enable input tri-state on active configuration pins in user mode ; Off ; Off ; -+----------------------------------------------------------------------------+---------------------------------------+---------------------------------------+ - - -+------------------------------------------+ -; Parallel Compilation ; -+----------------------------+-------------+ -; Processors ; Number ; -+----------------------------+-------------+ -; Number detected on machine ; 8 ; -; Maximum allowed ; 4 ; -; ; ; -; Average used ; 2.02 ; -; Maximum used ; 4 ; -; ; ; -; Usage by Processor ; % Time Used ; -; Processor 1 ; 100.0% ; -; Processors 2-4 ; 34.0% ; -; Processors 5-8 ; 0.0% ; -+----------------------------+-------------+ - - -+-----------------------------------+ -; I/O Assignment Warnings ; -+----------+------------------------+ -; Pin Name ; Reason ; -+----------+------------------------+ -; VGA_R[0] ; Missing drive strength ; -; VGA_R[1] ; Missing drive strength ; -; VGA_R[2] ; Missing drive strength ; -; VGA_R[3] ; Missing drive strength ; -; VGA_R[4] ; Missing drive strength ; -; VGA_R[5] ; Missing drive strength ; -; VGA_G[0] ; Missing drive strength ; -; VGA_G[1] ; Missing drive strength ; -; VGA_G[2] ; Missing drive strength ; -; VGA_G[3] ; Missing drive strength ; -; VGA_G[4] ; Missing drive strength ; -; VGA_G[5] ; Missing drive strength ; -; VGA_B[0] ; Missing drive strength ; -; VGA_B[1] ; Missing drive strength ; -; VGA_B[2] ; Missing drive strength ; -; VGA_B[3] ; Missing drive strength ; -; VGA_B[4] ; Missing drive strength ; -; VGA_B[5] ; Missing drive strength ; -; VGA_HS ; Missing drive strength ; -; VGA_VS ; Missing drive strength ; -; LED ; Missing drive strength ; -; AUDIO_L ; Missing drive strength ; -; AUDIO_R ; Missing drive strength ; -; SPI_DO ; Missing drive strength ; -+----------+------------------------+ - - -+------------------------------------------------------------------------------------------+ -; Ignored Assignments ; -+----------+----------------+--------------+--------------+---------------+----------------+ -; Name ; Ignored Entity ; Ignored From ; Ignored To ; Ignored Value ; Ignored Source ; -+----------+----------------+--------------+--------------+---------------+----------------+ -; Location ; ; ; SDRAM_A[0] ; PIN_49 ; QSF Assignment ; -; Location ; ; ; SDRAM_A[10] ; PIN_50 ; QSF Assignment ; -; Location ; ; ; SDRAM_A[11] ; PIN_30 ; QSF Assignment ; -; Location ; ; ; SDRAM_A[12] ; PIN_32 ; QSF Assignment ; -; Location ; ; ; SDRAM_A[1] ; PIN_44 ; QSF Assignment ; -; Location ; ; ; SDRAM_A[2] ; PIN_42 ; QSF Assignment ; -; Location ; ; ; SDRAM_A[3] ; PIN_39 ; QSF Assignment ; -; Location ; ; ; SDRAM_A[4] ; PIN_4 ; QSF Assignment ; -; Location ; ; ; SDRAM_A[5] ; PIN_6 ; QSF Assignment ; -; Location ; ; ; SDRAM_A[6] ; PIN_8 ; QSF Assignment ; -; Location ; ; ; SDRAM_A[7] ; PIN_10 ; QSF Assignment ; -; Location ; ; ; SDRAM_A[8] ; PIN_11 ; QSF Assignment ; -; Location ; ; ; SDRAM_A[9] ; PIN_28 ; QSF Assignment ; -; Location ; ; ; SDRAM_BA[0] ; PIN_58 ; QSF Assignment ; -; Location ; ; ; SDRAM_BA[1] ; PIN_51 ; QSF Assignment ; -; Location ; ; ; SDRAM_CKE ; PIN_33 ; QSF Assignment ; -; Location ; ; ; SDRAM_CLK ; PIN_43 ; QSF Assignment ; -; Location ; ; ; SDRAM_DQMH ; PIN_85 ; QSF Assignment ; -; Location ; ; ; SDRAM_DQML ; PIN_67 ; QSF Assignment ; -; Location ; ; ; SDRAM_DQ[0] ; PIN_83 ; QSF Assignment ; -; Location ; ; ; SDRAM_DQ[10] ; PIN_98 ; QSF Assignment ; -; Location ; ; ; SDRAM_DQ[11] ; PIN_99 ; QSF Assignment ; -; Location ; ; ; SDRAM_DQ[12] ; PIN_100 ; QSF Assignment ; -; Location ; ; ; SDRAM_DQ[13] ; PIN_101 ; QSF Assignment ; -; Location ; ; ; SDRAM_DQ[14] ; PIN_103 ; QSF Assignment ; -; Location ; ; ; SDRAM_DQ[15] ; PIN_104 ; QSF Assignment ; -; Location ; ; ; SDRAM_DQ[1] ; PIN_79 ; QSF Assignment ; -; Location ; ; ; SDRAM_DQ[2] ; PIN_77 ; QSF Assignment ; -; Location ; ; ; SDRAM_DQ[3] ; PIN_76 ; QSF Assignment ; -; Location ; ; ; SDRAM_DQ[4] ; PIN_72 ; QSF Assignment ; -; Location ; ; ; SDRAM_DQ[5] ; PIN_71 ; QSF Assignment ; -; Location ; ; ; SDRAM_DQ[6] ; PIN_69 ; QSF Assignment ; -; Location ; ; ; SDRAM_DQ[7] ; PIN_68 ; QSF Assignment ; -; Location ; ; ; SDRAM_DQ[8] ; PIN_86 ; QSF Assignment ; -; Location ; ; ; SDRAM_DQ[9] ; PIN_87 ; QSF Assignment ; -; Location ; ; ; SDRAM_nCAS ; PIN_64 ; QSF Assignment ; -; Location ; ; ; SDRAM_nCS ; PIN_59 ; QSF Assignment ; -; Location ; ; ; SDRAM_nRAS ; PIN_60 ; QSF Assignment ; -; Location ; ; ; SDRAM_nWE ; PIN_66 ; QSF Assignment ; -; Location ; ; ; UART_RX ; PIN_31 ; QSF Assignment ; -; Location ; ; ; UART_TX ; PIN_46 ; QSF Assignment ; -+----------+----------------+--------------+--------------+---------------+----------------+ - - -+---------------------------------------------------------------------------------------------------+ -; Incremental Compilation Preservation Summary ; -+---------------------+---------------------+----------------------------+--------------------------+ -; Type ; Total [A + B] ; From Design Partitions [A] ; From Rapid Recompile [B] ; -+---------------------+---------------------+----------------------------+--------------------------+ -; Placement (by node) ; ; ; ; -; -- Requested ; 0.00 % ( 0 / 3907 ) ; 0.00 % ( 0 / 3907 ) ; 0.00 % ( 0 / 3907 ) ; -; -- Achieved ; 0.00 % ( 0 / 3907 ) ; 0.00 % ( 0 / 3907 ) ; 0.00 % ( 0 / 3907 ) ; -; ; ; ; ; -; Routing (by net) ; ; ; ; -; -- Requested ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; -; -- Achieved ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; -+---------------------+---------------------+----------------------------+--------------------------+ - - -+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Incremental Compilation Partition Settings ; -+--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ -; Partition Name ; Partition Type ; Netlist Type Used ; Preservation Level Used ; Netlist Type Requested ; Preservation Level Requested ; Contents ; -+--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ -; Top ; User-created ; Source File ; N/A ; Source File ; N/A ; ; -; hard_block:auto_generated_inst ; Auto-generated ; Source File ; N/A ; Source File ; N/A ; hard_block:auto_generated_inst ; -+--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ - - -+------------------------------------------------------------------------------------------------------------------------------------+ -; Incremental Compilation Placement Preservation ; -+--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+ -; Partition Name ; Preservation Achieved ; Preservation Level Used ; Netlist Type Used ; Preservation Method ; Notes ; -+--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+ -; Top ; 0.00 % ( 0 / 3903 ) ; N/A ; Source File ; N/A ; ; -; hard_block:auto_generated_inst ; 0.00 % ( 0 / 4 ) ; N/A ; Source File ; N/A ; ; -+--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+ - - -+--------------+ -; Pin-Out File ; -+--------------+ -The pin-out file can be found in D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/Output/mz80k_mist.pin. - - -+--------------------------------------------------------------------------+ -; Fitter Resource Usage Summary ; -+---------------------------------------------+----------------------------+ -; Resource ; Usage ; -+---------------------------------------------+----------------------------+ -; Total logic elements ; 3,012 / 24,624 ( 12 % ) ; -; -- Combinational with no register ; 2121 ; -; -- Register only ; 126 ; -; -- Combinational with a register ; 765 ; -; ; ; -; Logic element usage by number of LUT inputs ; ; -; -- 4 input functions ; 1641 ; -; -- 3 input functions ; 721 ; -; -- <=2 input functions ; 524 ; -; -- Register only ; 126 ; -; ; ; -; Logic elements by mode ; ; -; -- normal mode ; 2361 ; -; -- arithmetic mode ; 525 ; -; ; ; -; Total registers* ; 891 / 24,964 ( 4 % ) ; -; -- Dedicated logic registers ; 891 / 24,624 ( 4 % ) ; -; -- I/O registers ; 0 / 340 ( 0 % ) ; -; ; ; -; Total LABs: partially or completely used ; 230 / 1,539 ( 15 % ) ; -; Virtual pins ; 0 ; -; I/O pins ; 31 / 83 ( 37 % ) ; -; -- Clock pins ; 4 / 8 ( 50 % ) ; -; -- Dedicated input pins ; 0 / 9 ( 0 % ) ; -; ; ; -; Global signals ; 9 ; -; M9Ks ; 38 / 66 ( 58 % ) ; -; Total block memory bits ; 311,296 / 608,256 ( 51 % ) ; -; Total block memory implementation bits ; 350,208 / 608,256 ( 58 % ) ; -; Embedded Multiplier 9-bit elements ; 0 / 132 ( 0 % ) ; -; PLLs ; 1 / 4 ( 25 % ) ; -; Global clocks ; 9 / 20 ( 45 % ) ; -; JTAGs ; 0 / 1 ( 0 % ) ; -; CRC blocks ; 0 / 1 ( 0 % ) ; -; ASMI blocks ; 0 / 1 ( 0 % ) ; -; Impedance control blocks ; 0 / 4 ( 0 % ) ; -; Average interconnect usage (total/H/V) ; 9% / 8% / 9% ; -; Peak interconnect usage (total/H/V) ; 58% / 55% / 63% ; -; Maximum fan-out ; 401 ; -; Highest non-global fan-out ; 64 ; -; Total fan-out ; 13424 ; -; Average fan-out ; 3.37 ; -+---------------------------------------------+----------------------------+ -* Register count does not include registers inside RAM blocks or DSP blocks. - - - -+------------------------------------------------------------------------------------------------------+ -; Fitter Partition Statistics ; -+---------------------------------------------+-----------------------+--------------------------------+ -; Statistic ; Top ; hard_block:auto_generated_inst ; -+---------------------------------------------+-----------------------+--------------------------------+ -; Difficulty Clustering Region ; Low ; Low ; -; ; ; ; -; Total logic elements ; 3012 / 24624 ( 12 % ) ; 0 / 24624 ( 0 % ) ; -; -- Combinational with no register ; 2121 ; 0 ; -; -- Register only ; 126 ; 0 ; -; -- Combinational with a register ; 765 ; 0 ; -; ; ; ; -; Logic element usage by number of LUT inputs ; ; ; -; -- 4 input functions ; 1641 ; 0 ; -; -- 3 input functions ; 721 ; 0 ; -; -- <=2 input functions ; 524 ; 0 ; -; -- Register only ; 126 ; 0 ; -; ; ; ; -; Logic elements by mode ; ; ; -; -- normal mode ; 2361 ; 0 ; -; -- arithmetic mode ; 525 ; 0 ; -; ; ; ; -; Total registers ; 891 ; 0 ; -; -- Dedicated logic registers ; 891 / 24624 ( 4 % ) ; 0 / 24624 ( 0 % ) ; -; -- I/O registers ; 0 ; 0 ; -; ; ; ; -; Total LABs: partially or completely used ; 230 / 1539 ( 15 % ) ; 0 / 1539 ( 0 % ) ; -; ; ; ; -; Virtual pins ; 0 ; 0 ; -; I/O pins ; 31 ; 0 ; -; Embedded Multiplier 9-bit elements ; 0 / 132 ( 0 % ) ; 0 / 132 ( 0 % ) ; -; Total memory bits ; 311296 ; 0 ; -; Total RAM block bits ; 350208 ; 0 ; -; PLL ; 0 / 4 ( 0 % ) ; 1 / 4 ( 25 % ) ; -; M9K ; 38 / 66 ( 57 % ) ; 0 / 66 ( 0 % ) ; -; Clock control block ; 8 / 24 ( 33 % ) ; 1 / 24 ( 4 % ) ; -; ; ; ; -; Connections ; ; ; -; -- Input Connections ; 403 ; 1 ; -; -- Registered Input Connections ; 399 ; 0 ; -; -- Output Connections ; 1 ; 403 ; -; -- Registered Output Connections ; 0 ; 0 ; -; ; ; ; -; Internal Connections ; ; ; -; -- Total Connections ; 13445 ; 407 ; -; -- Registered Connections ; 3525 ; 0 ; -; ; ; ; -; External Connections ; ; ; -; -- Top ; 0 ; 404 ; -; -- hard_block:auto_generated_inst ; 404 ; 0 ; -; ; ; ; -; Partition Interface ; ; ; -; -- Input Ports ; 7 ; 1 ; -; -- Output Ports ; 24 ; 2 ; -; -- Bidir Ports ; 0 ; 0 ; -; ; ; ; -; Registered Ports ; ; ; -; -- Registered Input Ports ; 0 ; 0 ; -; -- Registered Output Ports ; 0 ; 0 ; -; ; ; ; -; Port Connectivity ; ; ; -; -- Input Ports driven by GND ; 0 ; 0 ; -; -- Output Ports driven by GND ; 0 ; 0 ; -; -- Input Ports driven by VCC ; 0 ; 0 ; -; -- Output Ports driven by VCC ; 0 ; 0 ; -; -- Input Ports with no Source ; 0 ; 0 ; -; -- Output Ports with no Source ; 0 ; 0 ; -; -- Input Ports with no Fanout ; 0 ; 0 ; -; -- Output Ports with no Fanout ; 0 ; 0 ; -+---------------------------------------------+-----------------------+--------------------------------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Input Pins ; -+------------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+ -; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Z coordinate ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Input Register ; Power Up High ; PCI I/O Enabled ; Bus Hold ; Weak Pull Up ; I/O Standard ; Termination Control Block ; Location assigned by ; -+------------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+ -; CLOCK_27 ; 54 ; 4 ; 27 ; 0 ; 7 ; 1 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; -; CONF_DATA0 ; 13 ; 1 ; 0 ; 22 ; 7 ; 21 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; -; SPI_DI ; 88 ; 5 ; 53 ; 17 ; 21 ; 15 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; -; SPI_SCK ; 126 ; 7 ; 25 ; 34 ; 0 ; 133 ; 0 ; yes ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; -; SPI_SS2 ; 127 ; 7 ; 25 ; 34 ; 7 ; 0 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; -; SPI_SS3 ; 91 ; 6 ; 53 ; 17 ; 0 ; 25 ; 0 ; yes ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; -; SPI_SS4 ; 90 ; 6 ; 53 ; 17 ; 7 ; 0 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; -+------------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+ - - -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Output Pins ; -+----------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+ -; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Z coordinate ; Output Register ; Output Enable Register ; Power Up High ; Slew Rate ; PCI I/O Enabled ; Open Drain ; TRI Primitive ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Termination ; Termination Control Block ; Output Buffer Pre-emphasis ; Voltage Output Differential ; Location assigned by ; Output Enable Source ; Output Enable Group ; -+----------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+ -; AUDIO_L ; 65 ; 4 ; 38 ; 0 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; -; AUDIO_R ; 80 ; 5 ; 53 ; 9 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; -; LED ; 7 ; 1 ; 0 ; 26 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; -; SPI_DO ; 105 ; 6 ; 53 ; 24 ; 21 ; no ; no ; no ; 2 ; yes ; no ; yes ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; -; VGA_B[0] ; 115 ; 7 ; 45 ; 34 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; -; VGA_B[1] ; 120 ; 7 ; 38 ; 34 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; -; VGA_B[2] ; 121 ; 7 ; 34 ; 34 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; -; VGA_B[3] ; 125 ; 7 ; 29 ; 34 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; -; VGA_B[4] ; 132 ; 8 ; 20 ; 34 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; -; VGA_B[5] ; 133 ; 8 ; 20 ; 34 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; -; VGA_G[0] ; 106 ; 6 ; 53 ; 30 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; -; VGA_G[1] ; 110 ; 7 ; 51 ; 34 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; -; VGA_G[2] ; 111 ; 7 ; 49 ; 34 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; -; VGA_G[3] ; 112 ; 7 ; 47 ; 34 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; -; VGA_G[4] ; 113 ; 7 ; 45 ; 34 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; -; VGA_G[5] ; 114 ; 7 ; 45 ; 34 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; -; VGA_HS ; 119 ; 7 ; 38 ; 34 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; -; VGA_R[0] ; 135 ; 8 ; 18 ; 34 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; -; VGA_R[1] ; 137 ; 8 ; 16 ; 34 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; -; VGA_R[2] ; 141 ; 8 ; 7 ; 34 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; -; VGA_R[3] ; 142 ; 8 ; 3 ; 34 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; -; VGA_R[4] ; 143 ; 8 ; 1 ; 34 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; -; VGA_R[5] ; 144 ; 8 ; 1 ; 34 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; -; VGA_VS ; 136 ; 8 ; 18 ; 34 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; -+----------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+ - - -+------------------------------------------------------------------------------------------------------------------------+ -; Dual Purpose and Dedicated Pins ; -+----------+----------------------------------------+---------------------+------------------+---------------------------+ -; Location ; Pin Name ; Reserved As ; User Signal Name ; Pin Type ; -+----------+----------------------------------------+---------------------+------------------+---------------------------+ -; 9 ; nSTATUS ; - ; - ; Dedicated Programming Pin ; -; 12 ; DCLK ; As input tri-stated ; ~ALTERA_DCLK~ ; Dual Purpose Pin ; -; 13 ; DATA0 ; Use as regular IO ; CONF_DATA0 ; Dual Purpose Pin ; -; 14 ; nCONFIG ; - ; - ; Dedicated Programming Pin ; -; 21 ; nCE ; - ; - ; Dedicated Programming Pin ; -; 92 ; CONF_DONE ; - ; - ; Dedicated Programming Pin ; -; 94 ; MSEL0 ; - ; - ; Dedicated Programming Pin ; -; 96 ; MSEL1 ; - ; - ; Dedicated Programming Pin ; -; 97 ; MSEL2 ; - ; - ; Dedicated Programming Pin ; -; 97 ; MSEL3 ; - ; - ; Dedicated Programming Pin ; -; 106 ; DIFFIO_R1n, PADD20, DQS2R/CQ3R,CDPCLK5 ; Use as regular IO ; VGA_G[0] ; Dual Purpose Pin ; -; 120 ; DIFFIO_T19n, PADD1 ; Use as regular IO ; VGA_B[1] ; Dual Purpose Pin ; -; 121 ; DIFFIO_T17p, PADD4, DQS2T/CQ3T,DPCLK8 ; Use as regular IO ; VGA_B[2] ; Dual Purpose Pin ; -; 125 ; DIFFIO_T13p, PADD12, DQS4T/CQ5T,DPCLK9 ; Use as regular IO ; VGA_B[3] ; Dual Purpose Pin ; -; 132 ; DIFFIO_T10n, DATA2 ; Use as regular IO ; VGA_B[4] ; Dual Purpose Pin ; -; 133 ; DIFFIO_T10p, DATA3 ; Use as regular IO ; VGA_B[5] ; Dual Purpose Pin ; -; 135 ; DIFFIO_T9p, DATA4 ; Use as regular IO ; VGA_R[0] ; Dual Purpose Pin ; -; 137 ; DATA5 ; Use as regular IO ; VGA_R[1] ; Dual Purpose Pin ; -; 142 ; DATA12, DQS1T/CQ1T#,CDPCLK7 ; Use as regular IO ; VGA_R[3] ; Dual Purpose Pin ; -+----------+----------------------------------------+---------------------+------------------+---------------------------+ - - -+-------------------------------------------------------------+ -; I/O Bank Usage ; -+----------+-------------------+---------------+--------------+ -; I/O Bank ; Usage ; VCCIO Voltage ; VREF Voltage ; -+----------+-------------------+---------------+--------------+ -; 1 ; 3 / 10 ( 30 % ) ; 3.3V ; -- ; -; 2 ; 0 / 7 ( 0 % ) ; 3.3V ; -- ; -; 3 ; 0 / 10 ( 0 % ) ; 3.3V ; -- ; -; 4 ; 2 / 13 ( 15 % ) ; 3.3V ; -- ; -; 5 ; 2 / 10 ( 20 % ) ; 3.3V ; -- ; -; 6 ; 4 / 10 ( 40 % ) ; 3.3V ; -- ; -; 7 ; 12 / 12 ( 100 % ) ; 3.3V ; -- ; -; 8 ; 9 / 11 ( 82 % ) ; 3.3V ; -- ; -+----------+-------------------+---------------+--------------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; All Package Pins ; -+----------+------------+----------+---------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+ -; Location ; Pad Number ; I/O Bank ; Pin Name/Usage ; Dir. ; I/O Standard ; Voltage ; I/O Type ; User Assignment ; Bus Hold ; Weak Pull Up ; -+----------+------------+----------+---------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+ -; 1 ; ; ; VCCD_PLL3 ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; 2 ; ; ; GNDA3 ; gnd ; ; ; -- ; ; -- ; -- ; -; 3 ; ; -- ; VCCA3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; 4 ; 5 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; 5 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; 6 ; 7 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; 7 ; 8 ; 1 ; LED ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 8 ; 9 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; 9 ; 11 ; 1 ; ^nSTATUS ; ; ; ; -- ; ; -- ; -- ; -; 10 ; 15 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; 11 ; 16 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; 12 ; 17 ; 1 ; ~ALTERA_DCLK~ / RESERVED_INPUT ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; -; 13 ; 18 ; 1 ; CONF_DATA0 ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 14 ; 19 ; 1 ; ^nCONFIG ; ; ; ; -- ; ; -- ; -- ; -; 15 ; 20 ; 1 ; #TDI ; input ; ; ; -- ; ; -- ; -- ; -; 16 ; 21 ; 1 ; #TCK ; input ; ; ; -- ; ; -- ; -- ; -; 17 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 18 ; 22 ; 1 ; #TMS ; input ; ; ; -- ; ; -- ; -- ; -; 19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 20 ; 23 ; 1 ; #TDO ; output ; ; ; -- ; ; -- ; -- ; -; 21 ; 24 ; 1 ; ^nCE ; ; ; ; -- ; ; -- ; -- ; -; 22 ; 25 ; 1 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; -; 23 ; 26 ; 1 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; -; 24 ; 27 ; 2 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; -; 25 ; 28 ; 2 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; -; 26 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 27 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 28 ; 31 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; 29 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; 30 ; 38 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; 31 ; 40 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; -; 32 ; 45 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; 33 ; 46 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; 34 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; 35 ; ; -- ; VCCA1 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; 36 ; ; ; GNDA1 ; gnd ; ; ; -- ; ; -- ; -- ; -; 37 ; ; ; VCCD_PLL1 ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; 38 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; 39 ; 54 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 40 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 41 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 42 ; 59 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 43 ; 60 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 44 ; 61 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 45 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; 46 ; 67 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; -; 47 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 48 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 49 ; 79 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 50 ; 81 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 51 ; 82 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 52 ; 86 ; 3 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; -; 53 ; 87 ; 3 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; -; 54 ; 88 ; 4 ; CLOCK_27 ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 55 ; 89 ; 4 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; -; 56 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 57 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 58 ; 96 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 59 ; 98 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 60 ; 99 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 61 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; 62 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 63 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 64 ; 105 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 65 ; 106 ; 4 ; AUDIO_L ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 66 ; 111 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 67 ; 112 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 68 ; 116 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 69 ; 117 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 70 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; 71 ; 119 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 72 ; 120 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 73 ; ; ; VCCD_PLL4 ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; 74 ; ; ; GNDA4 ; gnd ; ; ; -- ; ; -- ; -- ; -; 75 ; ; -- ; VCCA4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; 76 ; 126 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; 77 ; 127 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; 78 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; 79 ; 132 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; 80 ; 134 ; 5 ; AUDIO_R ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 81 ; ; 5 ; VCCIO5 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 82 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 83 ; 138 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; 84 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; 85 ; 141 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; 86 ; 142 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; 87 ; 143 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; 88 ; 148 ; 5 ; SPI_DI ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 89 ; 149 ; 5 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; -; 90 ; 150 ; 6 ; SPI_SS4 ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 91 ; 151 ; 6 ; SPI_SS3 ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 92 ; 152 ; 6 ; ^CONF_DONE ; ; ; ; -- ; ; -- ; -- ; -; 93 ; ; 6 ; VCCIO6 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 94 ; 153 ; 6 ; ^MSEL0 ; ; ; ; -- ; ; -- ; -- ; -; 95 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 96 ; 154 ; 6 ; ^MSEL1 ; ; ; ; -- ; ; -- ; -- ; -; 97 ; 155 ; 6 ; ^MSEL2 ; ; ; ; -- ; ; -- ; -- ; -; 97 ; 156 ; 6 ; ^MSEL3 ; ; ; ; -- ; ; -- ; -- ; -; 98 ; 159 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; 99 ; 160 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; 100 ; 161 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; 101 ; 162 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; 102 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; 103 ; 163 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; 104 ; 164 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; 105 ; 167 ; 6 ; SPI_DO ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 106 ; 173 ; 6 ; VGA_G[0] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 107 ; ; -- ; VCCA2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; 108 ; ; ; GNDA2 ; gnd ; ; ; -- ; ; -- ; -- ; -; 109 ; ; ; VCCD_PLL2 ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; 110 ; 178 ; 7 ; VGA_G[1] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 111 ; 180 ; 7 ; VGA_G[2] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 112 ; 181 ; 7 ; VGA_G[3] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 113 ; 182 ; 7 ; VGA_G[4] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 114 ; 183 ; 7 ; VGA_G[5] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 115 ; 184 ; 7 ; VGA_B[0] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 116 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; 117 ; ; 7 ; VCCIO7 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 118 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 119 ; 190 ; 7 ; VGA_HS ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 120 ; 191 ; 7 ; VGA_B[1] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 121 ; 197 ; 7 ; VGA_B[2] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 122 ; ; 7 ; VCCIO7 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 123 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 124 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; 125 ; 205 ; 7 ; VGA_B[3] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 126 ; 209 ; 7 ; SPI_SCK ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 127 ; 210 ; 7 ; SPI_SS2 ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 128 ; 211 ; 8 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; -; 129 ; 212 ; 8 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; -; 130 ; ; 8 ; VCCIO8 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 131 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 132 ; 218 ; 8 ; VGA_B[4] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 133 ; 219 ; 8 ; VGA_B[5] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 134 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; 135 ; 221 ; 8 ; VGA_R[0] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 136 ; 224 ; 8 ; VGA_VS ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 137 ; 227 ; 8 ; VGA_R[1] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 138 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; 139 ; ; 8 ; VCCIO8 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 140 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 141 ; 239 ; 8 ; VGA_R[2] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 142 ; 242 ; 8 ; VGA_R[3] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 143 ; 245 ; 8 ; VGA_R[4] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 144 ; 246 ; 8 ; VGA_R[5] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; EPAD ; ; ; GND ; ; ; ; -- ; ; -- ; -- ; -+----------+------------+----------+---------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+ -Note: Pin directions (input, output or bidir) are based on device operating in user mode. - - -+------------------------------------------------------------------------------------------------+ -; PLL Summary ; -+-------------------------------+----------------------------------------------------------------+ -; Name ; pll:pll|altpll:altpll_component|pll_altpll:auto_generated|pll1 ; -+-------------------------------+----------------------------------------------------------------+ -; SDC pin name ; pll|altpll_component|auto_generated|pll1 ; -; PLL mode ; Normal ; -; Compensate clock ; clock0 ; -; Compensated input/output pins ; -- ; -; Switchover type ; -- ; -; Input frequency 0 ; 27.0 MHz ; -; Input frequency 1 ; -- ; -; Nominal PFD frequency ; 9.0 MHz ; -; Nominal VCO frequency ; 450.0 MHz ; -; VCO post scale K counter ; 2 ; -; VCO frequency control ; Auto ; -; VCO phase shift step ; 277 ps ; -; VCO multiply ; -- ; -; VCO divide ; -- ; -; Freq min lock ; 18.0 MHz ; -; Freq max lock ; 39.01 MHz ; -; M VCO Tap ; 0 ; -; M Initial ; 1 ; -; M value ; 50 ; -; N value ; 3 ; -; Charge pump current ; setting 1 ; -; Loop filter resistance ; setting 19 ; -; Loop filter capacitance ; setting 0 ; -; Bandwidth ; 450 kHz to 560 kHz ; -; Bandwidth type ; Medium ; -; Real time reconfigurable ; Off ; -; Scan chain MIF file ; -- ; -; Preserve PLL counter order ; Off ; -; PLL location ; PLL_4 ; -; Inclk0 signal ; CLOCK_27 ; -; Inclk1 signal ; -- ; -; Inclk0 signal type ; Dedicated Pin ; -; Inclk1 signal type ; -- ; -+-------------------------------+----------------------------------------------------------------+ - - -+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; PLL Usage ; -+----------------------------------------------------------------------------+--------------+------+-----+------------------+-------------+------------------+------------+---------+---------------+------------+---------------+---------+---------+-------------------------------------------------+ -; Name ; Output Clock ; Mult ; Div ; Output Frequency ; Phase Shift ; Phase Shift Step ; Duty Cycle ; Counter ; Counter Value ; High / Low ; Cascade Input ; Initial ; VCO Tap ; SDC Pin Name ; -+----------------------------------------------------------------------------+--------------+------+-----+------------------+-------------+------------------+------------+---------+---------------+------------+---------------+---------+---------+-------------------------------------------------+ -; pll:pll|altpll:altpll_component|pll_altpll:auto_generated|wire_pll1_clk[0] ; clock0 ; 50 ; 27 ; 50.0 MHz ; 0 (0 ps) ; 5.00 (277 ps) ; 50/50 ; C0 ; 9 ; 5/4 Odd ; -- ; 1 ; 0 ; pll|altpll_component|auto_generated|pll1|clk[0] ; -+----------------------------------------------------------------------------+--------------+------+-----+------------------+-------------+------------------+------------+---------+---------------+------------+---------------+---------+---------+-------------------------------------------------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Fitter Resource Utilization by Entity ; -+-------------------------------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+----------------------------------------------------------------------------------------------------------------------------------+--------------+ -; Compilation Hierarchy Node ; Logic Cells ; Dedicated Logic Registers ; I/O Registers ; Memory Bits ; M9Ks ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Full Hierarchy Name ; Library Name ; -+-------------------------------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+----------------------------------------------------------------------------------------------------------------------------------+--------------+ -; |mz80k_mist ; 3012 (21) ; 891 (8) ; 0 (0) ; 311296 ; 38 ; 0 ; 0 ; 0 ; 31 ; 0 ; 2121 (13) ; 126 (7) ; 765 (2) ; |mz80k_mist ; work ; -; |mist_io:mist_io| ; 272 (272) ; 154 (154) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 117 (117) ; 45 (45) ; 110 (110) ; |mz80k_mist|mist_io:mist_io ; work ; -; |mz80k_top:mz80k_top| ; 2008 (107) ; 537 (48) ; 0 (0) ; 294912 ; 36 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1468 (57) ; 57 (0) ; 483 (49) ; |mz80k_mist|mz80k_top:mz80k_top ; work ; -; |fz80:z80| ; 1330 (625) ; 250 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1072 (615) ; 44 (0) ; 214 (30) ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80 ; work ; -; |alu:alu| ; 122 (122) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 112 (112) ; 0 (0) ; 10 (10) ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|alu:alu ; work ; -; |asu:asu| ; 79 (79) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 78 (78) ; 0 (0) ; 1 (1) ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|asu:asu ; work ; -; |reg_2:reg_adrh| ; 9 (9) ; 8 (8) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 8 (8) ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrh ; work ; -; |reg_2:reg_adrl| ; 12 (12) ; 8 (8) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 3 (3) ; 8 (8) ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrl ; work ; -; |reg_2s:reg_sph| ; 13 (13) ; 8 (8) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 5 (5) ; 4 (4) ; 4 (4) ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_sph ; work ; -; |reg_2s:reg_spl| ; 16 (16) ; 8 (8) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 8 (8) ; 4 (4) ; 4 (4) ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_spl ; work ; -; |reg_a:reg_a| ; 27 (27) ; 16 (16) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 11 (11) ; 7 (7) ; 9 (9) ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a ; work ; -; |reg_dual2:reg_b| ; 25 (25) ; 16 (16) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 9 (9) ; 5 (5) ; 11 (11) ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_b ; work ; -; |reg_dual2:reg_c| ; 23 (23) ; 16 (16) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 7 (7) ; 1 (1) ; 15 (15) ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c ; work ; -; |reg_dual2:reg_d| ; 28 (28) ; 16 (16) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 12 (12) ; 4 (4) ; 12 (12) ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d ; work ; -; |reg_dual2:reg_e| ; 28 (28) ; 16 (16) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 12 (12) ; 8 (8) ; 8 (8) ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e ; work ; -; |reg_f:reg_f| ; 35 (35) ; 12 (12) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 23 (23) ; 0 (0) ; 12 (12) ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_f:reg_f ; work ; -; |reg_pch:reg_pch| ; 32 (32) ; 8 (8) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 24 (24) ; 0 (0) ; 8 (8) ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch ; work ; -; |reg_pcl:reg_pcl| ; 30 (30) ; 8 (8) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 22 (22) ; 0 (0) ; 8 (8) ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_pcl:reg_pcl ; work ; -; |reg_quad3:reg_h| ; 58 (58) ; 32 (32) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 26 (26) ; 4 (4) ; 28 (28) ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_h ; work ; -; |reg_quad3:reg_l| ; 59 (59) ; 32 (32) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 27 (27) ; 3 (3) ; 29 (29) ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_l ; work ; -; |reg_r:reg_r| ; 16 (16) ; 8 (8) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 8 (8) ; 0 (0) ; 8 (8) ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_r:reg_r ; work ; -; |reg_simple:reg_data| ; 8 (8) ; 8 (8) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 8 (8) ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_simple:reg_data ; work ; -; |reg_simplec:reg_i| ; 9 (9) ; 8 (8) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 8 (8) ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_simplec:reg_i ; work ; -; |seq:seq| ; 89 (89) ; 19 (19) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 70 (70) ; 1 (1) ; 18 (18) ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|seq:seq ; work ; -; |i8253:i8253_1| ; 211 (211) ; 122 (122) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 88 (88) ; 8 (8) ; 115 (115) ; |mz80k_mist|mz80k_top:mz80k_top|i8253:i8253_1 ; work ; -; |monrom:mon_rom| ; 24 (0) ; 4 (0) ; 0 (0) ; 262144 ; 32 ; 0 ; 0 ; 0 ; 0 ; 0 ; 20 (0) ; 2 (0) ; 2 (0) ; |mz80k_mist|mz80k_top:mz80k_top|monrom:mon_rom ; work ; -; |altsyncram:altsyncram_component| ; 24 (0) ; 4 (0) ; 0 (0) ; 262144 ; 32 ; 0 ; 0 ; 0 ; 0 ; 0 ; 20 (0) ; 2 (0) ; 2 (0) ; |mz80k_mist|mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component ; work ; -; |altsyncram_vli1:auto_generated| ; 24 (4) ; 4 (4) ; 0 (0) ; 262144 ; 32 ; 0 ; 0 ; 0 ; 0 ; 0 ; 20 (0) ; 2 (2) ; 2 (2) ; |mz80k_mist|mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated ; work ; -; |decode_dra:decode3| ; 4 (4) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 4 (4) ; 0 (0) ; 0 (0) ; |mz80k_mist|mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|decode_dra:decode3 ; work ; -; |mux_tlb:mux2| ; 16 (16) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 16 (16) ; 0 (0) ; 0 (0) ; |mz80k_mist|mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|mux_tlb:mux2 ; work ; -; |ps2:ps2_1| ; 268 (242) ; 92 (68) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 173 (171) ; 3 (0) ; 92 (71) ; |mz80k_mist|mz80k_top:mz80k_top|ps2:ps2_1 ; work ; -; |ps2_recieve:ps2_recieve1| ; 26 (26) ; 24 (24) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 3 (3) ; 21 (21) ; |mz80k_mist|mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1 ; work ; -; |ram2:ram2_2| ; 0 (0) ; 0 (0) ; 0 (0) ; 16384 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |mz80k_mist|mz80k_top:mz80k_top|ram2:ram2_2 ; work ; -; |altsyncram:altsyncram_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 16384 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |mz80k_mist|mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component ; work ; -; |altsyncram_atg1:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 16384 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |mz80k_mist|mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated ; work ; -; |vga:vga1| ; 81 (81) ; 21 (21) ; 0 (0) ; 16384 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 58 (58) ; 0 (0) ; 23 (23) ; |mz80k_mist|mz80k_top:mz80k_top|vga:vga1 ; work ; -; |cg_rom:cg_rom| ; 0 (0) ; 0 (0) ; 0 (0) ; 16384 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |mz80k_mist|mz80k_top:mz80k_top|vga:vga1|cg_rom:cg_rom ; work ; -; |altsyncram:altsyncram_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 16384 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |mz80k_mist|mz80k_top:mz80k_top|vga:vga1|cg_rom:cg_rom|altsyncram:altsyncram_component ; work ; -; |altsyncram_f7a1:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 16384 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |mz80k_mist|mz80k_top:mz80k_top|vga:vga1|cg_rom:cg_rom|altsyncram:altsyncram_component|altsyncram_f7a1:auto_generated ; work ; -; |pll:pll| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |mz80k_mist|pll:pll ; work ; -; |altpll:altpll_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |mz80k_mist|pll:pll|altpll:altpll_component ; work ; -; |pll_altpll:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |mz80k_mist|pll:pll|altpll:altpll_component|pll_altpll:auto_generated ; work ; -; |sigma_delta_dac:sigma_delta_dac| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |mz80k_mist|sigma_delta_dac:sigma_delta_dac ; work ; -; |video_mixer:video_mixer| ; 710 (370) ; 186 (3) ; 0 (0) ; 16384 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 523 (366) ; 17 (1) ; 170 (3) ; |mz80k_mist|video_mixer:video_mixer ; work ; -; |osd:osd| ; 340 (340) ; 183 (183) ; 0 (0) ; 16384 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 157 (157) ; 16 (16) ; 167 (167) ; |mz80k_mist|video_mixer:video_mixer|osd:osd ; work ; -; |altsyncram:osd_buffer_rtl_0| ; 0 (0) ; 0 (0) ; 0 (0) ; 16384 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |mz80k_mist|video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0 ; work ; -; |altsyncram_dud1:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 16384 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |mz80k_mist|video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated ; work ; -+-------------------------------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+----------------------------------------------------------------------------------------------------------------------------------+--------------+ -Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. - - -+--------------------------------------------------------------------------------------------+ -; Delay Chain Summary ; -+------------+----------+---------------+---------------+-----------------------+-----+------+ -; Name ; Pin Type ; Pad to Core 0 ; Pad to Core 1 ; Pad to Input Register ; TCO ; TCOE ; -+------------+----------+---------------+---------------+-----------------------+-----+------+ -; VGA_R[0] ; Output ; -- ; -- ; -- ; -- ; -- ; -; VGA_R[1] ; Output ; -- ; -- ; -- ; -- ; -- ; -; VGA_R[2] ; Output ; -- ; -- ; -- ; -- ; -- ; -; VGA_R[3] ; Output ; -- ; -- ; -- ; -- ; -- ; -; VGA_R[4] ; Output ; -- ; -- ; -- ; -- ; -- ; -; VGA_R[5] ; Output ; -- ; -- ; -- ; -- ; -- ; -; VGA_G[0] ; Output ; -- ; -- ; -- ; -- ; -- ; -; VGA_G[1] ; Output ; -- ; -- ; -- ; -- ; -- ; -; VGA_G[2] ; Output ; -- ; -- ; -- ; -- ; -- ; -; VGA_G[3] ; Output ; -- ; -- ; -- ; -- ; -- ; -; VGA_G[4] ; Output ; -- ; -- ; -- ; -- ; -- ; -; VGA_G[5] ; Output ; -- ; -- ; -- ; -- ; -- ; -; VGA_B[0] ; Output ; -- ; -- ; -- ; -- ; -- ; -; VGA_B[1] ; Output ; -- ; -- ; -- ; -- ; -- ; -; VGA_B[2] ; Output ; -- ; -- ; -- ; -- ; -- ; -; VGA_B[3] ; Output ; -- ; -- ; -- ; -- ; -- ; -; VGA_B[4] ; Output ; -- ; -- ; -- ; -- ; -- ; -; VGA_B[5] ; Output ; -- ; -- ; -- ; -- ; -- ; -; VGA_HS ; Output ; -- ; -- ; -- ; -- ; -- ; -; VGA_VS ; Output ; -- ; -- ; -- ; -- ; -- ; -; LED ; Output ; -- ; -- ; -- ; -- ; -- ; -; AUDIO_L ; Output ; -- ; -- ; -- ; -- ; -- ; -; AUDIO_R ; Output ; -- ; -- ; -- ; -- ; -- ; -; SPI_DO ; Output ; -- ; -- ; -- ; -- ; -- ; -; SPI_SS2 ; Input ; -- ; -- ; -- ; -- ; -- ; -; SPI_SS4 ; Input ; -- ; -- ; -- ; -- ; -- ; -; SPI_SCK ; Input ; (0) 0 ps ; -- ; -- ; -- ; -- ; -; CONF_DATA0 ; Input ; -- ; (6) 1314 ps ; -- ; -- ; -- ; -; SPI_SS3 ; Input ; (0) 0 ps ; -- ; -- ; -- ; -- ; -; SPI_DI ; Input ; (0) 0 ps ; -- ; -- ; -- ; -- ; -; CLOCK_27 ; Input ; -- ; -- ; -- ; -- ; -- ; -+------------+----------+---------------+---------------+-----------------------+-----+------+ - - -+------------------------------------------------------------------+ -; Pad To Core Delay Chain Fanout ; -+------------------------------------+-------------------+---------+ -; Source Pin / Fanout ; Pad To Core Index ; Setting ; -+------------------------------------+-------------------+---------+ -; SPI_SS2 ; ; ; -; SPI_SS4 ; ; ; -; SPI_SCK ; ; ; -; CONF_DATA0 ; ; ; -; - mist_io:mist_io|byte_cnt[0] ; 1 ; 6 ; -; - mist_io:mist_io|bit_cnt[0] ; 1 ; 6 ; -; - mist_io:mist_io|bit_cnt[1] ; 1 ; 6 ; -; - mist_io:mist_io|bit_cnt[2] ; 1 ; 6 ; -; - SPI_DO~output ; 1 ; 6 ; -; - mist_io:mist_io|sbuf[4] ; 1 ; 6 ; -; - mist_io:mist_io|byte_cnt[1] ; 1 ; 6 ; -; - mist_io:mist_io|byte_cnt[2] ; 1 ; 6 ; -; - mist_io:mist_io|byte_cnt[3] ; 1 ; 6 ; -; - mist_io:mist_io|byte_cnt[4] ; 1 ; 6 ; -; - mist_io:mist_io|byte_cnt[5] ; 1 ; 6 ; -; - mist_io:mist_io|byte_cnt[6] ; 1 ; 6 ; -; - mist_io:mist_io|byte_cnt[7] ; 1 ; 6 ; -; - mist_io:mist_io|status[4]~0 ; 1 ; 6 ; -; - mist_io:mist_io|sbuf[2] ; 1 ; 6 ; -; - mist_io:mist_io|sbuf[3] ; 1 ; 6 ; -; - mist_io:mist_io|spi_do ; 1 ; 6 ; -; - mist_io:mist_io|sbuf[6] ; 1 ; 6 ; -; - mist_io:mist_io|sbuf[5] ; 1 ; 6 ; -; - mist_io:mist_io|sbuf[0] ; 1 ; 6 ; -; - mist_io:mist_io|sbuf[1] ; 1 ; 6 ; -; SPI_SS3 ; ; ; -; SPI_DI ; ; ; -; CLOCK_27 ; ; ; -+------------------------------------+-------------------+---------+ - - -+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Control Signals ; -+-------------------------------------------------------------------------------------------------------------------------------------+--------------------+---------+-------------------------------------------+--------+----------------------+------------------+---------------------------+ -; Name ; Location ; Fan-Out ; Usage ; Global ; Global Resource Used ; Global Line Name ; Enable Signal Source Name ; -+-------------------------------------------------------------------------------------------------------------------------------------+--------------------+---------+-------------------------------------------+--------+----------------------+------------------+---------------------------+ -; CLOCK_27 ; PIN_54 ; 1 ; Clock ; no ; -- ; -- ; -- ; -; CONF_DATA0 ; PIN_13 ; 21 ; Async. clear, Clock enable, Output enable ; no ; -- ; -- ; -- ; -; Equal1~2 ; LCCOMB_X36_Y11_N6 ; 133 ; Async. clear ; yes ; Global Clock ; GCLK19 ; -- ; -; Equal1~2 ; LCCOMB_X36_Y11_N6 ; 55 ; Clock enable, Sync. clear, Sync. load ; no ; -- ; -- ; -- ; -; SPI_SCK ; PIN_126 ; 2 ; Clock ; no ; -- ; -- ; -- ; -; SPI_SCK ; PIN_126 ; 132 ; Clock ; yes ; Global Clock ; GCLK14 ; -- ; -; SPI_SS3 ; PIN_91 ; 16 ; Async. clear ; yes ; Global Clock ; GCLK9 ; -- ; -; SPI_SS3 ; PIN_91 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; -; always0~0 ; LCCOMB_X37_Y11_N8 ; 8 ; Sync. clear ; no ; -- ; -- ; -- ; -; mist_io:mist_io|Equal15~0 ; LCCOMB_X35_Y8_N18 ; 14 ; Sync. load ; no ; -- ; -- ; -- ; -; mist_io:mist_io|always4~1 ; LCCOMB_X31_Y9_N16 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; mist_io:mist_io|but_sw[4]~1 ; LCCOMB_X38_Y11_N30 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; mist_io:mist_io|byte_cnt[0]~10 ; LCCOMB_X40_Y8_N30 ; 7 ; Clock enable ; no ; -- ; -- ; -- ; -; mist_io:mist_io|cmd[0]~0 ; LCCOMB_X38_Y11_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; mist_io:mist_io|ps2_kbd_fifo~68 ; LCCOMB_X34_Y9_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; mist_io:mist_io|ps2_kbd_fifo~69 ; LCCOMB_X36_Y9_N12 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; mist_io:mist_io|ps2_kbd_fifo~70 ; LCCOMB_X37_Y9_N24 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; mist_io:mist_io|ps2_kbd_fifo~71 ; LCCOMB_X35_Y9_N24 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; mist_io:mist_io|ps2_kbd_fifo~72 ; LCCOMB_X31_Y8_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; mist_io:mist_io|ps2_kbd_fifo~73 ; LCCOMB_X32_Y8_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; mist_io:mist_io|ps2_kbd_fifo~74 ; LCCOMB_X36_Y8_N4 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; mist_io:mist_io|ps2_kbd_fifo~75 ; LCCOMB_X36_Y8_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; mist_io:mist_io|ps2_kbd_parity~1 ; LCCOMB_X35_Y8_N24 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; mist_io:mist_io|ps2_kbd_tx_state[0]~7 ; LCCOMB_X34_Y8_N22 ; 4 ; Sync. load ; no ; -- ; -- ; -- ; -; mist_io:mist_io|status[4]~9 ; LCCOMB_X38_Y11_N28 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|CLK_2M ; FF_X25_Y33_N3 ; 17 ; Clock ; yes ; Global Clock ; GCLK13 ; -- ; -; mz80k_top:mz80k_top|CLK_31250 ; FF_X1_Y16_N15 ; 17 ; Clock ; yes ; Global Clock ; GCLK3 ; -- ; -; mz80k_top:mz80k_top|CLK_CPU ; LCCOMB_X34_Y12_N2 ; 327 ; Clock ; yes ; Global Clock ; GCLK17 ; -- ; -; mz80k_top:mz80k_top|LessThan0~1 ; LCCOMB_X25_Y33_N0 ; 5 ; Sync. clear ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|LessThan1~0 ; LCCOMB_X2_Y22_N2 ; 11 ; Sync. clear ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|always2~1 ; LCCOMB_X34_Y14_N18 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|fz80:z80|load_adrl ; LCCOMB_X29_Y17_N0 ; 8 ; Sync. load ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|fz80:z80|load_data~3 ; LCCOMB_X24_Y13_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|fz80:z80|load_h~3 ; LCCOMB_X30_Y17_N26 ; 33 ; Sync. load ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|fz80:z80|load_l~3 ; LCCOMB_X30_Y17_N12 ; 33 ; Sync. load ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrh|q[1]~14 ; LCCOMB_X29_Y17_N6 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrl|q[5]~11 ; LCCOMB_X29_Y17_N24 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_sph|q[1]~9 ; LCCOMB_X31_Y17_N20 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_spl|q[1]~12 ; LCCOMB_X27_Y12_N8 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[4]~19 ; LCCOMB_X29_Y18_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q1[4]~2 ; LCCOMB_X29_Y18_N24 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_b|q0[4]~10 ; LCCOMB_X24_Y15_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_b|q1[2]~2 ; LCCOMB_X24_Y15_N12 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q0[3]~10 ; LCCOMB_X24_Y15_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q1[1]~2 ; LCCOMB_X24_Y15_N8 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d|q0[6]~2 ; LCCOMB_X26_Y14_N8 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d|q1[6]~0 ; LCCOMB_X26_Y14_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q0[4]~1 ; LCCOMB_X23_Y13_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q1[4]~0 ; LCCOMB_X23_Y13_N24 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|fz80:z80|reg_f:reg_f|q0[6]~29 ; LCCOMB_X21_Y16_N10 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|fz80:z80|reg_f:reg_f|q1[0]~2 ; LCCOMB_X27_Y14_N6 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_h|q0[3]~9 ; LCCOMB_X20_Y15_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_h|q1[7]~8 ; LCCOMB_X21_Y18_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_h|qx[5]~2 ; LCCOMB_X21_Y18_N20 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_h|qy[1]~0 ; LCCOMB_X21_Y18_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_l|q0[7]~10 ; LCCOMB_X23_Y19_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_l|q1[4]~9 ; LCCOMB_X25_Y19_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_l|qx[2]~2 ; LCCOMB_X23_Y12_N6 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_l|qy[6]~0 ; LCCOMB_X23_Y19_N28 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|fz80:z80|reg_r:reg_r|q[2]~0 ; LCCOMB_X29_Y18_N18 ; 7 ; Clock enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|fz80:z80|reg_simplec:reg_i|q[4]~1 ; LCCOMB_X27_Y13_N4 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|ifd~4 ; LCCOMB_X25_Y13_N4 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|s_if ; LCCOMB_X31_Y14_N6 ; 29 ; Clock enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[2]~20 ; LCCOMB_X30_Y19_N8 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|wr ; LCCOMB_X34_Y17_N8 ; 15 ; Read enable, Write enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|i8253:i8253_1|always0~0 ; LCCOMB_X34_Y17_N4 ; 10 ; Sync. load ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|i8253:i8253_1|count0[0]~44 ; LCCOMB_X34_Y15_N28 ; 16 ; Sync. load ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|i8253:i8253_1|count1[3]~44 ; LCCOMB_X36_Y13_N16 ; 16 ; Sync. load ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|i8253:i8253_1|count2[0]~28 ; LCCOMB_X35_Y14_N16 ; 16 ; Sync. load ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|i8253:i8253_1|data[3]~15 ; LCCOMB_X35_Y14_N24 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|i8253:i8253_1|max0[0]~3 ; LCCOMB_X34_Y15_N20 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|i8253:i8253_1|max0[15]~2 ; LCCOMB_X34_Y15_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|i8253:i8253_1|max1[0]~3 ; LCCOMB_X26_Y18_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|i8253:i8253_1|max1[15]~2 ; LCCOMB_X26_Y18_N4 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|i8253:i8253_1|max2[0]~2 ; LCCOMB_X34_Y17_N16 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|i8253:i8253_1|max2[15]~3 ; LCCOMB_X34_Y17_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|i8253:i8253_1|mode0[5]~0 ; LCCOMB_X34_Y15_N12 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|i8253:i8253_1|mode1[5]~0 ; LCCOMB_X31_Y14_N2 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|i8253:i8253_1|mode2[5]~0 ; LCCOMB_X35_Y16_N0 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|i8253:i8253_1|read_hl0~0 ; LCCOMB_X32_Y14_N12 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; FF_X30_Y16_N9 ; 16 ; Clock ; yes ; Global Clock ; GCLK16 ; -- ; -; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|decode_dra:decode3|w_anode214w[2] ; LCCOMB_X31_Y11_N20 ; 8 ; Write enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|decode_dra:decode3|w_anode227w[2] ; LCCOMB_X31_Y11_N18 ; 8 ; Write enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|decode_dra:decode3|w_anode235w[2] ; LCCOMB_X31_Y11_N4 ; 8 ; Write enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|decode_dra:decode3|w_anode243w[2] ; LCCOMB_X31_Y11_N14 ; 8 ; Write enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|ps2:ps2_1|always0~5 ; LCCOMB_X32_Y13_N22 ; 15 ; Clock enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|dten ; FF_X39_Y13_N15 ; 12 ; Clock enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|kdata[0]~2 ; LCCOMB_X39_Y15_N4 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[4]~15 ; LCCOMB_X39_Y15_N2 ; 9 ; Clock enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|ram_en~2 ; LCCOMB_X31_Y13_N8 ; 36 ; Clock enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|vga:vga1|LessThan0~0 ; LCCOMB_X28_Y20_N0 ; 20 ; Clock enable, Sync. clear ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|vga:vga1|LessThan1~2 ; LCCOMB_X27_Y20_N0 ; 10 ; Sync. clear ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|vga:vga1|counter[0] ; FF_X1_Y16_N17 ; 20 ; Clock ; yes ; Global Clock ; GCLK2 ; -- ; -; mz80k_top:mz80k_top|vram_select ; LCCOMB_X31_Y13_N2 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; pll:pll|altpll:altpll_component|pll_altpll:auto_generated|wire_pll1_clk[0] ; PLL_4 ; 401 ; Clock ; yes ; Global Clock ; GCLK18 ; -- ; -; reset_cnt[1]~11 ; LCCOMB_X37_Y11_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; video_mixer:video_mixer|osd:osd|LessThan0~1 ; LCCOMB_X31_Y24_N6 ; 5 ; Sync. load ; no ; -- ; -- ; -- ; -; video_mixer:video_mixer|osd:osd|always0~1 ; LCCOMB_X32_Y24_N10 ; 12 ; Sync. load ; no ; -- ; -- ; -- ; -; video_mixer:video_mixer|osd:osd|always1~0 ; LCCOMB_X28_Y20_N30 ; 57 ; Clock enable, Sync. clear ; no ; -- ; -- ; -- ; -; video_mixer:video_mixer|osd:osd|always2~0 ; LCCOMB_X30_Y22_N24 ; 11 ; Sync. clear ; no ; -- ; -- ; -- ; -; video_mixer:video_mixer|osd:osd|bcnt[1]~13 ; LCCOMB_X34_Y24_N0 ; 11 ; Clock enable ; no ; -- ; -- ; -- ; -; video_mixer:video_mixer|osd:osd|ce_pix ; FF_X29_Y22_N13 ; 21 ; Clock enable ; no ; -- ; -- ; -- ; -; video_mixer:video_mixer|osd:osd|cmd[7]~0 ; LCCOMB_X32_Y24_N18 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; video_mixer:video_mixer|osd:osd|comb~4 ; LCCOMB_X32_Y24_N20 ; 2 ; Clock enable, Write enable ; no ; -- ; -- ; -- ; -; video_mixer:video_mixer|osd:osd|hs_high[9]~0 ; LCCOMB_X30_Y22_N0 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; -; video_mixer:video_mixer|osd:osd|hs_low[9]~0 ; LCCOMB_X30_Y22_N22 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; -; video_mixer:video_mixer|osd:osd|pixcnt[16]~38 ; LCCOMB_X28_Y20_N6 ; 32 ; Sync. clear ; no ; -- ; -- ; -- ; -; video_mixer:video_mixer|osd:osd|v_cnt[0]~17 ; LCCOMB_X27_Y24_N30 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; -; video_mixer:video_mixer|osd:osd|v_cnt[9]~16 ; LCCOMB_X27_Y24_N20 ; 10 ; Sync. load ; no ; -- ; -- ; -- ; -; video_mixer:video_mixer|osd:osd|vs_high[9]~0 ; LCCOMB_X27_Y24_N14 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; -; video_mixer:video_mixer|osd:osd|vs_low[9]~0 ; LCCOMB_X27_Y24_N28 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; -+-------------------------------------------------------------------------------------------------------------------------------------+--------------------+---------+-------------------------------------------+--------+----------------------+------------------+---------------------------+ - - -+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Global & Other Fast Signals ; -+----------------------------------------------------------------------------+-------------------+---------+--------------------------------------+----------------------+------------------+---------------------------+ -; Name ; Location ; Fan-Out ; Fan-Out Using Intentional Clock Skew ; Global Resource Used ; Global Line Name ; Enable Signal Source Name ; -+----------------------------------------------------------------------------+-------------------+---------+--------------------------------------+----------------------+------------------+---------------------------+ -; Equal1~2 ; LCCOMB_X36_Y11_N6 ; 133 ; 0 ; Global Clock ; GCLK19 ; -- ; -; SPI_SCK ; PIN_126 ; 132 ; 22 ; Global Clock ; GCLK14 ; -- ; -; SPI_SS3 ; PIN_91 ; 16 ; 0 ; Global Clock ; GCLK9 ; -- ; -; mz80k_top:mz80k_top|CLK_2M ; FF_X25_Y33_N3 ; 17 ; 0 ; Global Clock ; GCLK13 ; -- ; -; mz80k_top:mz80k_top|CLK_31250 ; FF_X1_Y16_N15 ; 17 ; 0 ; Global Clock ; GCLK3 ; -- ; -; mz80k_top:mz80k_top|CLK_CPU ; LCCOMB_X34_Y12_N2 ; 327 ; 8 ; Global Clock ; GCLK17 ; -- ; -; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; FF_X30_Y16_N9 ; 16 ; 5 ; Global Clock ; GCLK16 ; -- ; -; mz80k_top:mz80k_top|vga:vga1|counter[0] ; FF_X1_Y16_N17 ; 20 ; 0 ; Global Clock ; GCLK2 ; -- ; -; pll:pll|altpll:altpll_component|pll_altpll:auto_generated|wire_pll1_clk[0] ; PLL_4 ; 401 ; 96 ; Global Clock ; GCLK18 ; -- ; -+----------------------------------------------------------------------------+-------------------+---------+--------------------------------------+----------------------+------------------+---------------------------+ - - -+-----------------------------------------------------------------------------------------------------------------------------------------------+ -; Non-Global High Fan-Out Signals ; -+-------------------------------------------------------------------------------------------------------------------------------------+---------+ -; Name ; Fan-Out ; -+-------------------------------------------------------------------------------------------------------------------------------------+---------+ -; mz80k_top:mz80k_top|ps2:ps2_1|key_f0 ; 64 ; -; mz80k_top:mz80k_top|fz80:z80|i[3]~11 ; 59 ; -; video_mixer:video_mixer|osd:osd|always1~0 ; 57 ; -; Equal1~2 ; 54 ; -; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|kdata[2] ; 47 ; -; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|kdata[4] ; 46 ; -; mz80k_top:mz80k_top|fz80:z80|Mux22~4 ; 46 ; -; mz80k_top:mz80k_top|fz80:z80|Mux23~3 ; 46 ; -; mz80k_top:mz80k_top|fz80:z80|sel_exx ; 44 ; -; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|kdata[0] ; 41 ; -; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|kdata[3] ; 41 ; -; mz80k_top:mz80k_top|fz80:z80|sel2[2]~10 ; 40 ; -; mz80k_top:mz80k_top|fz80:z80|sel2[0]~6 ; 40 ; -; mz80k_top:mz80k_top|fz80:z80|i[5]~4 ; 40 ; -; Equal1~1 ; 39 ; -; Equal1~0 ; 39 ; -; mz80k_top:mz80k_top|fz80:z80|Mux20~3 ; 39 ; -; mz80k_top:mz80k_top|fz80:z80|Mux21~3 ; 39 ; -; mz80k_top:mz80k_top|fz80:z80|i[7]~10 ; 39 ; -; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|kdata[6] ; 38 ; -; mz80k_top:mz80k_top|fz80:z80|i[6]~9 ; 38 ; -; mz80k_top:mz80k_top|fz80:z80|i[4]~5 ; 38 ; -; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|kdata[1] ; 37 ; -; mz80k_top:mz80k_top|ram_en~2 ; 36 ; -; mz80k_top:mz80k_top|cpu_data_in[1]~18 ; 35 ; -; mz80k_top:mz80k_top|fz80:z80|Mux11~4 ; 34 ; -; mz80k_top:mz80k_top|fz80:z80|Mux13~4 ; 34 ; -; mz80k_top:mz80k_top|fz80:z80|Mux14~4 ; 34 ; -; mz80k_top:mz80k_top|fz80:z80|Mux15~4 ; 34 ; -; mz80k_top:mz80k_top|fz80:z80|Mux16~3 ; 34 ; -; mz80k_top:mz80k_top|fz80:z80|Mux17~3 ; 34 ; -; mz80k_top:mz80k_top|fz80:z80|Mux18~3 ; 34 ; -; mz80k_top:mz80k_top|fz80:z80|Mux19~3 ; 34 ; -; mz80k_top:mz80k_top|fz80:z80|load_h~3 ; 33 ; -; mz80k_top:mz80k_top|fz80:z80|load_l~3 ; 33 ; -; mz80k_top:mz80k_top|fz80:z80|Mux12~4 ; 33 ; -; video_mixer:video_mixer|osd:osd|pixcnt[16]~38 ; 32 ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_l|q~0 ; 32 ; -; mist_io:mist_io|byte_cnt[0] ; 32 ; -; video_mixer:video_mixer|y[4]~6 ; 31 ; -; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|kdata[5] ; 30 ; -; mist_io:mist_io|byte_cnt[1] ; 30 ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|s_if ; 29 ; -; video_mixer:video_mixer|osd:osd|osd_de~2 ; 29 ; -; mz80k_top:mz80k_top|fz80:z80|comb~66 ; 28 ; -; mist_io:mist_io|ps2_kbd_rptr[0] ; 28 ; -; mist_io:mist_io|byte_cnt[2] ; 28 ; -; mist_io:mist_io|byte_cnt[3] ; 28 ; -; mist_io:mist_io|ps2_kbd_rptr[1] ; 27 ; -; mz80k_top:mz80k_top|key_no[2] ; 27 ; -; video_mixer:video_mixer|y[3]~5 ; 27 ; -; mz80k_top:mz80k_top|fz80:z80|loada_hl~1 ; 26 ; -; mz80k_top:mz80k_top|fz80:z80|Decoder1~0 ; 26 ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|busack ; 26 ; -; mz80k_top:mz80k_top|fz80:z80|loadal ; 25 ; -; video_mixer:video_mixer|y[2]~4 ; 25 ; -; video_mixer:video_mixer|y[1]~2 ; 25 ; -; video_mixer:video_mixer|pr[4]~13 ; 24 ; -; mz80k_top:mz80k_top|fz80:z80|selah[1]~5 ; 24 ; -; mz80k_top:mz80k_top|fz80:z80|selah[0]~3 ; 24 ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|Equal10~1 ; 24 ; -; video_mixer:video_mixer|pb[3]~8 ; 24 ; -; video_mixer:video_mixer|pr[3]~11 ; 24 ; -; mist_io:mist_io|byte_cnt[4] ; 24 ; -; mist_io:mist_io|but_sw[5] ; 23 ; -; mz80k_top:mz80k_top|fz80:z80|sel_af ; 23 ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[3] ; 23 ; -; mist_io:mist_io|byte_cnt[5] ; 23 ; -; ~GND ; 22 ; -; mz80k_top:mz80k_top|fz80:z80|comb~68 ; 22 ; -; mz80k_top:mz80k_top|fz80:z80|selal[1]~1 ; 22 ; -; mz80k_top:mz80k_top|fz80:z80|i[0]~6 ; 22 ; -; video_mixer:video_mixer|pb[2]~7 ; 22 ; -; video_mixer:video_mixer|pr[2]~10 ; 22 ; -; CONF_DATA0~input ; 21 ; -; mz80k_top:mz80k_top|key_no[1] ; 21 ; -; mz80k_top:mz80k_top|fz80:z80|Mux0~1 ; 21 ; -; mz80k_top:mz80k_top|fz80:z80|Mux1~1 ; 21 ; -; mz80k_top:mz80k_top|fz80:z80|sel3[0]~0 ; 21 ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|Equal0~0 ; 21 ; -; video_mixer:video_mixer|osd:osd|ce_pix ; 21 ; -; video_mixer:video_mixer|pb[4]~10 ; 21 ; -; video_mixer:video_mixer|pb[0]~5 ; 21 ; -; video_mixer:video_mixer|pr[0]~8 ; 21 ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|icb ; 21 ; -; mz80k_top:mz80k_top|key_no[0] ; 20 ; -; mz80k_top:mz80k_top|fz80:z80|selal[0]~3 ; 20 ; -; mz80k_top:mz80k_top|vga:vga1|LessThan0~0 ; 20 ; -; mz80k_top:mz80k_top|fz80:z80|selal[2]~6 ; 19 ; -; mz80k_top:mz80k_top|fz80:z80|sel1l[3]~12 ; 19 ; -; mz80k_top:mz80k_top|fz80:z80|Decoder1~2 ; 19 ; -; mz80k_top:mz80k_top|fz80:z80|Decoder1~1 ; 19 ; -; mz80k_top:mz80k_top|fz80:z80|Decoder2~3 ; 19 ; -; mz80k_top:mz80k_top|fz80:z80|Decoder2~0 ; 19 ; -; video_mixer:video_mixer|pb[1]~6 ; 19 ; -; video_mixer:video_mixer|y[0]~1 ; 19 ; -; video_mixer:video_mixer|pr[1]~9 ; 19 ; -; video_mixer:video_mixer|osd:osd|R_out[4]~0 ; 19 ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[1] ; 19 ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[2] ; 19 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_e0 ; 18 ; -; mz80k_top:mz80k_top|fz80:z80|loada_pc~3 ; 18 ; -; mz80k_top:mz80k_top|fz80:z80|alu:alu|z[7]~62 ; 18 ; -; mz80k_top:mz80k_top|fz80:z80|i_daa ; 18 ; -; mz80k_top:mz80k_top|fz80:z80|sel1l[0]~7 ; 18 ; -; mz80k_top:mz80k_top|fz80:z80|sel1l[1]~4 ; 18 ; -; mz80k_top:mz80k_top|fz80:z80|Decoder2~2 ; 18 ; -; mz80k_top:mz80k_top|fz80:z80|i[2]~8 ; 18 ; -; mz80k_top:mz80k_top|fz80:z80|i[1]~7 ; 18 ; -; video_mixer:video_mixer|Add28~2 ; 18 ; -; mz80k_top:mz80k_top|fz80:z80|alu:alu|z[6]~76 ; 17 ; -; video_mixer:video_mixer|Add29~5 ; 17 ; -; video_mixer:video_mixer|Add27~5 ; 17 ; -; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|kdata[7] ; 17 ; -; mz80k_top:mz80k_top|fz80:z80|alu:alu|z[5]~68 ; 17 ; -; mz80k_top:mz80k_top|fz80:z80|alu:alu|z[3]~50 ; 17 ; -; mz80k_top:mz80k_top|fz80:z80|alu:alu|z[4] ; 17 ; -; mz80k_top:mz80k_top|fz80:z80|asu_i[1]~6 ; 17 ; -; mz80k_top:mz80k_top|fz80:z80|Mux2~1 ; 17 ; -; mz80k_top:mz80k_top|fz80:z80|Mux3~1 ; 17 ; -; mz80k_top:mz80k_top|fz80:z80|sel1l[2]~13 ; 17 ; -; mz80k_top:mz80k_top|fz80:z80|d_f~8 ; 17 ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|wr ; 17 ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[0] ; 17 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count1[3]~44 ; 16 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count2[0]~28 ; 16 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count0[0]~44 ; 16 ; -; mz80k_top:mz80k_top|fz80:z80|alu:alu|z[1]~40 ; 16 ; -; mz80k_top:mz80k_top|fz80:z80|alu:alu|z[0] ; 16 ; -; mz80k_top:mz80k_top|fz80:z80|sel2[1]~3 ; 16 ; -; mz80k_top:mz80k_top|fz80:z80|Mux27~4 ; 16 ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_h|q~1 ; 16 ; -; mz80k_top:mz80k_top|fz80:z80|Decoder2~4 ; 16 ; -; mz80k_top:mz80k_top|fz80:z80|self[1]~0 ; 16 ; -; SPI_DI~input ; 15 ; -; mz80k_top:mz80k_top|key_no[3] ; 15 ; -; mz80k_top:mz80k_top|ps2:ps2_1|always0~5 ; 15 ; -; mz80k_top:mz80k_top|fz80:z80|xy3 ; 15 ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|ied ; 15 ; -; mist_io:mist_io|Equal15~0 ; 14 ; -; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[10] ; 14 ; -; mz80k_top:mz80k_top|i8253:i8253_1|always0~3 ; 14 ; -; mz80k_top:mz80k_top|i8253:i8253_1|data[5]~11 ; 14 ; -; mz80k_top:mz80k_top|ps2:ps2_1|always0~4 ; 14 ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|sgate ; 14 ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|s_mr1 ; 14 ; -; mz80k_top:mz80k_top|fz80:z80|s_xor ; 14 ; -; mz80k_top:mz80k_top|fz80:z80|Mux4~1 ; 14 ; -; mz80k_top:mz80k_top|fz80:z80|Mux5~1 ; 14 ; -; mz80k_top:mz80k_top|fz80:z80|Decoder1~6 ; 14 ; -; mz80k_top:mz80k_top|fz80:z80|Decoder1~3 ; 14 ; -; mz80k_top:mz80k_top|cpu_data_in[4]~20 ; 14 ; -; video_mixer:video_mixer|osd:osd|hsD ; 14 ; -; video_mixer:video_mixer|Add27~2 ; 14 ; -; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[0] ; 13 ; -; mz80k_top:mz80k_top|fz80:z80|ec~2 ; 13 ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|Equal10~8 ; 13 ; -; mz80k_top:mz80k_top|fz80:z80|Mux7~1 ; 13 ; -; mz80k_top:mz80k_top|fz80:z80|Decoder1~8 ; 13 ; -; mz80k_top:mz80k_top|fz80:z80|self[0] ; 13 ; -; mz80k_top:mz80k_top|fz80:z80|i0 ; 13 ; -; video_mixer:video_mixer|osd:osd|hsD2 ; 13 ; -; video_mixer:video_mixer|Add29~2 ; 13 ; -; video_mixer:video_mixer|Add28~1 ; 13 ; -; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrl|q[7]~2 ; 13 ; -; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrl|q[0]~0 ; 13 ; -; mist_io:mist_io|ps2_kbd_rptr[2] ; 12 ; -; mist_io:mist_io|ps2_kbd_wptr[2] ; 12 ; -; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|dten ; 12 ; -; mz80k_top:mz80k_top|fz80:z80|alu:alu|b1[0]~6 ; 12 ; -; mz80k_top:mz80k_top|fz80:z80|sel1h[1]~0 ; 12 ; -; mz80k_top:mz80k_top|fz80:z80|Mux6~1 ; 12 ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|Equal10~5 ; 12 ; -; mz80k_top:mz80k_top|fz80:z80|i_ldnnhl~0 ; 12 ; -; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|out_address_reg_a[0] ; 12 ; -; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|out_address_reg_a[1] ; 12 ; -; mist_io:mist_io|sbuf[3] ; 12 ; -; video_mixer:video_mixer|osd:osd|always0~1 ; 12 ; -; mist_io:mist_io|sbuf[4] ; 12 ; -; video_mixer:video_mixer|pb[0]~4 ; 12 ; -; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrl|q[6]~1 ; 12 ; -; video_mixer:video_mixer|osd:osd|LessThan2~18 ; 12 ; -; mist_io:mist_io|ps2_kbd_wptr[1] ; 11 ; -; mz80k_top:mz80k_top|LessThan1~0 ; 11 ; -; mz80k_top:mz80k_top|fz80:z80|d_f~12 ; 11 ; -; mz80k_top:mz80k_top|fz80:z80|inva ; 11 ; -; mz80k_top:mz80k_top|fz80:z80|asu:asu|b1[7] ; 11 ; -; mz80k_top:mz80k_top|fz80:z80|comb~46 ; 11 ; -; mz80k_top:mz80k_top|cpu_data_in[2]~36 ; 11 ; -; mz80k_top:mz80k_top|fz80:z80|i_exsphl ; 11 ; -; mz80k_top:mz80k_top|fz80:z80|sel_rrd ; 11 ; -; mz80k_top:mz80k_top|fz80:z80|Decoder2~6 ; 11 ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|Equal10~2 ; 11 ; -; mz80k_top:mz80k_top|fz80:z80|comb~11 ; 11 ; -; mz80k_top:mz80k_top|cpu_data_in[5]~17 ; 11 ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|Equal10~0 ; 11 ; -; video_mixer:video_mixer|osd:osd|bcnt[1]~13 ; 11 ; -; mist_io:mist_io|sbuf[1] ; 11 ; -; mist_io:mist_io|sbuf[0] ; 11 ; -; mist_io:mist_io|sbuf[2] ; 11 ; -; video_mixer:video_mixer|osd:osd|always2~0 ; 11 ; -; video_mixer:video_mixer|Add27~3 ; 11 ; -; video_mixer:video_mixer|osd:osd|G_out[4]~1 ; 11 ; -; video_mixer:video_mixer|osd:osd|G_out[5]~0 ; 11 ; -; video_mixer:video_mixer|osd:osd|LessThan1~18 ; 11 ; -; mz80k_top:mz80k_top|fz80:z80|i_inblock ; 10 ; -; mz80k_top:mz80k_top|fz80:z80|dec_pc~3 ; 10 ; -; mz80k_top:mz80k_top|fz80:z80|dec_pc~0 ; 10 ; -; mz80k_top:mz80k_top|fz80:z80|load_e~2 ; 10 ; -; mz80k_top:mz80k_top|fz80:z80|load_b~2 ; 10 ; -; mz80k_top:mz80k_top|fz80:z80|load_c~1 ; 10 ; -; mz80k_top:mz80k_top|fz80:z80|load_f ; 10 ; -; mz80k_top:mz80k_top|comb~1 ; 10 ; -; mz80k_top:mz80k_top|i8253:i8253_1|always0~0 ; 10 ; -; mz80k_top:mz80k_top|cpu_data_in~44 ; 10 ; -; mz80k_top:mz80k_top|fz80:z80|alu:alu|a1[1]~0 ; 10 ; -; mz80k_top:mz80k_top|fz80:z80|s_and ; 10 ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|iff2~2 ; 10 ; -; mz80k_top:mz80k_top|fz80:z80|reg_f:reg_f|q[0]~0 ; 10 ; -; mist_io:mist_io|sbuf[5] ; 10 ; -; mz80k_top:mz80k_top|vga:vga1|LessThan1~2 ; 10 ; -; video_mixer:video_mixer|osd:osd|hs_high[9]~0 ; 10 ; -; video_mixer:video_mixer|osd:osd|hs_low[9]~0 ; 10 ; -; video_mixer:video_mixer|osd:osd|vs_high[9]~0 ; 10 ; -; video_mixer:video_mixer|osd:osd|vs_low[9]~0 ; 10 ; -; video_mixer:video_mixer|osd:osd|v_cnt[0]~17 ; 10 ; -; video_mixer:video_mixer|osd:osd|v_cnt[9]~16 ; 10 ; -; mist_io:mist_io|bit_cnt[0] ; 10 ; -; video_mixer:video_mixer|Add29~3 ; 10 ; -; video_mixer:video_mixer|pr[6]~7 ; 10 ; -; video_mixer:video_mixer|osd:osd|G_out[2]~3 ; 10 ; -; video_mixer:video_mixer|osd:osd|G_out[3]~2 ; 10 ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|idd ; 10 ; -; SPI_SS3~input ; 9 ; -; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[4]~15 ; 9 ; -; mz80k_top:mz80k_top|fz80:z80|asu:asu|z[15] ; 9 ; -; mz80k_top:mz80k_top|fz80:z80|asu:asu|z[11] ; 9 ; -; mz80k_top:mz80k_top|fz80:z80|asu:asu|z[9] ; 9 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl3[4]~3 ; 9 ; -; mz80k_top:mz80k_top|fz80:z80|load_adrh ; 9 ; -; mz80k_top:mz80k_top|fz80:z80|load_spl ; 9 ; -; mz80k_top:mz80k_top|fz80:z80|load_sph ; 9 ; -; mz80k_top:mz80k_top|fz80:z80|load_pcl~0 ; 9 ; -; mz80k_top:mz80k_top|fz80:z80|asu:asu|z[14] ; 9 ; -; mz80k_top:mz80k_top|fz80:z80|asu:asu|z[13]~17 ; 9 ; -; mz80k_top:mz80k_top|fz80:z80|asu:asu|z[10]~14 ; 9 ; -; mz80k_top:mz80k_top|fz80:z80|asu:asu|z[12]~12 ; 9 ; -; mz80k_top:mz80k_top|fz80:z80|asu:asu|z[5] ; 9 ; -; mz80k_top:mz80k_top|fz80:z80|asu:asu|z[4] ; 9 ; -; mz80k_top:mz80k_top|fz80:z80|asu:asu|z[6] ; 9 ; -; mz80k_top:mz80k_top|fz80:z80|asu:asu|z[7]~9 ; 9 ; -; mz80k_top:mz80k_top|fz80:z80|asu:asu|z[1] ; 9 ; -; mz80k_top:mz80k_top|fz80:z80|asu:asu|z[2] ; 9 ; -; mz80k_top:mz80k_top|fz80:z80|asu:asu|z[3] ; 9 ; -; mz80k_top:mz80k_top|fz80:z80|alu:alu|z[2]~33 ; 9 ; -; mz80k_top:mz80k_top|fz80:z80|alu:alu|z[2]~28 ; 9 ; -; mz80k_top:mz80k_top|fz80:z80|asu:asu|z[0] ; 9 ; -; mz80k_top:mz80k_top|fz80:z80|asu:asu|z[8] ; 9 ; -; mz80k_top:mz80k_top|fz80:z80|alu:alu|b1[2]~23 ; 9 ; -; mz80k_top:mz80k_top|fz80:z80|alu:alu|b1[5]~18 ; 9 ; -; mz80k_top:mz80k_top|cpu_data_in~46 ; 9 ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|s_imm1 ; 9 ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|s_mr2 ; 9 ; -; mz80k_top:mz80k_top|fz80:z80|alu:alu|b1[1]~12 ; 9 ; -; mz80k_top:mz80k_top|fz80:z80|alu:alu|a1[2]~1 ; 9 ; -; mz80k_top:mz80k_top|fz80:z80|r ; 9 ; -; mz80k_top:mz80k_top|fz80:z80|s_or ; 9 ; -; mz80k_top:mz80k_top|fz80:z80|rs ; 9 ; -; mz80k_top:mz80k_top|fz80:z80|alu:alu|b1[0]~8 ; 9 ; -; mz80k_top:mz80k_top|fz80:z80|sub ; 9 ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|Equal10~10 ; 9 ; -; mz80k_top:mz80k_top|fz80:z80|Equal5~0 ; 9 ; -; mz80k_top:mz80k_top|fz80:z80|i_ldblock ; 9 ; -; mz80k_top:mz80k_top|fz80:z80|incdec8 ; 9 ; -; mist_io:mist_io|sbuf[6] ; 9 ; -; mist_io:mist_io|bit_cnt[2] ; 9 ; -; video_mixer:video_mixer|Add28~0 ; 9 ; -; video_mixer:video_mixer|osd:osd|G_out[1]~5 ; 9 ; -; video_mixer:video_mixer|osd:osd|G_out[0]~4 ; 9 ; -; mist_io:mist_io|ps2_kbd_tx_state[0] ; 9 ; -; video_mixer:video_mixer|Add16~6 ; 9 ; -; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|kdata[0]~2 ; 8 ; -; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrh|q[1]~14 ; 8 ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_b|q0[4]~10 ; 8 ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_b|q1[2]~2 ; 8 ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q0[3]~10 ; 8 ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q1[1]~2 ; 8 ; -; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[4]~19 ; 8 ; -; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q1[4]~2 ; 8 ; -; mist_io:mist_io|ps2_kbd_fifo~75 ; 8 ; -; mist_io:mist_io|ps2_kbd_fifo~74 ; 8 ; -; mist_io:mist_io|ps2_kbd_fifo~73 ; 8 ; -; mist_io:mist_io|ps2_kbd_fifo~72 ; 8 ; -; mist_io:mist_io|ps2_kbd_fifo~71 ; 8 ; -; mist_io:mist_io|ps2_kbd_fifo~70 ; 8 ; -; mist_io:mist_io|ps2_kbd_fifo~69 ; 8 ; -; mist_io:mist_io|ps2_kbd_fifo~68 ; 8 ; -; mist_io:mist_io|ps2_kbd_parity~1 ; 8 ; -; mist_io:mist_io|ps2_kbd_wptr[0] ; 8 ; -; mz80k_top:mz80k_top|i8253:i8253_1|max1[0]~3 ; 8 ; -; mz80k_top:mz80k_top|i8253:i8253_1|max1[15]~2 ; 8 ; -; mz80k_top:mz80k_top|i8253:i8253_1|max2[15]~3 ; 8 ; -; mz80k_top:mz80k_top|i8253:i8253_1|max2[0]~2 ; 8 ; -; mz80k_top:mz80k_top|i8253:i8253_1|max0[0]~3 ; 8 ; -; mz80k_top:mz80k_top|i8253:i8253_1|max0[15]~2 ; 8 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl8[0]~8 ; 8 ; -; reset_cnt[1]~11 ; 8 ; -; always0~0 ; 8 ; -; mz80k_top:mz80k_top|fz80:z80|alu:alu|z[2]~69 ; 8 ; -; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrl|q[5]~11 ; 8 ; -; mz80k_top:mz80k_top|fz80:z80|load_adrl ; 8 ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_h|qy[1]~0 ; 8 ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_h|q0[3]~9 ; 8 ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_h|q1[7]~8 ; 8 ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_h|qx[5]~2 ; 8 ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_l|qy[6]~0 ; 8 ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_l|q0[7]~10 ; 8 ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_l|q1[4]~9 ; 8 ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_l|qx[2]~2 ; 8 ; -; mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_spl|q[1]~12 ; 8 ; -; mz80k_top:mz80k_top|fz80:z80|load_data~3 ; 8 ; -; mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_sph|q[1]~9 ; 8 ; -; mz80k_top:mz80k_top|fz80:z80|reg_pcl:reg_pcl|notload ; 8 ; -; mz80k_top:mz80k_top|fz80:z80|reg_simplec:reg_i|q[4]~1 ; 8 ; -; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|notload ; 8 ; -; mz80k_top:mz80k_top|fz80:z80|load_pch~0 ; 8 ; -; mz80k_top:mz80k_top|fz80:z80|load_r ; 8 ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q0[4]~1 ; 8 ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q1[4]~0 ; 8 ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d|q0[6]~2 ; 8 ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d|q1[6]~0 ; 8 ; -; mz80k_top:mz80k_top|fz80:z80|load_d~1 ; 8 ; -; mz80k_top:mz80k_top|fz80:z80|alu:alu|b1[3]~26 ; 8 ; -; mz80k_top:mz80k_top|fz80:z80|alu:alu|b1[4]~20 ; 8 ; -; mz80k_top:mz80k_top|fz80:z80|alu:alu|b1[6]~16 ; 8 ; -; mz80k_top:mz80k_top|fz80:z80|alu:alu|a1[5]~2 ; 8 ; -; mz80k_top:mz80k_top|i8253:i8253_1|data[3]~15 ; 8 ; -; mz80k_top:mz80k_top|i8253:i8253_1|data~8 ; 8 ; -; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|decode_dra:decode3|w_anode243w[2] ; 8 ; -; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|decode_dra:decode3|w_anode214w[2] ; 8 ; -; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|decode_dra:decode3|w_anode227w[2] ; 8 ; -; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|decode_dra:decode3|w_anode235w[2] ; 8 ; -; mz80k_top:mz80k_top|fz80:z80|Equal3~0 ; 8 ; -; mz80k_top:mz80k_top|fz80:z80|Equal4~0 ; 8 ; -; mz80k_top:mz80k_top|fz80:z80|asu:asu|b1[3] ; 8 ; -; mz80k_top:mz80k_top|fz80:z80|asu:asu|a1[3] ; 8 ; -; mz80k_top:mz80k_top|fz80:z80|Equal5~1 ; 8 ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|s_mw1 ; 8 ; -; mz80k_top:mz80k_top|fz80:z80|asu_i[0] ; 8 ; -; mz80k_top:mz80k_top|fz80:z80|asu:asu|comb~1 ; 8 ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|Equal10~7 ; 8 ; -; mz80k_top:mz80k_top|fz80:z80|i_cpblock ; 8 ; -; mz80k_top:mz80k_top|fz80:z80|comb~18 ; 8 ; -; mz80k_top:mz80k_top|fz80:z80|i_outcr ; 8 ; -; mz80k_top:mz80k_top|fz80:z80|Decoder2~1 ; 8 ; -; mz80k_top:mz80k_top|cpu_data_in[3]~34 ; 8 ; -; mz80k_top:mz80k_top|cpu_data_in[1]~25 ; 8 ; -; mist_io:mist_io|cmd[0]~0 ; 8 ; -; video_mixer:video_mixer|osd:osd|h_cnt[5] ; 8 ; -; video_mixer:video_mixer|osd:osd|h_cnt[6] ; 8 ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|ifd ; 8 ; -; video_mixer:video_mixer|Add8~20 ; 8 ; -; video_mixer:video_mixer|Add8~16 ; 8 ; -; mz80k_top:mz80k_top|vga:vga1|x[6] ; 8 ; -; mz80k_top:mz80k_top|vga:vga1|x[5] ; 8 ; -; video_mixer:video_mixer|Add18~4 ; 7 ; -; mist_io:mist_io|ps2_kbd_tx_state[2] ; 7 ; -; mist_io:mist_io|ps2_kbd_tx_state[1] ; 7 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl4[2]~3 ; 7 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[4]~6 ; 7 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[5]~2 ; 7 ; -; mz80k_top:mz80k_top|fz80:z80|reg_r:reg_r|q[2]~0 ; 7 ; -; mz80k_top:mz80k_top|fz80:z80|alu:alu|a1[3] ; 7 ; -; mz80k_top:mz80k_top|fz80:z80|alu:alu|a1[4]~4 ; 7 ; -; mz80k_top:mz80k_top|fz80:z80|alu:alu|a1[6]~3 ; 7 ; -; mz80k_top:mz80k_top|fz80:z80|alu:alu|a1[7] ; 7 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tble[0] ; 7 ; -; mz80k_top:mz80k_top|always2~0 ; 7 ; -; mz80k_top:mz80k_top|fz80:z80|tmp0~1 ; 7 ; -; mz80k_top:mz80k_top|fz80:z80|asu:asu|b1[1] ; 7 ; -; mz80k_top:mz80k_top|fz80:z80|asu:asu|a1[1] ; 7 ; -; mz80k_top:mz80k_top|fz80:z80|asu:asu|a1[2] ; 7 ; -; mz80k_top:mz80k_top|fz80:z80|asu:asu|b1[4] ; 7 ; -; mz80k_top:mz80k_top|fz80:z80|asu:asu|a1[4] ; 7 ; -; mz80k_top:mz80k_top|fz80:z80|i_incdec16 ; 7 ; -; mz80k_top:mz80k_top|fz80:z80|Mux10~5 ; 7 ; -; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q[3]~3 ; 7 ; -; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q[2]~2 ; 7 ; -; mz80k_top:mz80k_top|fz80:z80|reg_pcl:reg_pcl|q[1] ; 7 ; -; mz80k_top:mz80k_top|fz80:z80|comb~22 ; 7 ; -; mz80k_top:mz80k_top|fz80:z80|reg_pcl:reg_pcl|q[0] ; 7 ; -; mz80k_top:mz80k_top|fz80:z80|Decoder1~9 ; 7 ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|Equal10~3 ; 7 ; -; mz80k_top:mz80k_top|fz80:z80|load_i~0 ; 7 ; -; mz80k_top:mz80k_top|fz80:z80|i_neg~1 ; 7 ; -; mz80k_top:mz80k_top|fz80:z80|Decoder2~5 ; 7 ; -; mz80k_top:mz80k_top|fz80:z80|i_ldnndd ; 7 ; -; mz80k_top:mz80k_top|fz80:z80|sel1_tmp~4 ; 7 ; -; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q[0]~0 ; 7 ; -; mist_io:mist_io|byte_cnt[0]~10 ; 7 ; -; mist_io:mist_io|cmd[2] ; 7 ; -; mz80k_top:mz80k_top|vga:vga1|VGA_GREEN~2 ; 7 ; -; video_mixer:video_mixer|osd:osd|h_cnt[3] ; 7 ; -; video_mixer:video_mixer|osd:osd|h_cnt[2] ; 7 ; -; video_mixer:video_mixer|osd:osd|h_cnt[4] ; 7 ; -; video_mixer:video_mixer|osd:osd|h_cnt[7] ; 7 ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|start ; 7 ; -; video_mixer:video_mixer|Add26~12 ; 7 ; -; mz80k_top:mz80k_top|vga:vga1|y[6] ; 7 ; -; mz80k_top:mz80k_top|fz80:z80|reg_f:reg_f|q0[6]~29 ; 6 ; -; mz80k_top:mz80k_top|fz80:z80|reg_f:reg_f|q1[0]~2 ; 6 ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[2]~20 ; 6 ; -; mz80k_top:mz80k_top|fz80:z80|Mux27~19 ; 6 ; -; mist_io:mist_io|always4~1 ; 6 ; -; mist_io:mist_io|ps2_kbd_tx_state[3] ; 6 ; -; mist_io:mist_io|clk_ps2 ; 6 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[5]~3 ; 6 ; -; mz80k_top:mz80k_top|fz80:z80|dec_pc~4 ; 6 ; -; mz80k_top:mz80k_top|fz80:z80|Mux38~3 ; 6 ; -; mz80k_top:mz80k_top|fz80:z80|l ; 6 ; -; mz80k_top:mz80k_top|fz80:z80|i_daa~0 ; 6 ; -; mz80k_top:mz80k_top|i8253:i8253_1|always0~2 ; 6 ; -; mz80k_top:mz80k_top|cpu_data_in~42 ; 6 ; -; mz80k_top:mz80k_top|fz80:z80|tmp1~3 ; 6 ; -; mz80k_top:mz80k_top|fz80:z80|alu:alu|b1[7]~13 ; 6 ; -; mz80k_top:mz80k_top|fz80:z80|alu:alu|a1[0] ; 6 ; -; mz80k_top:mz80k_top|fz80:z80|asu:asu|b1[5] ; 6 ; -; mz80k_top:mz80k_top|fz80:z80|asu:asu|a1[5] ; 6 ; -; mz80k_top:mz80k_top|fz80:z80|asu:asu|b1[7]~0 ; 6 ; -; mz80k_top:mz80k_top|fz80:z80|asu:asu|comb~0 ; 6 ; -; mz80k_top:mz80k_top|fz80:z80|sub~1 ; 6 ; -; mz80k_top:mz80k_top|fz80:z80|sub~0 ; 6 ; -; mz80k_top:mz80k_top|fz80:z80|arith8~0 ; 6 ; -; mz80k_top:mz80k_top|fz80:z80|al~0 ; 6 ; -; mz80k_top:mz80k_top|fz80:z80|Mux9~5 ; 6 ; -; mz80k_top:mz80k_top|fz80:z80|mw1~2 ; 6 ; -; mz80k_top:mz80k_top|fz80:z80|Mux27~12 ; 6 ; -; mz80k_top:mz80k_top|fz80:z80|Mux27~11 ; 6 ; -; mz80k_top:mz80k_top|fz80:z80|Mux27~10 ; 6 ; -; mz80k_top:mz80k_top|cpu_data_in[4]~38 ; 6 ; -; mz80k_top:mz80k_top|cpu_data_in[1]~35 ; 6 ; -; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q[1]~1 ; 6 ; -; mz80k_top:mz80k_top|fz80:z80|reg_pcl:reg_pcl|q[4] ; 6 ; -; mz80k_top:mz80k_top|fz80:z80|reg_pcl:reg_pcl|q[2] ; 6 ; -; mz80k_top:mz80k_top|fz80:z80|sela_tmp~0 ; 6 ; -; mz80k_top:mz80k_top|fz80:z80|pv0~0 ; 6 ; -; mz80k_top:mz80k_top|fz80:z80|i_djnz~0 ; 6 ; -; mz80k_top:mz80k_top|fz80:z80|Decoder1~7 ; 6 ; -; mz80k_top:mz80k_top|fz80:z80|imm2~0 ; 6 ; -; mz80k_top:mz80k_top|fz80:z80|i_neg~0 ; 6 ; -; mz80k_top:mz80k_top|cpu_data_in[1]~12 ; 6 ; -; video_mixer:video_mixer|osd:osd|cmd[7]~0 ; 6 ; -; mist_io:mist_io|bit_cnt[1] ; 6 ; -; video_mixer:video_mixer|LessThan0~0 ; 6 ; -; mz80k_top:mz80k_top|vga:vga1|Mux0~4 ; 6 ; -; video_mixer:video_mixer|osd:osd|LessThan3~4 ; 6 ; -; video_mixer:video_mixer|osd:osd|h_cnt[1] ; 6 ; -; video_mixer:video_mixer|osd:osd|h_cnt[0] ; 6 ; -; video_mixer:video_mixer|Add16~0 ; 6 ; -; video_mixer:video_mixer|Add26~6 ; 6 ; -; mz80k_top:mz80k_top|vga:vga1|x[9] ; 6 ; -; mz80k_top:mz80k_top|vga:vga1|x[8] ; 6 ; -; mz80k_top:mz80k_top|vga:vga1|x[7] ; 6 ; -; video_mixer:video_mixer|osd:osd|v_cnt[5] ; 6 ; -; video_mixer:video_mixer|osd:osd|v_cnt[6] ; 6 ; -; video_mixer:video_mixer|osd:osd|v_cnt[7] ; 6 ; -; video_mixer:video_mixer|osd:osd|v_cnt[4] ; 6 ; -; video_mixer:video_mixer|osd:osd|v_cnt[3] ; 6 ; -; video_mixer:video_mixer|osd:osd|v_cnt[0] ; 6 ; -; video_mixer:video_mixer|osd:osd|v_cnt[1] ; 6 ; -; video_mixer:video_mixer|osd:osd|v_cnt[2] ; 6 ; -; mz80k_top:mz80k_top|fz80:z80|asu:asu|c[7]~40 ; 5 ; -; mz80k_top:mz80k_top|fz80:z80|i_outblock ; 5 ; -; mz80k_top:mz80k_top|fz80:z80|i_ldrhl~3 ; 5 ; -; mz80k_top:mz80k_top|cpu_data_in[1]~49 ; 5 ; -; mist_io:mist_io|ps2_kbd_wptr[1]~2 ; 5 ; -; mist_io:mist_io|Equal14~10 ; 5 ; -; mz80k_top:mz80k_top|LessThan0~1 ; 5 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[3]~11 ; 5 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[1]~9 ; 5 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[4]~3 ; 5 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl4[4]~0 ; 5 ; -; mz80k_top:mz80k_top|fz80:z80|d_f~20 ; 5 ; -; mz80k_top:mz80k_top|fz80:z80|clr_pch~1 ; 5 ; -; mz80k_top:mz80k_top|fz80:z80|Mux36~3 ; 5 ; -; mz80k_top:mz80k_top|fz80:z80|Mux37~3 ; 5 ; -; mz80k_top:mz80k_top|fz80:z80|q_asu_zero ; 5 ; -; mz80k_top:mz80k_top|fz80:z80|alu:alu|z~23 ; 5 ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|nextcycle~15 ; 5 ; -; mz80k_top:mz80k_top|cpu_data_in~48 ; 5 ; -; mz80k_top:mz80k_top|i8253:i8253_1|always0~1 ; 5 ; -; mz80k_top:mz80k_top|i8253:i8253_1|mode1[4] ; 5 ; -; mz80k_top:mz80k_top|i8253:i8253_1|mode1[5] ; 5 ; -; mz80k_top:mz80k_top|i8253:i8253_1|mode0[4] ; 5 ; -; mz80k_top:mz80k_top|i8253:i8253_1|mode0[5] ; 5 ; -; mz80k_top:mz80k_top|fz80:z80|asu:asu|a1[0] ; 5 ; -; mz80k_top:mz80k_top|fz80:z80|asu:asu|b1[2] ; 5 ; -; mz80k_top:mz80k_top|fz80:z80|comb~42 ; 5 ; -; mz80k_top:mz80k_top|fz80:z80|comb~37 ; 5 ; -; mz80k_top:mz80k_top|fz80:z80|i_pop~0 ; 5 ; -; mz80k_top:mz80k_top|fz80:z80|imm2~2 ; 5 ; -; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q[7]~7 ; 5 ; -; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[6] ; 5 ; -; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[5] ; 5 ; -; mz80k_top:mz80k_top|cpu_data_in[5]~39 ; 5 ; -; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q[5]~5 ; 5 ; -; mz80k_top:mz80k_top|fz80:z80|sel1h[0] ; 5 ; -; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[4] ; 5 ; -; mz80k_top:mz80k_top|cpu_data_in[3]~37 ; 5 ; -; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[3] ; 5 ; -; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[2] ; 5 ; -; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[1] ; 5 ; -; mz80k_top:mz80k_top|fz80:z80|reg_pcl:reg_pcl|q[7] ; 5 ; -; mz80k_top:mz80k_top|fz80:z80|reg_pcl:reg_pcl|q[6] ; 5 ; -; mz80k_top:mz80k_top|fz80:z80|reg_pcl:reg_pcl|q[5] ; 5 ; -; mz80k_top:mz80k_top|fz80:z80|reg_pcl:reg_pcl|q[3] ; 5 ; -; mz80k_top:mz80k_top|fz80:z80|comb~30 ; 5 ; -; mz80k_top:mz80k_top|fz80:z80|i_inrc ; 5 ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|Equal10~6 ; 5 ; -; mz80k_top:mz80k_top|fz80:z80|i_lddd_nn ; 5 ; -; mz80k_top:mz80k_top|fz80:z80|comb~27 ; 5 ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|state~4 ; 5 ; -; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[0] ; 5 ; -; mz80k_top:mz80k_top|fz80:z80|i_outna~0 ; 5 ; -; mz80k_top:mz80k_top|fz80:z80|comb~15 ; 5 ; -; mz80k_top:mz80k_top|fz80:z80|arith16~2 ; 5 ; -; mz80k_top:mz80k_top|fz80:z80|sel1_e~1 ; 5 ; -; mz80k_top:mz80k_top|cpu_data_in[1]~13 ; 5 ; -; video_mixer:video_mixer|osd:osd|LessThan0~1 ; 5 ; -; video_mixer:video_mixer|osd:osd|vsD ; 5 ; -; mist_io:mist_io|but_sw[4]~0 ; 5 ; -; mist_io:mist_io|cmd[1] ; 5 ; -; mz80k_top:mz80k_top|vga:vga1|LessThan7~1 ; 5 ; -; video_mixer:video_mixer|always1~1 ; 5 ; -; video_mixer:video_mixer|osd:osd|h_cnt[9] ; 5 ; -; video_mixer:video_mixer|osd:osd|h_cnt[8] ; 5 ; -; video_mixer:video_mixer|osd:osd|h_osd_end[5]~1 ; 5 ; -; video_mixer:video_mixer|osd:osd|h_osd_end[6]~0 ; 5 ; -; video_mixer:video_mixer|osd:osd|dsp_width[8]~0 ; 5 ; -; video_mixer:video_mixer|osd:osd|LessThan3~3 ; 5 ; -; video_mixer:video_mixer|osd:osd|dsp_height[6]~6 ; 5 ; -; video_mixer:video_mixer|osd:osd|dsp_height[9]~3 ; 5 ; -; video_mixer:video_mixer|osd:osd|cnt[3] ; 5 ; -; mist_io:mist_io|byte_cnt[7] ; 5 ; -; mist_io:mist_io|byte_cnt[6] ; 5 ; -; video_mixer:video_mixer|Add16~10 ; 5 ; -; video_mixer:video_mixer|Add8~18 ; 5 ; -; mz80k_top:mz80k_top|vga:vga1|y[0] ; 5 ; -; mz80k_top:mz80k_top|vga:vga1|y[7] ; 5 ; -; mz80k_top:mz80k_top|vga:vga1|y[9] ; 5 ; -; mz80k_top:mz80k_top|vga:vga1|y[3] ; 5 ; -; mz80k_top:mz80k_top|vga:vga1|y[2] ; 5 ; -; mz80k_top:mz80k_top|vga:vga1|y[1] ; 5 ; -; video_mixer:video_mixer|osd:osd|v_cnt[8] ; 5 ; -; video_mixer:video_mixer|osd:osd|v_cnt[9] ; 5 ; -; mist_io:mist_io|ps2_kbd_tx_state[0]~7 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|Equal13~4 ; 4 ; -; mist_io:mist_io|ps2_kbd_wptr[0]~4 ; 4 ; -; mist_io:mist_io|ps2_kbd_tx_state[1]~3 ; 4 ; -; mist_io:mist_io|ps2_kbd_data ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|write_hl2 ; 4 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl4[3]~9 ; 4 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl4[1]~5 ; 4 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[5]~2 ; 4 ; -; mz80k_top:mz80k_top|always2~1 ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|mode1[5]~0 ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|mode0[5]~0 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|c[6]~1 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|c[0]~0 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_h|q0[3]~8 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_l|q0[7]~8 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|reg_pcl:reg_pcl|c[6]~2 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|comb~59 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|Mux35~3 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|loada_bc~0 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|Mux39~3 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|cv5~0 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|alu:alu|tor[1] ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|read_hl0~0 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|comb~3 ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|mode2[4] ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|mode2[5] ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|res ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|asu:asu|b1[0] ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|Equal6~0 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|Equal10~11 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|comb~40 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|next_mw1~3 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|mr1~3 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|mr1~2 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|mr1~0 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|imm1~1 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|i_inan~0 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|Mux24~9 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[7] ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_h|Mux0~1 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_b|q[7]~7 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d|q[7]~7 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_h|Mux1~1 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d|q[6]~6 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q[6]~6 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|reg_f:reg_f|q[6]~4 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_h|Mux2~1 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d|q[5]~5 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_h|Mux3~1 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d|q[4]~4 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q[4]~4 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d|q[3]~3 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_h|Mux4~1 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|reg_simple:reg_data|q[5] ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|reg_f:reg_f|q[1]~1 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_h|Mux5~1 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d|q[2]~2 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_h|Mux6~1 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d|q[1]~1 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_l|Mux0~1 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q[7]~7 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_l|Mux1~1 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q[6]~6 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_l|Mux2~1 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q[5]~5 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_l|Mux3~1 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q[4]~4 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_l|Mux4~1 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q[3]~3 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_l|Mux5~1 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q[2]~2 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_l|Mux6~1 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q[1]~1 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|asu_ci~0 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_h|Mux7~1 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|reg_simple:reg_data|q[4] ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_l|Mux7~1 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q[0]~0 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|Equal10~4 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|i_rs_hl~0 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|comb~17 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|i_cpl~0 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|i_rd ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|comb~14 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d|q[0]~0 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|comb~12 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|comb~10 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[4] ; 4 ; -; mz80k_top:mz80k_top|cpu_data_in[0]~14 ; 4 ; -; mist_io:mist_io|status[4]~9 ; 4 ; -; video_mixer:video_mixer|osd:osd|sbuf[3] ; 4 ; -; video_mixer:video_mixer|osd:osd|sbuf[5] ; 4 ; -; video_mixer:video_mixer|osd:osd|vsD2 ; 4 ; -; video_mixer:video_mixer|osd:osd|sbuf[4] ; 4 ; -; video_mixer:video_mixer|osd:osd|comb~4 ; 4 ; -; mist_io:mist_io|cmd[3] ; 4 ; -; mist_io:mist_io|cmd[0] ; 4 ; -; video_mixer:video_mixer|pb[5]~9 ; 4 ; -; video_mixer:video_mixer|pb[0]~0 ; 4 ; -; video_mixer:video_mixer|pr[6]~5 ; 4 ; -; mz80k_top:mz80k_top|vga:vga1|LessThan8~1 ; 4 ; -; mz80k_top:mz80k_top|vga:vga1|LessThan1~0 ; 4 ; -; mz80k_top:mz80k_top|vga:vga1|LessThan7~0 ; 4 ; -; video_mixer:video_mixer|osd:osd|h_osd_end[2]~5 ; 4 ; -; video_mixer:video_mixer|osd:osd|h_osd_end[4]~2 ; 4 ; -; video_mixer:video_mixer|osd:osd|comb~2 ; 4 ; -; video_mixer:video_mixer|osd:osd|Mux0~3 ; 4 ; -; video_mixer:video_mixer|osd:osd|Mux0~1 ; 4 ; -; video_mixer:video_mixer|osd:osd|dsp_height[4]~8 ; 4 ; -; video_mixer:video_mixer|osd:osd|dsp_height[5]~7 ; 4 ; -; video_mixer:video_mixer|osd:osd|dsp_height[1]~2 ; 4 ; -; video_mixer:video_mixer|osd:osd|dsp_height[2]~1 ; 4 ; -; video_mixer:video_mixer|osd:osd|dsp_height[3]~0 ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count1[3] ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count2[3] ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count2[11] ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count1[11] ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count0[3] ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count0[11] ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count1[7] ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count1[15] ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count2[15] ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count2[7] ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count0[7] ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count0[15] ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count1[6] ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count2[6] ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count2[14] ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count1[14] ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count0[6] ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count0[14] ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count1[2] ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count2[10] ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count1[10] ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count0[2] ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count0[10] ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count1[1] ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count2[1] ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count2[9] ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count1[9] ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count0[1] ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count0[9] ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count1[0] ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count2[8] ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count1[8] ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count0[0] ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count0[8] ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count1[4] ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count2[4] ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count2[12] ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count1[12] ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count0[4] ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count0[12] ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count1[5] ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count1[13] ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count2[13] ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count2[5] ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count0[5] ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count0[13] ; 4 ; -; video_mixer:video_mixer|osd:osd|pixsz[23] ; 4 ; -; video_mixer:video_mixer|Add16~16 ; 4 ; -; video_mixer:video_mixer|Add16~14 ; 4 ; -; video_mixer:video_mixer|Add16~8 ; 4 ; -; video_mixer:video_mixer|Add26~22 ; 4 ; -; video_mixer:video_mixer|Add26~20 ; 4 ; -; video_mixer:video_mixer|Add26~16 ; 4 ; -; video_mixer:video_mixer|Add26~14 ; 4 ; -; mz80k_top:mz80k_top|vga:vga1|x[4] ; 4 ; -; mz80k_top:mz80k_top|vga:vga1|x[1] ; 4 ; -; mz80k_top:mz80k_top|vga:vga1|x[0] ; 4 ; -; mz80k_top:mz80k_top|vga:vga1|y[8] ; 4 ; -; mz80k_top:mz80k_top|vga:vga1|y[5] ; 4 ; -; mz80k_top:mz80k_top|vga:vga1|y[4] ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|Equal14~2 ; 3 ; -; mist_io:mist_io|ps2_kbd_r_inc ; 3 ; -; mist_io:mist_io|old_clk ; 3 ; -; mist_io:mist_io|Equal16~0 ; 3 ; -; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[10]~7 ; 3 ; -; mz80k_top:mz80k_top|i8253:i8253_1|write_hl1 ; 3 ; -; mz80k_top:mz80k_top|i8253:i8253_1|write_hl0 ; 3 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[3]~13 ; 3 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl4[2]~6 ; 3 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl3[0]~5 ; 3 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[5]~0 ; 3 ; -; mz80k_top:mz80k_top|i8253:i8253_1|read_hl1~0 ; 3 ; -; mist_io:mist_io|status[0] ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|zs0 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|qa[4] ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|pv3~0 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|qa[1]~0 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|tmp_adr ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|reg_pcl:reg_pcl|c[6]~1 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|reg_pcl:reg_pcl|qa[6] ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|asu_zero~2 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|Mux34~3 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|asu:asu|c[10]~33 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|asu:asu|c[10]~32 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|asu:asu|c[3]~23 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|asu_ci~7 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|tmp2~1 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|alu:alu|co[6]~12 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|alu:alu|co[6]~11 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|alu:alu|c~3 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|alu:alu|tor[4] ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|comb~53 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|loada_de~1 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|loadex~0 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|load_b~0 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|comb~52 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|comb~49 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|alu:alu|b1[3]~25 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|alu:alu|z~24 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|alu:alu|tor[2] ; 3 ; -; mz80k_top:mz80k_top|i8253:i8253_1|read_hl2 ; 3 ; -; mz80k_top:mz80k_top|i8253:i8253_1|read_hl0 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|alu:alu|b1[1]~11 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|Selector4~0 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|ci ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|asu:asu|c~12 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|asu_ci~6 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|asu:asu|b1[2]~2 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|asu:asu|a1[6] ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|hv0~0 ; 3 ; -; mz80k_top:mz80k_top|vram_select ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|Equal15~8 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|i_in~0 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|Equal13~2 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|next_out~1 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|i_out ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|Mux49~2 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|comb~34 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|Equal10~9 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|asu_ci~1 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|mw1~1 ; 3 ; -; mz80k_top:mz80k_top|TP1 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|reg_f:reg_f|q[7]~5 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_b|q[6]~6 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_b|q[5]~5 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_b|q[4]~4 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|reg_f:reg_f|q[4]~3 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|Mux28~9 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|reg_simple:reg_data|q[3] ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_b|q[3]~3 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|reg_simple:reg_data|q[7] ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|Mux29~9 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|reg_simple:reg_data|q[6] ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|reg_f:reg_f|q[2]~2 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|Mux30~9 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_b|q[2]~2 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_b|q[1]~1 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q[7]~7 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q[6]~6 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q[5]~5 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q[4]~4 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q[3]~3 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q[2]~2 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q[1]~1 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|selah[0]~2 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|reg_load_f~2 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|sela_tmp3~0 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|Mux31~9 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|sel1_h~6 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_b|q[0]~0 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|i_setres_hl~0 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|i_ldhln~0 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|sel1_l~2 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q[0]~0 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|sel1l[1]~3 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[3] ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 3 ; -; mz80k_top:mz80k_top|cpu_data_in[6]~28 ; 3 ; -; mz80k_top:mz80k_top|cpu_data_in[2]~27 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[0] ; 3 ; -; mz80k_top:mz80k_top|cpu_data_in~15 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[5] ; 3 ; -; video_mixer:video_mixer|osd:osd|sbuf[2] ; 3 ; -; video_mixer:video_mixer|osd:osd|sbuf[0] ; 3 ; -; video_mixer:video_mixer|osd:osd|sbuf[1] ; 3 ; -; video_mixer:video_mixer|osd:osd|sbuf[6] ; 3 ; -; video_mixer:video_mixer|osd:osd|Equal0~0 ; 3 ; -; mist_io:mist_io|but_sw[4]~1 ; 3 ; -; mist_io:mist_io|cmd[4] ; 3 ; -; mist_io:mist_io|status[4]~0 ; 3 ; -; mist_io:mist_io|spi_do~0 ; 3 ; -; mist_io:mist_io|Equal5~2 ; 3 ; -; video_mixer:video_mixer|Mux33~11 ; 3 ; -; video_mixer:video_mixer|VGA_B[1]~24 ; 3 ; -; video_mixer:video_mixer|VGA_B[1]~21 ; 3 ; -; video_mixer:video_mixer|VGA_B[1]~20 ; 3 ; -; video_mixer:video_mixer|y[2]~3 ; 3 ; -; video_mixer:video_mixer|Mux21~11 ; 3 ; -; video_mixer:video_mixer|VGA_R[1]~10 ; 3 ; -; video_mixer:video_mixer|VGA_R[1]~7 ; 3 ; -; video_mixer:video_mixer|VGA_R[1]~6 ; 3 ; -; video_mixer:video_mixer|pr[5]~12 ; 3 ; -; video_mixer:video_mixer|scanline ; 3 ; -; mz80k_top:mz80k_top|vga:vga1|VGA_GREEN~3 ; 3 ; -; mz80k_top:mz80k_top|vga:vga1|Add7~1 ; 3 ; -; mz80k_top:mz80k_top|vga:vga1|Add7~0 ; 3 ; -; mz80k_top:mz80k_top|vga:vga1|LessThan8~0 ; 3 ; -; video_mixer:video_mixer|osd:osd|h_osd_end[3]~6 ; 3 ; -; video_mixer:video_mixer|osd:osd|h_osd_end[0]~4 ; 3 ; -; video_mixer:video_mixer|osd:osd|h_osd_end[1]~3 ; 3 ; -; video_mixer:video_mixer|osd:osd|hs_low[4] ; 3 ; -; video_mixer:video_mixer|osd:osd|hs_high[4] ; 3 ; -; video_mixer:video_mixer|osd:osd|Mux0~4 ; 3 ; -; video_mixer:video_mixer|osd:osd|comb~1 ; 3 ; -; video_mixer:video_mixer|osd:osd|comb~0 ; 3 ; -; video_mixer:video_mixer|osd:osd|vs_low[9] ; 3 ; -; video_mixer:video_mixer|osd:osd|vs_high[9] ; 3 ; -; mz80k_top:mz80k_top|count_31250[10] ; 3 ; -; mz80k_top:mz80k_top|count_31250[9] ; 3 ; -; mz80k_top:mz80k_top|count_31250[8] ; 3 ; -; mz80k_top:mz80k_top|count_2M[3] ; 3 ; -; mz80k_top:mz80k_top|count_2M[2] ; 3 ; -; mz80k_top:mz80k_top|count_2M[1] ; 3 ; -; mz80k_top:mz80k_top|count_2M[0] ; 3 ; -; mz80k_top:mz80k_top|count_2M[4] ; 3 ; -; video_mixer:video_mixer|osd:osd|cnt[9] ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_sph|q[7] ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_sph|q[6] ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_sph|q[5] ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_sph|q[4] ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_sph|q[3] ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_sph|q[2] ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_sph|q[1] ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_spl|q[7] ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_spl|q[6] ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_spl|q[5] ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_spl|q[4] ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_spl|q[3] ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_spl|q[2] ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_spl|q[1] ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_spl|q[0] ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_sph|q[0] ; 3 ; -; video_mixer:video_mixer|osd:osd|pixcnt[31] ; 3 ; -; video_mixer:video_mixer|osd:osd|pixcnt[30] ; 3 ; -; video_mixer:video_mixer|osd:osd|pixcnt[29] ; 3 ; -; video_mixer:video_mixer|osd:osd|pixcnt[28] ; 3 ; -; video_mixer:video_mixer|osd:osd|pixcnt[27] ; 3 ; -; video_mixer:video_mixer|osd:osd|pixcnt[26] ; 3 ; -; video_mixer:video_mixer|osd:osd|pixcnt[25] ; 3 ; -; video_mixer:video_mixer|osd:osd|pixcnt[24] ; 3 ; -; video_mixer:video_mixer|osd:osd|pixcnt[23] ; 3 ; -; video_mixer:video_mixer|osd:osd|pixcnt[22] ; 3 ; -; video_mixer:video_mixer|osd:osd|pixcnt[21] ; 3 ; -; video_mixer:video_mixer|osd:osd|pixcnt[20] ; 3 ; -; video_mixer:video_mixer|osd:osd|pixcnt[19] ; 3 ; -; video_mixer:video_mixer|osd:osd|pixcnt[18] ; 3 ; -; video_mixer:video_mixer|osd:osd|pixcnt[17] ; 3 ; -; video_mixer:video_mixer|osd:osd|pixcnt[16] ; 3 ; -; video_mixer:video_mixer|osd:osd|pixcnt[15] ; 3 ; -; video_mixer:video_mixer|osd:osd|pixcnt[14] ; 3 ; -; video_mixer:video_mixer|osd:osd|pixcnt[13] ; 3 ; -; video_mixer:video_mixer|osd:osd|pixcnt[12] ; 3 ; -; video_mixer:video_mixer|osd:osd|pixcnt[11] ; 3 ; -; video_mixer:video_mixer|osd:osd|pixcnt[10] ; 3 ; -; video_mixer:video_mixer|osd:osd|pixcnt[9] ; 3 ; -; video_mixer:video_mixer|osd:osd|pixcnt[8] ; 3 ; -; video_mixer:video_mixer|osd:osd|pixcnt[7] ; 3 ; -; video_mixer:video_mixer|osd:osd|pixcnt[6] ; 3 ; -; video_mixer:video_mixer|osd:osd|pixcnt[5] ; 3 ; -; video_mixer:video_mixer|osd:osd|pixcnt[4] ; 3 ; -; video_mixer:video_mixer|osd:osd|pixcnt[3] ; 3 ; -; video_mixer:video_mixer|osd:osd|pixcnt[2] ; 3 ; -; video_mixer:video_mixer|osd:osd|pixcnt[1] ; 3 ; -; video_mixer:video_mixer|osd:osd|pixcnt[0] ; 3 ; -; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|q_a[5] ; 3 ; -; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|q_a[6] ; 3 ; -; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|q_a[7] ; 3 ; -; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|q_a[4] ; 3 ; -; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|q_a[1] ; 3 ; -; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|q_a[2] ; 3 ; -; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|q_a[3] ; 3 ; -; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|q_a[0] ; 3 ; -; video_mixer:video_mixer|osd:osd|bcnt[10] ; 3 ; -; video_mixer:video_mixer|osd:osd|bcnt[9] ; 3 ; -; video_mixer:video_mixer|osd:osd|bcnt[8] ; 3 ; -; video_mixer:video_mixer|osd:osd|bcnt[7] ; 3 ; -; video_mixer:video_mixer|osd:osd|bcnt[6] ; 3 ; -; video_mixer:video_mixer|osd:osd|bcnt[5] ; 3 ; -; video_mixer:video_mixer|osd:osd|bcnt[4] ; 3 ; -; video_mixer:video_mixer|osd:osd|bcnt[3] ; 3 ; -; video_mixer:video_mixer|osd:osd|bcnt[2] ; 3 ; -; video_mixer:video_mixer|osd:osd|bcnt[1] ; 3 ; -; video_mixer:video_mixer|osd:osd|bcnt[0] ; 3 ; -; video_mixer:video_mixer|osd:osd|cnt[4] ; 3 ; -; video_mixer:video_mixer|osd:osd|cnt[2] ; 3 ; -; video_mixer:video_mixer|osd:osd|cnt[1] ; 3 ; -; video_mixer:video_mixer|osd:osd|cnt[0] ; 3 ; -; video_mixer:video_mixer|Add16~12 ; 3 ; -; video_mixer:video_mixer|Add16~4 ; 3 ; -; video_mixer:video_mixer|Add14~8 ; 3 ; -; video_mixer:video_mixer|Add8~14 ; 3 ; -; video_mixer:video_mixer|Add8~12 ; 3 ; -; video_mixer:video_mixer|Add8~10 ; 3 ; -; video_mixer:video_mixer|Add26~18 ; 3 ; -; video_mixer:video_mixer|Add26~10 ; 3 ; -; video_mixer:video_mixer|Add26~4 ; 3 ; -; mz80k_top:mz80k_top|vga:vga1|x[2] ; 3 ; -; video_mixer:video_mixer|osd:osd|v_osd_start[7]~2 ; 3 ; -; video_mixer:video_mixer|osd:osd|v_osd_start[6]~0 ; 3 ; -; video_mixer:video_mixer|VGA_B[1]~41 ; 2 ; -; mz80k_top:mz80k_top|i8253:i8253_1|max1[15]~4 ; 2 ; -; mz80k_top:mz80k_top|i8253:i8253_1|max2[15]~4 ; 2 ; -; mz80k_top:mz80k_top|i8253:i8253_1|max0[15]~4 ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl3[4]~12 ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl8[4]~21 ; 2 ; -; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0~26 ; 2 ; -; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0~25 ; 2 ; -; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0~24 ; 2 ; -; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0~23 ; 2 ; -; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0~22 ; 2 ; -; mz80k_top:mz80k_top|fz80:z80|reg_f:reg_f|q0~30 ; 2 ; -; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0~21 ; 2 ; -; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0~20 ; 2 ; -; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0~18 ; 2 ; -; mz80k_top:mz80k_top|fz80:z80|retin~2 ; 2 ; -; video_mixer:video_mixer|Add11~2 ; 2 ; -; video_mixer:video_mixer|VGA_R[1]~27 ; 2 ; -; mist_io:mist_io|ps2_kbd_tx_byte[7] ; 2 ; -; mist_io:mist_io|ps2_kbd_r_inc~0 ; 2 ; -; mist_io:mist_io|cnt[31] ; 2 ; -; mist_io:mist_io|cnt[30] ; 2 ; -; mist_io:mist_io|cnt[29] ; 2 ; -; mist_io:mist_io|cnt[28] ; 2 ; -; mist_io:mist_io|cnt[27] ; 2 ; -; mist_io:mist_io|cnt[26] ; 2 ; -; mist_io:mist_io|cnt[25] ; 2 ; -; mist_io:mist_io|cnt[24] ; 2 ; -; mist_io:mist_io|cnt[23] ; 2 ; -; mist_io:mist_io|cnt[22] ; 2 ; -; mist_io:mist_io|cnt[21] ; 2 ; -; mist_io:mist_io|cnt[20] ; 2 ; -; mist_io:mist_io|cnt[19] ; 2 ; -; mist_io:mist_io|cnt[18] ; 2 ; -; mist_io:mist_io|cnt[17] ; 2 ; -; mist_io:mist_io|cnt[16] ; 2 ; -; mist_io:mist_io|cnt[15] ; 2 ; -; mist_io:mist_io|cnt[14] ; 2 ; -; mist_io:mist_io|cnt[13] ; 2 ; -; mist_io:mist_io|cnt[12] ; 2 ; -+-------------------------------------------------------------------------------------------------------------------------------------+---------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Fitter RAM Summary ; -+----------------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+--------------+------------------------+-------------------------+------------------------+-------------------------+--------+-----------------------------+-----------------------------+-----------------------------+-----------------------------+---------------------+------+----------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+----------------------+-----------------+-----------------+---------------+ -; Name ; Type ; Mode ; Clock Mode ; Port A Depth ; Port A Width ; Port B Depth ; Port B Width ; Port A Input Registers ; Port A Output Registers ; Port B Input Registers ; Port B Output Registers ; Size ; Implementation Port A Depth ; Implementation Port A Width ; Implementation Port B Depth ; Implementation Port B Width ; Implementation Bits ; M9Ks ; MIF ; Location ; Mixed Width RDW Mode ; Port A RDW Mode ; Port B RDW Mode ; Fits in MLABs ; -+----------------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+--------------+------------------------+-------------------------+------------------------+-------------------------+--------+-----------------------------+-----------------------------+-----------------------------+-----------------------------+---------------------+------+----------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+----------------------+-----------------+-----------------+---------------+ -; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ALTSYNCRAM ; AUTO ; Single Port ; Single Clock ; 32768 ; 8 ; -- ; -- ; yes ; yes ; -- ; -- ; 262144 ; 32768 ; 8 ; -- ; -- ; 262144 ; 32 ; ./roms/Mon.hex ; M9K_X22_Y9_N0, M9K_X22_Y8_N0, M9K_X22_Y7_N0, M9K_X22_Y4_N0, M9K_X33_Y9_N0, M9K_X33_Y11_N0, M9K_X33_Y14_N0, M9K_X33_Y12_N0, M9K_X22_Y21_N0, M9K_X22_Y22_N0, M9K_X22_Y18_N0, M9K_X33_Y18_N0, M9K_X33_Y22_N0, M9K_X22_Y19_N0, M9K_X22_Y20_N0, M9K_X33_Y8_N0, M9K_X33_Y13_N0, M9K_X22_Y13_N0, M9K_X22_Y10_N0, M9K_X33_Y10_N0, M9K_X22_Y12_N0, M9K_X22_Y15_N0, M9K_X22_Y14_N0, M9K_X22_Y11_N0, M9K_X33_Y17_N0, M9K_X22_Y17_N0, M9K_X33_Y19_N0, M9K_X33_Y16_N0, M9K_X22_Y24_N0, M9K_X33_Y5_N0, M9K_X33_Y20_N0, M9K_X33_Y21_N0 ; Don't care ; Old data ; Old data ; No - Unknown ; -; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|ALTSYNCRAM ; AUTO ; Single Port ; Single Clock ; 2048 ; 8 ; -- ; -- ; yes ; yes ; -- ; -- ; 16384 ; 2048 ; 8 ; -- ; -- ; 16384 ; 2 ; None ; M9K_X22_Y16_N0, M9K_X33_Y15_N0 ; Don't care ; Old data ; Old data ; No - Unknown ; -; mz80k_top:mz80k_top|vga:vga1|cg_rom:cg_rom|altsyncram:altsyncram_component|altsyncram_f7a1:auto_generated|ALTSYNCRAM ; AUTO ; ROM ; Single Clock ; 2048 ; 8 ; -- ; -- ; yes ; yes ; -- ; -- ; 16384 ; 2048 ; 8 ; -- ; -- ; 16384 ; 2 ; ./roms/cg.hex ; M9K_X22_Y23_N0, M9K_X33_Y7_N0 ; Don't care ; Old data ; Old data ; No - Unknown ; -; video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Dual Clocks ; 2048 ; 8 ; 2048 ; 8 ; yes ; no ; yes ; no ; 16384 ; 2048 ; 8 ; 2048 ; 8 ; 16384 ; 2 ; None ; M9K_X33_Y23_N0, M9K_X33_Y24_N0 ; Don't care ; Old data ; Old data ; No - Unknown ; -+----------------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+--------------+------------------------+-------------------------+------------------------+-------------------------+--------+-----------------------------+-----------------------------+-----------------------------+-----------------------------+---------------------+------+----------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+----------------------+-----------------+-----------------+---------------+ -Note: Fitter may spread logical memories into multiple blocks to improve timing. The actual required RAM blocks can be found in the Fitter Resource Usage section. - - -RAM content values are presented in the following format: (Binary) (Octal) (Decimal) (Hexadecimal) -+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; |mz80k_mist|mz80k_top:mz80k_top|vga:vga1|cg_rom:cg_rom|altsyncram:altsyncram_component|altsyncram_f7a1:auto_generated|ALTSYNCRAM ; -+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Addr ; +0 ; +1 ; +2 ; +3 ; +4 ; +5 ; +6 ; +7 ; -+----------+------------------------------+------------------------------+------------------------------+------------------------------+------------------------------+------------------------------+------------------------------+------------------------------+ -;0;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8;(00011000) (30) (24) (18) ;(00100100) (44) (36) (24) ;(01000010) (102) (66) (42) ;(01111110) (176) (126) (7E) ;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(00000000) (0) (0) (00) ; -;16;(01111100) (174) (124) (7C) ;(00100010) (42) (34) (22) ;(00100010) (42) (34) (22) ;(00111100) (74) (60) (3C) ;(00100010) (42) (34) (22) ;(00100010) (42) (34) (22) ;(01111100) (174) (124) (7C) ;(00000000) (0) (0) (00) ; -;24;(00011100) (34) (28) (1C) ;(00100010) (42) (34) (22) ;(01000000) (100) (64) (40) ;(01000000) (100) (64) (40) ;(01000000) (100) (64) (40) ;(00100010) (42) (34) (22) ;(00011100) (34) (28) (1C) ;(00000000) (0) (0) (00) ; -;32;(01111000) (170) (120) (78) ;(00100100) (44) (36) (24) ;(00100010) (42) (34) (22) ;(00100010) (42) (34) (22) ;(00100010) (42) (34) (22) ;(00100100) (44) (36) (24) ;(01111000) (170) (120) (78) ;(00000000) (0) (0) (00) ; -;40;(01111110) (176) (126) (7E) ;(01000000) (100) (64) (40) ;(01000000) (100) (64) (40) ;(01111000) (170) (120) (78) ;(01000000) (100) (64) (40) ;(01000000) (100) (64) (40) ;(01111110) (176) (126) (7E) ;(00000000) (0) (0) (00) ; -;48;(01111110) (176) (126) (7E) ;(01000000) (100) (64) (40) ;(01000000) (100) (64) (40) ;(01111000) (170) (120) (78) ;(01000000) (100) (64) (40) ;(01000000) (100) (64) (40) ;(01000000) (100) (64) (40) ;(00000000) (0) (0) (00) ; -;56;(00011100) (34) (28) (1C) ;(00100010) (42) (34) (22) ;(01000000) (100) (64) (40) ;(01001110) (116) (78) (4E) ;(01000010) (102) (66) (42) ;(00100010) (42) (34) (22) ;(00011100) (34) (28) (1C) ;(00000000) (0) (0) (00) ; -;64;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(01111110) (176) (126) (7E) ;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(00000000) (0) (0) (00) ; -;72;(00011100) (34) (28) (1C) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00011100) (34) (28) (1C) ;(00000000) (0) (0) (00) ; -;80;(00001110) (16) (14) (0E) ;(00000100) (4) (4) (04) ;(00000100) (4) (4) (04) ;(00000100) (4) (4) (04) ;(00000100) (4) (4) (04) ;(01000100) (104) (68) (44) ;(00111000) (70) (56) (38) ;(00000000) (0) (0) (00) ; -;88;(01000010) (102) (66) (42) ;(01000100) (104) (68) (44) ;(01001000) (110) (72) (48) ;(01110000) (160) (112) (70) ;(01001000) (110) (72) (48) ;(01000100) (104) (68) (44) ;(01000010) (102) (66) (42) ;(00000000) (0) (0) (00) ; -;96;(01000000) (100) (64) (40) ;(01000000) (100) (64) (40) ;(01000000) (100) (64) (40) ;(01000000) (100) (64) (40) ;(01000000) (100) (64) (40) ;(01000000) (100) (64) (40) ;(01111110) (176) (126) (7E) ;(00000000) (0) (0) (00) ; -;104;(01000010) (102) (66) (42) ;(01100110) (146) (102) (66) ;(01011010) (132) (90) (5A) ;(01011010) (132) (90) (5A) ;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(00000000) (0) (0) (00) ; -;112;(01000010) (102) (66) (42) ;(01100010) (142) (98) (62) ;(01010010) (122) (82) (52) ;(01001010) (112) (74) (4A) ;(01000110) (106) (70) (46) ;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(00000000) (0) (0) (00) ; -;120;(00011000) (30) (24) (18) ;(00100100) (44) (36) (24) ;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(00100100) (44) (36) (24) ;(00011000) (30) (24) (18) ;(00000000) (0) (0) (00) ; -;128;(01111100) (174) (124) (7C) ;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(01111100) (174) (124) (7C) ;(01000000) (100) (64) (40) ;(01000000) (100) (64) (40) ;(01000000) (100) (64) (40) ;(00000000) (0) (0) (00) ; -;136;(00011000) (30) (24) (18) ;(00100100) (44) (36) (24) ;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(01001010) (112) (74) (4A) ;(00100100) (44) (36) (24) ;(00011010) (32) (26) (1A) ;(00000000) (0) (0) (00) ; -;144;(01111100) (174) (124) (7C) ;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(01111100) (174) (124) (7C) ;(01001000) (110) (72) (48) ;(01000100) (104) (68) (44) ;(01000010) (102) (66) (42) ;(00000000) (0) (0) (00) ; -;152;(00111100) (74) (60) (3C) ;(01000010) (102) (66) (42) ;(01000000) (100) (64) (40) ;(00111100) (74) (60) (3C) ;(00000010) (2) (2) (02) ;(01000010) (102) (66) (42) ;(00111100) (74) (60) (3C) ;(00000000) (0) (0) (00) ; -;160;(00111110) (76) (62) (3E) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00000000) (0) (0) (00) ; -;168;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(00111100) (74) (60) (3C) ;(00000000) (0) (0) (00) ; -;176;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(00100100) (44) (36) (24) ;(00100100) (44) (36) (24) ;(00011000) (30) (24) (18) ;(00011000) (30) (24) (18) ;(00000000) (0) (0) (00) ; -;184;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(01011010) (132) (90) (5A) ;(01011010) (132) (90) (5A) ;(01100110) (146) (102) (66) ;(01000010) (102) (66) (42) ;(00000000) (0) (0) (00) ; -;192;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(00100100) (44) (36) (24) ;(00011000) (30) (24) (18) ;(00100100) (44) (36) (24) ;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(00000000) (0) (0) (00) ; -;200;(00100010) (42) (34) (22) ;(00100010) (42) (34) (22) ;(00100010) (42) (34) (22) ;(00011100) (34) (28) (1C) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00000000) (0) (0) (00) ; -;208;(01111110) (176) (126) (7E) ;(00000010) (2) (2) (02) ;(00000100) (4) (4) (04) ;(00011000) (30) (24) (18) ;(00100000) (40) (32) (20) ;(01000000) (100) (64) (40) ;(01111110) (176) (126) (7E) ;(00000000) (0) (0) (00) ; -;216;(00001100) (14) (12) (0C) ;(00010010) (22) (18) (12) ;(00010000) (20) (16) (10) ;(00111000) (70) (56) (38) ;(00010000) (20) (16) (10) ;(00010000) (20) (16) (10) ;(00111110) (76) (62) (3E) ;(00000000) (0) (0) (00) ; -;224;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00001111) (17) (15) (0F) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;232;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(11111000) (370) (248) (F8) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;240;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00001111) (17) (15) (0F) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ; -;248;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(11111111) (377) (255) (FF) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;256;(00111100) (74) (60) (3C) ;(01000010) (102) (66) (42) ;(01000110) (106) (70) (46) ;(01011010) (132) (90) (5A) ;(01100010) (142) (98) (62) ;(01000010) (102) (66) (42) ;(00111100) (74) (60) (3C) ;(00000000) (0) (0) (00) ; -;264;(00001000) (10) (8) (08) ;(00011000) (30) (24) (18) ;(00101000) (50) (40) (28) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00111110) (76) (62) (3E) ;(00000000) (0) (0) (00) ; -;272;(00111100) (74) (60) (3C) ;(01000010) (102) (66) (42) ;(00000010) (2) (2) (02) ;(00001100) (14) (12) (0C) ;(00110000) (60) (48) (30) ;(01000000) (100) (64) (40) ;(01111110) (176) (126) (7E) ;(00000000) (0) (0) (00) ; -;280;(00111100) (74) (60) (3C) ;(01000010) (102) (66) (42) ;(00000010) (2) (2) (02) ;(00111100) (74) (60) (3C) ;(00000010) (2) (2) (02) ;(01000010) (102) (66) (42) ;(00111100) (74) (60) (3C) ;(00000000) (0) (0) (00) ; -;288;(00000100) (4) (4) (04) ;(00001100) (14) (12) (0C) ;(00010100) (24) (20) (14) ;(00100100) (44) (36) (24) ;(01111110) (176) (126) (7E) ;(00000100) (4) (4) (04) ;(00000100) (4) (4) (04) ;(00000000) (0) (0) (00) ; -;296;(01111110) (176) (126) (7E) ;(01000000) (100) (64) (40) ;(01111000) (170) (120) (78) ;(00000100) (4) (4) (04) ;(00000010) (2) (2) (02) ;(01000100) (104) (68) (44) ;(00111000) (70) (56) (38) ;(00000000) (0) (0) (00) ; -;304;(00011100) (34) (28) (1C) ;(00100000) (40) (32) (20) ;(01000000) (100) (64) (40) ;(01111100) (174) (124) (7C) ;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(00111100) (74) (60) (3C) ;(00000000) (0) (0) (00) ; -;312;(01111110) (176) (126) (7E) ;(01000010) (102) (66) (42) ;(00000100) (4) (4) (04) ;(00001000) (10) (8) (08) ;(00010000) (20) (16) (10) ;(00010000) (20) (16) (10) ;(00010000) (20) (16) (10) ;(00000000) (0) (0) (00) ; -;320;(00111100) (74) (60) (3C) ;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(00111100) (74) (60) (3C) ;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(00111100) (74) (60) (3C) ;(00000000) (0) (0) (00) ; -;328;(00111100) (74) (60) (3C) ;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(00111110) (76) (62) (3E) ;(00000010) (2) (2) (02) ;(00000100) (4) (4) (04) ;(00111000) (70) (56) (38) ;(00000000) (0) (0) (00) ; -;336;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(01111110) (176) (126) (7E) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;344;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(01111110) (176) (126) (7E) ;(00000000) (0) (0) (00) ;(01111110) (176) (126) (7E) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;352;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00001000) (10) (8) (08) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00010000) (20) (16) (10) ; -;360;(00000000) (0) (0) (00) ;(00000010) (2) (2) (02) ;(00000100) (4) (4) (04) ;(00001000) (10) (8) (08) ;(00010000) (20) (16) (10) ;(00100000) (40) (32) (20) ;(01000000) (100) (64) (40) ;(00000000) (0) (0) (00) ; -;368;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00011000) (30) (24) (18) ;(00011000) (30) (24) (18) ;(00000000) (0) (0) (00) ; -;376;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00010000) (20) (16) (10) ; -;384;(00000000) (0) (0) (00) ;(11111111) (377) (255) (FF) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;392;(01000000) (100) (64) (40) ;(01000000) (100) (64) (40) ;(01000000) (100) (64) (40) ;(01000000) (100) (64) (40) ;(01000000) (100) (64) (40) ;(01000000) (100) (64) (40) ;(01000000) (100) (64) (40) ;(01000000) (100) (64) (40) ; -;400;(10000000) (200) (128) (80) ;(10000000) (200) (128) (80) ;(10000000) (200) (128) (80) ;(10000000) (200) (128) (80) ;(10000000) (200) (128) (80) ;(10000000) (200) (128) (80) ;(10000000) (200) (128) (80) ;(11111111) (377) (255) (FF) ; -;408;(00000001) (1) (1) (01) ;(00000001) (1) (1) (01) ;(00000001) (1) (1) (01) ;(00000001) (1) (1) (01) ;(00000001) (1) (1) (01) ;(00000001) (1) (1) (01) ;(00000001) (1) (1) (01) ;(11111111) (377) (255) (FF) ; -;416;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(11111111) (377) (255) (FF) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;424;(00010000) (20) (16) (10) ;(00010000) (20) (16) (10) ;(00010000) (20) (16) (10) ;(00010000) (20) (16) (10) ;(00010000) (20) (16) (10) ;(00010000) (20) (16) (10) ;(00010000) (20) (16) (10) ;(00010000) (20) (16) (10) ; -;432;(11111111) (377) (255) (FF) ;(11111111) (377) (255) (FF) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;440;(11000000) (300) (192) (C0) ;(11000000) (300) (192) (C0) ;(11000000) (300) (192) (C0) ;(11000000) (300) (192) (C0) ;(11000000) (300) (192) (C0) ;(11000000) (300) (192) (C0) ;(11000000) (300) (192) (C0) ;(11000000) (300) (192) (C0) ; -;448;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(11111111) (377) (255) (FF) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;456;(00000100) (4) (4) (04) ;(00000100) (4) (4) (04) ;(00000100) (4) (4) (04) ;(00000100) (4) (4) (04) ;(00000100) (4) (4) (04) ;(00000100) (4) (4) (04) ;(00000100) (4) (4) (04) ;(00000100) (4) (4) (04) ; -;464;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(11111111) (377) (255) (FF) ;(11111111) (377) (255) (FF) ;(11111111) (377) (255) (FF) ;(11111111) (377) (255) (FF) ; -;472;(00001111) (17) (15) (0F) ;(00001111) (17) (15) (0F) ;(00001111) (17) (15) (0F) ;(00001111) (17) (15) (0F) ;(00001111) (17) (15) (0F) ;(00001111) (17) (15) (0F) ;(00001111) (17) (15) (0F) ;(00001111) (17) (15) (0F) ; -;480;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(11111111) (377) (255) (FF) ; -;488;(00000001) (1) (1) (01) ;(00000001) (1) (1) (01) ;(00000001) (1) (1) (01) ;(00000001) (1) (1) (01) ;(00000001) (1) (1) (01) ;(00000001) (1) (1) (01) ;(00000001) (1) (1) (01) ;(00000001) (1) (1) (01) ; -;496;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(11111111) (377) (255) (FF) ;(11111111) (377) (255) (FF) ; -;504;(00000011) (3) (3) (03) ;(00000011) (3) (3) (03) ;(00000011) (3) (3) (03) ;(00000011) (3) (3) (03) ;(00000011) (3) (3) (03) ;(00000011) (3) (3) (03) ;(00000011) (3) (3) (03) ;(00000011) (3) (3) (03) ; -;512;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;520;(00001000) (10) (8) (08) ;(00011100) (34) (28) (1C) ;(00111110) (76) (62) (3E) ;(01111111) (177) (127) (7F) ;(01111111) (177) (127) (7F) ;(00011100) (34) (28) (1C) ;(00111110) (76) (62) (3E) ;(00000000) (0) (0) (00) ; -;528;(11111111) (377) (255) (FF) ;(01111111) (177) (127) (7F) ;(00111111) (77) (63) (3F) ;(00011111) (37) (31) (1F) ;(00001111) (17) (15) (0F) ;(00000111) (7) (7) (07) ;(00000011) (3) (3) (03) ;(00000001) (1) (1) (01) ; -;536;(11111111) (377) (255) (FF) ;(11111111) (377) (255) (FF) ;(11111111) (377) (255) (FF) ;(11111111) (377) (255) (FF) ;(11111111) (377) (255) (FF) ;(11111111) (377) (255) (FF) ;(11111111) (377) (255) (FF) ;(11111111) (377) (255) (FF) ; -;544;(00001000) (10) (8) (08) ;(00011100) (34) (28) (1C) ;(00111110) (76) (62) (3E) ;(01111111) (177) (127) (7F) ;(00111110) (76) (62) (3E) ;(00011100) (34) (28) (1C) ;(00001000) (10) (8) (08) ;(00000000) (0) (0) (00) ; -;552;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00010000) (20) (16) (10) ;(00100000) (40) (32) (20) ;(01111111) (177) (127) (7F) ;(00100000) (40) (32) (20) ;(00010000) (20) (16) (10) ;(00000000) (0) (0) (00) ; -;560;(00001000) (10) (8) (08) ;(00011100) (34) (28) (1C) ;(00101010) (52) (42) (2A) ;(01111111) (177) (127) (7F) ;(00101010) (52) (42) (2A) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00000000) (0) (0) (00) ; -;568;(00000000) (0) (0) (00) ;(00111100) (74) (60) (3C) ;(01111110) (176) (126) (7E) ;(01111110) (176) (126) (7E) ;(01111110) (176) (126) (7E) ;(01111110) (176) (126) (7E) ;(00111100) (74) (60) (3C) ;(00000000) (0) (0) (00) ; -;576;(00000000) (0) (0) (00) ;(00111100) (74) (60) (3C) ;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(00111100) (74) (60) (3C) ;(00000000) (0) (0) (00) ; -;584;(00111100) (74) (60) (3C) ;(01000010) (102) (66) (42) ;(00000010) (2) (2) (02) ;(00001100) (14) (12) (0C) ;(00010000) (20) (16) (10) ;(00000000) (0) (0) (00) ;(00010000) (20) (16) (10) ;(00000000) (0) (0) (00) ; -;592;(11111111) (377) (255) (FF) ;(11000011) (303) (195) (C3) ;(10000001) (201) (129) (81) ;(10000001) (201) (129) (81) ;(10000001) (201) (129) (81) ;(10000001) (201) (129) (81) ;(11000011) (303) (195) (C3) ;(11111111) (377) (255) (FF) ; -;600;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000011) (3) (3) (03) ;(00000100) (4) (4) (04) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ; -;608;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(11000000) (300) (192) (C0) ;(00100000) (40) (32) (20) ;(00010000) (20) (16) (10) ;(00010000) (20) (16) (10) ; -;616;(10000000) (200) (128) (80) ;(11000000) (300) (192) (C0) ;(11100000) (340) (224) (E0) ;(11110000) (360) (240) (F0) ;(11111000) (370) (248) (F8) ;(11111100) (374) (252) (FC) ;(11111110) (376) (254) (FE) ;(11111111) (377) (255) (FF) ; -;624;(00000001) (1) (1) (01) ;(00000011) (3) (3) (03) ;(00000111) (7) (7) (07) ;(00001111) (17) (15) (0F) ;(00011111) (37) (31) (1F) ;(00111111) (77) (63) (3F) ;(01111111) (177) (127) (7F) ;(11111111) (377) (255) (FF) ; -;632;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00001000) (10) (8) (08) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00001000) (10) (8) (08) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;640;(00000000) (0) (0) (00) ;(00001000) (10) (8) (08) ;(00011100) (34) (28) (1C) ;(00101010) (52) (42) (2A) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00000000) (0) (0) (00) ; -;648;(00001110) (16) (14) (0E) ;(00011000) (30) (24) (18) ;(00110000) (60) (48) (30) ;(01100000) (140) (96) (60) ;(00110000) (60) (48) (30) ;(00011000) (30) (24) (18) ;(00001110) (16) (14) (0E) ;(00000000) (0) (0) (00) ; -;656;(00111100) (74) (60) (3C) ;(00100000) (40) (32) (20) ;(00100000) (40) (32) (20) ;(00100000) (40) (32) (20) ;(00100000) (40) (32) (20) ;(00100000) (40) (32) (20) ;(00111100) (74) (60) (3C) ;(00000000) (0) (0) (00) ; -;664;(00110110) (66) (54) (36) ;(01111111) (177) (127) (7F) ;(01111111) (177) (127) (7F) ;(01111111) (177) (127) (7F) ;(00111110) (76) (62) (3E) ;(00011100) (34) (28) (1C) ;(00001000) (10) (8) (08) ;(00000000) (0) (0) (00) ; -;672;(00111100) (74) (60) (3C) ;(00000100) (4) (4) (04) ;(00000100) (4) (4) (04) ;(00000100) (4) (4) (04) ;(00000100) (4) (4) (04) ;(00000100) (4) (4) (04) ;(00111100) (74) (60) (3C) ;(00000000) (0) (0) (00) ; -;680;(00011100) (34) (28) (1C) ;(00100010) (42) (34) (22) ;(01001010) (112) (74) (4A) ;(01010110) (126) (86) (56) ;(01001100) (114) (76) (4C) ;(00100000) (40) (32) (20) ;(00011110) (36) (30) (1E) ;(00000000) (0) (0) (00) ; -;688;(11111111) (377) (255) (FF) ;(11111110) (376) (254) (FE) ;(11111100) (374) (252) (FC) ;(11111000) (370) (248) (F8) ;(11110000) (360) (240) (F0) ;(11100000) (340) (224) (E0) ;(11000000) (300) (192) (C0) ;(10000000) (200) (128) (80) ; -;696;(01110000) (160) (112) (70) ;(00011000) (30) (24) (18) ;(00001100) (14) (12) (0C) ;(00000110) (6) (6) (06) ;(00001100) (14) (12) (0C) ;(00011000) (30) (24) (18) ;(01110000) (160) (112) (70) ;(00000000) (0) (0) (00) ; -;704;(00000000) (0) (0) (00) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00101010) (52) (42) (2A) ;(00011100) (34) (28) (1C) ;(00001000) (10) (8) (08) ;(00000000) (0) (0) (00) ; -;712;(00000000) (0) (0) (00) ;(01000000) (100) (64) (40) ;(00100000) (40) (32) (20) ;(00010000) (20) (16) (10) ;(00001000) (10) (8) (08) ;(00000100) (4) (4) (04) ;(00000010) (2) (2) (02) ;(00000000) (0) (0) (00) ; -;720;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000100) (4) (4) (04) ;(00000010) (2) (2) (02) ;(01111111) (177) (127) (7F) ;(00000010) (2) (2) (02) ;(00000100) (4) (4) (04) ;(00000000) (0) (0) (00) ; -;728;(11110000) (360) (240) (F0) ;(11110000) (360) (240) (F0) ;(11110000) (360) (240) (F0) ;(11110000) (360) (240) (F0) ;(00001111) (17) (15) (0F) ;(00001111) (17) (15) (0F) ;(00001111) (17) (15) (0F) ;(00001111) (17) (15) (0F) ; -;736;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00001111) (17) (15) (0F) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ; -;744;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(11111000) (370) (248) (F8) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ; -;752;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(11111000) (370) (248) (F8) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ; -;760;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(11111111) (377) (255) (FF) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ; -;768;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000001) (1) (1) (01) ;(00111110) (76) (62) (3E) ;(01010100) (124) (84) (54) ;(00010100) (24) (20) (14) ;(00010100) (24) (20) (14) ;(00000000) (0) (0) (00) ; -;776;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00001000) (10) (8) (08) ;(00000000) (0) (0) (00) ; -;784;(00100100) (44) (36) (24) ;(00100100) (44) (36) (24) ;(00100100) (44) (36) (24) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;792;(00100100) (44) (36) (24) ;(00100100) (44) (36) (24) ;(01111110) (176) (126) (7E) ;(00100100) (44) (36) (24) ;(01111110) (176) (126) (7E) ;(00100100) (44) (36) (24) ;(00100100) (44) (36) (24) ;(00000000) (0) (0) (00) ; -;800;(00001000) (10) (8) (08) ;(00011110) (36) (30) (1E) ;(00101000) (50) (40) (28) ;(00011100) (34) (28) (1C) ;(00001010) (12) (10) (0A) ;(00011100) (34) (28) (1C) ;(00001000) (10) (8) (08) ;(00000000) (0) (0) (00) ; -;808;(00000000) (0) (0) (00) ;(01100010) (142) (98) (62) ;(01100100) (144) (100) (64) ;(00001000) (10) (8) (08) ;(00010000) (20) (16) (10) ;(00100110) (46) (38) (26) ;(01000110) (106) (70) (46) ;(00000000) (0) (0) (00) ; -;816;(00110000) (60) (48) (30) ;(01001000) (110) (72) (48) ;(01001000) (110) (72) (48) ;(00110000) (60) (48) (30) ;(01001010) (112) (74) (4A) ;(01000100) (104) (68) (44) ;(00111010) (72) (58) (3A) ;(00000000) (0) (0) (00) ; -;824;(00000100) (4) (4) (04) ;(00001000) (10) (8) (08) ;(00010000) (20) (16) (10) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;832;(00000100) (4) (4) (04) ;(00001000) (10) (8) (08) ;(00010000) (20) (16) (10) ;(00010000) (20) (16) (10) ;(00010000) (20) (16) (10) ;(00001000) (10) (8) (08) ;(00000100) (4) (4) (04) ;(00000000) (0) (0) (00) ; -;840;(00100000) (40) (32) (20) ;(00010000) (20) (16) (10) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00010000) (20) (16) (10) ;(00100000) (40) (32) (20) ;(00000000) (0) (0) (00) ; -;848;(00000000) (0) (0) (00) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00111110) (76) (62) (3E) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;856;(00001000) (10) (8) (08) ;(00101010) (52) (42) (2A) ;(00011100) (34) (28) (1C) ;(00111110) (76) (62) (3E) ;(00011100) (34) (28) (1C) ;(00101010) (52) (42) (2A) ;(00001000) (10) (8) (08) ;(00000000) (0) (0) (00) ; -;864;(00001111) (17) (15) (0F) ;(00001111) (17) (15) (0F) ;(00001111) (17) (15) (0F) ;(00001111) (17) (15) (0F) ;(11110000) (360) (240) (F0) ;(11110000) (360) (240) (F0) ;(11110000) (360) (240) (F0) ;(11110000) (360) (240) (F0) ; -;872;(10000001) (201) (129) (81) ;(01000010) (102) (66) (42) ;(00100100) (44) (36) (24) ;(00011000) (30) (24) (18) ;(00011000) (30) (24) (18) ;(00100100) (44) (36) (24) ;(01000010) (102) (66) (42) ;(10000001) (201) (129) (81) ; -;880;(00010000) (20) (16) (10) ;(00010000) (20) (16) (10) ;(00100000) (40) (32) (20) ;(11000000) (300) (192) (C0) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;888;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00000100) (4) (4) (04) ;(00000011) (3) (3) (03) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;896;(11111111) (377) (255) (FF) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;904;(10000000) (200) (128) (80) ;(10000000) (200) (128) (80) ;(10000000) (200) (128) (80) ;(10000000) (200) (128) (80) ;(10000000) (200) (128) (80) ;(10000000) (200) (128) (80) ;(10000000) (200) (128) (80) ;(10000000) (200) (128) (80) ; -;912;(11111111) (377) (255) (FF) ;(10000000) (200) (128) (80) ;(10000000) (200) (128) (80) ;(10000000) (200) (128) (80) ;(10000000) (200) (128) (80) ;(10000000) (200) (128) (80) ;(10000000) (200) (128) (80) ;(10000000) (200) (128) (80) ; -;920;(11111111) (377) (255) (FF) ;(00000001) (1) (1) (01) ;(00000001) (1) (1) (01) ;(00000001) (1) (1) (01) ;(00000001) (1) (1) (01) ;(00000001) (1) (1) (01) ;(00000001) (1) (1) (01) ;(00000001) (1) (1) (01) ; -;928;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(11111111) (377) (255) (FF) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;936;(00100000) (40) (32) (20) ;(00100000) (40) (32) (20) ;(00100000) (40) (32) (20) ;(00100000) (40) (32) (20) ;(00100000) (40) (32) (20) ;(00100000) (40) (32) (20) ;(00100000) (40) (32) (20) ;(00100000) (40) (32) (20) ; -;944;(00000001) (1) (1) (01) ;(00000010) (2) (2) (02) ;(00000100) (4) (4) (04) ;(00001000) (10) (8) (08) ;(00010000) (20) (16) (10) ;(00100000) (40) (32) (20) ;(01000000) (100) (64) (40) ;(10000000) (200) (128) (80) ; -;952;(10000000) (200) (128) (80) ;(01000000) (100) (64) (40) ;(00100000) (40) (32) (20) ;(00010000) (20) (16) (10) ;(00001000) (10) (8) (08) ;(00000100) (4) (4) (04) ;(00000010) (2) (2) (02) ;(00000001) (1) (1) (01) ; -;960;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(11111111) (377) (255) (FF) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;968;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ; -;976;(11111111) (377) (255) (FF) ;(11111111) (377) (255) (FF) ;(11111111) (377) (255) (FF) ;(11111111) (377) (255) (FF) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;984;(11110000) (360) (240) (F0) ;(11110000) (360) (240) (F0) ;(11110000) (360) (240) (F0) ;(11110000) (360) (240) (F0) ;(11110000) (360) (240) (F0) ;(11110000) (360) (240) (F0) ;(11110000) (360) (240) (F0) ;(11110000) (360) (240) (F0) ; -;992;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(11111111) (377) (255) (FF) ;(00000000) (0) (0) (00) ; -;1000;(00000010) (2) (2) (02) ;(00000010) (2) (2) (02) ;(00000010) (2) (2) (02) ;(00000010) (2) (2) (02) ;(00000010) (2) (2) (02) ;(00000010) (2) (2) (02) ;(00000010) (2) (2) (02) ;(00000010) (2) (2) (02) ; -;1008;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(11111111) (377) (255) (FF) ;(11111111) (377) (255) (FF) ;(11111111) (377) (255) (FF) ; -;1016;(00000111) (7) (7) (07) ;(00000111) (7) (7) (07) ;(00000111) (7) (7) (07) ;(00000111) (7) (7) (07) ;(00000111) (7) (7) (07) ;(00000111) (7) (7) (07) ;(00000111) (7) (7) (07) ;(00000111) (7) (7) (07) ; -;1024;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;1032;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00111000) (70) (56) (38) ;(00000100) (4) (4) (04) ;(00111100) (74) (60) (3C) ;(01000100) (104) (68) (44) ;(00111010) (72) (58) (3A) ;(00000000) (0) (0) (00) ; -;1040;(01000000) (100) (64) (40) ;(01000000) (100) (64) (40) ;(01011100) (134) (92) (5C) ;(01100010) (142) (98) (62) ;(01000010) (102) (66) (42) ;(01100010) (142) (98) (62) ;(01011100) (134) (92) (5C) ;(00000000) (0) (0) (00) ; -;1048;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00111100) (74) (60) (3C) ;(01000010) (102) (66) (42) ;(01000000) (100) (64) (40) ;(01000010) (102) (66) (42) ;(00111100) (74) (60) (3C) ;(00000000) (0) (0) (00) ; -;1056;(00000010) (2) (2) (02) ;(00000010) (2) (2) (02) ;(00111010) (72) (58) (3A) ;(01000110) (106) (70) (46) ;(01000010) (102) (66) (42) ;(01000110) (106) (70) (46) ;(00111010) (72) (58) (3A) ;(00000000) (0) (0) (00) ; -;1064;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00111100) (74) (60) (3C) ;(01000010) (102) (66) (42) ;(01111110) (176) (126) (7E) ;(01000000) (100) (64) (40) ;(00111100) (74) (60) (3C) ;(00000000) (0) (0) (00) ; -;1072;(00001100) (14) (12) (0C) ;(00010010) (22) (18) (12) ;(00010000) (20) (16) (10) ;(01111100) (174) (124) (7C) ;(00010000) (20) (16) (10) ;(00010000) (20) (16) (10) ;(00010000) (20) (16) (10) ;(00000000) (0) (0) (00) ; -;1080;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00111010) (72) (58) (3A) ;(01000110) (106) (70) (46) ;(01000110) (106) (70) (46) ;(00111010) (72) (58) (3A) ;(00000010) (2) (2) (02) ;(00111100) (74) (60) (3C) ; -;1088;(01000000) (100) (64) (40) ;(01000000) (100) (64) (40) ;(01011100) (134) (92) (5C) ;(01100010) (142) (98) (62) ;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(00000000) (0) (0) (00) ; -;1096;(00001000) (10) (8) (08) ;(00000000) (0) (0) (00) ;(00011000) (30) (24) (18) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00011100) (34) (28) (1C) ;(00000000) (0) (0) (00) ; -;1104;(00000100) (4) (4) (04) ;(00000000) (0) (0) (00) ;(00001100) (14) (12) (0C) ;(00000100) (4) (4) (04) ;(00000100) (4) (4) (04) ;(00000100) (4) (4) (04) ;(01000100) (104) (68) (44) ;(00111000) (70) (56) (38) ; -;1112;(01000000) (100) (64) (40) ;(01000000) (100) (64) (40) ;(01000100) (104) (68) (44) ;(01001000) (110) (72) (48) ;(01010000) (120) (80) (50) ;(01101000) (150) (104) (68) ;(01000100) (104) (68) (44) ;(00000000) (0) (0) (00) ; -;1120;(00011000) (30) (24) (18) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00011100) (34) (28) (1C) ;(00000000) (0) (0) (00) ; -;1128;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(01110110) (166) (118) (76) ;(01001001) (111) (73) (49) ;(01001001) (111) (73) (49) ;(01001001) (111) (73) (49) ;(01001001) (111) (73) (49) ;(00000000) (0) (0) (00) ; -;1136;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(01011100) (134) (92) (5C) ;(01100010) (142) (98) (62) ;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(00000000) (0) (0) (00) ; -;1144;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00111100) (74) (60) (3C) ;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(00111100) (74) (60) (3C) ;(00000000) (0) (0) (00) ; -;1152;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(01011100) (134) (92) (5C) ;(01100010) (142) (98) (62) ;(01100010) (142) (98) (62) ;(01011100) (134) (92) (5C) ;(01000000) (100) (64) (40) ;(01000000) (100) (64) (40) ; -;1160;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00111010) (72) (58) (3A) ;(01000110) (106) (70) (46) ;(01000110) (106) (70) (46) ;(00111010) (72) (58) (3A) ;(00000010) (2) (2) (02) ;(00000010) (2) (2) (02) ; -;1168;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(01011100) (134) (92) (5C) ;(01100010) (142) (98) (62) ;(01000000) (100) (64) (40) ;(01000000) (100) (64) (40) ;(01000000) (100) (64) (40) ;(00000000) (0) (0) (00) ; -;1176;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00111110) (76) (62) (3E) ;(01000000) (100) (64) (40) ;(00111100) (74) (60) (3C) ;(00000010) (2) (2) (02) ;(01111100) (174) (124) (7C) ;(00000000) (0) (0) (00) ; -;1184;(00010000) (20) (16) (10) ;(00010000) (20) (16) (10) ;(01111100) (174) (124) (7C) ;(00010000) (20) (16) (10) ;(00010000) (20) (16) (10) ;(00010010) (22) (18) (12) ;(00001100) (14) (12) (0C) ;(00000000) (0) (0) (00) ; -;1192;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(00111100) (74) (60) (3C) ;(00000000) (0) (0) (00) ; -;1200;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(00100100) (44) (36) (24) ;(00011000) (30) (24) (18) ;(00000000) (0) (0) (00) ; -;1208;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(01000001) (101) (65) (41) ;(01001001) (111) (73) (49) ;(01001001) (111) (73) (49) ;(01001001) (111) (73) (49) ;(00110110) (66) (54) (36) ;(00000000) (0) (0) (00) ; -;1216;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(01000100) (104) (68) (44) ;(00101000) (50) (40) (28) ;(00010000) (20) (16) (10) ;(00101000) (50) (40) (28) ;(01000100) (104) (68) (44) ;(00000000) (0) (0) (00) ; -;1224;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(01000110) (106) (70) (46) ;(00111010) (72) (58) (3A) ;(00000010) (2) (2) (02) ;(00111100) (74) (60) (3C) ; -;1232;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(01111110) (176) (126) (7E) ;(00000100) (4) (4) (04) ;(00011000) (30) (24) (18) ;(00100000) (40) (32) (20) ;(01111110) (176) (126) (7E) ;(00000000) (0) (0) (00) ; -;1240;(00100100) (44) (36) (24) ;(00000000) (0) (0) (00) ;(00111000) (70) (56) (38) ;(00000100) (4) (4) (04) ;(00111100) (74) (60) (3C) ;(01000100) (104) (68) (44) ;(00111010) (72) (58) (3A) ;(00000000) (0) (0) (00) ; -;1248;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000001) (1) (1) (01) ;(00000010) (2) (2) (02) ;(00000100) (4) (4) (04) ;(00001000) (10) (8) (08) ;(00010000) (20) (16) (10) ; -;1256;(00000011) (3) (3) (03) ;(00011100) (34) (28) (1C) ;(01100000) (140) (96) (60) ;(10000000) (200) (128) (80) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;1264;(11000000) (300) (192) (C0) ;(00111000) (70) (56) (38) ;(00000110) (6) (6) (06) ;(00000001) (1) (1) (01) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;1272;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(10000000) (200) (128) (80) ;(01000000) (100) (64) (40) ;(00100000) (40) (32) (20) ;(00010000) (20) (16) (10) ;(00001000) (10) (8) (08) ; -;1280;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(11000000) (300) (192) (C0) ;(00110000) (60) (48) (30) ;(00001100) (14) (12) (0C) ;(00000011) (3) (3) (03) ; -;1288;(00000000) (0) (0) (00) ;(11111111) (377) (255) (FF) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(11111111) (377) (255) (FF) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;1296;(01000100) (104) (68) (44) ;(01000100) (104) (68) (44) ;(01000100) (104) (68) (44) ;(01000100) (104) (68) (44) ;(01000100) (104) (68) (44) ;(01000100) (104) (68) (44) ;(01000100) (104) (68) (44) ;(01000100) (104) (68) (44) ; -;1304;(01000100) (104) (68) (44) ;(11111111) (377) (255) (FF) ;(01000100) (104) (68) (44) ;(01000100) (104) (68) (44) ;(01000100) (104) (68) (44) ;(11111111) (377) (255) (FF) ;(01000100) (104) (68) (44) ;(01000100) (104) (68) (44) ; -;1312;(00100010) (42) (34) (22) ;(01000100) (104) (68) (44) ;(10001000) (210) (136) (88) ;(00010001) (21) (17) (11) ;(00100010) (42) (34) (22) ;(01000100) (104) (68) (44) ;(10001000) (210) (136) (88) ;(00010001) (21) (17) (11) ; -;1320;(10001000) (210) (136) (88) ;(01000100) (104) (68) (44) ;(00100010) (42) (34) (22) ;(00010001) (21) (17) (11) ;(10001000) (210) (136) (88) ;(01000100) (104) (68) (44) ;(00100010) (42) (34) (22) ;(00010001) (21) (17) (11) ; -;1328;(10101010) (252) (170) (AA) ;(01000100) (104) (68) (44) ;(10101010) (252) (170) (AA) ;(00010001) (21) (17) (11) ;(10101010) (252) (170) (AA) ;(01000100) (104) (68) (44) ;(10101010) (252) (170) (AA) ;(00010001) (21) (17) (11) ; -;1336;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000011) (3) (3) (03) ;(00001100) (14) (12) (0C) ;(00110000) (60) (48) (30) ;(11000000) (300) (192) (C0) ; -;1344;(00000011) (3) (3) (03) ;(00001100) (14) (12) (0C) ;(00110000) (60) (48) (30) ;(11000000) (300) (192) (C0) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;1352;(11000000) (300) (192) (C0) ;(00110000) (60) (48) (30) ;(00001100) (14) (12) (0C) ;(00000011) (3) (3) (03) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;1360;(00111000) (70) (56) (38) ;(01000100) (104) (68) (44) ;(01000100) (104) (68) (44) ;(01001010) (112) (74) (4A) ;(01000010) (102) (66) (42) ;(01010010) (122) (82) (52) ;(01001100) (114) (76) (4C) ;(00000000) (0) (0) (00) ; -;1368;(00000000) (0) (0) (00) ;(00100010) (42) (34) (22) ;(00000000) (0) (0) (00) ;(00100010) (42) (34) (22) ;(00100010) (42) (34) (22) ;(00100010) (42) (34) (22) ;(00011100) (34) (28) (1C) ;(00000000) (0) (0) (00) ; -;1376;(00000000) (0) (0) (00) ;(00100010) (42) (34) (22) ;(00000000) (0) (0) (00) ;(00011100) (34) (28) (1C) ;(00100010) (42) (34) (22) ;(00100010) (42) (34) (22) ;(00011100) (34) (28) (1C) ;(00000000) (0) (0) (00) ; -;1384;(01000010) (102) (66) (42) ;(00000000) (0) (0) (00) ;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(00111100) (74) (60) (3C) ;(00000000) (0) (0) (00) ; -;1392;(01000010) (102) (66) (42) ;(00011000) (30) (24) (18) ;(00100100) (44) (36) (24) ;(01000010) (102) (66) (42) ;(01111110) (176) (126) (7E) ;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(00000000) (0) (0) (00) ; -;1400;(01000010) (102) (66) (42) ;(00011000) (30) (24) (18) ;(00100100) (44) (36) (24) ;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(00100100) (44) (36) (24) ;(00011000) (30) (24) (18) ;(00000000) (0) (0) (00) ; -;1408;(00010000) (20) (16) (10) ;(00100000) (40) (32) (20) ;(00100000) (40) (32) (20) ;(01000000) (100) (64) (40) ;(01000000) (100) (64) (40) ;(01000000) (100) (64) (40) ;(10000000) (200) (128) (80) ;(10000000) (200) (128) (80) ; -;1416;(00000001) (1) (1) (01) ;(00000110) (6) (6) (06) ;(00011000) (30) (24) (18) ;(00100000) (40) (32) (20) ;(00100000) (40) (32) (20) ;(01000000) (100) (64) (40) ;(01000000) (100) (64) (40) ;(10000000) (200) (128) (80) ; -;1424;(10000000) (200) (128) (80) ;(01100000) (140) (96) (60) ;(00011000) (30) (24) (18) ;(00000100) (4) (4) (04) ;(00000100) (4) (4) (04) ;(00000010) (2) (2) (02) ;(00000010) (2) (2) (02) ;(00000001) (1) (1) (01) ; -;1432;(00001000) (10) (8) (08) ;(00000100) (4) (4) (04) ;(00000100) (4) (4) (04) ;(00000010) (2) (2) (02) ;(00000010) (2) (2) (02) ;(00000010) (2) (2) (02) ;(00000001) (1) (1) (01) ;(00000001) (1) (1) (01) ; -;1440;(10000000) (200) (128) (80) ;(10000000) (200) (128) (80) ;(01000000) (100) (64) (40) ;(01000000) (100) (64) (40) ;(01000000) (100) (64) (40) ;(00100000) (40) (32) (20) ;(00100000) (40) (32) (20) ;(00010000) (20) (16) (10) ; -;1448;(10000000) (200) (128) (80) ;(01000000) (100) (64) (40) ;(01000000) (100) (64) (40) ;(00100000) (40) (32) (20) ;(00100000) (40) (32) (20) ;(00011000) (30) (24) (18) ;(00000110) (6) (6) (06) ;(00000001) (1) (1) (01) ; -;1456;(00000001) (1) (1) (01) ;(00000010) (2) (2) (02) ;(00000010) (2) (2) (02) ;(00000100) (4) (4) (04) ;(00000100) (4) (4) (04) ;(00011000) (30) (24) (18) ;(01100000) (140) (96) (60) ;(10000000) (200) (128) (80) ; -;1464;(00000001) (1) (1) (01) ;(00000001) (1) (1) (01) ;(00000010) (2) (2) (02) ;(00000010) (2) (2) (02) ;(00000010) (2) (2) (02) ;(00000100) (4) (4) (04) ;(00000100) (4) (4) (04) ;(00001000) (10) (8) (08) ; -;1472;(00010000) (20) (16) (10) ;(00001000) (10) (8) (08) ;(00000100) (4) (4) (04) ;(00000010) (2) (2) (02) ;(00000001) (1) (1) (01) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;1480;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(10000000) (200) (128) (80) ;(01100000) (140) (96) (60) ;(00011100) (34) (28) (1C) ;(00000011) (3) (3) (03) ; -;1488;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000001) (1) (1) (01) ;(00000110) (6) (6) (06) ;(00111000) (70) (56) (38) ;(11000000) (300) (192) (C0) ; -;1496;(00001000) (10) (8) (08) ;(00010000) (20) (16) (10) ;(00100000) (40) (32) (20) ;(01000000) (100) (64) (40) ;(10000000) (200) (128) (80) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;1504;(00100010) (42) (34) (22) ;(00010100) (24) (20) (14) ;(00111110) (76) (62) (3E) ;(00001000) (10) (8) (08) ;(00111110) (76) (62) (3E) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00000000) (0) (0) (00) ; -;1512;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(11111111) (377) (255) (FF) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ; -;1520;(00100100) (44) (36) (24) ;(00100100) (44) (36) (24) ;(00100100) (44) (36) (24) ;(00100100) (44) (36) (24) ;(11000011) (303) (195) (C3) ;(10000001) (201) (129) (81) ;(01000010) (102) (66) (42) ;(00111100) (74) (60) (3C) ; -;1528;(00000000) (0) (0) (00) ;(00111100) (74) (60) (3C) ;(01111010) (172) (122) (7A) ;(10101001) (251) (169) (A9) ;(10101001) (251) (169) (A9) ;(01111010) (172) (122) (7A) ;(00111100) (74) (60) (3C) ;(00000000) (0) (0) (00) ; -;1536;(00011100) (34) (28) (1C) ;(00011100) (34) (28) (1C) ;(00111110) (76) (62) (3E) ;(00011100) (34) (28) (1C) ;(00001000) (10) (8) (08) ;(00000000) (0) (0) (00) ;(00111110) (76) (62) (3E) ;(00000000) (0) (0) (00) ; -;1544;(11111111) (377) (255) (FF) ;(11110111) (367) (247) (F7) ;(11110111) (367) (247) (F7) ;(11110111) (367) (247) (F7) ;(11010101) (325) (213) (D5) ;(11100011) (343) (227) (E3) ;(11110111) (367) (247) (F7) ;(11111111) (377) (255) (FF) ; -;1552;(11111111) (377) (255) (FF) ;(11110111) (367) (247) (F7) ;(11100011) (343) (227) (E3) ;(11010101) (325) (213) (D5) ;(11110111) (367) (247) (F7) ;(11110111) (367) (247) (F7) ;(11110111) (367) (247) (F7) ;(11111111) (377) (255) (FF) ; -;1560;(11111111) (377) (255) (FF) ;(11111111) (377) (255) (FF) ;(11110111) (367) (247) (F7) ;(11111011) (373) (251) (FB) ;(10000001) (201) (129) (81) ;(11111011) (373) (251) (FB) ;(11110111) (367) (247) (F7) ;(11111111) (377) (255) (FF) ; -;1568;(11111111) (377) (255) (FF) ;(11111111) (377) (255) (FF) ;(11101111) (357) (239) (EF) ;(11011111) (337) (223) (DF) ;(10000001) (201) (129) (81) ;(11011111) (337) (223) (DF) ;(11101111) (357) (239) (EF) ;(11111111) (377) (255) (FF) ; -;1576;(10111101) (275) (189) (BD) ;(10111101) (275) (189) (BD) ;(10111101) (275) (189) (BD) ;(10000001) (201) (129) (81) ;(10111101) (275) (189) (BD) ;(10111101) (275) (189) (BD) ;(10111101) (275) (189) (BD) ;(11111111) (377) (255) (FF) ; -;1584;(11100011) (343) (227) (E3) ;(11011101) (335) (221) (DD) ;(10111111) (277) (191) (BF) ;(10111111) (277) (191) (BF) ;(10111111) (277) (191) (BF) ;(11011101) (335) (221) (DD) ;(11100011) (343) (227) (E3) ;(11111111) (377) (255) (FF) ; -;1592;(00011000) (30) (24) (18) ;(00100100) (44) (36) (24) ;(01111110) (176) (126) (7E) ;(11111111) (377) (255) (FF) ;(01011010) (132) (90) (5A) ;(00100100) (44) (36) (24) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;1600;(11100000) (340) (224) (E0) ;(01000111) (107) (71) (47) ;(01000010) (102) (66) (42) ;(01111110) (176) (126) (7E) ;(01000010) (102) (66) (42) ;(01000111) (107) (71) (47) ;(11100000) (340) (224) (E0) ;(00000000) (0) (0) (00) ; -;1608;(00100010) (42) (34) (22) ;(00111110) (76) (62) (3E) ;(00101010) (52) (42) (2A) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(01001001) (111) (73) (49) ;(01111111) (177) (127) (7F) ;(01000001) (101) (65) (41) ; -;1616;(00011100) (34) (28) (1C) ;(00011100) (34) (28) (1C) ;(00001000) (10) (8) (08) ;(00111110) (76) (62) (3E) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00010100) (24) (20) (14) ;(00100010) (42) (34) (22) ; -;1624;(00000000) (0) (0) (00) ;(00010001) (21) (17) (11) ;(11010010) (322) (210) (D2) ;(11111100) (374) (252) (FC) ;(11010010) (322) (210) (D2) ;(00010001) (21) (17) (11) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;1632;(00000000) (0) (0) (00) ;(10001000) (210) (136) (88) ;(01001011) (113) (75) (4B) ;(00111111) (77) (63) (3F) ;(01001011) (113) (75) (4B) ;(10001000) (210) (136) (88) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;1640;(00100010) (42) (34) (22) ;(00010100) (24) (20) (14) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00111110) (76) (62) (3E) ;(00001000) (10) (8) (08) ;(00011100) (34) (28) (1C) ;(00011100) (34) (28) (1C) ; -;1648;(00111100) (74) (60) (3C) ;(01111110) (176) (126) (7E) ;(11111111) (377) (255) (FF) ;(11011011) (333) (219) (DB) ;(11111111) (377) (255) (FF) ;(01100111) (147) (103) (67) ;(01111110) (176) (126) (7E) ;(00111100) (74) (60) (3C) ; -;1656;(00111100) (74) (60) (3C) ;(01000010) (102) (66) (42) ;(10000001) (201) (129) (81) ;(10100101) (245) (165) (A5) ;(10000001) (201) (129) (81) ;(10011001) (231) (153) (99) ;(01000010) (102) (66) (42) ;(00111100) (74) (60) (3C) ; -;1664;(10101010) (252) (170) (AA) ;(01010101) (125) (85) (55) ;(10101010) (252) (170) (AA) ;(01010101) (125) (85) (55) ;(10101010) (252) (170) (AA) ;(01010101) (125) (85) (55) ;(10101010) (252) (170) (AA) ;(01010101) (125) (85) (55) ; -;1672;(00001010) (12) (10) (0A) ;(00000101) (5) (5) (05) ;(00001010) (12) (10) (0A) ;(00000101) (5) (5) (05) ;(00001010) (12) (10) (0A) ;(00000101) (5) (5) (05) ;(00001010) (12) (10) (0A) ;(00000101) (5) (5) (05) ; -;1680;(10100000) (240) (160) (A0) ;(01010000) (120) (80) (50) ;(10100000) (240) (160) (A0) ;(01010000) (120) (80) (50) ;(10100000) (240) (160) (A0) ;(01010000) (120) (80) (50) ;(10100000) (240) (160) (A0) ;(01010000) (120) (80) (50) ; -;1688;(10101010) (252) (170) (AA) ;(01010101) (125) (85) (55) ;(10101010) (252) (170) (AA) ;(01010101) (125) (85) (55) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;1696;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(10101010) (252) (170) (AA) ;(01010101) (125) (85) (55) ;(10101010) (252) (170) (AA) ;(01010101) (125) (85) (55) ; -;1704;(10101010) (252) (170) (AA) ;(01010100) (124) (84) (54) ;(10101000) (250) (168) (A8) ;(01010000) (120) (80) (50) ;(10100000) (240) (160) (A0) ;(01000000) (100) (64) (40) ;(10000000) (200) (128) (80) ;(00000000) (0) (0) (00) ; -;1712;(10101010) (252) (170) (AA) ;(01010101) (125) (85) (55) ;(00101010) (52) (42) (2A) ;(00010101) (25) (21) (15) ;(00001010) (12) (10) (0A) ;(00000101) (5) (5) (05) ;(00000010) (2) (2) (02) ;(00000001) (1) (1) (01) ; -;1720;(10000000) (200) (128) (80) ;(01000000) (100) (64) (40) ;(10100000) (240) (160) (A0) ;(01010000) (120) (80) (50) ;(10101000) (250) (168) (A8) ;(01010100) (124) (84) (54) ;(10101010) (252) (170) (AA) ;(01010101) (125) (85) (55) ; -;1728;(00000000) (0) (0) (00) ;(00000001) (1) (1) (01) ;(00000010) (2) (2) (02) ;(00000101) (5) (5) (05) ;(00001010) (12) (10) (0A) ;(00010101) (25) (21) (15) ;(00101010) (52) (42) (2A) ;(01010101) (125) (85) (55) ; -;1736;(10000000) (200) (128) (80) ;(10000000) (200) (128) (80) ;(01000000) (100) (64) (40) ;(01000000) (100) (64) (40) ;(00100000) (40) (32) (20) ;(00100000) (40) (32) (20) ;(00010000) (20) (16) (10) ;(00010000) (20) (16) (10) ; -;1744;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00000100) (4) (4) (04) ;(00000100) (4) (4) (04) ;(00000010) (2) (2) (02) ;(00000010) (2) (2) (02) ;(00000001) (1) (1) (01) ;(00000001) (1) (1) (01) ; -;1752;(00111000) (70) (56) (38) ;(00101000) (50) (40) (28) ;(00111000) (70) (56) (38) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;1760;(00000000) (0) (0) (00) ;(01010100) (124) (84) (54) ;(00101010) (52) (42) (2A) ;(01010100) (124) (84) (54) ;(00101010) (52) (42) (2A) ;(01010100) (124) (84) (54) ;(00101010) (52) (42) (2A) ;(00000000) (0) (0) (00) ; -;1768;(00000001) (1) (1) (01) ;(00000001) (1) (1) (01) ;(00000010) (2) (2) (02) ;(00000010) (2) (2) (02) ;(00000100) (4) (4) (04) ;(00000100) (4) (4) (04) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ; -;1776;(00010000) (20) (16) (10) ;(00010000) (20) (16) (10) ;(00100000) (40) (32) (20) ;(00100000) (40) (32) (20) ;(01000000) (100) (64) (40) ;(01000000) (100) (64) (40) ;(10000000) (200) (128) (80) ;(10000000) (200) (128) (80) ; -;1784;(00000000) (0) (0) (00) ;(11000000) (300) (192) (C0) ;(11001000) (310) (200) (C8) ;(01010100) (124) (84) (54) ;(01010100) (124) (84) (54) ;(01010101) (125) (85) (55) ;(00100010) (42) (34) (22) ;(00000000) (0) (0) (00) ; -;1792;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000010) (2) (2) (02) ;(11111111) (377) (255) (FF) ;(00000010) (2) (2) (02) ; -;1800;(00000010) (2) (2) (02) ;(00000010) (2) (2) (02) ;(00000010) (2) (2) (02) ;(00000010) (2) (2) (02) ;(00000010) (2) (2) (02) ;(00000010) (2) (2) (02) ;(00000111) (7) (7) (07) ;(00000010) (2) (2) (02) ; -;1808;(00000010) (2) (2) (02) ;(00000010) (2) (2) (02) ;(00000010) (2) (2) (02) ;(00000010) (2) (2) (02) ;(00000010) (2) (2) (02) ;(00000010) (2) (2) (02) ;(11111111) (377) (255) (FF) ;(00000010) (2) (2) (02) ; -;1816;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00100000) (40) (32) (20) ;(01010000) (120) (80) (50) ;(10001000) (210) (136) (88) ;(00000101) (5) (5) (05) ;(00000010) (2) (2) (02) ;(00000000) (0) (0) (00) ; -;1824;(00000000) (0) (0) (00) ;(00001110) (16) (14) (0E) ;(00010001) (21) (17) (11) ;(00100010) (42) (34) (22) ;(11000100) (304) (196) (C4) ;(00000100) (4) (4) (04) ;(00000010) (2) (2) (02) ;(00000001) (1) (1) (01) ; -;1832;(00000000) (0) (0) (00) ;(11111111) (377) (255) (FF) ;(00000000) (0) (0) (00) ;(10000001) (201) (129) (81) ;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(10000001) (201) (129) (81) ;(00000000) (0) (0) (00) ; -;1840;(00000000) (0) (0) (00) ;(01110000) (160) (112) (70) ;(10001000) (210) (136) (88) ;(01000100) (104) (68) (44) ;(00100011) (43) (35) (23) ;(00100000) (40) (32) (20) ;(01000000) (100) (64) (40) ;(10000000) (200) (128) (80) ; -;1848;(00000000) (0) (0) (00) ;(11000100) (304) (196) (C4) ;(10100100) (244) (164) (A4) ;(10010100) (224) (148) (94) ;(10001111) (217) (143) (8F) ;(10010100) (224) (148) (94) ;(10100100) (244) (164) (A4) ;(11000100) (304) (196) (C4) ; -;1856;(00000000) (0) (0) (00) ;(00100011) (43) (35) (23) ;(00100101) (45) (37) (25) ;(01001001) (111) (73) (49) ;(11110001) (361) (241) (F1) ;(01001001) (111) (73) (49) ;(00100101) (45) (37) (25) ;(00100011) (43) (35) (23) ; -;1864;(10001000) (210) (136) (88) ;(10010000) (220) (144) (90) ;(10100000) (240) (160) (A0) ;(11000000) (300) (192) (C0) ;(11000000) (300) (192) (C0) ;(10101000) (250) (168) (A8) ;(10011000) (230) (152) (98) ;(10111000) (270) (184) (B8) ; -;1872;(10101000) (250) (168) (A8) ;(10110000) (260) (176) (B0) ;(10111000) (270) (184) (B8) ;(11000000) (300) (192) (C0) ;(11000000) (300) (192) (C0) ;(10100000) (240) (160) (A0) ;(10010000) (220) (144) (90) ;(10001000) (210) (136) (88) ; -;1880;(10000000) (200) (128) (80) ;(01000000) (100) (64) (40) ;(00100000) (40) (32) (20) ;(00010000) (20) (16) (10) ;(00011111) (37) (31) (1F) ;(00100000) (40) (32) (20) ;(01000000) (100) (64) (40) ;(10000000) (200) (128) (80) ; -;1888;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00100100) (44) (36) (24) ;(00100100) (44) (36) (24) ;(11100111) (347) (231) (E7) ;(00100100) (44) (36) (24) ;(00100100) (44) (36) (24) ;(00000000) (0) (0) (00) ; -;1896;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00111110) (76) (62) (3E) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00111110) (76) (62) (3E) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ; -;1904;(00001000) (10) (8) (08) ;(00010000) (20) (16) (10) ;(00100000) (40) (32) (20) ;(00010000) (20) (16) (10) ;(00001000) (10) (8) (08) ;(00000100) (4) (4) (04) ;(00000010) (2) (2) (02) ;(00000100) (4) (4) (04) ; -;1912;(01010101) (125) (85) (55) ;(10101010) (252) (170) (AA) ;(01010101) (125) (85) (55) ;(10101010) (252) (170) (AA) ;(01010101) (125) (85) (55) ;(10101010) (252) (170) (AA) ;(01010101) (125) (85) (55) ;(10101010) (252) (170) (AA) ; -;1920;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;1928;(00000000) (0) (0) (00) ;(01110000) (160) (112) (70) ;(01110000) (160) (112) (70) ;(01110000) (160) (112) (70) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;1936;(00000000) (0) (0) (00) ;(00000111) (7) (7) (07) ;(00000111) (7) (7) (07) ;(00000111) (7) (7) (07) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;1944;(00000000) (0) (0) (00) ;(01110111) (167) (119) (77) ;(01110111) (167) (119) (77) ;(01110111) (167) (119) (77) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;1952;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(01110000) (160) (112) (70) ;(01110000) (160) (112) (70) ;(01110000) (160) (112) (70) ; -;1960;(00000000) (0) (0) (00) ;(01110000) (160) (112) (70) ;(01110000) (160) (112) (70) ;(01110000) (160) (112) (70) ;(00000000) (0) (0) (00) ;(01110000) (160) (112) (70) ;(01110000) (160) (112) (70) ;(01110000) (160) (112) (70) ; -;1968;(00000000) (0) (0) (00) ;(00000111) (7) (7) (07) ;(00000111) (7) (7) (07) ;(00000111) (7) (7) (07) ;(00000000) (0) (0) (00) ;(01110000) (160) (112) (70) ;(01110000) (160) (112) (70) ;(01110000) (160) (112) (70) ; -;1976;(00000000) (0) (0) (00) ;(01110111) (167) (119) (77) ;(01110111) (167) (119) (77) ;(01110111) (167) (119) (77) ;(00000000) (0) (0) (00) ;(01110000) (160) (112) (70) ;(01110000) (160) (112) (70) ;(01110000) (160) (112) (70) ; -;1984;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000111) (7) (7) (07) ;(00000111) (7) (7) (07) ;(00000111) (7) (7) (07) ; -;1992;(00000000) (0) (0) (00) ;(01110000) (160) (112) (70) ;(01110000) (160) (112) (70) ;(01110000) (160) (112) (70) ;(00000000) (0) (0) (00) ;(00000111) (7) (7) (07) ;(00000111) (7) (7) (07) ;(00000111) (7) (7) (07) ; -;2000;(00000000) (0) (0) (00) ;(00000111) (7) (7) (07) ;(00000111) (7) (7) (07) ;(00000111) (7) (7) (07) ;(00000000) (0) (0) (00) ;(00000111) (7) (7) (07) ;(00000111) (7) (7) (07) ;(00000111) (7) (7) (07) ; -;2008;(00000000) (0) (0) (00) ;(01110111) (167) (119) (77) ;(01110111) (167) (119) (77) ;(01110111) (167) (119) (77) ;(00000000) (0) (0) (00) ;(00000111) (7) (7) (07) ;(00000111) (7) (7) (07) ;(00000111) (7) (7) (07) ; -;2016;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(01110111) (167) (119) (77) ;(01110111) (167) (119) (77) ;(01110111) (167) (119) (77) ; -;2024;(00000000) (0) (0) (00) ;(01110000) (160) (112) (70) ;(01110000) (160) (112) (70) ;(01110000) (160) (112) (70) ;(00000000) (0) (0) (00) ;(01110111) (167) (119) (77) ;(01110111) (167) (119) (77) ;(01110111) (167) (119) (77) ; -;2032;(00000000) (0) (0) (00) ;(00000111) (7) (7) (07) ;(00000111) (7) (7) (07) ;(00000111) (7) (7) (07) ;(00000000) (0) (0) (00) ;(01110111) (167) (119) (77) ;(01110111) (167) (119) (77) ;(01110111) (167) (119) (77) ; -;2040;(00000000) (0) (0) (00) ;(01110111) (167) (119) (77) ;(01110111) (167) (119) (77) ;(01110111) (167) (119) (77) ;(00000000) (0) (0) (00) ;(01110111) (167) (119) (77) ;(01110111) (167) (119) (77) ;(01110111) (167) (119) (77) ; - - -RAM content values are presented in the following format: (Binary) (Octal) (Decimal) (Hexadecimal) -+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; |mz80k_mist|mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ALTSYNCRAM ; -+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Addr ; +0 ; +1 ; +2 ; +3 ; +4 ; +5 ; +6 ; +7 ; -+----------+------------------------------+------------------------------+------------------------------+------------------------------+------------------------------+------------------------------+------------------------------+------------------------------+ -;0;(11000011) (303) (195) (C3) ;(01001010) (112) (74) (4A) ;(00000000) (0) (0) (00) ;(11000011) (303) (195) (C3) ;(11100110) (346) (230) (E6) ;(00000111) (7) (7) (07) ;(11000011) (303) (195) (C3) ;(00001110) (16) (14) (0E) ; -;8;(00001001) (11) (9) (09) ;(11000011) (303) (195) (C3) ;(00011000) (30) (24) (18) ;(00001001) (11) (9) (09) ;(11000011) (303) (195) (C3) ;(00100000) (40) (32) (20) ;(00001001) (11) (9) (09) ;(11000011) (303) (195) (C3) ; -;16;(00100110) (46) (38) (26) ;(00001001) (11) (9) (09) ;(11000011) (303) (195) (C3) ;(00110101) (65) (53) (35) ;(00001001) (11) (9) (09) ;(11000011) (303) (195) (C3) ;(10000001) (201) (129) (81) ;(00001001) (11) (9) (09) ; -;24;(11000011) (303) (195) (C3) ;(10011001) (231) (153) (99) ;(00001001) (11) (9) (09) ;(11000011) (303) (195) (C3) ;(10111101) (275) (189) (BD) ;(00001000) (10) (8) (08) ;(11000011) (303) (195) (C3) ;(00110010) (62) (50) (32) ; -;32;(00001010) (12) (10) (0A) ;(11000011) (303) (195) (C3) ;(00110110) (66) (54) (36) ;(00000100) (4) (4) (04) ;(11000011) (303) (195) (C3) ;(01110101) (165) (117) (75) ;(00000100) (4) (4) (04) ;(11000011) (303) (195) (C3) ; -;40;(11011000) (330) (216) (D8) ;(00000100) (4) (4) (04) ;(11000011) (303) (195) (C3) ;(11111000) (370) (248) (F8) ;(00000100) (4) (4) (04) ;(11000011) (303) (195) (C3) ;(10001000) (210) (136) (88) ;(00000101) (5) (5) (05) ; -;48;(11000011) (303) (195) (C3) ;(11000111) (307) (199) (C7) ;(00000001) (1) (1) (01) ;(11000011) (303) (195) (C3) ;(00001000) (10) (8) (08) ;(00000011) (3) (3) (03) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;56;(11000011) (303) (195) (C3) ;(00111000) (70) (56) (38) ;(00010000) (20) (16) (10) ;(11000011) (303) (195) (C3) ;(01011000) (130) (88) (58) ;(00000011) (3) (3) (03) ;(11000011) (303) (195) (C3) ;(11100101) (345) (229) (E5) ; -;64;(00000010) (2) (2) (02) ;(11000011) (303) (195) (C3) ;(11111010) (372) (250) (FA) ;(00000010) (2) (2) (02) ;(11000011) (303) (195) (C3) ;(10101011) (253) (171) (AB) ;(00000010) (2) (2) (02) ;(11000011) (303) (195) (C3) ; -;72;(10111110) (276) (190) (BE) ;(00000010) (2) (2) (02) ;(00110001) (61) (49) (31) ;(11110000) (360) (240) (F0) ;(00010000) (20) (16) (10) ;(11101101) (355) (237) (ED) ;(01010110) (126) (86) (56) ;(11001101) (315) (205) (CD) ; -;80;(11001001) (311) (201) (C9) ;(00001111) (17) (15) (0F) ;(00111110) (76) (62) (3E) ;(00010110) (26) (22) (16) ;(11001101) (315) (205) (CD) ;(00010010) (22) (18) (12) ;(00000000) (0) (0) (00) ;(00000110) (6) (6) (06) ; -;88;(00111100) (74) (60) (3C) ;(00100001) (41) (33) (21) ;(01110000) (160) (112) (70) ;(00010001) (21) (17) (11) ;(11001101) (315) (205) (CD) ;(11011000) (330) (216) (D8) ;(00001111) (17) (15) (0F) ;(00100001) (41) (33) (21) ; -;96;(10010010) (222) (146) (92) ;(00000011) (3) (3) (03) ;(00111110) (76) (62) (3E) ;(11000011) (303) (195) (C3) ;(00110010) (62) (50) (32) ;(00111000) (70) (56) (38) ;(00010000) (20) (16) (10) ;(00100010) (42) (34) (22) ; -;104;(00111001) (71) (57) (39) ;(00010000) (20) (16) (10) ;(00111110) (76) (62) (3E) ;(00000100) (4) (4) (04) ;(00110010) (62) (50) (32) ;(10011110) (236) (158) (9E) ;(00010001) (21) (17) (11) ;(00111100) (74) (60) (3C) ; -;112;(00110010) (62) (50) (32) ;(10011111) (237) (159) (9F) ;(00010001) (21) (17) (11) ;(11001101) (315) (205) (CD) ;(10111110) (276) (190) (BE) ;(00000010) (2) (2) (02) ;(11001101) (315) (205) (CD) ;(00001001) (11) (9) (09) ; -;120;(00000000) (0) (0) (00) ;(00010001) (21) (17) (11) ;(01000001) (101) (65) (41) ;(00000001) (1) (1) (01) ;(11001101) (315) (205) (CD) ;(00010101) (25) (21) (15) ;(00000000) (0) (0) (00) ;(11000011) (303) (195) (C3) ; -;128;(01101011) (153) (107) (6B) ;(00000001) (1) (1) (01) ;(11001101) (315) (205) (CD) ;(00001001) (11) (9) (09) ;(00000000) (0) (0) (00) ;(00111110) (76) (62) (3E) ;(00101010) (52) (42) (2A) ;(11001101) (315) (205) (CD) ; -;136;(00010010) (22) (18) (12) ;(00000000) (0) (0) (00) ;(00010001) (21) (17) (11) ;(10100011) (243) (163) (A3) ;(00010001) (21) (17) (11) ;(11001101) (315) (205) (CD) ;(00000011) (3) (3) (03) ;(00000000) (0) (0) (00) ; -;144;(00011010) (32) (26) (1A) ;(11111110) (376) (254) (FE) ;(00011011) (33) (27) (1B) ;(11001010) (312) (202) (CA) ;(10000010) (202) (130) (82) ;(00000000) (0) (0) (00) ;(11111110) (376) (254) (FE) ;(00101010) (52) (42) (2A) ; -;152;(00100000) (40) (32) (20) ;(00000001) (1) (1) (01) ;(00010011) (23) (19) (13) ;(00100001) (41) (33) (21) ;(10010110) (226) (150) (96) ;(00000001) (1) (1) (01) ;(00000110) (6) (6) (06) ;(00000100) (4) (4) (04) ; -;160;(11001101) (315) (205) (CD) ;(10000000) (200) (128) (80) ;(00000001) (1) (1) (01) ;(11001010) (312) (202) (CA) ;(11001111) (317) (207) (CF) ;(00000000) (0) (0) (00) ;(00100001) (41) (33) (21) ;(10011010) (232) (154) (9A) ; -;168;(00000001) (1) (1) (01) ;(11001101) (315) (205) (CD) ;(10000000) (200) (128) (80) ;(00000001) (1) (1) (01) ;(11001010) (312) (202) (CA) ;(01011001) (131) (89) (59) ;(00000001) (1) (1) (01) ;(00100001) (41) (33) (21) ; -;176;(10011110) (236) (158) (9E) ;(00000001) (1) (1) (01) ;(00000110) (6) (6) (06) ;(00000010) (2) (2) (02) ;(11001101) (315) (205) (CD) ;(10000000) (200) (128) (80) ;(00000001) (1) (1) (01) ;(11001010) (312) (202) (CA) ; -;184;(01101011) (153) (107) (6B) ;(00000001) (1) (1) (01) ;(00100001) (41) (33) (21) ;(10100000) (240) (160) (A0) ;(00000001) (1) (1) (01) ;(11001101) (315) (205) (CD) ;(10000000) (200) (128) (80) ;(00000001) (1) (1) (01) ; -;192;(11001010) (312) (202) (CA) ;(01110011) (163) (115) (73) ;(00000001) (1) (1) (01) ;(00100001) (41) (33) (21) ;(10100010) (242) (162) (A2) ;(00000001) (1) (1) (01) ;(11001101) (315) (205) (CD) ;(10000000) (200) (128) (80) ; -;200;(00000001) (1) (1) (01) ;(11001010) (312) (202) (CA) ;(01110111) (167) (119) (77) ;(00000001) (1) (1) (01) ;(11000011) (303) (195) (C3) ;(10000010) (202) (130) (82) ;(00000000) (0) (0) (00) ;(00010011) (23) (19) (13) ; -;208;(00010011) (23) (19) (13) ;(00010011) (23) (19) (13) ;(00010011) (23) (19) (13) ;(00011010) (32) (26) (1A) ;(11111110) (376) (254) (FE) ;(00001101) (15) (13) (0D) ;(11000010) (302) (194) (C2) ;(00000100) (4) (4) (04) ; -;216;(00000001) (1) (1) (01) ;(11001101) (315) (205) (CD) ;(11011000) (330) (216) (D8) ;(00000100) (4) (4) (04) ;(11011010) (332) (218) (DA) ;(10100100) (244) (164) (A4) ;(00000001) (1) (1) (01) ;(11001101) (315) (205) (CD) ; -;224;(00001001) (11) (9) (09) ;(00000000) (0) (0) (00) ;(00010001) (21) (17) (11) ;(00111000) (70) (56) (38) ;(00000001) (1) (1) (01) ;(11001101) (315) (205) (CD) ;(00010101) (25) (21) (15) ;(00000000) (0) (0) (00) ; -;232;(00010001) (21) (17) (11) ;(11110001) (361) (241) (F1) ;(00010000) (20) (16) (10) ;(00100001) (41) (33) (21) ;(00010000) (20) (16) (10) ;(00000000) (0) (0) (00) ;(00011001) (31) (25) (19) ;(00110110) (66) (54) (36) ; -;240;(00001101) (15) (13) (0D) ;(11001101) (315) (205) (CD) ;(00010101) (25) (21) (15) ;(00000000) (0) (0) (00) ;(11001101) (315) (205) (CD) ;(11111000) (370) (248) (F8) ;(00000100) (4) (4) (04) ;(11011010) (332) (218) (DA) ; -;248;(10100100) (244) (164) (A4) ;(00000001) (1) (1) (01) ;(00101010) (52) (42) (2A) ;(00000110) (6) (6) (06) ;(00010001) (21) (17) (11) ;(01111100) (174) (124) (7C) ;(11111110) (376) (254) (FE) ;(00010010) (22) (18) (12) ; -;256;(11011010) (332) (218) (DA) ;(10000010) (202) (130) (82) ;(00000000) (0) (0) (00) ;(11101001) (351) (233) (E9) ;(11010101) (325) (213) (D5) ;(11001101) (315) (205) (CD) ;(11011000) (330) (216) (D8) ;(00000100) (4) (4) (04) ; -;264;(11011010) (332) (218) (DA) ;(10100100) (244) (164) (A4) ;(00000001) (1) (1) (01) ;(11001101) (315) (205) (CD) ;(00001001) (11) (9) (09) ;(00000000) (0) (0) (00) ;(00010001) (21) (17) (11) ;(00110001) (61) (49) (31) ; -;272;(00000001) (1) (1) (01) ;(11001101) (315) (205) (CD) ;(00010101) (25) (21) (15) ;(00000000) (0) (0) (00) ;(00010001) (21) (17) (11) ;(11110001) (361) (241) (F1) ;(00010000) (20) (16) (10) ;(00100001) (41) (33) (21) ; -;280;(00010000) (20) (16) (10) ;(00000000) (0) (0) (00) ;(00011001) (31) (25) (19) ;(00110110) (66) (54) (36) ;(00001101) (15) (13) (0D) ;(11001101) (315) (205) (CD) ;(00010101) (25) (21) (15) ;(00000000) (0) (0) (00) ; -;288;(11010001) (321) (209) (D1) ;(11010101) (325) (213) (D5) ;(00100001) (41) (33) (21) ;(11110001) (361) (241) (F1) ;(00010000) (20) (16) (10) ;(00000110) (6) (6) (06) ;(00010000) (20) (16) (10) ;(11001101) (315) (205) (CD) ; -;296;(10000000) (200) (128) (80) ;(00000001) (1) (1) (01) ;(11000010) (302) (194) (C2) ;(00000101) (5) (5) (05) ;(00000001) (1) (1) (01) ;(11010001) (321) (209) (D1) ;(11000011) (303) (195) (C3) ;(11011111) (337) (223) (DF) ; -;304;(00000000) (0) (0) (00) ;(01000110) (106) (70) (46) ;(01001111) (117) (79) (4F) ;(01010101) (125) (85) (55) ;(01001110) (116) (78) (4E) ;(01000100) (104) (68) (44) ;(00100000) (40) (32) (20) ;(00001101) (15) (13) (0D) ; -;312;(01001100) (114) (76) (4C) ;(01001111) (117) (79) (4F) ;(01000001) (101) (65) (41) ;(01000100) (104) (68) (44) ;(01001001) (111) (73) (49) ;(01001110) (116) (78) (4E) ;(01000111) (107) (71) (47) ;(00100000) (40) (32) (20) ; -;320;(00001101) (15) (13) (0D) ;(00101010) (52) (42) (2A) ;(00101010) (52) (42) (2A) ;(00100000) (40) (32) (20) ;(00100000) (40) (32) (20) ;(01001101) (115) (77) (4D) ;(01001111) (117) (79) (4F) ;(01001110) (116) (78) (4E) ; -;328;(01001001) (111) (73) (49) ;(01010100) (124) (84) (54) ;(01001111) (117) (79) (4F) ;(01010010) (122) (82) (52) ;(00100000) (40) (32) (20) ;(01010011) (123) (83) (53) ;(01010000) (120) (80) (50) ;(00101101) (55) (45) (2D) ; -;336;(00110001) (61) (49) (31) ;(00110000) (60) (48) (30) ;(00110000) (60) (48) (30) ;(00110010) (62) (50) (32) ;(00100000) (40) (32) (20) ;(00100000) (40) (32) (20) ;(00101010) (52) (42) (2A) ;(00101010) (52) (42) (2A) ; -;344;(00001101) (15) (13) (0D) ;(00010011) (23) (19) (13) ;(00010011) (23) (19) (13) ;(00010011) (23) (19) (13) ;(00010011) (23) (19) (13) ;(00011010) (32) (26) (1A) ;(11111110) (376) (254) (FE) ;(00100100) (44) (36) (24) ; -;352;(11000010) (302) (194) (C2) ;(10000010) (202) (130) (82) ;(00000000) (0) (0) (00) ;(00010011) (23) (19) (13) ;(11001101) (315) (205) (CD) ;(00010000) (20) (16) (10) ;(00000100) (4) (4) (04) ;(11011010) (332) (218) (DA) ; -;360;(10000010) (202) (130) (82) ;(00000000) (0) (0) (00) ;(11101001) (351) (233) (E9) ;(00111110) (76) (62) (3E) ;(11111111) (377) (255) (FF) ;(00110010) (62) (50) (32) ;(10011101) (235) (157) (9D) ;(00010001) (21) (17) (11) ; -;368;(11000011) (303) (195) (C3) ;(10000010) (202) (130) (82) ;(00000000) (0) (0) (00) ;(10101111) (257) (175) (AF) ;(11000011) (303) (195) (C3) ;(01101101) (155) (109) (6D) ;(00000001) (1) (1) (01) ;(00100001) (41) (33) (21) ; -;376;(00000000) (0) (0) (00) ;(11110000) (360) (240) (F0) ;(01111110) (176) (126) (7E) ;(10110111) (267) (183) (B7) ;(11000010) (302) (194) (C2) ;(10000010) (202) (130) (82) ;(00000000) (0) (0) (00) ;(11101001) (351) (233) (E9) ; -;384;(11000101) (305) (197) (C5) ;(11010101) (325) (213) (D5) ;(11100101) (345) (229) (E5) ;(00011010) (32) (26) (1A) ;(10111110) (276) (190) (BE) ;(00100000) (40) (32) (20) ;(00001011) (13) (11) (0B) ;(00000101) (5) (5) (05) ; -;392;(00101000) (50) (40) (28) ;(00001000) (10) (8) (08) ;(11111110) (376) (254) (FE) ;(00001101) (15) (13) (0D) ;(00101000) (50) (40) (28) ;(00000100) (4) (4) (04) ;(00010011) (23) (19) (13) ;(00100011) (43) (35) (23) ; -;400;(00011000) (30) (24) (18) ;(11110001) (361) (241) (F1) ;(11100001) (341) (225) (E1) ;(11010001) (321) (209) (D1) ;(11000001) (301) (193) (C1) ;(11001001) (311) (201) (C9) ;(01001100) (114) (76) (4C) ;(01001111) (117) (79) (4F) ; -;408;(01000001) (101) (65) (41) ;(01000100) (104) (68) (44) ;(01000111) (107) (71) (47) ;(01001111) (117) (79) (4F) ;(01010100) (124) (84) (54) ;(01001111) (117) (79) (4F) ;(01010011) (123) (83) (53) ;(01010011) (123) (83) (53) ; -;416;(01010011) (123) (83) (53) ;(01000111) (107) (71) (47) ;(01000110) (106) (70) (46) ;(01000100) (104) (68) (44) ;(11111110) (376) (254) (FE) ;(00000010) (2) (2) (02) ;(11001010) (312) (202) (CA) ;(10000010) (202) (130) (82) ; -;424;(00000000) (0) (0) (00) ;(11001101) (315) (205) (CD) ;(00001001) (11) (9) (09) ;(00000000) (0) (0) (00) ;(00010001) (21) (17) (11) ;(10110101) (265) (181) (B5) ;(00000001) (1) (1) (01) ;(11001101) (315) (205) (CD) ; -;432;(00010101) (25) (21) (15) ;(00000000) (0) (0) (00) ;(11000011) (303) (195) (C3) ;(10000010) (202) (130) (82) ;(00000000) (0) (0) (00) ;(00100010) (42) (34) (22) ;(01000011) (103) (67) (43) ;(01001000) (110) (72) (48) ; -;440;(01000101) (105) (69) (45) ;(01000011) (103) (67) (43) ;(01001011) (113) (75) (4B) ;(00100000) (40) (32) (20) ;(01010011) (123) (83) (53) ;(01010101) (125) (85) (55) ;(01001101) (115) (77) (4D) ;(00100000) (40) (32) (20) ; -;448;(01000101) (105) (69) (45) ;(01010010) (122) (82) (52) ;(01010010) (122) (82) (52) ;(01001111) (117) (79) (4F) ;(01010010) (122) (82) (52) ;(00100010) (42) (34) (22) ;(00001101) (15) (13) (0D) ;(11000101) (305) (197) (C5) ; -;456;(11010101) (325) (213) (D5) ;(11100101) (345) (229) (E5) ;(00111110) (76) (62) (3E) ;(00000010) (2) (2) (02) ;(00110010) (62) (50) (32) ;(10100000) (240) (160) (A0) ;(00010001) (21) (17) (11) ;(00000110) (6) (6) (06) ; -;464;(00000001) (1) (1) (01) ;(00011010) (32) (26) (1A) ;(11111110) (376) (254) (FE) ;(00001101) (15) (13) (0D) ;(00101000) (50) (40) (28) ;(00000010) (2) (2) (02) ;(11111110) (376) (254) (FE) ;(11001000) (310) (200) (C8) ; -;472;(11001010) (312) (202) (CA) ;(00010000) (20) (16) (10) ;(00000010) (2) (2) (02) ;(11111110) (376) (254) (FE) ;(11001111) (317) (207) (CF) ;(11001010) (312) (202) (CA) ;(00000011) (3) (3) (03) ;(00000010) (2) (2) (02) ; -;480;(11111110) (376) (254) (FE) ;(11010111) (327) (215) (D7) ;(11001010) (312) (202) (CA) ;(00001100) (14) (12) (0C) ;(00000010) (2) (2) (02) ;(11111110) (376) (254) (FE) ;(00100011) (43) (35) (23) ;(00100001) (41) (33) (21) ; -;488;(01110001) (161) (113) (71) ;(00000010) (2) (2) (02) ;(00100000) (40) (32) (20) ;(00000100) (4) (4) (04) ;(00100001) (41) (33) (21) ;(10001001) (211) (137) (89) ;(00000010) (2) (2) (02) ;(00010011) (23) (19) (13) ; -;496;(11001101) (315) (205) (CD) ;(00011100) (34) (28) (1C) ;(00000010) (2) (2) (02) ;(11011010) (332) (218) (DA) ;(11010001) (321) (209) (D1) ;(00000001) (1) (1) (01) ;(11001101) (315) (205) (CD) ;(11001000) (310) (200) (C8) ; -;504;(00000010) (2) (2) (02) ;(11011010) (332) (218) (DA) ;(00010011) (23) (19) (13) ;(00000010) (2) (2) (02) ;(11001101) (315) (205) (CD) ;(10101011) (253) (171) (AB) ;(00000010) (2) (2) (02) ;(01000001) (101) (65) (41) ; -;512;(11000011) (303) (195) (C3) ;(11010001) (321) (209) (D1) ;(00000001) (1) (1) (01) ;(00111110) (76) (62) (3E) ;(00000011) (3) (3) (03) ;(00110010) (62) (50) (32) ;(10100000) (240) (160) (A0) ;(00010001) (21) (17) (11) ; -;520;(00010011) (23) (19) (13) ;(11000011) (303) (195) (C3) ;(11010001) (321) (209) (D1) ;(00000001) (1) (1) (01) ;(00111110) (76) (62) (3E) ;(00000001) (1) (1) (01) ;(00011000) (30) (24) (18) ;(11110101) (365) (245) (F5) ; -;528;(11001101) (315) (205) (CD) ;(11001000) (310) (200) (C8) ;(00000010) (2) (2) (02) ;(11110101) (365) (245) (F5) ;(11001101) (315) (205) (CD) ;(10111110) (276) (190) (BE) ;(00000010) (2) (2) (02) ;(11110001) (361) (241) (F1) ; -;536;(11100001) (341) (225) (E1) ;(11010001) (321) (209) (D1) ;(11000001) (301) (193) (C1) ;(11001001) (311) (201) (C9) ;(11000101) (305) (197) (C5) ;(00000110) (6) (6) (06) ;(00001000) (10) (8) (08) ;(00011010) (32) (26) (1A) ; -;544;(10111110) (276) (190) (BE) ;(00101000) (50) (40) (28) ;(00001001) (11) (9) (09) ;(00100011) (43) (35) (23) ;(00100011) (43) (35) (23) ;(00100011) (43) (35) (23) ;(00010000) (20) (16) (10) ;(11111000) (370) (248) (F8) ; -;552;(00110111) (67) (55) (37) ;(00010011) (23) (19) (13) ;(11000001) (301) (193) (C1) ;(11001001) (311) (201) (C9) ;(00100011) (43) (35) (23) ;(11010101) (325) (213) (D5) ;(01011110) (136) (94) (5E) ;(00100011) (43) (35) (23) ; -;560;(01010110) (126) (86) (56) ;(11101011) (353) (235) (EB) ;(01111100) (174) (124) (7C) ;(10110111) (267) (183) (B7) ;(00101000) (50) (40) (28) ;(00001001) (11) (9) (09) ;(00111010) (72) (58) (3A) ;(10100000) (240) (160) (A0) ; -;568;(00010001) (21) (17) (11) ;(00111101) (75) (61) (3D) ;(00101000) (50) (40) (28) ;(00000011) (3) (3) (03) ;(00101001) (51) (41) (29) ;(00011000) (30) (24) (18) ;(11111010) (372) (250) (FA) ;(00100010) (42) (34) (22) ; -;576;(10100001) (241) (161) (A1) ;(00010001) (21) (17) (11) ;(00111110) (76) (62) (3E) ;(00000010) (2) (2) (02) ;(00110010) (62) (50) (32) ;(10100000) (240) (160) (A0) ;(00010001) (21) (17) (11) ;(11010001) (321) (209) (D1) ; -;584;(00010011) (23) (19) (13) ;(00011010) (32) (26) (1A) ;(01000111) (107) (71) (47) ;(11100110) (346) (230) (E6) ;(11110000) (360) (240) (F0) ;(11111110) (376) (254) (FE) ;(00110000) (60) (48) (30) ;(00101000) (50) (40) (28) ; -;592;(00000101) (5) (5) (05) ;(00111010) (72) (58) (3A) ;(10011111) (237) (159) (9F) ;(00010001) (21) (17) (11) ;(00011000) (30) (24) (18) ;(00000111) (7) (7) (07) ;(00010011) (23) (19) (13) ;(01111000) (170) (120) (78) ; -;600;(11100110) (346) (230) (E6) ;(00001111) (17) (15) (0F) ;(00110010) (62) (50) (32) ;(10011111) (237) (159) (9F) ;(00010001) (21) (17) (11) ;(01001111) (117) (79) (4F) ;(00000110) (6) (6) (06) ;(00000000) (0) (0) (00) ; -;608;(00100001) (41) (33) (21) ;(10100001) (241) (161) (A1) ;(00000010) (2) (2) (02) ;(00001001) (11) (9) (09) ;(01001110) (116) (78) (4E) ;(00111010) (72) (58) (3A) ;(10011110) (236) (158) (9E) ;(00010001) (21) (17) (11) ; -;616;(01000111) (107) (71) (47) ;(10101111) (257) (175) (AF) ;(10000001) (201) (129) (81) ;(00010000) (20) (16) (10) ;(11111101) (375) (253) (FD) ;(11000001) (301) (193) (C1) ;(01001111) (117) (79) (4F) ;(10101111) (257) (175) (AF) ; -;624;(11001001) (311) (201) (C9) ;(01000011) (103) (67) (43) ;(01110111) (167) (119) (77) ;(00000111) (7) (7) (07) ;(01000100) (104) (68) (44) ;(10100111) (247) (167) (A7) ;(00000110) (6) (6) (06) ;(01000101) (105) (69) (45) ; -;632;(11101101) (355) (237) (ED) ;(00000101) (5) (5) (05) ;(01000110) (106) (70) (46) ;(10011000) (230) (152) (98) ;(00000101) (5) (5) (05) ;(01000111) (107) (71) (47) ;(11111100) (374) (252) (FC) ;(00000100) (4) (4) (04) ; -;640;(01000001) (101) (65) (41) ;(01110001) (161) (113) (71) ;(00000100) (4) (4) (04) ;(01000010) (102) (66) (42) ;(11110101) (365) (245) (F5) ;(00000011) (3) (3) (03) ;(01010010) (122) (82) (52) ;(00000000) (0) (0) (00) ; -;648;(00000000) (0) (0) (00) ;(01000011) (103) (67) (43) ;(00001100) (14) (12) (0C) ;(00000111) (7) (7) (07) ;(01000100) (104) (68) (44) ;(01000111) (107) (71) (47) ;(00000110) (6) (6) (06) ;(01000101) (105) (69) (45) ; -;656;(10011000) (230) (152) (98) ;(00000101) (5) (5) (05) ;(01000110) (106) (70) (46) ;(01001000) (110) (72) (48) ;(00000101) (5) (5) (05) ;(01000111) (107) (71) (47) ;(10110100) (264) (180) (B4) ;(00000100) (4) (4) (04) ; -;664;(01000001) (101) (65) (41) ;(00110001) (61) (49) (31) ;(00000100) (4) (4) (04) ;(01000010) (102) (66) (42) ;(10111011) (273) (187) (BB) ;(00000011) (3) (3) (03) ;(01010010) (122) (82) (52) ;(00000000) (0) (0) (00) ; -;672;(00000000) (0) (0) (00) ;(00000001) (1) (1) (01) ;(00000010) (2) (2) (02) ;(00000011) (3) (3) (03) ;(00000100) (4) (4) (04) ;(00000110) (6) (6) (06) ;(00001000) (10) (8) (08) ;(00001100) (14) (12) (0C) ; -;680;(00010000) (20) (16) (10) ;(00011000) (30) (24) (18) ;(00100000) (40) (32) (20) ;(00101010) (52) (42) (2A) ;(10100001) (241) (161) (A1) ;(00010001) (21) (17) (11) ;(01111100) (174) (124) (7C) ;(10110111) (267) (183) (B7) ; -;688;(00101000) (50) (40) (28) ;(00001100) (14) (12) (0C) ;(11010101) (325) (213) (D5) ;(11101011) (353) (235) (EB) ;(00100001) (41) (33) (21) ;(00000100) (4) (4) (04) ;(11100000) (340) (224) (E0) ;(01110011) (163) (115) (73) ; -;696;(01110010) (162) (114) (72) ;(00111110) (76) (62) (3E) ;(00000001) (1) (1) (01) ;(11010001) (321) (209) (D1) ;(00011000) (30) (24) (18) ;(00000110) (6) (6) (06) ;(00111110) (76) (62) (3E) ;(00110100) (64) (52) (34) ; -;704;(00110010) (62) (50) (32) ;(00000111) (7) (7) (07) ;(11100000) (340) (224) (E0) ;(10101111) (257) (175) (AF) ;(00110010) (62) (50) (32) ;(00001000) (10) (8) (08) ;(11100000) (340) (224) (E0) ;(11001001) (311) (201) (C9) ; -;712;(00100001) (41) (33) (21) ;(00000000) (0) (0) (00) ;(11100000) (340) (224) (E0) ;(00110110) (66) (54) (36) ;(11111001) (371) (249) (F9) ;(00100011) (43) (35) (23) ;(01111110) (176) (126) (7E) ;(11100110) (346) (230) (E6) ; -;720;(00001000) (10) (8) (08) ;(00100000) (40) (32) (20) ;(00000010) (2) (2) (02) ;(00110111) (67) (55) (37) ;(11001001) (311) (201) (C9) ;(00111010) (72) (58) (3A) ;(00001000) (10) (8) (08) ;(11100000) (340) (224) (E0) ; -;728;(00001111) (17) (15) (0F) ;(00111000) (70) (56) (38) ;(11111010) (372) (250) (FA) ;(00111010) (72) (58) (3A) ;(00001000) (10) (8) (08) ;(11100000) (340) (224) (E0) ;(00001111) (17) (15) (0F) ;(00110000) (60) (48) (30) ; -;736;(11111010) (372) (250) (FA) ;(00010000) (20) (16) (10) ;(11110010) (362) (242) (F2) ;(10101111) (257) (175) (AF) ;(11001001) (311) (201) (C9) ;(11000101) (305) (197) (C5) ;(11100101) (345) (229) (E5) ;(00100001) (41) (33) (21) ; -;744;(01110001) (161) (113) (71) ;(00000100) (4) (4) (04) ;(11001101) (315) (205) (CD) ;(10101110) (256) (174) (AE) ;(00000010) (2) (2) (02) ;(00000110) (6) (6) (06) ;(00110010) (62) (50) (32) ;(10101111) (257) (175) (AF) ; -;752;(11001101) (315) (205) (CD) ;(01011011) (133) (91) (5B) ;(00000111) (7) (7) (07) ;(00010000) (20) (16) (10) ;(11111010) (372) (250) (FA) ;(11100001) (341) (225) (E1) ;(11000001) (301) (193) (C1) ;(11000011) (303) (195) (C3) ; -;760;(10111110) (276) (190) (BE) ;(00000010) (2) (2) (02) ;(11110101) (365) (245) (F5) ;(11000101) (305) (197) (C5) ;(11100110) (346) (230) (E6) ;(00001111) (17) (15) (0F) ;(01000111) (107) (71) (47) ;(00111110) (76) (62) (3E) ; -;768;(00001000) (10) (8) (08) ;(10010000) (220) (144) (90) ;(00110010) (62) (50) (32) ;(10011110) (236) (158) (9E) ;(00010001) (21) (17) (11) ;(11000001) (301) (193) (C1) ;(11110001) (361) (241) (F1) ;(11001001) (311) (201) (C9) ; -;776;(11110011) (363) (243) (F3) ;(11000101) (305) (197) (C5) ;(11010101) (325) (213) (D5) ;(11100101) (345) (229) (E5) ;(00110010) (62) (50) (32) ;(10011011) (233) (155) (9B) ;(00010001) (21) (17) (11) ;(00111110) (76) (62) (3E) ; -;784;(11110000) (360) (240) (F0) ;(00110010) (62) (50) (32) ;(10011100) (234) (156) (9C) ;(00010001) (21) (17) (11) ;(00100001) (41) (33) (21) ;(11000000) (300) (192) (C0) ;(10101000) (250) (168) (A8) ;(10101111) (257) (175) (AF) ; -;792;(11101101) (355) (237) (ED) ;(01010010) (122) (82) (52) ;(11100101) (345) (229) (E5) ;(00100011) (43) (35) (23) ;(11101011) (353) (235) (EB) ;(00111110) (76) (62) (3E) ;(01110100) (164) (116) (74) ;(00110010) (62) (50) (32) ; -;800;(00000111) (7) (7) (07) ;(11100000) (340) (224) (E0) ;(00111110) (76) (62) (3E) ;(10110000) (260) (176) (B0) ;(00110010) (62) (50) (32) ;(00000111) (7) (7) (07) ;(11100000) (340) (224) (E0) ;(00100001) (41) (33) (21) ; -;808;(00000110) (6) (6) (06) ;(11100000) (340) (224) (E0) ;(01110011) (163) (115) (73) ;(01110010) (162) (114) (72) ;(00101011) (53) (43) (2B) ;(00110110) (66) (54) (36) ;(00001010) (12) (10) (0A) ;(00110110) (66) (54) (36) ; -;816;(00000000) (0) (0) (00) ;(00111110) (76) (62) (3E) ;(10000000) (200) (128) (80) ;(00110010) (62) (50) (32) ;(00000111) (7) (7) (07) ;(11100000) (340) (224) (E0) ;(00100011) (43) (35) (23) ;(01001110) (116) (78) (4E) ; -;824;(01111110) (176) (126) (7E) ;(10111010) (272) (186) (BA) ;(00100000) (40) (32) (20) ;(11111011) (373) (251) (FB) ;(01111001) (171) (121) (79) ;(10111011) (273) (187) (BB) ;(00100000) (40) (32) (20) ;(11110111) (367) (247) (F7) ; -;832;(00101011) (53) (43) (2B) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00110110) (66) (54) (36) ;(00010010) (22) (18) (12) ;(00110110) (66) (54) (36) ;(01111010) (172) (122) (7A) ; -;840;(00100011) (43) (35) (23) ;(11010001) (321) (209) (D1) ;(01001110) (116) (78) (4E) ;(01111110) (176) (126) (7E) ;(10111010) (272) (186) (BA) ;(00100000) (40) (32) (20) ;(11111011) (373) (251) (FB) ;(01111001) (171) (121) (79) ; -;848;(10111011) (273) (187) (BB) ;(00100000) (40) (32) (20) ;(11110111) (367) (247) (F7) ;(11100001) (341) (225) (E1) ;(11010001) (321) (209) (D1) ;(11000001) (301) (193) (C1) ;(11111011) (373) (251) (FB) ;(11001001) (311) (201) (C9) ; -;856;(11100101) (345) (229) (E5) ;(00111110) (76) (62) (3E) ;(10000000) (200) (128) (80) ;(00110010) (62) (50) (32) ;(00000111) (7) (7) (07) ;(11100000) (340) (224) (E0) ;(00100001) (41) (33) (21) ;(00000110) (6) (6) (06) ; -;864;(11100000) (340) (224) (E0) ;(11110011) (363) (243) (F3) ;(01011110) (136) (94) (5E) ;(01010110) (126) (86) (56) ;(11111011) (373) (251) (FB) ;(01111011) (173) (123) (7B) ;(10110010) (262) (178) (B2) ;(11001010) (312) (202) (CA) ; -;872;(01111001) (171) (121) (79) ;(00000011) (3) (3) (03) ;(10101111) (257) (175) (AF) ;(00100001) (41) (33) (21) ;(11000000) (300) (192) (C0) ;(10101000) (250) (168) (A8) ;(11101101) (355) (237) (ED) ;(01010010) (122) (82) (52) ; -;880;(11011010) (332) (218) (DA) ;(10000011) (203) (131) (83) ;(00000011) (3) (3) (03) ;(11101011) (353) (235) (EB) ;(00111010) (72) (58) (3A) ;(10011011) (233) (155) (9B) ;(00010001) (21) (17) (11) ;(11100001) (341) (225) (E1) ; -;888;(11001001) (311) (201) (C9) ;(00010001) (21) (17) (11) ;(11000000) (300) (192) (C0) ;(10101000) (250) (168) (A8) ;(00111010) (72) (58) (3A) ;(10011011) (233) (155) (9B) ;(00010001) (21) (17) (11) ;(11101110) (356) (238) (EE) ; -;896;(00000001) (1) (1) (01) ;(11100001) (341) (225) (E1) ;(11001001) (311) (201) (C9) ;(11110011) (363) (243) (F3) ;(00100001) (41) (33) (21) ;(00000110) (6) (6) (06) ;(11100000) (340) (224) (E0) ;(01111110) (176) (126) (7E) ; -;904;(00101111) (57) (47) (2F) ;(01011111) (137) (95) (5F) ;(01111110) (176) (126) (7E) ;(00101111) (57) (47) (2F) ;(01010111) (127) (87) (57) ;(11111011) (373) (251) (FB) ;(00010011) (23) (19) (13) ;(11000011) (303) (195) (C3) ; -;912;(01111100) (174) (124) (7C) ;(00000011) (3) (3) (03) ;(11110101) (365) (245) (F5) ;(11000101) (305) (197) (C5) ;(11010101) (325) (213) (D5) ;(11100101) (345) (229) (E5) ;(00111010) (72) (58) (3A) ;(10011011) (233) (155) (9B) ; -;920;(00010001) (21) (17) (11) ;(11101110) (356) (238) (EE) ;(00000001) (1) (1) (01) ;(00110010) (62) (50) (32) ;(10011011) (233) (155) (9B) ;(00010001) (21) (17) (11) ;(00111110) (76) (62) (3E) ;(10000000) (200) (128) (80) ; -;928;(00110010) (62) (50) (32) ;(00000111) (7) (7) (07) ;(11100000) (340) (224) (E0) ;(00100001) (41) (33) (21) ;(00000110) (6) (6) (06) ;(11100000) (340) (224) (E0) ;(01011110) (136) (94) (5E) ;(01010110) (126) (86) (56) ; -;936;(00100001) (41) (33) (21) ;(11000000) (300) (192) (C0) ;(10101000) (250) (168) (A8) ;(00011001) (31) (25) (19) ;(00101011) (53) (43) (2B) ;(00101011) (53) (43) (2B) ;(11101011) (353) (235) (EB) ;(00100001) (41) (33) (21) ; -;944;(00000110) (6) (6) (06) ;(11100000) (340) (224) (E0) ;(01110011) (163) (115) (73) ;(01110010) (162) (114) (72) ;(11100001) (341) (225) (E1) ;(11010001) (321) (209) (D1) ;(11000001) (301) (193) (C1) ;(11110001) (361) (241) (F1) ; -;952;(11111011) (373) (251) (FB) ;(11001001) (311) (201) (C9) ;(01111100) (174) (124) (7C) ;(11001101) (315) (205) (CD) ;(11000011) (303) (195) (C3) ;(00000011) (3) (3) (03) ;(01111101) (175) (125) (7D) ;(11001101) (315) (205) (CD) ; -;960;(11000011) (303) (195) (C3) ;(00000011) (3) (3) (03) ;(11001001) (311) (201) (C9) ;(11110101) (365) (245) (F5) ;(11100110) (346) (230) (E6) ;(11110000) (360) (240) (F0) ;(00001111) (17) (15) (0F) ;(00001111) (17) (15) (0F) ; -;968;(00001111) (17) (15) (0F) ;(00001111) (17) (15) (0F) ;(11001101) (315) (205) (CD) ;(11011010) (332) (218) (DA) ;(00000011) (3) (3) (03) ;(11001101) (315) (205) (CD) ;(00010010) (22) (18) (12) ;(00000000) (0) (0) (00) ; -;976;(11110001) (361) (241) (F1) ;(11100110) (346) (230) (E6) ;(00001111) (17) (15) (0F) ;(11001101) (315) (205) (CD) ;(11011010) (332) (218) (DA) ;(00000011) (3) (3) (03) ;(11001101) (315) (205) (CD) ;(00010010) (22) (18) (12) ; -;984;(00000000) (0) (0) (00) ;(11001001) (311) (201) (C9) ;(11010101) (325) (213) (D5) ;(11100101) (345) (229) (E5) ;(00100001) (41) (33) (21) ;(11101001) (351) (233) (E9) ;(00000011) (3) (3) (03) ;(11100110) (346) (230) (E6) ; -;992;(00001111) (17) (15) (0F) ;(01011111) (137) (95) (5F) ;(00010110) (26) (22) (16) ;(00000000) (0) (0) (00) ;(00011001) (31) (25) (19) ;(01111110) (176) (126) (7E) ;(11100001) (341) (225) (E1) ;(11010001) (321) (209) (D1) ; -;1000;(11001001) (311) (201) (C9) ;(00110000) (60) (48) (30) ;(00110001) (61) (49) (31) ;(00110010) (62) (50) (32) ;(00110011) (63) (51) (33) ;(00110100) (64) (52) (34) ;(00110101) (65) (53) (35) ;(00110110) (66) (54) (36) ; -;1008;(00110111) (67) (55) (37) ;(00111000) (70) (56) (38) ;(00111001) (71) (57) (39) ;(01000001) (101) (65) (41) ;(01000010) (102) (66) (42) ;(01000011) (103) (67) (43) ;(01000100) (104) (68) (44) ;(01000101) (105) (69) (45) ; -;1016;(01000110) (106) (70) (46) ;(11000101) (305) (197) (C5) ;(11100101) (345) (229) (E5) ;(00000001) (1) (1) (01) ;(00000000) (0) (0) (00) ;(00010000) (20) (16) (10) ;(00100001) (41) (33) (21) ;(11101001) (351) (233) (E9) ; -;1024;(00000011) (3) (3) (03) ;(10111110) (276) (190) (BE) ;(00100000) (40) (32) (20) ;(00000011) (3) (3) (03) ;(01111001) (171) (121) (79) ;(00011000) (30) (24) (18) ;(00000110) (6) (6) (06) ;(00100011) (43) (35) (23) ; -;1032;(00001100) (14) (12) (0C) ;(00000101) (5) (5) (05) ;(00100000) (40) (32) (20) ;(11110101) (365) (245) (F5) ;(00110111) (67) (55) (37) ;(11100001) (341) (225) (E1) ;(11000001) (301) (193) (C1) ;(11001001) (311) (201) (C9) ; -;1040;(11010101) (325) (213) (D5) ;(11001101) (315) (205) (CD) ;(00011111) (37) (31) (1F) ;(00000100) (4) (4) (04) ;(00111000) (70) (56) (38) ;(00000111) (7) (7) (07) ;(01100111) (147) (103) (67) ;(11001101) (315) (205) (CD) ; -;1048;(00011111) (37) (31) (1F) ;(00000100) (4) (4) (04) ;(00111000) (70) (56) (38) ;(00000001) (1) (1) (01) ;(01101111) (157) (111) (6F) ;(11010001) (321) (209) (D1) ;(11001001) (311) (201) (C9) ;(11000101) (305) (197) (C5) ; -;1056;(00011010) (32) (26) (1A) ;(00010011) (23) (19) (13) ;(11001101) (315) (205) (CD) ;(11111001) (371) (249) (F9) ;(00000011) (3) (3) (03) ;(00111000) (70) (56) (38) ;(00001101) (15) (13) (0D) ;(00000111) (7) (7) (07) ; -;1064;(00000111) (7) (7) (07) ;(00000111) (7) (7) (07) ;(00000111) (7) (7) (07) ;(01001111) (117) (79) (4F) ;(00011010) (32) (26) (1A) ;(00010011) (23) (19) (13) ;(11001101) (315) (205) (CD) ;(11111001) (371) (249) (F9) ; -;1072;(00000011) (3) (3) (03) ;(00111000) (70) (56) (38) ;(00000001) (1) (1) (01) ;(10110001) (261) (177) (B1) ;(11000001) (301) (193) (C1) ;(11001001) (311) (201) (C9) ;(11110011) (363) (243) (F3) ;(11010101) (325) (213) (D5) ; -;1080;(11000101) (305) (197) (C5) ;(11100101) (345) (229) (E5) ;(00010110) (26) (22) (16) ;(11010111) (327) (215) (D7) ;(00011110) (36) (30) (1E) ;(11001100) (314) (204) (CC) ;(00100001) (41) (33) (21) ;(11110000) (360) (240) (F0) ; -;1088;(00010000) (20) (16) (10) ;(00000001) (1) (1) (01) ;(10000000) (200) (128) (80) ;(00000000) (0) (0) (00) ;(11001101) (315) (205) (CD) ;(00110011) (63) (51) (33) ;(00000111) (7) (7) (07) ;(11001101) (315) (205) (CD) ; -;1096;(10110010) (262) (178) (B2) ;(00000110) (6) (6) (06) ;(11011010) (332) (218) (DA) ;(01100011) (143) (99) (63) ;(00000101) (5) (5) (05) ;(01111011) (173) (123) (7B) ;(11111110) (376) (254) (FE) ;(11001100) (314) (204) (CC) ; -;1104;(00100000) (40) (32) (20) ;(00010001) (21) (17) (11) ;(11001101) (315) (205) (CD) ;(00001001) (11) (9) (09) ;(00000000) (0) (0) (00) ;(11010101) (325) (213) (D5) ;(00010001) (21) (17) (11) ;(01101100) (154) (108) (6C) ; -;1112;(00000100) (4) (4) (04) ;(11001101) (315) (205) (CD) ;(00010101) (25) (21) (15) ;(00000000) (0) (0) (00) ;(00010001) (21) (17) (11) ;(11110001) (361) (241) (F1) ;(00010000) (20) (16) (10) ;(11001101) (315) (205) (CD) ; -;1120;(00010101) (25) (21) (15) ;(00000000) (0) (0) (00) ;(11010001) (321) (209) (D1) ;(11001101) (315) (205) (CD) ;(10111000) (270) (184) (B8) ;(00000111) (7) (7) (07) ;(11001101) (315) (205) (CD) ;(10001101) (215) (141) (8D) ; -;1128;(00000100) (4) (4) (04) ;(11000011) (303) (195) (C3) ;(01100011) (143) (99) (63) ;(00000101) (5) (5) (05) ;(01010111) (127) (87) (57) ;(01010010) (122) (82) (52) ;(01001001) (111) (73) (49) ;(01010100) (124) (84) (54) ; -;1136;(01001001) (111) (73) (49) ;(01001110) (116) (78) (4E) ;(01000111) (107) (71) (47) ;(00100000) (40) (32) (20) ;(00001101) (15) (13) (0D) ;(11110011) (363) (243) (F3) ;(11010101) (325) (213) (D5) ;(11000101) (305) (197) (C5) ; -;1144;(11100101) (345) (229) (E5) ;(00010110) (26) (22) (16) ;(11010111) (327) (215) (D7) ;(00011110) (36) (30) (1E) ;(01010011) (123) (83) (53) ;(00101010) (52) (42) (2A) ;(00000010) (2) (2) (02) ;(00010001) (21) (17) (11) ; -;1152;(11100101) (345) (229) (E5) ;(11000001) (301) (193) (C1) ;(00101010) (52) (42) (2A) ;(00000100) (4) (4) (04) ;(00010001) (21) (17) (11) ;(01111000) (170) (120) (78) ;(10110001) (261) (177) (B1) ;(11001010) (312) (202) (CA) ; -;1160;(11010100) (324) (212) (D4) ;(00000100) (4) (4) (04) ;(11000011) (303) (195) (C3) ;(01000100) (104) (68) (44) ;(00000100) (4) (4) (04) ;(11010101) (325) (213) (D5) ;(11000101) (305) (197) (C5) ;(11100101) (345) (229) (E5) ; -;1168;(00010110) (26) (22) (16) ;(00000010) (2) (2) (02) ;(00111110) (76) (62) (3E) ;(11111001) (371) (249) (F9) ;(00110010) (62) (50) (32) ;(00000000) (0) (0) (00) ;(11100000) (340) (224) (E0) ;(01111110) (176) (126) (7E) ; -;1176;(11001101) (315) (205) (CD) ;(10100101) (245) (165) (A5) ;(00000111) (7) (7) (07) ;(00111010) (72) (58) (3A) ;(00000001) (1) (1) (01) ;(11100000) (340) (224) (E0) ;(11100110) (346) (230) (E6) ;(00001000) (10) (8) (08) ; -;1184;(11000010) (302) (194) (C2) ;(10100111) (247) (167) (A7) ;(00000100) (4) (4) (04) ;(00110111) (67) (55) (37) ;(11000011) (303) (195) (C3) ;(11010100) (324) (212) (D4) ;(00000100) (4) (4) (04) ;(00100011) (43) (35) (23) ; -;1192;(00001011) (13) (11) (0B) ;(01111000) (170) (120) (78) ;(10110001) (261) (177) (B1) ;(11000010) (302) (194) (C2) ;(10010111) (227) (151) (97) ;(00000100) (4) (4) (04) ;(00101010) (52) (42) (2A) ;(10010111) (227) (151) (97) ; -;1200;(00010001) (21) (17) (11) ;(01111100) (174) (124) (7C) ;(11001101) (315) (205) (CD) ;(10100101) (245) (165) (A5) ;(00000111) (7) (7) (07) ;(01111101) (175) (125) (7D) ;(11001101) (315) (205) (CD) ;(10100101) (245) (165) (A5) ; -;1208;(00000111) (7) (7) (07) ;(11001101) (315) (205) (CD) ;(10000000) (200) (128) (80) ;(00000111) (7) (7) (07) ;(00010101) (25) (21) (15) ;(11000010) (302) (194) (C2) ;(11000100) (304) (196) (C4) ;(00000100) (4) (4) (04) ; -;1216;(10110111) (267) (183) (B7) ;(11000011) (303) (195) (C3) ;(11010100) (324) (212) (D4) ;(00000100) (4) (4) (04) ;(00000110) (6) (6) (06) ;(00000000) (0) (0) (00) ;(11001101) (315) (205) (CD) ;(01100111) (147) (103) (67) ; -;1224;(00000111) (7) (7) (07) ;(00000101) (5) (5) (05) ;(11000010) (302) (194) (C2) ;(11000110) (306) (198) (C6) ;(00000100) (4) (4) (04) ;(11100001) (341) (225) (E1) ;(11000001) (301) (193) (C1) ;(11000101) (305) (197) (C5) ; -;1232;(11100101) (345) (229) (E5) ;(11000011) (303) (195) (C3) ;(10010111) (227) (151) (97) ;(00000100) (4) (4) (04) ;(11100001) (341) (225) (E1) ;(11000001) (301) (193) (C1) ;(11010001) (321) (209) (D1) ;(11001001) (311) (201) (C9) ; -;1240;(11110011) (363) (243) (F3) ;(11010101) (325) (213) (D5) ;(11000101) (305) (197) (C5) ;(11100101) (345) (229) (E5) ;(00010110) (26) (22) (16) ;(11010010) (322) (210) (D2) ;(00011110) (36) (30) (1E) ;(11001100) (314) (204) (CC) ; -;1248;(00000001) (1) (1) (01) ;(10000000) (200) (128) (80) ;(00000000) (0) (0) (00) ;(00100001) (41) (33) (21) ;(11110000) (360) (240) (F0) ;(00010000) (20) (16) (10) ;(11001101) (315) (205) (CD) ;(10110010) (262) (178) (B2) ; -;1256;(00000110) (6) (6) (06) ;(11011010) (332) (218) (DA) ;(10000010) (202) (130) (82) ;(00000101) (5) (5) (05) ;(11001101) (315) (205) (CD) ;(01011110) (136) (94) (5E) ;(00000110) (6) (6) (06) ;(11011010) (332) (218) (DA) ; -;1264;(10000010) (202) (130) (82) ;(00000101) (5) (5) (05) ;(11001101) (315) (205) (CD) ;(00010000) (20) (16) (10) ;(00000101) (5) (5) (05) ;(11000011) (303) (195) (C3) ;(01100011) (143) (99) (63) ;(00000101) (5) (5) (05) ; -;1272;(11110011) (363) (243) (F3) ;(11010101) (325) (213) (D5) ;(11000101) (305) (197) (C5) ;(11100101) (345) (229) (E5) ;(00010110) (26) (22) (16) ;(11010010) (322) (210) (D2) ;(00011110) (36) (30) (1E) ;(01010011) (123) (83) (53) ; -;1280;(00101010) (52) (42) (2A) ;(00000010) (2) (2) (02) ;(00010001) (21) (17) (11) ;(11100101) (345) (229) (E5) ;(11000001) (301) (193) (C1) ;(00101010) (52) (42) (2A) ;(00000100) (4) (4) (04) ;(00010001) (21) (17) (11) ; -;1288;(01111000) (170) (120) (78) ;(10110001) (261) (177) (B1) ;(11001010) (312) (202) (CA) ;(01100011) (143) (99) (63) ;(00000101) (5) (5) (05) ;(11000011) (303) (195) (C3) ;(11100110) (346) (230) (E6) ;(00000100) (4) (4) (04) ; -;1296;(11010101) (325) (213) (D5) ;(11000101) (305) (197) (C5) ;(11100101) (345) (229) (E5) ;(00100110) (46) (38) (26) ;(00000010) (2) (2) (02) ;(00000001) (1) (1) (01) ;(00000001) (1) (1) (01) ;(11100000) (340) (224) (E0) ; -;1304;(00010001) (21) (17) (11) ;(00000010) (2) (2) (02) ;(11100000) (340) (224) (E0) ;(11001101) (315) (205) (CD) ;(00000001) (1) (1) (01) ;(00000110) (6) (6) (06) ;(11011010) (332) (218) (DA) ;(10000010) (202) (130) (82) ; -;1312;(00000101) (5) (5) (05) ;(11001101) (315) (205) (CD) ;(01100000) (140) (96) (60) ;(00000111) (7) (7) (07) ;(11001101) (315) (205) (CD) ;(01100000) (140) (96) (60) ;(00000111) (7) (7) (07) ;(11001101) (315) (205) (CD) ; -;1320;(01100000) (140) (96) (60) ;(00000111) (7) (7) (07) ;(00011010) (32) (26) (1A) ;(11100110) (346) (230) (E6) ;(00100000) (40) (32) (20) ;(11001010) (312) (202) (CA) ;(00011011) (33) (27) (1B) ;(00000101) (5) (5) (05) ; -;1328;(01010100) (124) (84) (54) ;(00100001) (41) (33) (21) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00100010) (42) (34) (22) ;(10010111) (227) (151) (97) ;(00010001) (21) (17) (11) ;(11100001) (341) (225) (E1) ; -;1336;(11000001) (301) (193) (C1) ;(11000101) (305) (197) (C5) ;(11100101) (345) (229) (E5) ;(11001101) (315) (205) (CD) ;(00100100) (44) (36) (24) ;(00000110) (6) (6) (06) ;(11011010) (332) (218) (DA) ;(10000010) (202) (130) (82) ; -;1344;(00000101) (5) (5) (05) ;(01110111) (167) (119) (77) ;(00100011) (43) (35) (23) ;(00001011) (13) (11) (0B) ;(01111000) (170) (120) (78) ;(10110001) (261) (177) (B1) ;(11000010) (302) (194) (C2) ;(00111011) (73) (59) (3B) ; -;1352;(00000101) (5) (5) (05) ;(00101010) (52) (42) (2A) ;(10010111) (227) (151) (97) ;(00010001) (21) (17) (11) ;(11001101) (315) (205) (CD) ;(00100100) (44) (36) (24) ;(00000110) (6) (6) (06) ;(11011010) (332) (218) (DA) ; -;1360;(10000010) (202) (130) (82) ;(00000101) (5) (5) (05) ;(01011111) (137) (95) (5F) ;(11001101) (315) (205) (CD) ;(00100100) (44) (36) (24) ;(00000110) (6) (6) (06) ;(11011010) (332) (218) (DA) ;(10000010) (202) (130) (82) ; -;1368;(00000101) (5) (5) (05) ;(10111101) (275) (189) (BD) ;(11000010) (302) (194) (C2) ;(01110100) (164) (116) (74) ;(00000101) (5) (5) (05) ;(01111011) (173) (123) (7B) ;(10111100) (274) (188) (BC) ;(11000010) (302) (194) (C2) ; -;1376;(01110100) (164) (116) (74) ;(00000101) (5) (5) (05) ;(10101111) (257) (175) (AF) ;(11100001) (341) (225) (E1) ;(11000001) (301) (193) (C1) ;(11010001) (321) (209) (D1) ;(11001101) (315) (205) (CD) ;(00000000) (0) (0) (00) ; -;1384;(00000111) (7) (7) (07) ;(11110101) (365) (245) (F5) ;(00111010) (72) (58) (3A) ;(10011100) (234) (156) (9C) ;(00010001) (21) (17) (11) ;(11111110) (376) (254) (FE) ;(11110000) (360) (240) (F0) ;(00100000) (40) (32) (20) ; -;1392;(00000001) (1) (1) (01) ;(11111011) (373) (251) (FB) ;(11110001) (361) (241) (F1) ;(11001001) (311) (201) (C9) ;(00010101) (25) (21) (15) ;(11001010) (312) (202) (CA) ;(01111100) (174) (124) (7C) ;(00000101) (5) (5) (05) ; -;1400;(01100010) (142) (98) (62) ;(11000011) (303) (195) (C3) ;(00010101) (25) (21) (15) ;(00000101) (5) (5) (05) ;(00111110) (76) (62) (3E) ;(00000001) (1) (1) (01) ;(00110111) (67) (55) (37) ;(11000011) (303) (195) (C3) ; -;1408;(01100011) (143) (99) (63) ;(00000101) (5) (5) (05) ;(00111110) (76) (62) (3E) ;(00000010) (2) (2) (02) ;(00110111) (67) (55) (37) ;(11000011) (303) (195) (C3) ;(01100011) (143) (99) (63) ;(00000101) (5) (5) (05) ; -;1416;(11110011) (363) (243) (F3) ;(11010101) (325) (213) (D5) ;(11000101) (305) (197) (C5) ;(11100101) (345) (229) (E5) ;(00101010) (52) (42) (2A) ;(00000010) (2) (2) (02) ;(00010001) (21) (17) (11) ;(11100101) (345) (229) (E5) ; -;1424;(11000001) (301) (193) (C1) ;(00101010) (52) (42) (2A) ;(00000100) (4) (4) (04) ;(00010001) (21) (17) (11) ;(00010110) (26) (22) (16) ;(11010010) (322) (210) (D2) ;(00011110) (36) (30) (1E) ;(01010011) (123) (83) (53) ; -;1432;(01111000) (170) (120) (78) ;(10110001) (261) (177) (B1) ;(11001010) (312) (202) (CA) ;(01100011) (143) (99) (63) ;(00000101) (5) (5) (05) ;(11001101) (315) (205) (CD) ;(00110011) (63) (51) (33) ;(00000111) (7) (7) (07) ; -;1440;(11001101) (315) (205) (CD) ;(10110010) (262) (178) (B2) ;(00000110) (6) (6) (06) ;(11011010) (332) (218) (DA) ;(10000010) (202) (130) (82) ;(00000101) (5) (5) (05) ;(11001101) (315) (205) (CD) ;(01011110) (136) (94) (5E) ; -;1448;(00000110) (6) (6) (06) ;(11011010) (332) (218) (DA) ;(10000010) (202) (130) (82) ;(00000101) (5) (5) (05) ;(11001101) (315) (205) (CD) ;(10110010) (262) (178) (B2) ;(00000101) (5) (5) (05) ;(11000011) (303) (195) (C3) ; -;1456;(01100011) (143) (99) (63) ;(00000101) (5) (5) (05) ;(11010101) (325) (213) (D5) ;(11000101) (305) (197) (C5) ;(11100101) (345) (229) (E5) ;(00100110) (46) (38) (26) ;(00000010) (2) (2) (02) ;(00000001) (1) (1) (01) ; -;1464;(00000001) (1) (1) (01) ;(11100000) (340) (224) (E0) ;(00010001) (21) (17) (11) ;(00000010) (2) (2) (02) ;(11100000) (340) (224) (E0) ;(11001101) (315) (205) (CD) ;(00000001) (1) (1) (01) ;(00000110) (6) (6) (06) ; -;1472;(11011010) (332) (218) (DA) ;(10000010) (202) (130) (82) ;(00000101) (5) (5) (05) ;(11001101) (315) (205) (CD) ;(01100000) (140) (96) (60) ;(00000111) (7) (7) (07) ;(11001101) (315) (205) (CD) ;(01100000) (140) (96) (60) ; -;1480;(00000111) (7) (7) (07) ;(11001101) (315) (205) (CD) ;(01100000) (140) (96) (60) ;(00000111) (7) (7) (07) ;(00011010) (32) (26) (1A) ;(11100110) (346) (230) (E6) ;(00100000) (40) (32) (20) ;(11001010) (312) (202) (CA) ; -;1488;(10111101) (275) (189) (BD) ;(00000101) (5) (5) (05) ;(01010100) (124) (84) (54) ;(11100001) (341) (225) (E1) ;(11000001) (301) (193) (C1) ;(11000101) (305) (197) (C5) ;(11100101) (345) (229) (E5) ;(11001101) (315) (205) (CD) ; -;1496;(00100100) (44) (36) (24) ;(00000110) (6) (6) (06) ;(11011010) (332) (218) (DA) ;(10000010) (202) (130) (82) ;(00000101) (5) (5) (05) ;(10111110) (276) (190) (BE) ;(11000010) (302) (194) (C2) ;(01111100) (174) (124) (7C) ; -;1504;(00000101) (5) (5) (05) ;(00100011) (43) (35) (23) ;(00001011) (13) (11) (0B) ;(01111000) (170) (120) (78) ;(10110001) (261) (177) (B1) ;(11000010) (302) (194) (C2) ;(11010111) (327) (215) (D7) ;(00000101) (5) (5) (05) ; -;1512;(00101010) (52) (42) (2A) ;(10011001) (231) (153) (99) ;(00010001) (21) (17) (11) ;(11001101) (315) (205) (CD) ;(00100100) (44) (36) (24) ;(00000110) (6) (6) (06) ;(10111100) (274) (188) (BC) ;(11000010) (302) (194) (C2) ; -;1520;(01111100) (174) (124) (7C) ;(00000101) (5) (5) (05) ;(11001101) (315) (205) (CD) ;(00100100) (44) (36) (24) ;(00000110) (6) (6) (06) ;(10111101) (275) (189) (BD) ;(11000010) (302) (194) (C2) ;(01111100) (174) (124) (7C) ; -;1528;(00000101) (5) (5) (05) ;(00010101) (25) (21) (15) ;(11001010) (312) (202) (CA) ;(01100010) (142) (98) (62) ;(00000101) (5) (5) (05) ;(01100010) (142) (98) (62) ;(11000011) (303) (195) (C3) ;(10110111) (267) (183) (B7) ; -;1536;(00000101) (5) (5) (05) ;(00111110) (76) (62) (3E) ;(11111001) (371) (249) (F9) ;(00110010) (62) (50) (32) ;(00000000) (0) (0) (00) ;(11100000) (340) (224) (E0) ;(00000000) (0) (0) (00) ;(00001010) (12) (10) (0A) ; -;1544;(11100110) (346) (230) (E6) ;(00001000) (10) (8) (08) ;(11000010) (302) (194) (C2) ;(00001111) (17) (15) (0F) ;(00000110) (6) (6) (06) ;(00110111) (67) (55) (37) ;(11001001) (311) (201) (C9) ;(00011010) (32) (26) (1A) ; -;1552;(11100110) (346) (230) (E6) ;(00100000) (40) (32) (20) ;(11000010) (302) (194) (C2) ;(00000111) (7) (7) (07) ;(00000110) (6) (6) (06) ;(00001010) (12) (10) (0A) ;(11100110) (346) (230) (E6) ;(00001000) (10) (8) (08) ; -;1560;(11000010) (302) (194) (C2) ;(00011101) (35) (29) (1D) ;(00000110) (6) (6) (06) ;(00110111) (67) (55) (37) ;(11001001) (311) (201) (C9) ;(00011010) (32) (26) (1A) ;(11100110) (346) (230) (E6) ;(00100000) (40) (32) (20) ; -;1568;(11001010) (312) (202) (CA) ;(00010101) (25) (21) (15) ;(00000110) (6) (6) (06) ;(11001001) (311) (201) (C9) ;(11000101) (305) (197) (C5) ;(11010101) (325) (213) (D5) ;(11100101) (345) (229) (E5) ;(00100001) (41) (33) (21) ; -;1576;(00000000) (0) (0) (00) ;(00001000) (10) (8) (08) ;(00000001) (1) (1) (01) ;(00000001) (1) (1) (01) ;(11100000) (340) (224) (E0) ;(00010001) (21) (17) (11) ;(00000010) (2) (2) (02) ;(11100000) (340) (224) (E0) ; -;1584;(11001101) (315) (205) (CD) ;(00000001) (1) (1) (01) ;(00000110) (6) (6) (06) ;(11011010) (332) (218) (DA) ;(01011010) (132) (90) (5A) ;(00000110) (6) (6) (06) ;(11001101) (315) (205) (CD) ;(01100000) (140) (96) (60) ; -;1592;(00000111) (7) (7) (07) ;(11001101) (315) (205) (CD) ;(01100000) (140) (96) (60) ;(00000111) (7) (7) (07) ;(11001101) (315) (205) (CD) ;(01100000) (140) (96) (60) ;(00000111) (7) (7) (07) ;(00011010) (32) (26) (1A) ; -;1600;(11100110) (346) (230) (E6) ;(00100000) (40) (32) (20) ;(11001010) (312) (202) (CA) ;(01001111) (117) (79) (4F) ;(00000110) (6) (6) (06) ;(11100101) (345) (229) (E5) ;(00101010) (52) (42) (2A) ;(10010111) (227) (151) (97) ; -;1608;(00010001) (21) (17) (11) ;(00100011) (43) (35) (23) ;(00100010) (42) (34) (22) ;(10010111) (227) (151) (97) ;(00010001) (21) (17) (11) ;(11100001) (341) (225) (E1) ;(00110111) (67) (55) (37) ;(01111101) (175) (125) (7D) ; -;1616;(00010111) (27) (23) (17) ;(01101111) (157) (111) (6F) ;(00100101) (45) (37) (25) ;(11000010) (302) (194) (C2) ;(00110000) (60) (48) (30) ;(00000110) (6) (6) (06) ;(11001101) (315) (205) (CD) ;(00000001) (1) (1) (01) ; -;1624;(00000110) (6) (6) (06) ;(01111101) (175) (125) (7D) ;(11100001) (341) (225) (E1) ;(11010001) (321) (209) (D1) ;(11000001) (301) (193) (C1) ;(11001001) (311) (201) (C9) ;(11000101) (305) (197) (C5) ;(11010101) (325) (213) (D5) ; -;1632;(11100101) (345) (229) (E5) ;(00100001) (41) (33) (21) ;(00101000) (50) (40) (28) ;(00101000) (50) (40) (28) ;(01111011) (173) (123) (7B) ;(11111110) (376) (254) (FE) ;(11001100) (314) (204) (CC) ;(11001010) (312) (202) (CA) ; -;1640;(01101101) (155) (109) (6D) ;(00000110) (6) (6) (06) ;(00100001) (41) (33) (21) ;(00010100) (24) (20) (14) ;(00010100) (24) (20) (14) ;(00100010) (42) (34) (22) ;(10010101) (225) (149) (95) ;(00010001) (21) (17) (11) ; -;1648;(00000001) (1) (1) (01) ;(00000001) (1) (1) (01) ;(11100000) (340) (224) (E0) ;(00010001) (21) (17) (11) ;(00000010) (2) (2) (02) ;(11100000) (340) (224) (E0) ;(00101010) (52) (42) (2A) ;(10010101) (225) (149) (95) ; -;1656;(00010001) (21) (17) (11) ;(11001101) (315) (205) (CD) ;(00000001) (1) (1) (01) ;(00000110) (6) (6) (06) ;(11011010) (332) (218) (DA) ;(10101110) (256) (174) (AE) ;(00000110) (6) (6) (06) ;(11001101) (315) (205) (CD) ; -;1664;(01100000) (140) (96) (60) ;(00000111) (7) (7) (07) ;(11001101) (315) (205) (CD) ;(01100000) (140) (96) (60) ;(00000111) (7) (7) (07) ;(11001101) (315) (205) (CD) ;(01100000) (140) (96) (60) ;(00000111) (7) (7) (07) ; -;1672;(00011010) (32) (26) (1A) ;(11100110) (346) (230) (E6) ;(00100000) (40) (32) (20) ;(11001010) (312) (202) (CA) ;(01110110) (166) (118) (76) ;(00000110) (6) (6) (06) ;(00100101) (45) (37) (25) ;(11000010) (302) (194) (C2) ; -;1680;(01111001) (171) (121) (79) ;(00000110) (6) (6) (06) ;(11001101) (315) (205) (CD) ;(00000001) (1) (1) (01) ;(00000110) (6) (6) (06) ;(11011010) (332) (218) (DA) ;(10101110) (256) (174) (AE) ;(00000110) (6) (6) (06) ; -;1688;(11001101) (315) (205) (CD) ;(01100000) (140) (96) (60) ;(00000111) (7) (7) (07) ;(11001101) (315) (205) (CD) ;(01100000) (140) (96) (60) ;(00000111) (7) (7) (07) ;(11001101) (315) (205) (CD) ;(01100000) (140) (96) (60) ; -;1696;(00000111) (7) (7) (07) ;(00011010) (32) (26) (1A) ;(11100110) (346) (230) (E6) ;(00100000) (40) (32) (20) ;(11000010) (302) (194) (C2) ;(01110110) (166) (118) (76) ;(00000110) (6) (6) (06) ;(00101101) (55) (45) (2D) ; -;1704;(11000010) (302) (194) (C2) ;(10010010) (222) (146) (92) ;(00000110) (6) (6) (06) ;(11001101) (315) (205) (CD) ;(00000001) (1) (1) (01) ;(00000110) (6) (6) (06) ;(11100001) (341) (225) (E1) ;(11010001) (321) (209) (D1) ; -;1712;(11000001) (301) (193) (C1) ;(11001001) (311) (201) (C9) ;(11000101) (305) (197) (C5) ;(11010101) (325) (213) (D5) ;(11100101) (345) (229) (E5) ;(00001110) (16) (14) (0E) ;(00001010) (12) (10) (0A) ;(00111010) (72) (58) (3A) ; -;1720;(00000010) (2) (2) (02) ;(11100000) (340) (224) (E0) ;(11100110) (346) (230) (E6) ;(00010000) (20) (16) (10) ;(11001010) (312) (202) (CA) ;(11000100) (304) (196) (C4) ;(00000110) (6) (6) (06) ;(10101111) (257) (175) (AF) ; -;1728;(11100001) (341) (225) (E1) ;(11010001) (321) (209) (D1) ;(11000001) (301) (193) (C1) ;(11001001) (311) (201) (C9) ;(00111110) (76) (62) (3E) ;(00000110) (6) (6) (06) ;(00110010) (62) (50) (32) ;(00000011) (3) (3) (03) ; -;1736;(11100000) (340) (224) (E0) ;(00111110) (76) (62) (3E) ;(00000111) (7) (7) (07) ;(00110010) (62) (50) (32) ;(00000011) (3) (3) (03) ;(11100000) (340) (224) (E0) ;(00001101) (15) (13) (0D) ;(11000010) (302) (194) (C2) ; -;1744;(10110111) (267) (183) (B7) ;(00000110) (6) (6) (06) ;(11001101) (315) (205) (CD) ;(00001001) (11) (9) (09) ;(00000000) (0) (0) (00) ;(01111010) (172) (122) (7A) ;(11111110) (376) (254) (FE) ;(11010111) (327) (215) (D7) ; -;1752;(00101000) (50) (40) (28) ;(00001000) (10) (8) (08) ;(00010001) (21) (17) (11) ;(00100010) (42) (34) (22) ;(00000111) (7) (7) (07) ;(11001101) (315) (205) (CD) ;(00011000) (30) (24) (18) ;(00000000) (0) (0) (00) ; -;1760;(00011000) (30) (24) (18) ;(00001100) (14) (12) (0C) ;(00010001) (21) (17) (11) ;(00101001) (51) (41) (29) ;(00000111) (7) (7) (07) ;(11001101) (315) (205) (CD) ;(00011000) (30) (24) (18) ;(00000000) (0) (0) (00) ; -;1768;(00010001) (21) (17) (11) ;(00100100) (44) (36) (24) ;(00000111) (7) (7) (07) ;(11001101) (315) (205) (CD) ;(00011000) (30) (24) (18) ;(00000000) (0) (0) (00) ;(00111010) (72) (58) (3A) ;(00000010) (2) (2) (02) ; -;1776;(11100000) (340) (224) (E0) ;(11100110) (346) (230) (E6) ;(00010000) (20) (16) (10) ;(11000010) (302) (194) (C2) ;(10111111) (277) (191) (BF) ;(00000110) (6) (6) (06) ;(11001101) (315) (205) (CD) ;(01000100) (104) (68) (44) ; -;1784;(00001010) (12) (10) (0A) ;(11000010) (302) (194) (C2) ;(11101110) (356) (238) (EE) ;(00000110) (6) (6) (06) ;(00110111) (67) (55) (37) ;(11000011) (303) (195) (C3) ;(11000000) (300) (192) (C0) ;(00000110) (6) (6) (06) ; -;1792;(11110101) (365) (245) (F5) ;(11000101) (305) (197) (C5) ;(11010101) (325) (213) (D5) ;(00000110) (6) (6) (06) ;(00001010) (12) (10) (0A) ;(00111010) (72) (58) (3A) ;(00000010) (2) (2) (02) ;(11100000) (340) (224) (E0) ; -;1800;(11100110) (346) (230) (E6) ;(00010000) (20) (16) (10) ;(00100000) (40) (32) (20) ;(00000100) (4) (4) (04) ;(11010001) (321) (209) (D1) ;(11000001) (301) (193) (C1) ;(11110001) (361) (241) (F1) ;(11001001) (311) (201) (C9) ; -;1808;(00111110) (76) (62) (3E) ;(00000110) (6) (6) (06) ;(00110010) (62) (50) (32) ;(00000011) (3) (3) (03) ;(11100000) (340) (224) (E0) ;(00111110) (76) (62) (3E) ;(00000111) (7) (7) (07) ;(00110010) (62) (50) (32) ; -;1816;(00000011) (3) (3) (03) ;(11100000) (340) (224) (E0) ;(00000101) (5) (5) (05) ;(11000010) (302) (194) (C2) ;(00000101) (5) (5) (05) ;(00000111) (7) (7) (07) ;(11010001) (321) (209) (D1) ;(11000001) (301) (193) (C1) ; -;1824;(11110001) (361) (241) (F1) ;(11001001) (311) (201) (C9) ;(01111111) (177) (127) (7F) ;(00100000) (40) (32) (20) ;(01010000) (120) (80) (50) ;(01001100) (114) (76) (4C) ;(01000001) (101) (65) (41) ;(01011001) (131) (89) (59) ; -;1832;(00001101) (15) (13) (0D) ;(01111111) (177) (127) (7F) ;(00100000) (40) (32) (20) ;(01010010) (122) (82) (52) ;(01000101) (105) (69) (45) ;(01000011) (103) (67) (43) ;(01001111) (117) (79) (4F) ;(01010010) (122) (82) (52) ; -;1840;(01000100) (104) (68) (44) ;(00101110) (56) (46) (2E) ;(00001101) (15) (13) (0D) ;(11000101) (305) (197) (C5) ;(11010101) (325) (213) (D5) ;(11100101) (345) (229) (E5) ;(00010001) (21) (17) (11) ;(00000000) (0) (0) (00) ; -;1848;(00000000) (0) (0) (00) ;(01111000) (170) (120) (78) ;(10110001) (261) (177) (B1) ;(00100000) (40) (32) (20) ;(00001011) (13) (11) (0B) ;(11101011) (353) (235) (EB) ;(00100010) (42) (34) (22) ;(10010111) (227) (151) (97) ; -;1856;(00010001) (21) (17) (11) ;(00100010) (42) (34) (22) ;(10011001) (231) (153) (99) ;(00010001) (21) (17) (11) ;(11100001) (341) (225) (E1) ;(11010001) (321) (209) (D1) ;(11000001) (301) (193) (C1) ;(11001001) (311) (201) (C9) ; -;1864;(01111110) (176) (126) (7E) ;(11100101) (345) (229) (E5) ;(00100110) (46) (38) (26) ;(00001000) (10) (8) (08) ;(00000111) (7) (7) (07) ;(00110000) (60) (48) (30) ;(00000001) (1) (1) (01) ;(00010011) (23) (19) (13) ; -;1872;(00100101) (45) (37) (25) ;(00100000) (40) (32) (20) ;(11111001) (371) (249) (F9) ;(11100001) (341) (225) (E1) ;(00100011) (43) (35) (23) ;(00001011) (13) (11) (0B) ;(11000011) (303) (195) (C3) ;(00111001) (71) (57) (39) ; -;1880;(00000111) (7) (7) (07) ;(00111110) (76) (62) (3E) ;(00001110) (16) (14) (0E) ;(00111101) (75) (61) (3D) ;(11000010) (302) (194) (C2) ;(01011011) (133) (91) (5B) ;(00000111) (7) (7) (07) ;(11001001) (311) (201) (C9) ; -;1888;(00111110) (76) (62) (3E) ;(00001101) (15) (13) (0D) ;(00111101) (75) (61) (3D) ;(11000010) (302) (194) (C2) ;(01100010) (142) (98) (62) ;(00000111) (7) (7) (07) ;(11001001) (311) (201) (C9) ;(11110101) (365) (245) (F5) ; -;1896;(00111110) (76) (62) (3E) ;(00000011) (3) (3) (03) ;(00110010) (62) (50) (32) ;(00000011) (3) (3) (03) ;(11100000) (340) (224) (E0) ;(11001101) (315) (205) (CD) ;(01011001) (131) (89) (59) ;(00000111) (7) (7) (07) ; -;1904;(11001101) (315) (205) (CD) ;(01011001) (131) (89) (59) ;(00000111) (7) (7) (07) ;(00111110) (76) (62) (3E) ;(00000010) (2) (2) (02) ;(00110010) (62) (50) (32) ;(00000011) (3) (3) (03) ;(11100000) (340) (224) (E0) ; -;1912;(11001101) (315) (205) (CD) ;(01011001) (131) (89) (59) ;(00000111) (7) (7) (07) ;(11001101) (315) (205) (CD) ;(01011001) (131) (89) (59) ;(00000111) (7) (7) (07) ;(11110001) (361) (241) (F1) ;(11001001) (311) (201) (C9) ; -;1920;(11110101) (365) (245) (F5) ;(00111110) (76) (62) (3E) ;(00000011) (3) (3) (03) ;(00110010) (62) (50) (32) ;(00000011) (3) (3) (03) ;(11100000) (340) (224) (E0) ;(11001101) (315) (205) (CD) ;(01011001) (131) (89) (59) ; -;1928;(00000111) (7) (7) (07) ;(11001101) (315) (205) (CD) ;(01011001) (131) (89) (59) ;(00000111) (7) (7) (07) ;(11001101) (315) (205) (CD) ;(01011001) (131) (89) (59) ;(00000111) (7) (7) (07) ;(11001101) (315) (205) (CD) ; -;1936;(01011001) (131) (89) (59) ;(00000111) (7) (7) (07) ;(00111110) (76) (62) (3E) ;(00000010) (2) (2) (02) ;(00110010) (62) (50) (32) ;(00000011) (3) (3) (03) ;(11100000) (340) (224) (E0) ;(11001101) (315) (205) (CD) ; -;1944;(01011001) (131) (89) (59) ;(00000111) (7) (7) (07) ;(11001101) (315) (205) (CD) ;(01011001) (131) (89) (59) ;(00000111) (7) (7) (07) ;(11001101) (315) (205) (CD) ;(01011001) (131) (89) (59) ;(00000111) (7) (7) (07) ; -;1952;(11001101) (315) (205) (CD) ;(01100000) (140) (96) (60) ;(00000111) (7) (7) (07) ;(11110001) (361) (241) (F1) ;(11001001) (311) (201) (C9) ;(11000101) (305) (197) (C5) ;(00000110) (6) (6) (06) ;(00001000) (10) (8) (08) ; -;1960;(11001101) (315) (205) (CD) ;(10000000) (200) (128) (80) ;(00000111) (7) (7) (07) ;(00000111) (7) (7) (07) ;(11011100) (334) (220) (DC) ;(10000000) (200) (128) (80) ;(00000111) (7) (7) (07) ;(11010100) (324) (212) (D4) ; -;1968;(01100111) (147) (103) (67) ;(00000111) (7) (7) (07) ;(00000101) (5) (5) (05) ;(11000010) (302) (194) (C2) ;(10101011) (253) (171) (AB) ;(00000111) (7) (7) (07) ;(11000001) (301) (193) (C1) ;(11001001) (311) (201) (C9) ; -;1976;(11000101) (305) (197) (C5) ;(11010101) (325) (213) (D5) ;(01111011) (173) (123) (7B) ;(00000001) (1) (1) (01) ;(11110000) (360) (240) (F0) ;(01010101) (125) (85) (55) ;(00010001) (21) (17) (11) ;(00101000) (50) (40) (28) ; -;1984;(00101000) (50) (40) (28) ;(11111110) (376) (254) (FE) ;(11001100) (314) (204) (CC) ;(11001010) (312) (202) (CA) ;(11001100) (314) (204) (CC) ;(00000111) (7) (7) (07) ;(00000001) (1) (1) (01) ;(11111000) (370) (248) (F8) ; -;1992;(00101010) (52) (42) (2A) ;(00010001) (21) (17) (11) ;(00010100) (24) (20) (14) ;(00010100) (24) (20) (14) ;(11001101) (315) (205) (CD) ;(01100111) (147) (103) (67) ;(00000111) (7) (7) (07) ;(00001011) (13) (11) (0B) ; -;2000;(01111000) (170) (120) (78) ;(10110001) (261) (177) (B1) ;(00100000) (40) (32) (20) ;(11111000) (370) (248) (F8) ;(11001101) (315) (205) (CD) ;(10000000) (200) (128) (80) ;(00000111) (7) (7) (07) ;(00010101) (25) (21) (15) ; -;2008;(00100000) (40) (32) (20) ;(11111010) (372) (250) (FA) ;(11001101) (315) (205) (CD) ;(01100111) (147) (103) (67) ;(00000111) (7) (7) (07) ;(00011101) (35) (29) (1D) ;(00100000) (40) (32) (20) ;(11111010) (372) (250) (FA) ; -;2016;(11001101) (315) (205) (CD) ;(10000000) (200) (128) (80) ;(00000111) (7) (7) (07) ;(11010001) (321) (209) (D1) ;(11000001) (301) (193) (C1) ;(11001001) (311) (201) (C9) ;(11110101) (365) (245) (F5) ;(11000101) (305) (197) (C5) ; -;2024;(11100101) (345) (229) (E5) ;(11010101) (325) (213) (D5) ;(10101111) (257) (175) (AF) ;(00110010) (62) (50) (32) ;(10010011) (223) (147) (93) ;(00010001) (21) (17) (11) ;(11001101) (315) (205) (CD) ;(10110011) (263) (179) (B3) ; -;2032;(00001001) (11) (9) (09) ;(01000111) (107) (71) (47) ;(00111010) (72) (58) (3A) ;(10011101) (235) (157) (9D) ;(00010001) (21) (17) (11) ;(10110111) (267) (183) (B7) ;(11001100) (314) (204) (CC) ;(11100101) (345) (229) (E5) ; -;2040;(00000010) (2) (2) (02) ;(01111000) (170) (120) (78) ;(11100110) (346) (230) (E6) ;(11110000) (360) (240) (F0) ;(11111110) (376) (254) (FE) ;(11000000) (300) (192) (C0) ;(11000010) (302) (194) (C2) ;(10100110) (246) (166) (A6) ; -;2048;(00001000) (10) (8) (08) ;(01111000) (170) (120) (78) ;(11111110) (376) (254) (FE) ;(11001101) (315) (205) (CD) ;(11001010) (312) (202) (CA) ;(01011011) (133) (91) (5B) ;(00001000) (10) (8) (08) ;(11111110) (376) (254) (FE) ; -;2056;(11001001) (311) (201) (C9) ;(11001010) (312) (202) (CA) ;(00101011) (53) (43) (2B) ;(00001000) (10) (8) (08) ;(11111110) (376) (254) (FE) ;(11001010) (312) (202) (CA) ;(11001010) (312) (202) (CA) ;(00101011) (53) (43) (2B) ; -;2064;(00001000) (10) (8) (08) ;(11111110) (376) (254) (FE) ;(11001011) (313) (203) (CB) ;(11001010) (312) (202) (CA) ;(10110011) (263) (179) (B3) ;(00001000) (10) (8) (08) ;(11111110) (376) (254) (FE) ;(11001000) (310) (200) (C8) ; -;2072;(11001010) (312) (202) (CA) ;(00111000) (70) (56) (38) ;(00001000) (10) (8) (08) ;(11111110) (376) (254) (FE) ;(11000111) (307) (199) (C7) ;(11001010) (312) (202) (CA) ;(00101011) (53) (43) (2B) ;(00001000) (10) (8) (08) ; -;2080;(00111010) (72) (58) (3A) ;(10010011) (223) (147) (93) ;(00010001) (21) (17) (11) ;(10110111) (267) (183) (B7) ;(11111010) (372) (250) (FA) ;(01000010) (102) (66) (42) ;(00001000) (10) (8) (08) ;(11000010) (302) (194) (C2) ; -;2088;(00110001) (61) (49) (31) ;(00001000) (10) (8) (08) ;(01111000) (170) (120) (78) ;(11001101) (315) (205) (CD) ;(11011100) (334) (220) (DC) ;(00001101) (15) (13) (0D) ;(11000011) (303) (195) (C3) ;(11101110) (356) (238) (EE) ; -;2096;(00000111) (7) (7) (07) ;(00111101) (75) (61) (3D) ;(00110010) (62) (50) (32) ;(10010011) (223) (147) (93) ;(00010001) (21) (17) (11) ;(11000011) (303) (195) (C3) ;(01000010) (102) (66) (42) ;(00001000) (10) (8) (08) ; -;2104;(00111010) (72) (58) (3A) ;(10010011) (223) (147) (93) ;(00010001) (21) (17) (11) ;(00111100) (74) (60) (3C) ;(00110010) (62) (50) (32) ;(10010011) (223) (147) (93) ;(00010001) (21) (17) (11) ;(11000011) (303) (195) (C3) ; -;2112;(00101010) (52) (42) (2A) ;(00001000) (10) (8) (08) ;(01111000) (170) (120) (78) ;(11001101) (315) (205) (CD) ;(10100110) (246) (166) (A6) ;(00001101) (15) (13) (0D) ;(11001101) (315) (205) (CD) ;(10110101) (265) (181) (B5) ; -;2120;(00001101) (15) (13) (0D) ;(11111110) (376) (254) (FE) ;(01100010) (142) (98) (62) ;(11000010) (302) (194) (C2) ;(11101110) (356) (238) (EE) ;(00000111) (7) (7) (07) ;(00111010) (72) (58) (3A) ;(10010011) (223) (147) (93) ; -;2128;(00010001) (21) (17) (11) ;(11100110) (346) (230) (E6) ;(10000000) (200) (128) (80) ;(11101110) (356) (238) (EE) ;(10000000) (200) (128) (80) ;(00110010) (62) (50) (32) ;(10010011) (223) (147) (93) ;(00010001) (21) (17) (11) ; -;2136;(11000011) (303) (195) (C3) ;(11101110) (356) (238) (EE) ;(00000111) (7) (7) (07) ;(00101010) (52) (42) (2A) ;(01110001) (161) (113) (71) ;(00010001) (21) (17) (11) ;(01011100) (134) (92) (5C) ;(00010110) (26) (22) (16) ; -;2144;(00000000) (0) (0) (00) ;(00100001) (41) (33) (21) ;(01110011) (163) (115) (73) ;(00010001) (21) (17) (11) ;(00011001) (31) (25) (19) ;(11101011) (353) (235) (EB) ;(00011010) (32) (26) (1A) ;(10110111) (267) (183) (B7) ; -;2152;(00000001) (1) (1) (01) ;(00101000) (50) (40) (28) ;(00000000) (0) (0) (00) ;(00101010) (52) (42) (2A) ;(01110001) (161) (113) (71) ;(00010001) (21) (17) (11) ;(11000010) (302) (194) (C2) ;(01111010) (172) (122) (7A) ; -;2160;(00001000) (10) (8) (08) ;(00010011) (23) (19) (13) ;(00011010) (32) (26) (1A) ;(10110111) (267) (183) (B7) ;(11001010) (312) (202) (CA) ;(01111101) (175) (125) (7D) ;(00001000) (10) (8) (08) ;(11000011) (303) (195) (C3) ; -;2168;(01111011) (173) (123) (7B) ;(00001000) (10) (8) (08) ;(00100101) (45) (37) (25) ;(00001110) (16) (14) (0E) ;(01010000) (120) (80) (50) ;(00101110) (56) (46) (2E) ;(00000000) (0) (0) (00) ;(11001101) (315) (205) (CD) ; -;2176;(10110100) (264) (180) (B4) ;(00001111) (17) (15) (0F) ;(11010001) (321) (209) (D1) ;(11010101) (325) (213) (D5) ;(11000101) (305) (197) (C5) ;(11001101) (315) (205) (CD) ;(10100110) (246) (166) (A6) ;(00001101) (15) (13) (0D) ; -;2184;(11101101) (355) (237) (ED) ;(10110000) (260) (176) (B0) ;(11000001) (301) (193) (C1) ;(11100001) (341) (225) (E1) ;(11100101) (345) (229) (E5) ;(01000001) (101) (65) (41) ;(01111110) (176) (126) (7E) ;(11001101) (315) (205) (CD) ; -;2192;(11001110) (316) (206) (CE) ;(00001011) (13) (11) (0B) ;(01110111) (167) (119) (77) ;(00100011) (43) (35) (23) ;(00010000) (20) (16) (10) ;(11111000) (370) (248) (F8) ;(00110110) (66) (54) (36) ;(00001101) (15) (13) (0D) ; -;2200;(00101011) (53) (43) (2B) ;(01111110) (176) (126) (7E) ;(11111110) (376) (254) (FE) ;(00100000) (40) (32) (20) ;(00101000) (50) (40) (28) ;(11111000) (370) (248) (F8) ;(11001101) (315) (205) (CD) ;(00000110) (6) (6) (06) ; -;2208;(00000000) (0) (0) (00) ;(11010001) (321) (209) (D1) ;(11100001) (341) (225) (E1) ;(11000001) (301) (193) (C1) ;(11110001) (361) (241) (F1) ;(11001001) (311) (201) (C9) ;(00111010) (72) (58) (3A) ;(10010011) (223) (147) (93) ; -;2216;(00010001) (21) (17) (11) ;(10110111) (267) (183) (B7) ;(11111010) (372) (250) (FA) ;(01000010) (102) (66) (42) ;(00001000) (10) (8) (08) ;(11001010) (312) (202) (CA) ;(01000010) (102) (66) (42) ;(00001000) (10) (8) (08) ; -;2224;(11000011) (303) (195) (C3) ;(00110001) (61) (49) (31) ;(00001000) (10) (8) (08) ;(11100001) (341) (225) (E1) ;(11100101) (345) (229) (E5) ;(00110110) (66) (54) (36) ;(00011011) (33) (27) (1B) ;(00100011) (43) (35) (23) ; -;2232;(00110110) (66) (54) (36) ;(00001101) (15) (13) (0D) ;(11000011) (303) (195) (C3) ;(10011110) (236) (158) (9E) ;(00001000) (10) (8) (08) ;(11001101) (315) (205) (CD) ;(11001010) (312) (202) (CA) ;(00001000) (10) (8) (08) ; -;2240;(11111110) (376) (254) (FE) ;(11110000) (360) (240) (F0) ;(00100000) (40) (32) (20) ;(00000010) (2) (2) (02) ;(10101111) (257) (175) (AF) ;(11001001) (311) (201) (C9) ;(11001101) (315) (205) (CD) ;(11001110) (316) (206) (CE) ; -;2248;(00001011) (13) (11) (0B) ;(11001001) (311) (201) (C9) ;(11000101) (305) (197) (C5) ;(11010101) (325) (213) (D5) ;(11100101) (345) (229) (E5) ;(11001101) (315) (205) (CD) ;(01010000) (120) (80) (50) ;(00001010) (12) (10) (0A) ; -;2256;(01111000) (170) (120) (78) ;(00000111) (7) (7) (07) ;(00111000) (70) (56) (38) ;(00000110) (6) (6) (06) ;(00111110) (76) (62) (3E) ;(11110000) (360) (240) (F0) ;(11100001) (341) (225) (E1) ;(11010001) (321) (209) (D1) ; -;2264;(11000001) (301) (193) (C1) ;(11001001) (311) (201) (C9) ;(00000111) (7) (7) (07) ;(11010010) (322) (210) (D2) ;(11101100) (354) (236) (EC) ;(00001000) (10) (8) (08) ;(00000110) (6) (6) (06) ;(00000000) (0) (0) (00) ; -;2272;(00100001) (41) (33) (21) ;(00001000) (10) (8) (08) ;(00000000) (0) (0) (00) ;(00001001) (11) (9) (09) ;(00010001) (21) (17) (11) ;(11001001) (311) (201) (C9) ;(00001010) (12) (10) (0A) ;(00011001) (31) (25) (19) ; -;2280;(01111110) (176) (126) (7E) ;(11000011) (303) (195) (C3) ;(11010110) (326) (214) (D6) ;(00001000) (10) (8) (08) ;(00111010) (72) (58) (3A) ;(01110000) (160) (112) (70) ;(00010001) (21) (17) (11) ;(10110111) (267) (183) (B7) ; -;2288;(11000010) (302) (194) (C2) ;(11111101) (375) (253) (FD) ;(00001000) (10) (8) (08) ;(00000110) (6) (6) (06) ;(00000000) (0) (0) (00) ;(00100001) (41) (33) (21) ;(11001001) (311) (201) (C9) ;(00001010) (12) (10) (0A) ; -;2296;(00001001) (11) (9) (09) ;(01111110) (176) (126) (7E) ;(11000011) (303) (195) (C3) ;(11010110) (326) (214) (D6) ;(00001000) (10) (8) (08) ;(01111001) (171) (121) (79) ;(11100110) (346) (230) (E6) ;(11110000) (360) (240) (F0) ; -;2304;(00001111) (17) (15) (0F) ;(01000111) (107) (71) (47) ;(01111001) (171) (121) (79) ;(11100110) (346) (230) (E6) ;(00001111) (17) (15) (0F) ;(10000000) (200) (128) (80) ;(11000110) (306) (198) (C6) ;(10100000) (240) (160) (A0) ; -;2312;(01101111) (157) (111) (6F) ;(00100110) (46) (38) (26) ;(00000000) (0) (0) (00) ;(11000011) (303) (195) (C3) ;(11100100) (344) (228) (E4) ;(00001000) (10) (8) (08) ;(10101111) (257) (175) (AF) ;(00110010) (62) (50) (32) ; -;2320;(10010100) (224) (148) (94) ;(00010001) (21) (17) (11) ;(00111110) (76) (62) (3E) ;(11001101) (315) (205) (CD) ;(11001101) (315) (205) (CD) ;(11011100) (334) (220) (DC) ;(00001101) (15) (13) (0D) ;(11001001) (311) (201) (C9) ; -;2328;(00111010) (72) (58) (3A) ;(10010100) (224) (148) (94) ;(00010001) (21) (17) (11) ;(10110111) (267) (183) (B7) ;(11001000) (310) (200) (C8) ;(11000011) (303) (195) (C3) ;(00000110) (6) (6) (06) ;(00000000) (0) (0) (00) ; -;2336;(00111110) (76) (62) (3E) ;(00100000) (40) (32) (20) ;(11001101) (315) (205) (CD) ;(00110101) (65) (53) (35) ;(00001001) (11) (9) (09) ;(11001001) (311) (201) (C9) ;(11001101) (315) (205) (CD) ;(00001100) (14) (12) (0C) ; -;2344;(00000000) (0) (0) (00) ;(00111010) (72) (58) (3A) ;(10010100) (224) (148) (94) ;(00010001) (21) (17) (11) ;(10110111) (267) (183) (B7) ;(11001000) (310) (200) (C8) ;(11010110) (326) (214) (D6) ;(00001010) (12) (10) (0A) ; -;2352;(00111000) (70) (56) (38) ;(11110100) (364) (244) (F4) ;(00100000) (40) (32) (20) ;(11111010) (372) (250) (FA) ;(11001001) (311) (201) (C9) ;(11111110) (376) (254) (FE) ;(00001101) (15) (13) (0D) ;(11001010) (312) (202) (CA) ; -;2360;(00001110) (16) (14) (0E) ;(00001001) (11) (9) (09) ;(11000101) (305) (197) (C5) ;(01001111) (117) (79) (4F) ;(01000111) (107) (71) (47) ;(11001101) (315) (205) (CD) ;(10100110) (246) (166) (A6) ;(00001101) (15) (13) (0D) ; -;2368;(11001101) (315) (205) (CD) ;(01000110) (106) (70) (46) ;(00001001) (11) (9) (09) ;(01111000) (170) (120) (78) ;(11000001) (301) (193) (C1) ;(11001001) (311) (201) (C9) ;(01111001) (171) (121) (79) ;(11001101) (315) (205) (CD) ; -;2376;(10111001) (271) (185) (B9) ;(00001011) (13) (11) (0B) ;(01001111) (117) (79) (4F) ;(11100110) (346) (230) (E6) ;(11110000) (360) (240) (F0) ;(11111110) (376) (254) (FE) ;(11110000) (360) (240) (F0) ;(11001000) (310) (200) (C8) ; -;2384;(11111110) (376) (254) (FE) ;(11000000) (300) (192) (C0) ;(01111001) (171) (121) (79) ;(11000010) (302) (194) (C2) ;(01110000) (160) (112) (70) ;(00001001) (11) (9) (09) ;(11111110) (376) (254) (FE) ;(11000111) (307) (199) (C7) ; -;2392;(11010010) (322) (210) (D2) ;(01110000) (160) (112) (70) ;(00001001) (11) (9) (09) ;(11001101) (315) (205) (CD) ;(11011100) (334) (220) (DC) ;(00001101) (15) (13) (0D) ;(11111110) (376) (254) (FE) ;(11000011) (303) (195) (C3) ; -;2400;(11001010) (312) (202) (CA) ;(01110011) (163) (115) (73) ;(00001001) (11) (9) (09) ;(11111110) (376) (254) (FE) ;(11000101) (305) (197) (C5) ;(11001010) (312) (202) (CA) ;(01101011) (153) (107) (6B) ;(00001001) (11) (9) (09) ; -;2408;(11111110) (376) (254) (FE) ;(11000110) (306) (198) (C6) ;(11000000) (300) (192) (C0) ;(10101111) (257) (175) (AF) ;(00110010) (62) (50) (32) ;(10010100) (224) (148) (94) ;(00010001) (21) (17) (11) ;(11001001) (311) (201) (C9) ; -;2416;(11001101) (315) (205) (CD) ;(10110101) (265) (181) (B5) ;(00001101) (15) (13) (0D) ;(00111010) (72) (58) (3A) ;(10010100) (224) (148) (94) ;(00010001) (21) (17) (11) ;(00111100) (74) (60) (3C) ;(11111110) (376) (254) (FE) ; -;2424;(01010000) (120) (80) (50) ;(00111000) (70) (56) (38) ;(00000010) (2) (2) (02) ;(11010110) (326) (214) (D6) ;(01010000) (120) (80) (50) ;(00110010) (62) (50) (32) ;(10010100) (224) (148) (94) ;(00010001) (21) (17) (11) ; -;2432;(11001001) (311) (201) (C9) ;(11110101) (365) (245) (F5) ;(11000101) (305) (197) (C5) ;(11010101) (325) (213) (D5) ;(00000110) (6) (6) (06) ;(00000101) (5) (5) (05) ;(11001101) (315) (205) (CD) ;(10100110) (246) (166) (A6) ; -;2440;(00001101) (15) (13) (0D) ;(00011010) (32) (26) (1A) ;(11111110) (376) (254) (FE) ;(00001101) (15) (13) (0D) ;(11001010) (312) (202) (CA) ;(11011111) (337) (223) (DF) ;(00001111) (17) (15) (0F) ;(01001111) (117) (79) (4F) ; -;2448;(11001101) (315) (205) (CD) ;(01000110) (106) (70) (46) ;(00001001) (11) (9) (09) ;(00010011) (23) (19) (13) ;(00010000) (20) (16) (10) ;(11110011) (363) (243) (F3) ;(11000011) (303) (195) (C3) ;(10000100) (204) (132) (84) ; -;2456;(00001001) (11) (9) (09) ;(11110101) (365) (245) (F5) ;(11000101) (305) (197) (C5) ;(11010101) (325) (213) (D5) ;(00000110) (6) (6) (06) ;(00000101) (5) (5) (05) ;(11001101) (315) (205) (CD) ;(10100110) (246) (166) (A6) ; -;2464;(00001101) (15) (13) (0D) ;(00011010) (32) (26) (1A) ;(11111110) (376) (254) (FE) ;(00001101) (15) (13) (0D) ;(11001010) (312) (202) (CA) ;(11011111) (337) (223) (DF) ;(00001111) (17) (15) (0F) ;(11001101) (315) (205) (CD) ; -;2472;(10111001) (271) (185) (B9) ;(00001011) (13) (11) (0B) ;(11001101) (315) (205) (CD) ;(01110000) (160) (112) (70) ;(00001001) (11) (9) (09) ;(00010011) (23) (19) (13) ;(00010000) (20) (16) (10) ;(11110001) (361) (241) (F1) ; -;2480;(11000011) (303) (195) (C3) ;(10011100) (234) (156) (9C) ;(00001001) (11) (9) (09) ;(11000101) (305) (197) (C5) ;(11010101) (325) (213) (D5) ;(11100101) (345) (229) (E5) ;(11001101) (315) (205) (CD) ;(10110001) (261) (177) (B1) ; -;2488;(00001111) (17) (15) (0F) ;(11001101) (315) (205) (CD) ;(10100110) (246) (166) (A6) ;(00001101) (15) (13) (0D) ;(01111110) (176) (126) (7E) ;(00110010) (62) (50) (32) ;(10001110) (216) (142) (8E) ;(00010001) (21) (17) (11) ; -;2496;(00100010) (42) (34) (22) ;(10001111) (217) (143) (8F) ;(00010001) (21) (17) (11) ;(00100001) (41) (33) (21) ;(10010010) (222) (146) (92) ;(00010001) (21) (17) (11) ;(00110110) (66) (54) (36) ;(11101111) (357) (239) (EF) ; -;2504;(10101111) (257) (175) (AF) ;(00110010) (62) (50) (32) ;(00000000) (0) (0) (00) ;(11100000) (340) (224) (E0) ;(00110010) (62) (50) (32) ;(10010001) (221) (145) (91) ;(00010001) (21) (17) (11) ;(00101111) (57) (47) (2F) ; -;2512;(00110010) (62) (50) (32) ;(00000000) (0) (0) (00) ;(11100000) (340) (224) (E0) ;(00010110) (26) (22) (16) ;(00010100) (24) (20) (14) ;(11001101) (315) (205) (CD) ;(11111111) (377) (255) (FF) ;(00001001) (11) (9) (09) ; -;2520;(11001101) (315) (205) (CD) ;(01010000) (120) (80) (50) ;(00001010) (12) (10) (0A) ;(01111000) (170) (120) (78) ;(00000111) (7) (7) (07) ;(11011010) (332) (218) (DA) ;(11010011) (323) (211) (D3) ;(00001001) (11) (9) (09) ; -;2528;(00010101) (25) (21) (15) ;(11000010) (302) (194) (C2) ;(11010101) (325) (213) (D5) ;(00001001) (11) (9) (09) ;(11001101) (315) (205) (CD) ;(11111111) (377) (255) (FF) ;(00001001) (11) (9) (09) ;(11001101) (315) (205) (CD) ; -;2536;(11001010) (312) (202) (CA) ;(00001000) (10) (8) (08) ;(11111110) (376) (254) (FE) ;(11110000) (360) (240) (F0) ;(11001010) (312) (202) (CA) ;(11100100) (344) (228) (E4) ;(00001001) (11) (9) (09) ;(11110101) (365) (245) (F5) ; -;2544;(11001101) (315) (205) (CD) ;(10100110) (246) (166) (A6) ;(00001101) (15) (13) (0D) ;(00111010) (72) (58) (3A) ;(10001110) (216) (142) (8E) ;(00010001) (21) (17) (11) ;(00101010) (52) (42) (2A) ;(10001111) (217) (143) (8F) ; -;2552;(00010001) (21) (17) (11) ;(01110111) (167) (119) (77) ;(11110001) (361) (241) (F1) ;(11100001) (341) (225) (E1) ;(11010001) (321) (209) (D1) ;(11000001) (301) (193) (C1) ;(11001001) (311) (201) (C9) ;(11110101) (365) (245) (F5) ; -;2560;(11100101) (345) (229) (E5) ;(00111010) (72) (58) (3A) ;(00000010) (2) (2) (02) ;(11100000) (340) (224) (E0) ;(00000111) (7) (7) (07) ;(00000111) (7) (7) (07) ;(11011010) (332) (218) (DA) ;(00100101) (45) (37) (25) ; -;2568;(00001010) (12) (10) (0A) ;(00111010) (72) (58) (3A) ;(10010001) (221) (145) (91) ;(00010001) (21) (17) (11) ;(00001111) (17) (15) (0F) ;(11011010) (332) (218) (DA) ;(00100010) (42) (34) (22) ;(00001010) (12) (10) (0A) ; -;2576;(00111010) (72) (58) (3A) ;(10010010) (222) (146) (92) ;(00010001) (21) (17) (11) ;(00101010) (52) (42) (2A) ;(10001111) (217) (143) (8F) ;(00010001) (21) (17) (11) ;(11001101) (315) (205) (CD) ;(10100110) (246) (166) (A6) ; -;2584;(00001101) (15) (13) (0D) ;(01110111) (167) (119) (77) ;(00111010) (72) (58) (3A) ;(10010001) (221) (145) (91) ;(00010001) (21) (17) (11) ;(11101110) (356) (238) (EE) ;(00000001) (1) (1) (01) ;(00110010) (62) (50) (32) ; -;2592;(10010001) (221) (145) (91) ;(00010001) (21) (17) (11) ;(11100001) (341) (225) (E1) ;(11110001) (361) (241) (F1) ;(11001001) (311) (201) (C9) ;(00111010) (72) (58) (3A) ;(10010001) (221) (145) (91) ;(00010001) (21) (17) (11) ; -;2600;(00001111) (17) (15) (0F) ;(11010010) (322) (210) (D2) ;(00100010) (42) (34) (22) ;(00001010) (12) (10) (0A) ;(00111010) (72) (58) (3A) ;(10001110) (216) (142) (8E) ;(00010001) (21) (17) (11) ;(11000011) (303) (195) (C3) ; -;2608;(00010011) (23) (19) (13) ;(00001010) (12) (10) (0A) ;(00111110) (76) (62) (3E) ;(11111000) (370) (248) (F8) ;(00110010) (62) (50) (32) ;(00000000) (0) (0) (00) ;(11100000) (340) (224) (E0) ;(00000000) (0) (0) (00) ; -;2616;(00111010) (72) (58) (3A) ;(00000001) (1) (1) (01) ;(11100000) (340) (224) (E0) ;(00101111) (57) (47) (2F) ;(11100110) (346) (230) (E6) ;(00100001) (41) (33) (21) ;(11000010) (302) (194) (C2) ;(01000100) (104) (68) (44) ; -;2624;(00001010) (12) (10) (0A) ;(11000110) (306) (198) (C6) ;(00000001) (1) (1) (01) ;(11001001) (311) (201) (C9) ;(00111110) (76) (62) (3E) ;(11111001) (371) (249) (F9) ;(00110010) (62) (50) (32) ;(00000000) (0) (0) (00) ; -;2632;(11100000) (340) (224) (E0) ;(00000000) (0) (0) (00) ;(00111010) (72) (58) (3A) ;(00000001) (1) (1) (01) ;(11100000) (340) (224) (E0) ;(11100110) (346) (230) (E6) ;(00001000) (10) (8) (08) ;(11001001) (311) (201) (C9) ; -;2640;(11010101) (325) (213) (D5) ;(11100101) (345) (229) (E5) ;(00000110) (6) (6) (06) ;(11111010) (372) (250) (FA) ;(00010110) (26) (22) (16) ;(00000000) (0) (0) (00) ;(00000101) (5) (5) (05) ;(01111000) (170) (120) (78) ; -;2648;(00110010) (62) (50) (32) ;(00000000) (0) (0) (00) ;(11100000) (340) (224) (E0) ;(11111110) (376) (254) (FE) ;(11101111) (357) (239) (EF) ;(11000010) (302) (194) (C2) ;(01100100) (144) (100) (64) ;(00001010) (12) (10) (0A) ; -;2656;(01000010) (102) (66) (42) ;(11010001) (321) (209) (D1) ;(11100001) (341) (225) (E1) ;(11001001) (311) (201) (C9) ;(11111110) (376) (254) (FE) ;(11111000) (370) (248) (F8) ;(11001010) (312) (202) (CA) ;(10110010) (262) (178) (B2) ; -;2664;(00001010) (12) (10) (0A) ;(00111010) (72) (58) (3A) ;(00000001) (1) (1) (01) ;(11100000) (340) (224) (E0) ;(00101111) (57) (47) (2F) ;(10110111) (267) (183) (B7) ;(11001010) (312) (202) (CA) ;(01010110) (126) (86) (56) ; -;2672;(00001010) (12) (10) (0A) ;(01011111) (137) (95) (5F) ;(01111010) (172) (122) (7A) ;(11110110) (366) (246) (F6) ;(10000000) (200) (128) (80) ;(01010111) (127) (87) (57) ;(00100001) (41) (33) (21) ;(10101101) (255) (173) (AD) ; -;2680;(00001010) (12) (10) (0A) ;(01111000) (170) (120) (78) ;(11100110) (346) (230) (E6) ;(00001111) (17) (15) (0F) ;(00000111) (7) (7) (07) ;(00000111) (7) (7) (07) ;(00000111) (7) (7) (07) ;(00000111) (7) (7) (07) ; -;2688;(01001111) (117) (79) (4F) ;(01111011) (173) (123) (7B) ;(00000111) (7) (7) (07) ;(00110000) (60) (48) (30) ;(00000011) (3) (3) (03) ;(00111110) (76) (62) (3E) ;(00000111) (7) (7) (07) ;(11101001) (351) (233) (E9) ; -;2696;(00000111) (7) (7) (07) ;(00110000) (60) (48) (30) ;(00000011) (3) (3) (03) ;(00111110) (76) (62) (3E) ;(00000110) (6) (6) (06) ;(11101001) (351) (233) (E9) ;(00000111) (7) (7) (07) ;(00110000) (60) (48) (30) ; -;2704;(00000011) (3) (3) (03) ;(00111110) (76) (62) (3E) ;(00000101) (5) (5) (05) ;(11101001) (351) (233) (E9) ;(00000111) (7) (7) (07) ;(00110000) (60) (48) (30) ;(00000011) (3) (3) (03) ;(00111110) (76) (62) (3E) ; -;2712;(00000100) (4) (4) (04) ;(11101001) (351) (233) (E9) ;(00000111) (7) (7) (07) ;(00110000) (60) (48) (30) ;(00000011) (3) (3) (03) ;(00111110) (76) (62) (3E) ;(00000011) (3) (3) (03) ;(11101001) (351) (233) (E9) ; -;2720;(00000111) (7) (7) (07) ;(00110000) (60) (48) (30) ;(00000011) (3) (3) (03) ;(00111110) (76) (62) (3E) ;(00000010) (2) (2) (02) ;(11101001) (351) (233) (E9) ;(00000111) (7) (7) (07) ;(00110000) (60) (48) (30) ; -;2728;(00000011) (3) (3) (03) ;(00111110) (76) (62) (3E) ;(00000001) (1) (1) (01) ;(11101001) (351) (233) (E9) ;(10101111) (257) (175) (AF) ;(10000001) (201) (129) (81) ;(01001111) (117) (79) (4F) ;(11000011) (303) (195) (C3) ; -;2736;(01010110) (126) (86) (56) ;(00001010) (12) (10) (0A) ;(00111010) (72) (58) (3A) ;(00000001) (1) (1) (01) ;(11100000) (340) (224) (E0) ;(00101111) (57) (47) (2F) ;(01011111) (137) (95) (5F) ;(11100110) (346) (230) (E6) ; -;2744;(00100001) (41) (33) (21) ;(11001010) (312) (202) (CA) ;(11000000) (300) (192) (C0) ;(00001010) (12) (10) (0A) ;(01111010) (172) (122) (7A) ;(11110110) (366) (246) (F6) ;(01000000) (100) (64) (40) ;(01010111) (127) (87) (57) ; -;2752;(01111011) (173) (123) (7B) ;(11100110) (346) (230) (E6) ;(11011110) (336) (222) (DE) ;(11001010) (312) (202) (CA) ;(01010110) (126) (86) (56) ;(00001010) (12) (10) (0A) ;(11000011) (303) (195) (C3) ;(01110001) (161) (113) (71) ; -;2760;(00001010) (12) (10) (0A) ;(00100001) (41) (33) (21) ;(00100011) (43) (35) (23) ;(00100101) (45) (37) (25) ;(00100111) (47) (39) (27) ;(00101001) (51) (41) (29) ;(00101010) (52) (42) (2A) ;(00011101) (35) (29) (1D) ; -;2768;(00011111) (37) (31) (1F) ;(01100001) (141) (97) (61) ;(01100011) (143) (99) (63) ;(01100101) (145) (101) (65) ;(01100111) (147) (103) (67) ;(01101001) (151) (105) (69) ;(01101010) (152) (106) (6A) ;(01011101) (135) (93) (5D) ; -;2776;(01011111) (137) (95) (5F) ;(00100010) (42) (34) (22) ;(00100100) (44) (36) (24) ;(00100110) (46) (38) (26) ;(00101000) (50) (40) (28) ;(00100000) (40) (32) (20) ;(00011100) (34) (28) (1C) ;(00011110) (36) (30) (1E) ; -;2784;(11010001) (321) (209) (D1) ;(01100010) (142) (98) (62) ;(01100100) (144) (100) (64) ;(01100110) (146) (102) (66) ;(01101000) (150) (104) (68) ;(01100000) (140) (96) (60) ;(01011100) (134) (92) (5C) ;(01011110) (136) (94) (5E) ; -;2792;(11010000) (320) (208) (D0) ;(00010001) (21) (17) (11) ;(00000101) (5) (5) (05) ;(00010100) (24) (20) (14) ;(00010101) (25) (21) (15) ;(00001111) (17) (15) (0F) ;(00101011) (53) (43) (2B) ;(00110001) (61) (49) (31) ; -;2800;(00110011) (63) (51) (33) ;(01010001) (121) (81) (51) ;(01000101) (105) (69) (45) ;(01010100) (124) (84) (54) ;(01010101) (125) (85) (55) ;(01001111) (117) (79) (4F) ;(01101011) (153) (107) (6B) ;(01110001) (161) (113) (71) ; -;2808;(01110011) (163) (115) (73) ;(00010111) (27) (23) (17) ;(00010010) (22) (18) (12) ;(00011001) (31) (25) (19) ;(00001001) (11) (9) (09) ;(00010000) (20) (16) (10) ;(00110000) (60) (48) (30) ;(00110010) (62) (50) (32) ; -;2816;(11010011) (323) (211) (D3) ;(01010111) (127) (87) (57) ;(01010010) (122) (82) (52) ;(01011001) (131) (89) (59) ;(01001001) (111) (73) (49) ;(01010000) (120) (80) (50) ;(01110000) (160) (112) (70) ;(01110010) (162) (114) (72) ; -;2824;(11010010) (322) (210) (D2) ;(00000001) (1) (1) (01) ;(00000100) (4) (4) (04) ;(00000111) (7) (7) (07) ;(00001010) (12) (10) (0A) ;(00001100) (14) (12) (0C) ;(00011011) (33) (27) (1B) ;(00110101) (65) (53) (35) ; -;2832;(00110111) (67) (55) (37) ;(01000001) (101) (65) (41) ;(01000100) (104) (68) (44) ;(01000111) (107) (71) (47) ;(01001010) (112) (74) (4A) ;(01001100) (114) (76) (4C) ;(01011011) (133) (91) (5B) ;(01110101) (165) (117) (75) ; -;2840;(01110111) (167) (119) (77) ;(00010011) (23) (19) (13) ;(00000110) (6) (6) (06) ;(00001000) (10) (8) (08) ;(00001011) (13) (11) (0B) ;(00101100) (54) (44) (2C) ;(00110100) (64) (52) (34) ;(00110110) (66) (54) (36) ; -;2848;(11010101) (325) (213) (D5) ;(01010011) (123) (83) (53) ;(01000110) (106) (70) (46) ;(01001000) (110) (72) (48) ;(01001011) (113) (75) (4B) ;(01101100) (154) (108) (6C) ;(01110100) (164) (116) (74) ;(01110110) (166) (118) (76) ; -;2856;(11010100) (324) (212) (D4) ;(00011010) (32) (26) (1A) ;(00000011) (3) (3) (03) ;(00000010) (2) (2) (02) ;(00001101) (15) (13) (0D) ;(00101110) (56) (46) (2E) ;(11001001) (311) (201) (C9) ;(00111001) (71) (57) (39) ; -;2864;(00111011) (73) (59) (3B) ;(01011010) (132) (90) (5A) ;(01000011) (103) (67) (43) ;(01000010) (102) (66) (42) ;(01001101) (115) (77) (4D) ;(01101110) (156) (110) (6E) ;(11001010) (312) (202) (CA) ;(01111001) (171) (121) (79) ; -;2872;(01111011) (173) (123) (7B) ;(00011000) (30) (24) (18) ;(00010110) (26) (22) (16) ;(00001110) (16) (14) (0E) ;(00101111) (57) (47) (2F) ;(00101101) (55) (45) (2D) ;(00111000) (70) (56) (38) ;(00111010) (72) (58) (3A) ; -;2880;(11010111) (327) (215) (D7) ;(01011000) (130) (88) (58) ;(01010110) (126) (86) (56) ;(01001110) (116) (78) (4E) ;(01101111) (157) (111) (6F) ;(01101101) (155) (109) (6D) ;(01111000) (170) (120) (78) ;(01111010) (172) (122) (7A) ; -;2888;(11010110) (326) (214) (D6) ;(11110000) (360) (240) (F0) ;(11000111) (307) (199) (C7) ;(11110000) (360) (240) (F0) ;(11000011) (303) (195) (C3) ;(11001101) (315) (205) (CD) ;(11110000) (360) (240) (F0) ;(00111101) (75) (61) (3D) ; -;2896;(00111111) (77) (63) (3F) ;(11110000) (360) (240) (F0) ;(11001000) (310) (200) (C8) ;(11110000) (360) (240) (F0) ;(11000100) (304) (196) (C4) ;(11001101) (315) (205) (CD) ;(11110000) (360) (240) (F0) ;(01111101) (175) (125) (7D) ; -;2904;(01111111) (177) (127) (7F) ;(11000101) (305) (197) (C5) ;(00000000) (0) (0) (00) ;(11000001) (301) (193) (C1) ;(11110000) (360) (240) (F0) ;(11110000) (360) (240) (F0) ;(00111100) (74) (60) (3C) ;(00111110) (76) (62) (3E) ; -;2912;(11011100) (334) (220) (DC) ;(11000110) (306) (198) (C6) ;(00000000) (0) (0) (00) ;(11000010) (302) (194) (C2) ;(11001011) (313) (203) (CB) ;(11110000) (360) (240) (F0) ;(01111100) (174) (124) (7C) ;(01111110) (176) (126) (7E) ; -;2920;(11011000) (330) (216) (D8) ;(10100001) (241) (161) (A1) ;(10100011) (243) (163) (A3) ;(10100101) (245) (165) (A5) ;(10100111) (247) (167) (A7) ;(10101001) (251) (169) (A9) ;(10101010) (252) (170) (AA) ;(10011101) (235) (157) (9D) ; -;2928;(10011111) (237) (159) (9F) ;(10100010) (242) (162) (A2) ;(10100100) (244) (164) (A4) ;(10100110) (246) (166) (A6) ;(10101000) (250) (168) (A8) ;(10100000) (240) (160) (A0) ;(10011100) (234) (156) (9C) ;(10011110) (236) (158) (9E) ; -;2936;(11011101) (335) (221) (DD) ;(10010001) (221) (145) (91) ;(10000101) (205) (133) (85) ;(10010100) (224) (148) (94) ;(10010101) (225) (149) (95) ;(10001111) (217) (143) (8F) ;(10101011) (253) (171) (AB) ;(10110001) (261) (177) (B1) ; -;2944;(10110011) (263) (179) (B3) ;(10010111) (227) (151) (97) ;(10010010) (222) (146) (92) ;(10011001) (231) (153) (99) ;(10001001) (211) (137) (89) ;(10010000) (220) (144) (90) ;(10110000) (260) (176) (B0) ;(10110010) (262) (178) (B2) ; -;2952;(11011110) (336) (222) (DE) ;(10000001) (201) (129) (81) ;(10000100) (204) (132) (84) ;(10000111) (207) (135) (87) ;(10001010) (212) (138) (8A) ;(10001100) (214) (140) (8C) ;(10011011) (233) (155) (9B) ;(10110101) (265) (181) (B5) ; -;2960;(10110111) (267) (183) (B7) ;(10010011) (223) (147) (93) ;(10000110) (206) (134) (86) ;(10001000) (210) (136) (88) ;(10001011) (213) (139) (8B) ;(10101100) (254) (172) (AC) ;(10110100) (264) (180) (B4) ;(10110110) (266) (182) (B6) ; -;2968;(11011001) (331) (217) (D9) ;(10011010) (232) (154) (9A) ;(10000011) (203) (131) (83) ;(10000010) (202) (130) (82) ;(10001101) (215) (141) (8D) ;(10101110) (256) (174) (AE) ;(11001001) (311) (201) (C9) ;(10111001) (271) (185) (B9) ; -;2976;(10111011) (273) (187) (BB) ;(10011000) (230) (152) (98) ;(10010110) (226) (150) (96) ;(10001110) (216) (142) (8E) ;(10101111) (257) (175) (AF) ;(10101101) (255) (173) (AD) ;(10111000) (270) (184) (B8) ;(10111010) (272) (186) (BA) ; -;2984;(11011010) (332) (218) (DA) ;(11110000) (360) (240) (F0) ;(11000111) (307) (199) (C7) ;(11110000) (360) (240) (F0) ;(11000011) (303) (195) (C3) ;(11001101) (315) (205) (CD) ;(11110000) (360) (240) (F0) ;(10111101) (275) (189) (BD) ; -;2992;(10111111) (277) (191) (BF) ;(11000101) (305) (197) (C5) ;(00000000) (0) (0) (00) ;(11000001) (301) (193) (C1) ;(11110000) (360) (240) (F0) ;(11110000) (360) (240) (F0) ;(10111100) (274) (188) (BC) ;(10111110) (276) (190) (BE) ; -;3000;(11011011) (333) (219) (DB) ;(11010110) (326) (214) (D6) ;(00010000) (20) (16) (10) ;(11010010) (322) (210) (D2) ;(11000001) (301) (193) (C1) ;(00001011) (13) (11) (0B) ;(00111110) (76) (62) (3E) ;(11110000) (360) (240) (F0) ; -;3008;(11001001) (311) (201) (C9) ;(11000101) (305) (197) (C5) ;(11100101) (345) (229) (E5) ;(00100001) (41) (33) (21) ;(11010110) (326) (214) (D6) ;(00001011) (13) (11) (0B) ;(01001111) (117) (79) (4F) ;(00000110) (6) (6) (06) ; -;3016;(00000000) (0) (0) (00) ;(00001001) (11) (9) (09) ;(01111110) (176) (126) (7E) ;(11100001) (341) (225) (E1) ;(11000001) (301) (193) (C1) ;(11001001) (311) (201) (C9) ;(11000101) (305) (197) (C5) ;(11100101) (345) (229) (E5) ; -;3024;(00100001) (41) (33) (21) ;(11000110) (306) (198) (C6) ;(00001100) (14) (12) (0C) ;(11000011) (303) (195) (C3) ;(11000110) (306) (198) (C6) ;(00001011) (13) (11) (0B) ;(11110000) (360) (240) (F0) ;(11000001) (301) (193) (C1) ; -;3032;(11000010) (302) (194) (C2) ;(11000011) (303) (195) (C3) ;(11000100) (304) (196) (C4) ;(11000101) (305) (197) (C5) ;(11000110) (306) (198) (C6) ;(11110000) (360) (240) (F0) ;(11110000) (360) (240) (F0) ;(11110000) (360) (240) (F0) ; -;3040;(11110000) (360) (240) (F0) ;(11110000) (360) (240) (F0) ;(11110000) (360) (240) (F0) ;(11110000) (360) (240) (F0) ;(11110000) (360) (240) (F0) ;(11110000) (360) (240) (F0) ;(00000000) (0) (0) (00) ;(01100001) (141) (97) (61) ; -;3048;(01100010) (142) (98) (62) ;(01100011) (143) (99) (63) ;(01100100) (144) (100) (64) ;(01100101) (145) (101) (65) ;(01100110) (146) (102) (66) ;(01100111) (147) (103) (67) ;(01101000) (150) (104) (68) ;(01101001) (151) (105) (69) ; -;3056;(01101011) (153) (107) (6B) ;(01101010) (152) (106) (6A) ;(00101111) (57) (47) (2F) ;(00101010) (52) (42) (2A) ;(00101110) (56) (46) (2E) ;(00101101) (55) (45) (2D) ;(00100000) (40) (32) (20) ;(00100001) (41) (33) (21) ; -;3064;(00100010) (42) (34) (22) ;(00100011) (43) (35) (23) ;(00100100) (44) (36) (24) ;(00100101) (45) (37) (25) ;(00100110) (46) (38) (26) ;(00100111) (47) (39) (27) ;(00101000) (50) (40) (28) ;(00101001) (51) (41) (29) ; -;3072;(01001111) (117) (79) (4F) ;(00101100) (54) (44) (2C) ;(01010001) (121) (81) (51) ;(00101011) (53) (43) (2B) ;(01010111) (127) (87) (57) ;(01001001) (111) (73) (49) ;(01010101) (125) (85) (55) ;(00000001) (1) (1) (01) ; -;3080;(00000010) (2) (2) (02) ;(00000011) (3) (3) (03) ;(00000100) (4) (4) (04) ;(00000101) (5) (5) (05) ;(00000110) (6) (6) (06) ;(00000111) (7) (7) (07) ;(00001000) (10) (8) (08) ;(00001001) (11) (9) (09) ; -;3088;(00001010) (12) (10) (0A) ;(00001011) (13) (11) (0B) ;(00001100) (14) (12) (0C) ;(00001101) (15) (13) (0D) ;(00001110) (16) (14) (0E) ;(00001111) (17) (15) (0F) ;(00010000) (20) (16) (10) ;(00010001) (21) (17) (11) ; -;3096;(00010010) (22) (18) (12) ;(00010011) (23) (19) (13) ;(00010100) (24) (20) (14) ;(00010101) (25) (21) (15) ;(00010110) (26) (22) (16) ;(00010111) (27) (23) (17) ;(00011000) (30) (24) (18) ;(00011001) (31) (25) (19) ; -;3104;(00011010) (32) (26) (1A) ;(01010010) (122) (82) (52) ;(01011001) (131) (89) (59) ;(01010100) (124) (84) (54) ;(01010000) (120) (80) (50) ;(01000101) (105) (69) (45) ;(11000111) (307) (199) (C7) ;(11001000) (310) (200) (C8) ; -;3112;(11001001) (311) (201) (C9) ;(11001010) (312) (202) (CA) ;(11001011) (313) (203) (CB) ;(11001100) (314) (204) (CC) ;(11001101) (315) (205) (CD) ;(11001110) (316) (206) (CE) ;(11001111) (317) (207) (CF) ;(11011111) (337) (223) (DF) ; -;3120;(11100111) (347) (231) (E7) ;(11101000) (350) (232) (E8) ;(11101001) (351) (233) (E9) ;(11101010) (352) (234) (EA) ;(11101100) (354) (236) (EC) ;(11101101) (355) (237) (ED) ;(11010000) (320) (208) (D0) ;(11010001) (321) (209) (D1) ; -;3128;(11010010) (322) (210) (D2) ;(11010011) (323) (211) (D3) ;(11010100) (324) (212) (D4) ;(11010101) (325) (213) (D5) ;(11010110) (326) (214) (D6) ;(11010111) (327) (215) (D7) ;(11011000) (330) (216) (D8) ;(11011001) (331) (217) (D9) ; -;3136;(11011010) (332) (218) (DA) ;(11011011) (333) (219) (DB) ;(11011100) (334) (220) (DC) ;(11011101) (335) (221) (DD) ;(11011110) (336) (222) (DE) ;(11000000) (300) (192) (C0) ;(00000000) (0) (0) (00) ;(10111101) (275) (189) (BD) ; -;3144;(10011101) (235) (157) (9D) ;(10110001) (261) (177) (B1) ;(10110101) (265) (181) (B5) ;(10111001) (271) (185) (B9) ;(10110100) (264) (180) (B4) ;(10011110) (236) (158) (9E) ;(10110010) (262) (178) (B2) ;(10110110) (266) (182) (B6) ; -;3152;(10111010) (272) (186) (BA) ;(10111110) (276) (190) (BE) ;(10011111) (237) (159) (9F) ;(10110011) (263) (179) (B3) ;(10110111) (267) (183) (B7) ;(10111011) (273) (187) (BB) ;(10111111) (277) (191) (BF) ;(10100011) (243) (163) (A3) ; -;3160;(10000101) (205) (133) (85) ;(10100100) (244) (164) (A4) ;(10100101) (245) (165) (A5) ;(10100110) (246) (166) (A6) ;(10010100) (224) (148) (94) ;(10000111) (207) (135) (87) ;(10001000) (210) (136) (88) ;(10011100) (234) (156) (9C) ; -;3168;(10000010) (202) (130) (82) ;(10011000) (230) (152) (98) ;(10000100) (204) (132) (84) ;(10010010) (222) (146) (92) ;(10010000) (220) (144) (90) ;(10000011) (203) (131) (83) ;(10010001) (221) (145) (91) ;(10000001) (201) (129) (81) ; -;3176;(10011010) (232) (154) (9A) ;(10010111) (227) (151) (97) ;(10010011) (223) (147) (93) ;(10010101) (225) (149) (95) ;(10001001) (211) (137) (89) ;(10100001) (241) (161) (A1) ;(10101111) (257) (175) (AF) ;(10001011) (213) (139) (8B) ; -;3184;(10000110) (206) (134) (86) ;(10010110) (226) (150) (96) ;(10100010) (242) (162) (A2) ;(10101011) (253) (171) (AB) ;(10101010) (252) (170) (AA) ;(10001010) (212) (138) (8A) ;(10001110) (216) (142) (8E) ;(10110000) (260) (176) (B0) ; -;3192;(10101101) (255) (173) (AD) ;(10001101) (215) (141) (8D) ;(10100111) (247) (167) (A7) ;(10101000) (250) (168) (A8) ;(10101001) (251) (169) (A9) ;(10001111) (217) (143) (8F) ;(10001100) (214) (140) (8C) ;(10101110) (256) (174) (AE) ; -;3200;(10101100) (254) (172) (AC) ;(10011011) (233) (155) (9B) ;(10100000) (240) (160) (A0) ;(10011001) (231) (153) (99) ;(10111100) (274) (188) (BC) ;(10111000) (270) (184) (B8) ;(00000000) (0) (0) (00) ;(00111011) (73) (59) (3B) ; -;3208;(00111010) (72) (58) (3A) ;(01110000) (160) (112) (70) ;(00111100) (74) (60) (3C) ;(01110001) (161) (113) (71) ;(01011010) (132) (90) (5A) ;(00111101) (75) (61) (3D) ;(01000011) (103) (67) (43) ;(01010110) (126) (86) (56) ; -;3216;(00111111) (77) (63) (3F) ;(00011110) (36) (30) (1E) ;(01001010) (112) (74) (4A) ;(00011100) (34) (28) (1C) ;(01011101) (135) (93) (5D) ;(00111110) (76) (62) (3E) ;(01011100) (134) (92) (5C) ;(00011111) (37) (31) (1F) ; -;3224;(01011111) (137) (95) (5F) ;(01011110) (136) (94) (5E) ;(00110111) (67) (55) (37) ;(01111011) (173) (123) (7B) ;(01111111) (177) (127) (7F) ;(00110110) (66) (54) (36) ;(01111010) (172) (122) (7A) ;(01111110) (176) (126) (7E) ; -;3232;(00110011) (63) (51) (33) ;(01001011) (113) (75) (4B) ;(01001100) (114) (76) (4C) ;(00011101) (35) (29) (1D) ;(01101100) (154) (108) (6C) ;(01011011) (133) (91) (5B) ;(01111000) (170) (120) (78) ;(01000001) (101) (65) (41) ; -;3240;(00110101) (65) (53) (35) ;(00110100) (64) (52) (34) ;(01110100) (164) (116) (74) ;(00110000) (60) (48) (30) ;(00111000) (70) (56) (38) ;(01110101) (165) (117) (75) ;(00111001) (71) (57) (39) ;(01001101) (115) (77) (4D) ; -;3248;(01101111) (157) (111) (6F) ;(01101110) (156) (110) (6E) ;(00110010) (62) (50) (32) ;(01110111) (167) (119) (77) ;(01110110) (166) (118) (76) ;(01110010) (162) (114) (72) ;(01110011) (163) (115) (73) ;(01000111) (107) (71) (47) ; -;3256;(01111100) (174) (124) (7C) ;(01010011) (123) (83) (53) ;(00110001) (61) (49) (31) ;(01001110) (116) (78) (4E) ;(01101101) (155) (109) (6D) ;(01001000) (110) (72) (48) ;(01000110) (106) (70) (46) ;(01111101) (175) (125) (7D) ; -;3264;(01000100) (104) (68) (44) ;(00011011) (33) (27) (1B) ;(01011000) (130) (88) (58) ;(01111001) (171) (121) (79) ;(01000010) (102) (66) (42) ;(01100000) (140) (96) (60) ;(00100000) (40) (32) (20) ;(01000001) (101) (65) (41) ; -;3272;(01000010) (102) (66) (42) ;(01000011) (103) (67) (43) ;(01000100) (104) (68) (44) ;(01000101) (105) (69) (45) ;(01000110) (106) (70) (46) ;(01000111) (107) (71) (47) ;(01001000) (110) (72) (48) ;(01001001) (111) (73) (49) ; -;3280;(01001010) (112) (74) (4A) ;(01001011) (113) (75) (4B) ;(01001100) (114) (76) (4C) ;(01001101) (115) (77) (4D) ;(01001110) (116) (78) (4E) ;(01001111) (117) (79) (4F) ;(01010000) (120) (80) (50) ;(01010001) (121) (81) (51) ; -;3288;(01010010) (122) (82) (52) ;(01010011) (123) (83) (53) ;(01010100) (124) (84) (54) ;(01010101) (125) (85) (55) ;(01010110) (126) (86) (56) ;(01010111) (127) (87) (57) ;(01011000) (130) (88) (58) ;(01011001) (131) (89) (59) ; -;3296;(01011010) (132) (90) (5A) ;(11111011) (373) (251) (FB) ;(11001101) (315) (205) (CD) ;(11011101) (335) (221) (DD) ;(11001011) (313) (203) (CB) ;(11010001) (321) (209) (D1) ;(00110000) (60) (48) (30) ;(00110001) (61) (49) (31) ; -;3304;(00110010) (62) (50) (32) ;(00110011) (63) (51) (33) ;(00110100) (64) (52) (34) ;(00110101) (65) (53) (35) ;(00110110) (66) (54) (36) ;(00110111) (67) (55) (37) ;(00111000) (70) (56) (38) ;(00111001) (71) (57) (39) ; -;3312;(00101101) (55) (45) (2D) ;(00111101) (75) (61) (3D) ;(00111011) (73) (59) (3B) ;(00101111) (57) (47) (2F) ;(00101110) (56) (46) (2E) ;(00101100) (54) (44) (2C) ;(11100101) (345) (229) (E5) ;(11110100) (364) (244) (F4) ; -;3320;(11101100) (354) (236) (EC) ;(11011010) (332) (218) (DA) ;(11100011) (343) (227) (E3) ;(11100010) (342) (226) (E2) ;(11010111) (327) (215) (D7) ;(11010100) (324) (212) (D4) ;(11100110) (346) (230) (E6) ;(11101000) (350) (232) (E8) ; -;3328;(11000010) (302) (194) (C2) ;(11000001) (301) (193) (C1) ;(11000100) (304) (196) (C4) ;(11000111) (307) (199) (C7) ;(11001111) (317) (207) (CF) ;(11001010) (312) (202) (CA) ;(00100000) (40) (32) (20) ;(11100001) (341) (225) (E1) ; -;3336;(11111110) (376) (254) (FE) ;(11001000) (310) (200) (C8) ;(11111010) (372) (250) (FA) ;(01011111) (137) (95) (5F) ;(11111000) (370) (248) (F8) ;(11110001) (361) (241) (F1) ;(11110111) (367) (247) (F7) ;(00111111) (77) (63) (3F) ; -;3344;(11001100) (314) (204) (CC) ;(11011011) (333) (219) (DB) ;(11011100) (334) (220) (DC) ;(11101001) (351) (233) (E9) ;(11110101) (365) (245) (F5) ;(00111010) (72) (58) (3A) ;(01011110) (136) (94) (5E) ;(00111100) (74) (60) (3C) ; -;3352;(01011011) (133) (91) (5B) ;(11110011) (363) (243) (F3) ;(01011101) (135) (93) (5D) ;(01000000) (100) (64) (40) ;(11001001) (311) (201) (C9) ;(00111110) (76) (62) (3E) ;(11111100) (374) (252) (FC) ;(01011100) (134) (92) (5C) ; -;3360;(11000110) (306) (198) (C6) ;(11011111) (337) (223) (DF) ;(11010000) (320) (208) (D0) ;(11001110) (316) (206) (CE) ;(11010011) (323) (211) (D3) ;(11010010) (322) (210) (D2) ;(11111111) (377) (255) (FF) ;(00100001) (41) (33) (21) ; -;3368;(00100010) (42) (34) (22) ;(00100011) (43) (35) (23) ;(00100100) (44) (36) (24) ;(00100101) (45) (37) (25) ;(00100110) (46) (38) (26) ;(00100111) (47) (39) (27) ;(00101000) (50) (40) (28) ;(00101001) (51) (41) (29) ; -;3376;(00101011) (53) (43) (2B) ;(00101010) (52) (42) (2A) ;(11011110) (336) (222) (DE) ;(11110110) (366) (246) (F6) ;(11101011) (353) (235) (EB) ;(11101010) (352) (234) (EA) ;(11000011) (303) (195) (C3) ;(11000101) (305) (197) (C5) ; -;3384;(11101111) (357) (239) (EF) ;(11110000) (360) (240) (F0) ;(11100100) (344) (228) (E4) ;(11100111) (347) (231) (E7) ;(11101110) (356) (238) (EE) ;(11101101) (355) (237) (ED) ;(11100000) (340) (224) (E0) ;(11111101) (375) (253) (FD) ; -;3392;(11011000) (330) (216) (D8) ;(11010101) (325) (213) (D5) ;(11110010) (362) (242) (F2) ;(11111001) (371) (249) (F9) ;(11011001) (331) (217) (D9) ;(11010110) (326) (214) (D6) ;(00100000) (40) (32) (20) ;(10100001) (241) (161) (A1) ; -;3400;(10011010) (232) (154) (9A) ;(10011111) (237) (159) (9F) ;(10011100) (234) (156) (9C) ;(10010010) (222) (146) (92) ;(10101010) (252) (170) (AA) ;(10010111) (227) (151) (97) ;(10011000) (230) (152) (98) ;(10100110) (246) (166) (A6) ; -;3408;(10101111) (257) (175) (AF) ;(10101001) (251) (169) (A9) ;(10111000) (270) (184) (B8) ;(10110011) (263) (179) (B3) ;(10110000) (260) (176) (B0) ;(10110111) (267) (183) (B7) ;(10011110) (236) (158) (9E) ;(10100000) (240) (160) (A0) ; -;3416;(10011101) (235) (157) (9D) ;(10100100) (244) (164) (A4) ;(10010110) (226) (150) (96) ;(10100101) (245) (165) (A5) ;(10101011) (253) (171) (AB) ;(10100011) (243) (163) (A3) ;(10011011) (233) (155) (9B) ;(10111101) (275) (189) (BD) ; -;3424;(10100010) (242) (162) (A2) ;(10111011) (273) (187) (BB) ;(10011001) (231) (153) (99) ;(10000010) (202) (130) (82) ;(10000111) (207) (135) (87) ;(10001100) (214) (140) (8C) ;(10111100) (274) (188) (BC) ;(10100111) (247) (167) (A7) ; -;3432;(10101100) (254) (172) (AC) ;(10010001) (221) (145) (91) ;(10010011) (223) (147) (93) ;(10010100) (224) (148) (94) ;(10010101) (225) (149) (95) ;(10110100) (264) (180) (B4) ;(10110101) (265) (181) (B5) ;(10110110) (266) (182) (B6) ; -;3440;(10101110) (256) (174) (AE) ;(10101101) (255) (173) (AD) ;(10111010) (272) (186) (BA) ;(10110010) (262) (178) (B2) ;(10111001) (271) (185) (B9) ;(10101000) (250) (168) (A8) ;(10110001) (261) (177) (B1) ;(10000011) (203) (131) (83) ; -;3448;(10001000) (210) (136) (88) ;(10001101) (215) (141) (8D) ;(10000110) (206) (134) (86) ;(10000100) (204) (132) (84) ;(10001001) (211) (137) (89) ;(10001110) (216) (142) (8E) ;(10111111) (277) (191) (BF) ;(10000101) (205) (133) (85) ; -;3456;(10001010) (212) (138) (8A) ;(10001111) (217) (143) (8F) ;(10111110) (276) (190) (BE) ;(10000001) (201) (129) (81) ;(10001011) (213) (139) (8B) ;(10010000) (220) (144) (90) ;(01111111) (177) (127) (7F) ;(00010001) (21) (17) (11) ; -;3464;(00010010) (22) (18) (12) ;(00010011) (23) (19) (13) ;(00010100) (24) (20) (14) ;(00010101) (25) (21) (15) ;(00010110) (26) (22) (16) ;(01100000) (140) (96) (60) ;(01100001) (141) (97) (61) ;(01100010) (142) (98) (62) ; -;3472;(01100011) (143) (99) (63) ;(01100100) (144) (100) (64) ;(01100101) (145) (101) (65) ;(01100110) (146) (102) (66) ;(01100111) (147) (103) (67) ;(01101000) (150) (104) (68) ;(01110000) (160) (112) (70) ;(01110001) (161) (113) (71) ; -;3480;(01110010) (162) (114) (72) ;(01110011) (163) (115) (73) ;(01110100) (164) (116) (74) ;(01110101) (165) (117) (75) ;(01110110) (166) (118) (76) ;(01110111) (167) (119) (77) ;(01111000) (170) (120) (78) ;(01111001) (171) (121) (79) ; -;3488;(01111010) (172) (122) (7A) ;(01111011) (173) (123) (7B) ;(01111100) (174) (124) (7C) ;(01111101) (175) (125) (7D) ;(01111110) (176) (126) (7E) ;(01101001) (151) (105) (69) ;(11110101) (365) (245) (F5) ;(00111010) (72) (58) (3A) ; -;3496;(00000010) (2) (2) (02) ;(11100000) (340) (224) (E0) ;(00000111) (7) (7) (07) ;(00110000) (60) (48) (30) ;(11111010) (372) (250) (FA) ;(00111010) (72) (58) (3A) ;(00000010) (2) (2) (02) ;(11100000) (340) (224) (E0) ; -;3504;(00000111) (7) (7) (07) ;(00111000) (70) (56) (38) ;(11111010) (372) (250) (FA) ;(11110001) (361) (241) (F1) ;(11001001) (311) (201) (C9) ;(11110101) (365) (245) (F5) ;(11000101) (305) (197) (C5) ;(11010101) (325) (213) (D5) ; -;3512;(11100101) (345) (229) (E5) ;(01000111) (107) (71) (47) ;(11001101) (315) (205) (CD) ;(10110001) (261) (177) (B1) ;(00001111) (17) (15) (0F) ;(01110000) (160) (112) (70) ;(00101010) (52) (42) (2A) ;(01110001) (161) (113) (71) ; -;3520;(00010001) (21) (17) (11) ;(01111101) (175) (125) (7D) ;(11111110) (376) (254) (FE) ;(00100111) (47) (39) (27) ;(11000010) (302) (194) (C2) ;(10010000) (220) (144) (90) ;(00001110) (16) (14) (0E) ;(01011100) (134) (92) (5C) ; -;3528;(00010110) (26) (22) (16) ;(00000000) (0) (0) (00) ;(00100001) (41) (33) (21) ;(01110011) (163) (115) (73) ;(00010001) (21) (17) (11) ;(00011001) (31) (25) (19) ;(01111110) (176) (126) (7E) ;(10110111) (267) (183) (B7) ; -;3536;(11000010) (302) (194) (C2) ;(10010000) (220) (144) (90) ;(00001110) (16) (14) (0E) ;(00100011) (43) (35) (23) ;(00110110) (66) (54) (36) ;(00000001) (1) (1) (01) ;(00100011) (43) (35) (23) ;(00110110) (66) (54) (36) ; -;3544;(00000000) (0) (0) (00) ;(11000011) (303) (195) (C3) ;(10010000) (220) (144) (90) ;(00001110) (16) (14) (0E) ;(11110101) (365) (245) (F5) ;(11000101) (305) (197) (C5) ;(11010101) (325) (213) (D5) ;(11100101) (345) (229) (E5) ; -;3552;(01000111) (107) (71) (47) ;(11100110) (346) (230) (E6) ;(11110000) (360) (240) (F0) ;(11111110) (376) (254) (FE) ;(11000000) (300) (192) (C0) ;(11000010) (302) (194) (C2) ;(11011110) (336) (222) (DE) ;(00001111) (17) (15) (0F) ; -;3560;(10101000) (250) (168) (A8) ;(00000111) (7) (7) (07) ;(00000111) (7) (7) (07) ;(01001111) (117) (79) (4F) ;(00000110) (6) (6) (06) ;(00000000) (0) (0) (00) ;(00100001) (41) (33) (21) ;(11110011) (363) (243) (F3) ; -;3568;(00001101) (15) (13) (0D) ;(00001001) (11) (9) (09) ;(11101001) (351) (233) (E9) ;(11000011) (303) (195) (C3) ;(00110010) (62) (50) (32) ;(00001110) (16) (14) (0E) ;(00000000) (0) (0) (00) ;(11000011) (303) (195) (C3) ; -;3576;(01110100) (164) (116) (74) ;(00001110) (16) (14) (0E) ;(00000000) (0) (0) (00) ;(11000011) (303) (195) (C3) ;(10000100) (204) (132) (84) ;(00001110) (16) (14) (0E) ;(00000000) (0) (0) (00) ;(11000011) (303) (195) (C3) ; -;3584;(10010000) (220) (144) (90) ;(00001110) (16) (14) (0E) ;(00000000) (0) (0) (00) ;(11000011) (303) (195) (C3) ;(10101110) (256) (174) (AE) ;(00001110) (16) (14) (0E) ;(00000000) (0) (0) (00) ;(11000011) (303) (195) (C3) ; -;3592;(10111111) (277) (191) (BF) ;(00001110) (16) (14) (0E) ;(00000000) (0) (0) (00) ;(11000011) (303) (195) (C3) ;(11000101) (305) (197) (C5) ;(00001110) (16) (14) (0E) ;(00000000) (0) (0) (00) ;(11000011) (303) (195) (C3) ; -;3600;(11111000) (370) (248) (F8) ;(00001110) (16) (14) (0E) ;(00000000) (0) (0) (00) ;(11000011) (303) (195) (C3) ;(01001001) (111) (73) (49) ;(00001111) (17) (15) (0F) ;(00000000) (0) (0) (00) ;(11000011) (303) (195) (C3) ; -;3608;(11100001) (341) (225) (E1) ;(00001110) (16) (14) (0E) ;(00000000) (0) (0) (00) ;(11000011) (303) (195) (C3) ;(11101110) (356) (238) (EE) ;(00001110) (16) (14) (0E) ;(00000000) (0) (0) (00) ;(11000011) (303) (195) (C3) ; -;3616;(11011110) (336) (222) (DE) ;(00001111) (17) (15) (0F) ;(00000000) (0) (0) (00) ;(11000011) (303) (195) (C3) ;(11011110) (336) (222) (DE) ;(00001111) (17) (15) (0F) ;(00000000) (0) (0) (00) ;(11000011) (303) (195) (C3) ; -;3624;(10001011) (213) (139) (8B) ;(00001111) (17) (15) (0F) ;(00000000) (0) (0) (00) ;(11000011) (303) (195) (C3) ;(11011110) (336) (222) (DE) ;(00001111) (17) (15) (0F) ;(00000000) (0) (0) (00) ;(11000011) (303) (195) (C3) ; -;3632;(11011110) (336) (222) (DE) ;(00001111) (17) (15) (0F) ;(11001101) (315) (205) (CD) ;(10100110) (246) (166) (A6) ;(00001101) (15) (13) (0D) ;(10101111) (257) (175) (AF) ;(00110010) (62) (50) (32) ;(00000011) (3) (3) (03) ; -;3640;(11100000) (340) (224) (E0) ;(00000001) (1) (1) (01) ;(11000000) (300) (192) (C0) ;(00000011) (3) (3) (03) ;(00010001) (21) (17) (11) ;(00000000) (0) (0) (00) ;(11010000) (320) (208) (D0) ;(00100001) (41) (33) (21) ; -;3648;(00101000) (50) (40) (28) ;(11010000) (320) (208) (D0) ;(11101101) (355) (237) (ED) ;(10110000) (260) (176) (B0) ;(11101011) (353) (235) (EB) ;(00000110) (6) (6) (06) ;(00101000) (50) (40) (28) ;(11001101) (315) (205) (CD) ; -;3656;(11011000) (330) (216) (D8) ;(00001111) (17) (15) (0F) ;(00000001) (1) (1) (01) ;(00011010) (32) (26) (1A) ;(00000000) (0) (0) (00) ;(00010001) (21) (17) (11) ;(01110011) (163) (115) (73) ;(00010001) (21) (17) (11) ; -;3664;(00100001) (41) (33) (21) ;(01110011) (163) (115) (73) ;(00010001) (21) (17) (11) ;(00100011) (43) (35) (23) ;(11101101) (355) (237) (ED) ;(10110000) (260) (176) (B0) ;(00110110) (66) (54) (36) ;(00000000) (0) (0) (00) ; -;3672;(00111010) (72) (58) (3A) ;(01110011) (163) (115) (73) ;(00010001) (21) (17) (11) ;(10110111) (267) (183) (B7) ;(11000010) (302) (194) (C2) ;(01101010) (152) (106) (6A) ;(00001110) (16) (14) (0E) ;(11001101) (315) (205) (CD) ; -;3680;(10100110) (246) (166) (A6) ;(00001101) (15) (13) (0D) ;(00111110) (76) (62) (3E) ;(00000001) (1) (1) (01) ;(00110010) (62) (50) (32) ;(00000011) (3) (3) (03) ;(11100000) (340) (224) (E0) ;(11000011) (303) (195) (C3) ; -;3688;(11011110) (336) (222) (DE) ;(00001111) (17) (15) (0F) ;(00101010) (52) (42) (2A) ;(01110001) (161) (113) (71) ;(00010001) (21) (17) (11) ;(00100101) (45) (37) (25) ;(00100010) (42) (34) (22) ;(01110001) (161) (113) (71) ; -;3696;(00010001) (21) (17) (11) ;(11000011) (303) (195) (C3) ;(00111001) (71) (57) (39) ;(00001110) (16) (14) (0E) ;(00101010) (52) (42) (2A) ;(01110001) (161) (113) (71) ;(00010001) (21) (17) (11) ;(01111100) (174) (124) (7C) ; -;3704;(11111110) (376) (254) (FE) ;(00011000) (30) (24) (18) ;(11001010) (312) (202) (CA) ;(00110010) (62) (50) (32) ;(00001110) (16) (14) (0E) ;(00100100) (44) (36) (24) ;(00100010) (42) (34) (22) ;(01110001) (161) (113) (71) ; -;3712;(00010001) (21) (17) (11) ;(11000011) (303) (195) (C3) ;(11011110) (336) (222) (DE) ;(00001111) (17) (15) (0F) ;(00101010) (52) (42) (2A) ;(01110001) (161) (113) (71) ;(00010001) (21) (17) (11) ;(01111100) (174) (124) (7C) ; -;3720;(10110111) (267) (183) (B7) ;(11001010) (312) (202) (CA) ;(11011110) (336) (222) (DE) ;(00001111) (17) (15) (0F) ;(00100101) (45) (37) (25) ;(11000011) (303) (195) (C3) ;(01111110) (176) (126) (7E) ;(00001110) (16) (14) (0E) ; -;3728;(00101010) (52) (42) (2A) ;(01110001) (161) (113) (71) ;(00010001) (21) (17) (11) ;(01111101) (175) (125) (7D) ;(11111110) (376) (254) (FE) ;(00100111) (47) (39) (27) ;(11010010) (322) (210) (D2) ;(10011101) (235) (157) (9D) ; -;3736;(00001110) (16) (14) (0E) ;(00101100) (54) (44) (2C) ;(11000011) (303) (195) (C3) ;(01111110) (176) (126) (7E) ;(00001110) (16) (14) (0E) ;(00101110) (56) (46) (2E) ;(00000000) (0) (0) (00) ;(00100100) (44) (36) (24) ; -;3744;(01111100) (174) (124) (7C) ;(11111110) (376) (254) (FE) ;(00011001) (31) (25) (19) ;(11011010) (332) (218) (DA) ;(01111110) (176) (126) (7E) ;(00001110) (16) (14) (0E) ;(00100110) (46) (38) (26) ;(00011000) (30) (24) (18) ; -;3752;(00100010) (42) (34) (22) ;(01110001) (161) (113) (71) ;(00010001) (21) (17) (11) ;(11000011) (303) (195) (C3) ;(00110010) (62) (50) (32) ;(00001110) (16) (14) (0E) ;(00101010) (52) (42) (2A) ;(01110001) (161) (113) (71) ; -;3760;(00010001) (21) (17) (11) ;(01111101) (175) (125) (7D) ;(10110111) (267) (183) (B7) ;(00101000) (50) (40) (28) ;(00000100) (4) (4) (04) ;(00101101) (55) (45) (2D) ;(11000011) (303) (195) (C3) ;(01111110) (176) (126) (7E) ; -;3768;(00001110) (16) (14) (0E) ;(00101110) (56) (46) (2E) ;(00100111) (47) (39) (27) ;(00100101) (45) (37) (25) ;(11110010) (362) (242) (F2) ;(01111110) (176) (126) (7E) ;(00001110) (16) (14) (0E) ;(00100001) (41) (33) (21) ; -;3776;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(11000011) (303) (195) (C3) ;(01111110) (176) (126) (7E) ;(00001110) (16) (14) (0E) ;(11001101) (315) (205) (CD) ;(10100110) (246) (166) (A6) ;(00001101) (15) (13) (0D) ; -;3784;(00001110) (16) (14) (0E) ;(00011001) (31) (25) (19) ;(00100001) (41) (33) (21) ;(00000000) (0) (0) (00) ;(11010000) (320) (208) (D0) ;(00000110) (6) (6) (06) ;(00101000) (50) (40) (28) ;(11001101) (315) (205) (CD) ; -;3792;(11011000) (330) (216) (D8) ;(00001111) (17) (15) (0F) ;(00001101) (15) (13) (0D) ;(11000010) (302) (194) (C2) ;(11001101) (315) (205) (CD) ;(00001110) (16) (14) (0E) ;(00100001) (41) (33) (21) ;(01110011) (163) (115) (73) ; -;3800;(00010001) (21) (17) (11) ;(00000110) (6) (6) (06) ;(00011011) (33) (27) (1B) ;(11001101) (315) (205) (CD) ;(11011000) (330) (216) (D8) ;(00001111) (17) (15) (0F) ;(11000011) (303) (195) (C3) ;(10111111) (277) (191) (BF) ; -;3808;(00001110) (16) (14) (0E) ;(00111110) (76) (62) (3E) ;(00000101) (5) (5) (05) ;(00110010) (62) (50) (32) ;(00000011) (3) (3) (03) ;(11100000) (340) (224) (E0) ;(00111110) (76) (62) (3E) ;(00000000) (0) (0) (00) ; -;3816;(00110010) (62) (50) (32) ;(01110000) (160) (112) (70) ;(00010001) (21) (17) (11) ;(11000011) (303) (195) (C3) ;(11011110) (336) (222) (DE) ;(00001111) (17) (15) (0F) ;(00111110) (76) (62) (3E) ;(00000100) (4) (4) (04) ; -;3824;(00110010) (62) (50) (32) ;(00000011) (3) (3) (03) ;(11100000) (340) (224) (E0) ;(00111110) (76) (62) (3E) ;(00000001) (1) (1) (01) ;(11000011) (303) (195) (C3) ;(11101000) (350) (232) (E8) ;(00001110) (16) (14) (0E) ; -;3832;(00101010) (52) (42) (2A) ;(01110001) (161) (113) (71) ;(00010001) (21) (17) (11) ;(01111100) (174) (124) (7C) ;(10110101) (265) (181) (B5) ;(11001010) (312) (202) (CA) ;(11011110) (336) (222) (DE) ;(00001111) (17) (15) (0F) ; -;3840;(01111101) (175) (125) (7D) ;(10110111) (267) (183) (B7) ;(11000010) (302) (194) (C2) ;(00011101) (35) (29) (1D) ;(00001111) (17) (15) (0F) ;(01011100) (134) (92) (5C) ;(00010110) (26) (22) (16) ;(00000000) (0) (0) (00) ; -;3848;(00100001) (41) (33) (21) ;(01110011) (163) (115) (73) ;(00010001) (21) (17) (11) ;(00011001) (31) (25) (19) ;(01111110) (176) (126) (7E) ;(10110111) (267) (183) (B7) ;(11000010) (302) (194) (C2) ;(00011101) (35) (29) (1D) ; -;3856;(00001111) (17) (15) (0F) ;(11001101) (315) (205) (CD) ;(10110001) (261) (177) (B1) ;(00001111) (17) (15) (0F) ;(11001101) (315) (205) (CD) ;(10100110) (246) (166) (A6) ;(00001101) (15) (13) (0D) ;(00101011) (53) (43) (2B) ; -;3864;(00110110) (66) (54) (36) ;(00000000) (0) (0) (00) ;(11000011) (303) (195) (C3) ;(10101110) (256) (174) (AE) ;(00001110) (16) (14) (0E) ;(00101010) (52) (42) (2A) ;(01110001) (161) (113) (71) ;(00010001) (21) (17) (11) ; -;3872;(01011100) (134) (92) (5C) ;(00011100) (34) (28) (1C) ;(00010110) (26) (22) (16) ;(00000000) (0) (0) (00) ;(00100001) (41) (33) (21) ;(01110011) (163) (115) (73) ;(00010001) (21) (17) (11) ;(00011001) (31) (25) (19) ; -;3880;(01111110) (176) (126) (7E) ;(01000111) (107) (71) (47) ;(10110111) (267) (183) (B7) ;(00111110) (76) (62) (3E) ;(00101000) (50) (40) (28) ;(00101000) (50) (40) (28) ;(00000010) (2) (2) (02) ;(00111110) (76) (62) (3E) ; -;3888;(01010000) (120) (80) (50) ;(00101010) (52) (42) (2A) ;(01110001) (161) (113) (71) ;(00010001) (21) (17) (11) ;(10010101) (225) (149) (95) ;(01001111) (117) (79) (4F) ;(00000110) (6) (6) (06) ;(00000000) (0) (0) (00) ; -;3896;(11001101) (315) (205) (CD) ;(10110001) (261) (177) (B1) ;(00001111) (17) (15) (0F) ;(11100101) (345) (229) (E5) ;(11010001) (321) (209) (D1) ;(00011011) (33) (27) (1B) ;(11001101) (315) (205) (CD) ;(10100110) (246) (166) (A6) ; -;3904;(00001101) (15) (13) (0D) ;(11101101) (355) (237) (ED) ;(10110000) (260) (176) (B0) ;(00101011) (53) (43) (2B) ;(00110110) (66) (54) (36) ;(00000000) (0) (0) (00) ;(11000011) (303) (195) (C3) ;(10101110) (256) (174) (AE) ; -;3912;(00001110) (16) (14) (0E) ;(00101010) (52) (42) (2A) ;(01110001) (161) (113) (71) ;(00010001) (21) (17) (11) ;(01011100) (134) (92) (5C) ;(00011100) (34) (28) (1C) ;(00010110) (26) (22) (16) ;(00000000) (0) (0) (00) ; -;3920;(00100001) (41) (33) (21) ;(01110011) (163) (115) (73) ;(00010001) (21) (17) (11) ;(00011001) (31) (25) (19) ;(01111110) (176) (126) (7E) ;(10110111) (267) (183) (B7) ;(00001110) (16) (14) (0E) ;(00000000) (0) (0) (00) ; -;3928;(00101010) (52) (42) (2A) ;(01110001) (161) (113) (71) ;(00010001) (21) (17) (11) ;(00101110) (56) (46) (2E) ;(00100111) (47) (39) (27) ;(00101000) (50) (40) (28) ;(00000010) (2) (2) (02) ;(00100100) (44) (36) (24) ; -;3936;(00001100) (14) (12) (0C) ;(11001101) (315) (205) (CD) ;(10110100) (264) (180) (B4) ;(00001111) (17) (15) (0F) ;(01111110) (176) (126) (7E) ;(10110111) (267) (183) (B7) ;(11000010) (302) (194) (C2) ;(11011110) (336) (222) (DE) ; -;3944;(00001111) (17) (15) (0F) ;(11100101) (345) (229) (E5) ;(00101010) (52) (42) (2A) ;(01110001) (161) (113) (71) ;(00010001) (21) (17) (11) ;(00111110) (76) (62) (3E) ;(00100111) (47) (39) (27) ;(10010101) (225) (149) (95) ; -;3952;(01000111) (107) (71) (47) ;(01111001) (171) (121) (79) ;(10110111) (267) (183) (B7) ;(00101000) (50) (40) (28) ;(00000100) (4) (4) (04) ;(00111110) (76) (62) (3E) ;(00101000) (50) (40) (28) ;(10000000) (200) (128) (80) ; -;3960;(01000111) (107) (71) (47) ;(11010001) (321) (209) (D1) ;(11010101) (325) (213) (D5) ;(11100001) (341) (225) (E1) ;(00101011) (53) (43) (2B) ;(11001101) (315) (205) (CD) ;(10100110) (246) (166) (A6) ;(00001101) (15) (13) (0D) ; -;3968;(01111110) (176) (126) (7E) ;(00010010) (22) (18) (12) ;(00110110) (66) (54) (36) ;(00000000) (0) (0) (00) ;(00101011) (53) (43) (2B) ;(00011011) (33) (27) (1B) ;(00010000) (20) (16) (10) ;(11111000) (370) (248) (F8) ; -;3976;(11000011) (303) (195) (C3) ;(11011110) (336) (222) (DE) ;(00001111) (17) (15) (0F) ;(00101010) (52) (42) (2A) ;(01110001) (161) (113) (71) ;(00010001) (21) (17) (11) ;(01011100) (134) (92) (5C) ;(00011100) (34) (28) (1C) ; -;3984;(00010110) (26) (22) (16) ;(00000000) (0) (0) (00) ;(00100001) (41) (33) (21) ;(01110011) (163) (115) (73) ;(00010001) (21) (17) (11) ;(00011001) (31) (25) (19) ;(01111110) (176) (126) (7E) ;(10110111) (267) (183) (B7) ; -;3992;(00101010) (52) (42) (2A) ;(01110001) (161) (113) (71) ;(00010001) (21) (17) (11) ;(11001010) (312) (202) (CA) ;(10011101) (235) (157) (9D) ;(00001110) (16) (14) (0E) ;(00101110) (56) (46) (2E) ;(00000000) (0) (0) (00) ; -;4000;(01111100) (174) (124) (7C) ;(11111110) (376) (254) (FE) ;(00010111) (27) (23) (17) ;(00101000) (50) (40) (28) ;(00000101) (5) (5) (05) ;(00100100) (44) (36) (24) ;(00100100) (44) (36) (24) ;(11000011) (303) (195) (C3) ; -;4008;(01111110) (176) (126) (7E) ;(00001110) (16) (14) (0E) ;(00100100) (44) (36) (24) ;(00100010) (42) (34) (22) ;(01110001) (161) (113) (71) ;(00010001) (21) (17) (11) ;(11000011) (303) (195) (C3) ;(00110010) (62) (50) (32) ; -;4016;(00001110) (16) (14) (0E) ;(00101010) (52) (42) (2A) ;(01110001) (161) (113) (71) ;(00010001) (21) (17) (11) ;(11000101) (305) (197) (C5) ;(11010101) (325) (213) (D5) ;(11100101) (345) (229) (E5) ;(11000001) (301) (193) (C1) ; -;4024;(00010001) (21) (17) (11) ;(00101000) (50) (40) (28) ;(00000000) (0) (0) (00) ;(00100001) (41) (33) (21) ;(11011000) (330) (216) (D8) ;(11001111) (317) (207) (CF) ;(00011001) (31) (25) (19) ;(00000101) (5) (5) (05) ; -;4032;(11110010) (362) (242) (F2) ;(10111110) (276) (190) (BE) ;(00001111) (17) (15) (0F) ;(00000110) (6) (6) (06) ;(00000000) (0) (0) (00) ;(00001001) (11) (9) (09) ;(11010001) (321) (209) (D1) ;(11000001) (301) (193) (C1) ; -;4040;(11001001) (311) (201) (C9) ;(00100001) (41) (33) (21) ;(00000011) (3) (3) (03) ;(11100000) (340) (224) (E0) ;(00110110) (66) (54) (36) ;(10001010) (212) (138) (8A) ;(00110110) (66) (54) (36) ;(00000111) (7) (7) (07) ; -;4048;(00110110) (66) (54) (36) ;(00000101) (5) (5) (05) ;(00111110) (76) (62) (3E) ;(00000001) (1) (1) (01) ;(00110010) (62) (50) (32) ;(00000011) (3) (3) (03) ;(11100000) (340) (224) (E0) ;(11001001) (311) (201) (C9) ; -;4056;(10101111) (257) (175) (AF) ;(01110111) (167) (119) (77) ;(00100011) (43) (35) (23) ;(00010000) (20) (16) (10) ;(11111100) (374) (252) (FC) ;(11001001) (311) (201) (C9) ;(11100001) (341) (225) (E1) ;(11010001) (321) (209) (D1) ; -;4064;(11000001) (301) (193) (C1) ;(11110001) (361) (241) (F1) ;(11001001) (311) (201) (C9) ;(10101110) (256) (174) (AE) ;(11001101) (315) (205) (CD) ;(11101110) (356) (238) (EE) ;(11111111) (377) (255) (FF) ;(10101110) (256) (174) (AE) ; -;4072;(11111110) (376) (254) (FE) ;(10101100) (254) (172) (AC) ;(11011110) (336) (222) (DE) ;(01001110) (116) (78) (4E) ;(11111111) (377) (255) (FF) ;(10101110) (256) (174) (AE) ;(11011111) (337) (223) (DF) ;(10101110) (256) (174) (AE) ; -;4080;(11011111) (337) (223) (DF) ;(00101111) (57) (47) (2F) ;(11111111) (377) (255) (FF) ;(00100110) (46) (38) (26) ;(01111101) (175) (125) (7D) ;(11111110) (376) (254) (FE) ;(11111101) (375) (253) (FD) ;(11101110) (356) (238) (EE) ; -;4088;(11111101) (375) (253) (FD) ;(10101100) (254) (172) (AC) ;(11011111) (337) (223) (DF) ;(01111110) (176) (126) (7E) ;(11011111) (337) (223) (DF) ;(10101110) (256) (174) (AE) ;(11011111) (337) (223) (DF) ;(11111111) (377) (255) (FF) ; -;4096;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4104;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4112;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4120;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4128;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4136;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4144;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4152;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4160;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4168;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4176;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4184;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4192;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4200;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4208;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4216;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4224;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4232;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4240;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4248;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4256;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4264;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4272;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4280;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4288;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4296;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4304;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4312;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4320;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4328;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4336;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4344;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4352;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4360;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4368;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4376;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4384;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4392;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4400;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4408;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4416;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4424;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4432;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4440;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4448;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4456;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4464;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4472;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4480;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4488;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4496;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4504;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4512;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4520;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4528;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4536;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4544;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4552;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4560;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4568;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4576;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4584;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4592;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4600;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4608;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4616;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4624;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4632;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4640;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4648;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4656;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4664;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4672;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4680;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4688;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4696;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4704;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4712;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4720;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4728;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4736;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4744;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4752;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4760;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4768;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4776;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4784;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4792;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4800;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4808;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4816;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4824;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4832;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4840;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4848;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4856;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4864;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4872;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4880;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4888;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4896;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4904;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4912;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4920;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4928;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4936;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4944;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4952;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4960;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4968;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4976;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4984;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4992;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5000;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5008;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5016;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5024;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5032;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5040;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5048;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5056;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5064;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5072;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5080;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5088;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5096;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5104;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5112;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5120;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5128;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5136;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5144;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5152;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5160;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5168;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5176;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5184;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5192;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5200;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5208;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5216;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5224;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5232;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5240;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5248;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5256;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5264;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5272;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5280;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5288;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5296;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5304;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5312;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5320;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5328;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5336;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5344;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5352;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5360;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5368;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5376;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5384;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5392;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5400;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5408;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5416;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5424;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5432;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5440;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5448;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5456;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5464;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5472;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5480;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5488;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5496;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5504;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5512;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5520;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5528;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5536;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5544;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5552;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5560;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5568;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5576;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5584;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5592;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5600;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5608;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5616;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5624;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5632;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5640;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5648;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5656;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5664;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5672;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5680;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5688;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5696;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5704;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5712;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5720;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5728;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5736;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5744;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5752;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5760;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5768;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5776;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5784;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5792;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5800;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5808;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5816;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5824;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5832;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5840;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5848;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5856;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5864;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5872;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5880;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5888;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5896;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5904;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5912;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5920;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5928;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5936;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5944;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5952;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5960;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5968;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5976;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5984;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5992;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6000;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6008;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6016;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6024;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6032;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6040;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6048;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6056;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6064;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6072;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6080;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6088;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6096;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6104;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6112;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6120;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6128;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6136;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6144;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6152;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6160;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6168;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6176;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6184;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6192;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6200;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6208;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6216;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6224;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6232;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6240;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6248;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6256;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6264;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6272;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6280;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6288;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6296;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6304;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6312;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6320;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6328;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6336;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6344;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6352;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6360;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6368;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6376;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6384;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6392;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6400;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6408;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6416;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6424;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6432;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6440;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6448;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6456;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6464;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6472;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6480;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6488;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6496;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6504;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6512;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6520;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6528;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6536;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6544;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6552;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6560;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6568;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6576;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6584;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6592;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6600;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6608;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6616;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6624;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6632;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6640;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6648;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6656;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6664;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6672;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6680;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6688;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6696;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6704;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6712;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6720;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6728;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6736;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6744;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6752;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6760;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6768;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6776;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6784;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6792;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6800;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6808;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6816;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6824;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6832;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6840;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6848;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6856;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6864;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6872;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6880;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6888;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6896;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6904;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6912;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6920;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6928;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6936;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6944;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6952;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6960;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6968;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6976;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6984;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6992;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7000;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7008;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7016;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7024;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7032;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7040;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7048;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7056;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7064;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7072;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7080;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7088;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7096;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7104;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7112;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7120;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7128;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7136;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7144;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7152;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7160;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7168;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7176;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7184;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7192;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7200;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7208;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7216;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7224;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7232;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7240;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7248;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7256;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7264;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7272;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7280;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7288;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7296;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7304;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7312;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7320;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7328;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7336;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7344;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7352;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7360;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7368;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7376;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7384;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7392;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7400;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7408;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7416;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7424;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7432;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7440;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7448;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7456;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7464;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7472;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7480;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7488;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7496;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7504;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7512;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7520;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7528;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7536;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7544;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7552;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7560;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7568;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7576;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7584;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7592;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7600;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7608;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7616;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7624;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7632;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7640;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7648;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7656;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7664;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7672;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7680;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7688;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7696;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7704;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7712;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7720;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7728;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7736;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7744;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7752;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7760;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7768;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7776;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7784;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7792;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7800;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7808;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7816;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7824;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7832;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7840;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7848;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7856;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7864;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7872;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7880;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7888;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7896;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7904;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7912;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7920;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7928;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7936;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7944;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7952;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7960;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7968;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7976;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7984;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7992;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8000;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8008;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8016;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8024;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8032;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8040;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8048;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8056;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8064;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8072;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8080;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8088;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8096;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8104;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8112;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8120;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8128;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8136;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8144;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8152;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8160;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8168;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8176;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8184;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8192;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8200;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8208;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8216;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8224;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8232;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8240;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8248;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8256;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8264;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8272;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8280;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8288;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8296;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8304;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8312;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8320;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8328;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8336;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8344;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8352;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8360;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8368;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8376;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8384;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8392;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8400;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8408;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8416;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8424;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8432;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8440;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8448;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8456;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8464;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8472;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8480;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8488;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8496;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8504;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8512;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8520;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8528;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8536;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8544;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8552;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8560;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8568;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8576;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8584;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8592;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8600;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8608;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8616;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8624;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8632;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8640;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8648;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8656;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8664;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8672;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8680;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8688;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8696;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8704;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8712;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8720;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8728;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8736;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8744;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8752;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8760;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8768;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8776;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8784;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8792;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8800;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8808;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8816;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8824;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8832;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8840;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8848;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8856;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8864;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8872;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8880;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8888;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8896;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8904;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8912;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8920;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8928;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8936;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8944;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8952;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8960;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8968;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8976;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8984;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8992;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9000;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9008;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9016;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9024;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9032;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9040;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9048;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9056;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9064;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9072;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9080;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9088;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9096;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9104;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9112;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9120;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9128;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9136;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9144;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9152;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9160;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9168;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9176;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9184;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9192;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9200;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9208;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9216;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9224;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9232;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9240;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9248;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9256;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9264;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9272;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9280;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9288;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9296;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9304;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9312;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9320;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9328;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9336;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9344;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9352;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9360;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9368;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9376;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9384;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9392;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9400;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9408;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9416;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9424;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9432;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9440;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9448;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9456;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9464;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9472;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9480;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9488;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9496;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9504;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9512;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9520;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9528;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9536;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9544;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9552;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9560;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9568;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9576;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9584;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9592;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9600;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9608;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9616;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9624;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9632;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9640;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9648;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9656;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9664;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9672;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9680;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9688;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9696;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9704;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9712;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9720;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9728;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9736;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9744;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9752;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9760;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9768;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9776;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9784;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9792;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9800;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9808;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9816;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9824;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9832;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9840;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9848;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9856;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9864;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9872;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9880;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9888;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9896;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9904;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9912;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9920;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9928;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9936;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9944;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9952;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9960;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9968;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9976;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9984;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9992;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10000;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10008;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10016;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10024;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10032;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10040;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10048;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10056;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10064;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10072;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10080;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10088;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10096;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10104;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10112;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10120;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10128;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10136;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10144;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10152;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10160;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10168;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10176;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10184;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10192;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10200;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10208;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10216;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10224;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10232;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10240;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10248;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10256;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10264;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10272;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10280;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10288;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10296;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10304;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10312;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10320;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10328;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10336;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10344;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10352;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10360;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10368;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10376;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10384;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10392;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10400;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10408;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10416;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10424;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10432;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10440;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10448;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10456;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10464;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10472;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10480;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10488;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10496;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10504;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10512;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10520;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10528;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10536;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10544;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10552;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10560;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10568;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10576;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10584;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10592;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10600;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10608;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10616;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10624;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10632;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10640;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10648;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10656;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10664;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10672;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10680;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10688;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10696;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10704;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10712;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10720;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10728;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10736;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10744;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10752;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10760;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10768;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10776;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10784;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10792;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10800;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10808;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10816;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10824;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10832;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10840;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10848;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10856;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10864;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10872;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10880;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10888;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10896;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10904;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10912;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10920;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10928;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10936;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10944;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10952;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10960;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10968;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10976;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10984;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10992;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11000;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11008;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11016;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11024;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11032;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11040;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11048;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11056;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11064;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11072;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11080;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11088;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11096;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11104;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11112;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11120;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11128;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11136;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11144;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11152;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11160;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11168;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11176;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11184;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11192;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11200;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11208;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11216;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11224;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11232;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11240;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11248;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11256;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11264;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11272;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11280;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11288;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11296;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11304;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11312;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11320;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11328;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11336;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11344;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11352;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11360;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11368;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11376;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11384;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11392;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11400;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11408;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11416;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11424;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11432;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11440;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11448;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11456;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11464;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11472;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11480;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11488;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11496;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11504;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11512;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11520;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11528;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11536;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11544;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11552;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11560;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11568;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11576;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11584;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11592;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11600;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11608;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11616;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11624;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11632;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11640;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11648;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11656;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11664;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11672;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11680;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11688;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11696;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11704;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11712;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11720;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11728;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11736;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11744;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11752;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11760;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11768;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11776;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11784;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11792;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11800;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11808;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11816;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11824;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11832;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11840;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11848;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11856;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11864;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11872;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11880;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11888;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11896;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11904;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11912;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11920;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11928;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11936;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11944;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11952;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11960;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11968;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11976;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11984;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11992;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12000;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12008;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12016;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12024;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12032;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12040;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12048;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12056;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12064;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12072;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12080;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12088;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12096;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12104;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12112;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12120;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12128;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12136;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12144;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12152;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12160;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12168;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12176;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12184;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12192;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12200;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12208;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12216;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12224;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12232;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12240;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12248;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12256;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12264;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12272;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12280;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12288;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12296;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12304;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12312;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12320;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12328;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12336;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12344;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12352;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12360;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12368;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12376;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12384;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12392;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12400;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12408;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12416;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12424;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12432;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12440;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12448;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12456;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12464;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12472;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12480;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12488;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12496;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12504;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12512;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12520;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12528;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12536;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12544;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12552;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12560;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12568;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12576;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12584;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12592;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12600;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12608;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12616;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12624;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12632;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12640;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12648;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12656;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12664;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12672;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12680;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12688;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12696;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12704;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12712;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12720;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12728;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12736;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12744;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12752;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12760;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12768;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12776;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12784;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12792;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12800;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12808;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12816;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12824;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12832;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12840;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12848;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12856;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12864;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12872;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12880;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12888;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12896;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12904;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12912;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12920;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12928;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12936;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12944;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12952;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12960;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12968;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12976;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12984;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12992;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13000;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13008;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13016;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13024;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13032;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13040;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13048;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13056;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13064;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13072;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13080;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13088;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13096;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13104;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13112;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13120;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13128;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13136;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13144;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13152;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13160;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13168;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13176;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13184;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13192;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13200;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13208;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13216;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13224;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13232;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13240;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13248;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13256;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13264;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13272;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13280;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13288;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13296;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13304;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13312;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13320;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13328;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13336;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13344;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13352;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13360;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13368;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13376;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13384;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13392;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13400;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13408;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13416;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13424;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13432;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13440;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13448;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13456;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13464;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13472;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13480;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13488;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13496;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13504;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13512;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13520;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13528;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13536;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13544;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13552;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13560;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13568;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13576;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13584;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13592;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13600;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13608;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13616;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13624;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13632;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13640;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13648;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13656;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13664;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13672;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13680;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13688;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13696;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13704;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13712;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13720;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13728;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13736;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13744;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13752;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13760;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13768;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13776;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13784;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13792;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13800;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13808;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13816;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13824;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13832;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13840;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13848;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13856;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13864;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13872;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13880;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13888;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13896;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13904;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13912;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13920;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13928;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13936;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13944;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13952;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13960;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13968;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13976;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13984;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13992;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14000;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14008;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14016;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14024;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14032;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14040;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14048;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14056;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14064;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14072;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14080;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14088;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14096;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14104;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14112;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14120;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14128;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14136;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14144;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14152;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14160;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14168;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14176;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14184;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14192;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14200;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14208;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14216;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14224;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14232;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14240;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14248;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14256;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14264;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14272;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14280;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14288;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14296;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14304;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14312;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14320;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14328;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14336;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14344;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14352;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14360;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14368;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14376;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14384;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14392;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14400;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14408;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14416;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14424;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14432;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14440;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14448;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14456;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14464;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14472;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14480;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14488;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14496;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14504;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14512;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14520;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14528;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14536;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14544;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14552;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14560;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14568;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14576;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14584;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14592;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14600;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14608;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14616;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14624;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14632;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14640;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14648;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14656;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14664;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14672;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14680;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14688;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14696;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14704;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14712;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14720;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14728;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14736;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14744;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14752;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14760;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14768;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14776;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14784;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14792;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14800;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14808;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14816;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14824;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14832;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14840;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14848;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14856;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14864;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14872;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14880;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14888;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14896;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14904;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14912;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14920;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14928;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14936;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14944;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14952;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14960;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14968;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14976;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14984;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14992;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15000;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15008;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15016;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15024;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15032;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15040;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15048;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15056;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15064;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15072;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15080;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15088;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15096;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15104;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15112;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15120;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15128;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15136;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15144;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15152;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15160;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15168;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15176;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15184;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15192;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15200;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15208;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15216;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15224;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15232;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15240;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15248;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15256;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15264;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15272;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15280;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15288;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15296;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15304;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15312;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15320;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15328;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15336;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15344;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15352;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15360;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15368;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15376;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15384;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15392;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15400;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15408;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15416;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15424;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15432;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15440;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15448;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15456;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15464;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15472;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15480;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15488;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15496;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15504;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15512;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15520;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15528;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15536;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15544;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15552;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15560;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15568;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15576;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15584;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15592;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15600;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15608;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15616;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15624;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15632;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15640;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15648;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15656;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15664;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15672;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15680;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15688;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15696;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15704;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15712;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15720;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15728;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15736;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15744;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15752;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15760;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15768;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15776;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15784;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15792;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15800;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15808;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15816;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15824;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15832;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15840;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15848;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15856;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15864;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15872;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15880;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15888;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15896;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15904;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15912;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15920;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15928;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15936;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15944;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15952;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15960;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15968;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15976;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15984;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15992;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16000;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16008;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16016;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16024;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16032;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16040;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16048;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16056;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16064;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16072;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16080;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16088;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16096;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16104;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16112;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16120;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16128;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16136;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16144;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16152;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16160;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16168;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16176;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16184;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16192;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16200;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16208;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16216;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16224;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16232;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16240;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16248;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16256;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16264;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16272;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16280;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16288;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16296;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16304;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16312;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16320;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16328;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16336;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16344;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16352;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16360;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16368;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16376;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16384;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16392;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16400;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16408;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16416;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16424;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16432;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16440;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16448;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16456;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16464;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16472;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16480;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16488;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16496;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16504;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16512;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16520;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16528;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16536;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16544;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16552;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16560;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16568;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16576;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16584;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16592;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16600;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16608;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16616;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16624;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16632;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16640;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16648;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16656;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16664;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16672;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16680;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16688;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16696;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16704;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16712;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16720;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16728;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16736;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16744;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16752;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16760;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16768;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16776;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16784;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16792;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16800;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16808;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16816;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16824;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16832;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16840;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16848;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16856;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16864;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16872;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16880;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16888;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16896;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16904;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16912;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16920;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16928;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16936;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16944;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16952;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16960;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16968;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16976;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16984;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16992;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17000;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17008;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17016;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17024;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17032;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17040;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17048;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17056;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17064;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17072;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17080;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17088;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17096;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17104;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17112;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17120;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17128;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17136;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17144;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17152;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17160;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17168;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17176;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17184;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17192;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17200;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17208;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17216;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17224;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17232;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17240;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17248;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17256;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17264;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17272;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17280;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17288;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17296;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17304;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17312;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17320;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17328;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17336;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17344;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17352;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17360;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17368;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17376;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17384;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17392;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17400;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17408;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17416;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17424;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17432;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17440;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17448;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17456;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17464;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17472;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17480;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17488;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17496;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17504;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17512;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17520;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17528;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17536;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17544;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17552;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17560;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17568;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17576;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17584;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17592;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17600;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17608;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17616;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17624;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17632;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17640;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17648;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17656;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17664;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17672;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17680;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17688;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17696;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17704;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17712;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17720;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17728;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17736;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17744;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17752;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17760;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17768;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17776;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17784;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17792;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17800;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17808;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17816;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17824;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17832;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17840;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17848;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17856;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17864;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17872;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17880;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17888;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17896;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17904;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17912;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17920;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17928;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17936;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17944;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17952;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17960;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17968;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17976;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17984;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17992;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18000;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18008;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18016;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18024;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18032;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18040;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18048;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18056;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18064;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18072;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18080;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18088;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18096;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18104;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18112;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18120;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18128;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18136;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18144;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18152;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18160;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18168;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18176;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18184;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18192;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18200;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18208;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18216;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18224;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18232;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18240;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18248;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18256;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18264;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18272;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18280;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18288;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18296;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18304;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18312;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18320;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18328;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18336;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18344;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18352;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18360;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18368;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18376;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18384;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18392;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18400;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18408;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18416;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18424;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18432;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18440;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18448;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18456;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18464;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18472;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18480;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18488;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18496;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18504;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18512;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18520;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18528;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18536;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18544;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18552;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18560;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18568;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18576;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18584;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18592;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18600;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18608;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18616;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18624;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18632;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18640;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18648;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18656;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18664;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18672;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18680;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18688;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18696;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18704;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18712;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18720;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18728;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18736;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18744;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18752;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18760;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18768;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18776;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18784;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18792;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18800;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18808;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18816;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18824;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18832;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18840;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18848;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18856;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18864;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18872;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18880;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18888;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18896;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18904;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18912;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18920;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18928;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18936;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18944;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18952;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18960;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18968;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18976;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18984;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18992;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19000;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19008;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19016;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19024;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19032;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19040;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19048;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19056;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19064;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19072;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19080;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19088;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19096;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19104;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19112;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19120;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19128;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19136;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19144;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19152;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19160;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19168;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19176;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19184;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19192;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19200;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19208;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19216;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19224;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19232;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19240;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19248;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19256;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19264;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19272;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19280;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19288;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19296;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19304;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19312;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19320;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19328;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19336;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19344;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19352;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19360;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19368;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19376;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19384;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19392;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19400;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19408;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19416;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19424;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19432;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19440;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19448;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19456;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19464;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19472;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19480;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19488;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19496;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19504;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19512;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19520;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19528;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19536;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19544;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19552;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19560;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19568;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19576;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19584;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19592;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19600;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19608;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19616;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19624;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19632;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19640;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19648;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19656;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19664;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19672;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19680;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19688;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19696;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19704;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19712;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19720;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19728;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19736;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19744;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19752;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19760;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19768;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19776;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19784;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19792;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19800;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19808;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19816;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19824;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19832;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19840;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19848;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19856;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19864;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19872;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19880;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19888;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19896;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19904;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19912;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19920;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19928;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19936;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19944;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19952;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19960;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19968;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19976;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19984;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19992;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20000;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20008;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20016;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20024;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20032;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20040;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20048;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20056;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20064;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20072;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20080;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20088;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20096;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20104;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20112;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20120;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20128;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20136;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20144;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20152;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20160;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20168;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20176;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20184;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20192;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20200;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20208;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20216;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20224;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20232;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20240;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20248;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20256;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20264;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20272;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20280;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20288;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20296;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20304;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20312;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20320;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20328;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20336;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20344;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20352;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20360;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20368;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20376;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20384;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20392;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20400;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20408;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20416;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20424;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20432;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20440;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20448;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20456;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20464;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20472;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20480;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20488;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20496;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20504;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20512;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20520;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20528;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20536;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20544;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20552;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20560;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20568;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20576;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20584;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20592;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20600;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20608;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20616;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20624;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20632;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20640;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20648;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20656;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20664;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20672;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20680;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20688;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20696;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20704;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20712;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20720;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20728;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20736;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20744;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20752;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20760;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20768;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20776;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20784;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20792;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20800;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20808;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20816;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20824;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20832;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20840;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20848;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20856;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20864;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20872;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20880;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20888;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20896;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20904;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20912;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20920;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20928;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20936;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20944;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20952;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20960;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20968;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20976;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20984;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20992;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21000;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21008;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21016;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21024;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21032;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21040;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21048;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21056;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21064;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21072;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21080;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21088;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21096;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21104;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21112;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21120;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21128;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21136;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21144;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21152;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21160;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21168;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21176;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21184;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21192;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21200;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21208;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21216;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21224;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21232;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21240;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21248;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21256;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21264;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21272;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21280;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21288;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21296;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21304;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21312;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21320;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21328;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21336;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21344;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21352;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21360;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21368;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21376;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21384;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21392;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21400;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21408;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21416;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21424;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21432;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21440;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21448;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21456;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21464;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21472;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21480;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21488;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21496;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21504;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21512;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21520;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21528;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21536;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21544;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21552;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21560;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21568;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21576;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21584;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21592;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21600;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21608;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21616;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21624;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21632;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21640;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21648;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21656;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21664;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21672;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21680;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21688;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21696;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21704;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21712;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21720;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21728;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21736;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21744;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21752;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21760;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21768;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21776;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21784;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21792;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21800;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21808;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21816;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21824;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21832;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21840;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21848;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21856;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21864;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21872;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21880;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21888;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21896;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21904;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21912;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21920;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21928;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21936;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21944;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21952;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21960;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21968;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21976;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21984;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21992;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22000;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22008;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22016;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22024;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22032;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22040;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22048;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22056;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22064;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22072;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22080;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22088;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22096;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22104;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22112;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22120;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22128;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22136;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22144;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22152;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22160;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22168;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22176;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22184;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22192;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22200;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22208;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22216;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22224;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22232;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22240;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22248;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22256;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22264;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22272;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22280;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22288;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22296;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22304;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22312;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22320;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22328;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22336;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22344;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22352;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22360;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22368;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22376;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22384;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22392;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22400;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22408;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22416;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22424;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22432;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22440;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22448;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22456;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22464;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22472;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22480;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22488;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22496;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22504;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22512;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22520;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22528;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22536;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22544;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22552;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22560;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22568;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22576;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22584;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22592;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22600;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22608;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22616;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22624;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22632;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22640;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22648;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22656;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22664;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22672;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22680;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22688;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22696;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22704;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22712;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22720;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22728;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22736;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22744;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22752;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22760;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22768;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22776;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22784;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22792;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22800;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22808;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22816;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22824;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22832;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22840;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22848;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22856;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22864;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22872;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22880;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22888;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22896;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22904;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22912;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22920;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22928;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22936;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22944;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22952;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22960;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22968;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22976;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22984;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22992;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23000;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23008;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23016;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23024;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23032;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23040;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23048;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23056;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23064;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23072;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23080;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23088;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23096;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23104;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23112;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23120;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23128;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23136;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23144;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23152;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23160;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23168;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23176;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23184;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23192;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23200;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23208;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23216;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23224;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23232;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23240;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23248;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23256;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23264;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23272;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23280;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23288;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23296;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23304;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23312;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23320;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23328;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23336;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23344;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23352;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23360;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23368;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23376;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23384;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23392;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23400;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23408;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23416;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23424;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23432;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23440;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23448;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23456;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23464;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23472;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23480;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23488;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23496;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23504;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23512;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23520;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23528;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23536;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23544;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23552;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23560;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23568;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23576;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23584;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23592;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23600;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23608;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23616;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23624;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23632;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23640;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23648;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23656;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23664;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23672;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23680;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23688;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23696;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23704;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23712;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23720;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23728;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23736;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23744;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23752;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23760;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23768;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23776;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23784;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23792;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23800;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23808;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23816;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23824;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23832;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23840;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23848;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23856;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23864;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23872;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23880;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23888;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23896;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23904;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23912;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23920;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23928;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23936;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23944;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23952;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23960;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23968;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23976;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23984;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23992;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24000;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24008;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24016;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24024;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24032;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24040;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24048;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24056;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24064;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24072;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24080;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24088;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24096;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24104;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24112;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24120;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24128;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24136;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24144;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24152;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24160;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24168;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24176;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24184;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24192;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24200;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24208;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24216;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24224;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24232;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24240;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24248;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24256;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24264;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24272;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24280;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24288;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24296;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24304;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24312;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24320;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24328;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24336;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24344;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24352;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24360;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24368;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24376;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24384;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24392;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24400;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24408;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24416;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24424;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24432;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24440;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24448;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24456;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24464;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24472;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24480;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24488;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24496;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24504;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24512;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24520;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24528;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24536;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24544;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24552;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24560;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24568;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24576;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24584;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24592;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24600;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24608;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24616;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24624;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24632;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24640;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24648;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24656;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24664;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24672;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24680;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24688;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24696;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24704;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24712;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24720;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24728;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24736;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24744;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24752;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24760;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24768;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24776;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24784;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24792;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24800;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24808;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24816;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24824;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24832;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24840;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24848;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24856;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24864;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24872;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24880;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24888;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24896;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24904;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24912;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24920;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24928;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24936;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24944;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24952;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24960;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24968;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24976;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24984;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24992;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25000;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25008;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25016;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25024;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25032;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25040;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25048;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25056;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25064;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25072;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25080;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25088;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25096;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25104;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25112;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25120;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25128;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25136;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25144;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25152;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25160;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25168;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25176;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25184;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25192;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25200;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25208;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25216;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25224;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25232;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25240;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25248;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25256;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25264;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25272;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25280;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25288;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25296;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25304;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25312;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25320;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25328;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25336;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25344;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25352;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25360;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25368;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25376;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25384;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25392;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25400;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25408;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25416;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25424;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25432;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25440;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25448;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25456;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25464;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25472;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25480;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25488;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25496;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25504;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25512;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25520;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25528;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25536;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25544;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25552;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25560;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25568;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25576;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25584;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25592;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25600;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25608;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25616;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25624;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25632;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25640;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25648;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25656;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25664;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25672;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25680;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25688;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25696;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25704;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25712;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25720;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25728;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25736;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25744;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25752;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25760;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25768;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25776;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25784;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25792;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25800;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25808;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25816;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25824;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25832;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25840;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25848;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25856;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25864;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25872;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25880;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25888;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25896;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25904;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25912;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25920;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25928;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25936;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25944;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25952;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25960;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25968;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25976;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25984;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25992;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26000;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26008;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26016;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26024;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26032;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26040;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26048;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26056;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26064;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26072;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26080;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26088;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26096;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26104;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26112;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26120;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26128;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26136;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26144;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26152;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26160;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26168;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26176;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26184;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26192;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26200;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26208;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26216;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26224;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26232;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26240;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26248;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26256;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26264;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26272;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26280;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26288;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26296;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26304;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26312;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26320;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26328;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26336;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26344;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26352;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26360;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26368;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26376;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26384;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26392;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26400;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26408;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26416;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26424;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26432;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26440;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26448;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26456;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26464;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26472;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26480;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26488;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26496;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26504;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26512;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26520;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26528;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26536;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26544;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26552;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26560;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26568;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26576;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26584;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26592;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26600;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26608;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26616;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26624;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26632;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26640;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26648;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26656;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26664;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26672;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26680;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26688;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26696;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26704;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26712;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26720;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26728;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26736;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26744;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26752;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26760;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26768;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26776;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26784;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26792;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26800;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26808;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26816;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26824;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26832;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26840;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26848;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26856;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26864;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26872;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26880;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26888;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26896;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26904;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26912;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26920;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26928;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26936;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26944;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26952;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26960;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26968;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26976;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26984;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26992;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27000;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27008;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27016;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27024;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27032;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27040;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27048;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27056;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27064;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27072;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27080;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27088;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27096;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27104;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27112;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27120;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27128;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27136;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27144;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27152;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27160;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27168;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27176;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27184;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27192;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27200;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27208;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27216;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27224;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27232;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27240;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27248;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27256;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27264;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27272;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27280;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27288;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27296;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27304;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27312;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27320;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27328;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27336;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27344;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27352;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27360;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27368;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27376;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27384;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27392;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27400;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27408;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27416;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27424;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27432;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27440;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27448;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27456;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27464;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27472;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27480;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27488;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27496;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27504;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27512;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27520;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27528;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27536;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27544;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27552;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27560;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27568;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27576;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27584;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27592;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27600;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27608;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27616;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27624;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27632;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27640;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27648;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27656;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27664;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27672;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27680;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27688;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27696;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27704;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27712;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27720;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27728;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27736;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27744;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27752;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27760;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27768;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27776;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27784;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27792;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27800;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27808;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27816;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27824;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27832;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27840;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27848;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27856;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27864;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27872;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27880;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27888;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27896;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27904;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27912;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27920;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27928;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27936;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27944;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27952;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27960;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27968;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27976;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27984;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27992;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28000;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28008;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28016;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28024;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28032;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28040;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28048;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28056;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28064;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28072;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28080;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28088;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28096;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28104;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28112;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28120;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28128;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28136;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28144;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28152;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28160;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28168;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28176;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28184;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28192;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28200;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28208;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28216;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28224;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28232;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28240;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28248;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28256;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28264;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28272;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28280;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28288;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28296;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28304;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28312;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28320;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28328;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28336;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28344;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28352;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28360;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28368;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28376;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28384;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28392;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28400;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28408;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28416;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28424;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28432;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28440;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28448;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28456;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28464;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28472;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28480;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28488;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28496;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28504;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28512;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28520;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28528;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28536;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28544;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28552;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28560;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28568;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28576;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28584;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28592;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28600;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28608;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28616;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28624;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28632;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28640;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28648;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28656;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28664;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28672;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28680;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28688;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28696;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28704;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28712;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28720;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28728;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28736;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28744;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28752;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28760;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28768;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28776;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28784;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28792;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28800;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28808;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28816;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28824;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28832;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28840;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28848;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28856;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28864;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28872;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28880;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28888;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28896;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28904;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28912;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28920;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28928;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28936;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28944;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28952;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28960;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28968;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28976;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28984;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28992;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29000;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29008;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29016;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29024;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29032;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29040;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29048;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29056;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29064;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29072;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29080;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29088;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29096;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29104;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29112;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29120;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29128;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29136;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29144;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29152;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29160;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29168;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29176;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29184;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29192;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29200;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29208;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29216;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29224;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29232;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29240;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29248;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29256;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29264;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29272;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29280;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29288;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29296;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29304;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29312;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29320;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29328;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29336;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29344;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29352;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29360;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29368;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29376;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29384;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29392;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29400;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29408;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29416;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29424;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29432;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29440;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29448;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29456;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29464;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29472;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29480;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29488;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29496;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29504;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29512;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29520;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29528;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29536;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29544;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29552;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29560;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29568;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29576;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29584;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29592;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29600;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29608;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29616;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29624;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29632;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29640;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29648;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29656;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29664;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29672;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29680;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29688;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29696;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29704;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29712;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29720;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29728;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29736;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29744;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29752;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29760;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29768;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29776;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29784;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29792;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29800;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29808;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29816;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29824;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29832;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29840;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29848;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29856;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29864;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29872;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29880;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29888;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29896;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29904;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29912;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29920;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29928;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29936;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29944;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29952;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29960;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29968;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29976;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29984;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29992;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30000;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30008;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30016;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30024;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30032;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30040;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30048;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30056;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30064;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30072;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30080;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30088;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30096;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30104;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30112;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30120;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30128;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30136;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30144;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30152;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30160;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30168;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30176;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30184;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30192;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30200;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30208;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30216;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30224;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30232;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30240;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30248;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30256;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30264;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30272;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30280;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30288;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30296;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30304;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30312;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30320;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30328;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30336;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30344;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30352;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30360;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30368;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30376;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30384;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30392;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30400;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30408;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30416;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30424;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30432;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30440;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30448;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30456;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30464;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30472;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30480;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30488;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30496;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30504;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30512;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30520;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30528;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30536;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30544;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30552;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30560;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30568;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30576;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30584;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30592;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30600;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30608;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30616;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30624;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30632;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30640;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30648;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30656;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30664;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30672;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30680;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30688;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30696;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30704;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30712;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30720;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30728;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30736;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30744;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30752;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30760;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30768;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30776;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30784;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30792;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30800;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30808;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30816;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30824;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30832;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30840;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30848;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30856;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30864;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30872;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30880;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30888;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30896;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30904;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30912;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30920;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30928;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30936;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30944;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30952;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30960;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30968;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30976;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30984;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30992;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31000;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31008;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31016;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31024;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31032;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31040;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31048;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31056;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31064;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31072;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31080;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31088;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31096;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31104;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31112;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31120;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31128;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31136;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31144;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31152;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31160;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31168;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31176;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31184;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31192;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31200;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31208;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31216;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31224;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31232;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31240;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31248;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31256;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31264;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31272;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31280;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31288;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31296;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31304;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31312;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31320;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31328;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31336;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31344;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31352;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31360;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31368;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31376;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31384;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31392;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31400;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31408;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31416;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31424;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31432;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31440;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31448;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31456;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31464;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31472;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31480;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31488;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31496;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31504;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31512;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31520;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31528;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31536;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31544;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31552;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31560;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31568;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31576;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31584;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31592;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31600;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31608;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31616;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31624;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31632;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31640;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31648;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31656;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31664;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31672;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31680;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31688;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31696;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31704;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31712;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31720;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31728;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31736;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31744;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31752;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31760;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31768;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31776;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31784;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31792;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31800;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31808;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31816;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31824;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31832;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31840;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31848;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31856;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31864;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31872;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31880;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31888;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31896;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31904;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31912;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31920;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31928;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31936;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31944;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31952;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31960;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31968;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31976;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31984;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31992;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32000;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32008;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32016;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32024;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32032;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32040;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32048;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32056;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32064;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32072;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32080;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32088;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32096;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32104;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32112;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32120;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32128;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32136;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32144;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32152;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32160;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32168;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32176;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32184;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32192;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32200;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32208;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32216;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32224;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32232;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32240;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32248;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32256;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32264;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32272;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32280;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32288;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32296;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32304;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32312;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32320;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32328;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32336;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32344;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32352;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32360;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32368;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32376;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32384;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32392;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32400;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32408;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32416;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32424;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32432;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32440;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32448;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32456;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32464;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32472;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32480;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32488;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32496;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32504;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32512;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32520;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32528;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32536;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32544;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32552;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32560;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32568;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32576;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32584;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32592;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32600;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32608;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32616;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32624;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32632;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32640;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32648;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32656;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32664;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32672;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32680;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32688;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32696;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32704;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32712;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32720;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32728;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32736;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32744;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32752;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32760;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; - - -+------------------------------------------------+ -; Routing Usage Summary ; -+-----------------------+------------------------+ -; Routing Resource Type ; Usage ; -+-----------------------+------------------------+ -; Block interconnects ; 5,530 / 71,559 ( 8 % ) ; -; C16 interconnects ; 193 / 2,597 ( 7 % ) ; -; C4 interconnects ; 4,092 / 46,848 ( 9 % ) ; -; Direct links ; 709 / 71,559 ( < 1 % ) ; -; Global clocks ; 9 / 20 ( 45 % ) ; -; Local interconnects ; 1,732 / 24,624 ( 7 % ) ; -; R24 interconnects ; 183 / 2,496 ( 7 % ) ; -; R4 interconnects ; 5,061 / 62,424 ( 8 % ) ; -+-----------------------+------------------------+ - - -+-----------------------------------------------------------------------------+ -; LAB Logic Elements ; -+---------------------------------------------+-------------------------------+ -; Number of Logic Elements (Average = 13.10) ; Number of LABs (Total = 230) ; -+---------------------------------------------+-------------------------------+ -; 1 ; 7 ; -; 2 ; 6 ; -; 3 ; 5 ; -; 4 ; 5 ; -; 5 ; 6 ; -; 6 ; 3 ; -; 7 ; 2 ; -; 8 ; 3 ; -; 9 ; 5 ; -; 10 ; 8 ; -; 11 ; 6 ; -; 12 ; 6 ; -; 13 ; 8 ; -; 14 ; 10 ; -; 15 ; 35 ; -; 16 ; 115 ; -+---------------------------------------------+-------------------------------+ - - -+--------------------------------------------------------------------+ -; LAB-wide Signals ; -+------------------------------------+-------------------------------+ -; LAB-wide Signals (Average = 1.41) ; Number of LABs (Total = 230) ; -+------------------------------------+-------------------------------+ -; 1 Async. clear ; 35 ; -; 1 Clock ; 146 ; -; 1 Clock enable ; 65 ; -; 1 Sync. clear ; 13 ; -; 1 Sync. load ; 18 ; -; 2 Clock enables ; 38 ; -; 2 Clocks ; 9 ; -+------------------------------------+-------------------------------+ - - -+------------------------------------------------------------------------------+ -; LAB Signals Sourced ; -+----------------------------------------------+-------------------------------+ -; Number of Signals Sourced (Average = 16.41) ; Number of LABs (Total = 230) ; -+----------------------------------------------+-------------------------------+ -; 0 ; 0 ; -; 1 ; 5 ; -; 2 ; 5 ; -; 3 ; 5 ; -; 4 ; 4 ; -; 5 ; 4 ; -; 6 ; 4 ; -; 7 ; 3 ; -; 8 ; 4 ; -; 9 ; 5 ; -; 10 ; 10 ; -; 11 ; 5 ; -; 12 ; 3 ; -; 13 ; 5 ; -; 14 ; 4 ; -; 15 ; 13 ; -; 16 ; 37 ; -; 17 ; 16 ; -; 18 ; 21 ; -; 19 ; 6 ; -; 20 ; 15 ; -; 21 ; 9 ; -; 22 ; 5 ; -; 23 ; 9 ; -; 24 ; 3 ; -; 25 ; 4 ; -; 26 ; 7 ; -; 27 ; 4 ; -; 28 ; 4 ; -; 29 ; 2 ; -; 30 ; 1 ; -; 31 ; 0 ; -; 32 ; 8 ; -+----------------------------------------------+-------------------------------+ - - -+---------------------------------------------------------------------------------+ -; LAB Signals Sourced Out ; -+-------------------------------------------------+-------------------------------+ -; Number of Signals Sourced Out (Average = 8.26) ; Number of LABs (Total = 230) ; -+-------------------------------------------------+-------------------------------+ -; 0 ; 0 ; -; 1 ; 14 ; -; 2 ; 16 ; -; 3 ; 13 ; -; 4 ; 11 ; -; 5 ; 14 ; -; 6 ; 14 ; -; 7 ; 9 ; -; 8 ; 23 ; -; 9 ; 19 ; -; 10 ; 30 ; -; 11 ; 20 ; -; 12 ; 9 ; -; 13 ; 11 ; -; 14 ; 5 ; -; 15 ; 4 ; -; 16 ; 14 ; -; 17 ; 1 ; -; 18 ; 1 ; -; 19 ; 1 ; -; 20 ; 1 ; -+-------------------------------------------------+-------------------------------+ - - -+------------------------------------------------------------------------------+ -; LAB Distinct Inputs ; -+----------------------------------------------+-------------------------------+ -; Number of Distinct Inputs (Average = 19.46) ; Number of LABs (Total = 230) ; -+----------------------------------------------+-------------------------------+ -; 0 ; 0 ; -; 1 ; 2 ; -; 2 ; 5 ; -; 3 ; 6 ; -; 4 ; 10 ; -; 5 ; 7 ; -; 6 ; 3 ; -; 7 ; 6 ; -; 8 ; 7 ; -; 9 ; 8 ; -; 10 ; 6 ; -; 11 ; 8 ; -; 12 ; 4 ; -; 13 ; 6 ; -; 14 ; 9 ; -; 15 ; 4 ; -; 16 ; 11 ; -; 17 ; 4 ; -; 18 ; 5 ; -; 19 ; 3 ; -; 20 ; 5 ; -; 21 ; 9 ; -; 22 ; 4 ; -; 23 ; 2 ; -; 24 ; 2 ; -; 25 ; 6 ; -; 26 ; 5 ; -; 27 ; 6 ; -; 28 ; 6 ; -; 29 ; 10 ; -; 30 ; 3 ; -; 31 ; 17 ; -; 32 ; 18 ; -; 33 ; 17 ; -; 34 ; 4 ; -; 35 ; 2 ; -+----------------------------------------------+-------------------------------+ - - -+------------------------------------------+ -; I/O Rules Summary ; -+----------------------------------+-------+ -; I/O Rules Statistic ; Total ; -+----------------------------------+-------+ -; Total I/O Rules ; 30 ; -; Number of I/O Rules Passed ; 9 ; -; Number of I/O Rules Failed ; 0 ; -; Number of I/O Rules Unchecked ; 0 ; -; Number of I/O Rules Inapplicable ; 21 ; -+----------------------------------+-------+ - - -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; I/O Rules Details ; -+--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+------+-------------------+ -; Status ; ID ; Category ; Rule Description ; Severity ; Information ; Area ; Extra Information ; -+--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+------+-------------------+ -; Pass ; IO_000001 ; Capacity Checks ; Number of pins in an I/O bank should not exceed the number of locations available. ; Critical ; 0 such failures found. ; I/O ; ; -; Inapplicable ; IO_000002 ; Capacity Checks ; Number of clocks in an I/O bank should not exceed the number of clocks available. ; Critical ; No Global Signal assignments found. ; I/O ; ; -; Pass ; IO_000003 ; Capacity Checks ; Number of pins in a Vrefgroup should not exceed the number of locations available. ; Critical ; 0 such failures found. ; I/O ; ; -; Inapplicable ; IO_000004 ; Voltage Compatibility Checks ; The I/O bank should support the requested VCCIO. ; Critical ; No IOBANK_VCCIO assignments found. ; I/O ; ; -; Inapplicable ; IO_000005 ; Voltage Compatibility Checks ; The I/O bank should not have competing VREF values. ; Critical ; No VREF I/O Standard assignments found. ; I/O ; ; -; Pass ; IO_000006 ; Voltage Compatibility Checks ; The I/O bank should not have competing VCCIO values. ; Critical ; 0 such failures found. ; I/O ; ; -; Pass ; IO_000007 ; Valid Location Checks ; Checks for unavailable locations. ; Critical ; 0 such failures found. ; I/O ; ; -; Inapplicable ; IO_000008 ; Valid Location Checks ; Checks for reserved locations. ; Critical ; No reserved LogicLock region found. ; I/O ; ; -; Pass ; IO_000009 ; I/O Properties Checks for One I/O ; The location should support the requested I/O standard. ; Critical ; 0 such failures found. ; I/O ; ; -; Pass ; IO_000010 ; I/O Properties Checks for One I/O ; The location should support the requested I/O direction. ; Critical ; 0 such failures found. ; I/O ; ; -; Inapplicable ; IO_000011 ; I/O Properties Checks for One I/O ; The location should support the requested Current Strength. ; Critical ; No Current Strength assignments found. ; I/O ; ; -; Inapplicable ; IO_000012 ; I/O Properties Checks for One I/O ; The location should support the requested On Chip Termination value. ; Critical ; No Termination assignments found. ; I/O ; ; -; Inapplicable ; IO_000013 ; I/O Properties Checks for One I/O ; The location should support the requested Bus Hold value. ; Critical ; No Enable Bus-Hold Circuitry assignments found. ; I/O ; ; -; Inapplicable ; IO_000014 ; I/O Properties Checks for One I/O ; The location should support the requested Weak Pull Up value. ; Critical ; No Weak Pull-Up Resistor assignments found. ; I/O ; ; -; Pass ; IO_000015 ; I/O Properties Checks for One I/O ; The location should support the requested PCI Clamp Diode. ; Critical ; 0 such failures found. ; I/O ; ; -; Inapplicable ; IO_000018 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Current Strength. ; Critical ; No Current Strength assignments found. ; I/O ; ; -; Inapplicable ; IO_000019 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested On Chip Termination value. ; Critical ; No Termination assignments found. ; I/O ; ; -; Pass ; IO_000020 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested PCI Clamp Diode. ; Critical ; 0 such failures found. ; I/O ; ; -; Inapplicable ; IO_000021 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Weak Pull Up value. ; Critical ; No Weak Pull-Up Resistor assignments found. ; I/O ; ; -; Inapplicable ; IO_000022 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Bus Hold value. ; Critical ; No Enable Bus-Hold Circuitry assignments found. ; I/O ; ; -; Inapplicable ; IO_000023 ; I/O Properties Checks for One I/O ; The I/O standard should support the Open Drain value. ; Critical ; No open drain assignments found. ; I/O ; ; -; Inapplicable ; IO_000024 ; I/O Properties Checks for One I/O ; The I/O direction should support the On Chip Termination value. ; Critical ; No Termination assignments found. ; I/O ; ; -; Inapplicable ; IO_000026 ; I/O Properties Checks for One I/O ; On Chip Termination and Current Strength should not be used at the same time. ; Critical ; No Current Strength or Termination assignments found. ; I/O ; ; -; Inapplicable ; IO_000027 ; I/O Properties Checks for One I/O ; Weak Pull Up and Bus Hold should not be used at the same time. ; Critical ; No Enable Bus-Hold Circuitry or Weak Pull-Up Resistor assignments found. ; I/O ; ; -; Inapplicable ; IO_000045 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Slew Rate value. ; Critical ; No Slew Rate assignments found. ; I/O ; ; -; Inapplicable ; IO_000046 ; I/O Properties Checks for One I/O ; The location should support the requested Slew Rate value. ; Critical ; No Slew Rate assignments found. ; I/O ; ; -; Inapplicable ; IO_000047 ; I/O Properties Checks for One I/O ; On Chip Termination and Slew Rate should not be used at the same time. ; Critical ; No Slew Rate assignments found. ; I/O ; ; -; Pass ; IO_000033 ; Electromigration Checks ; Current density for consecutive I/Os should not exceed 240mA for row I/Os and 240mA for column I/Os. ; Critical ; 0 such failures found. ; I/O ; ; -; Inapplicable ; IO_000034 ; SI Related Distance Checks ; Single-ended outputs should be 5 LAB row(s) away from a differential I/O. ; High ; No Differential I/O Standard assignments found. ; I/O ; ; -; Inapplicable ; IO_000042 ; SI Related SSO Limit Checks ; No more than 20 outputs are allowed in a VREF group when VREF is being read from. ; High ; No VREF I/O Standard assignments found. ; I/O ; ; -+--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+------+-------------------+ - - -+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; I/O Rules Matrix ; -+--------------------+-----------+--------------+-----------+--------------+--------------+-----------+-----------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+ -; Pin/Rules ; IO_000001 ; IO_000002 ; IO_000003 ; IO_000004 ; IO_000005 ; IO_000006 ; IO_000007 ; IO_000008 ; IO_000009 ; IO_000010 ; IO_000011 ; IO_000012 ; IO_000013 ; IO_000014 ; IO_000015 ; IO_000018 ; IO_000019 ; IO_000020 ; IO_000021 ; IO_000022 ; IO_000023 ; IO_000024 ; IO_000026 ; IO_000027 ; IO_000045 ; IO_000046 ; IO_000047 ; IO_000033 ; IO_000034 ; IO_000042 ; -+--------------------+-----------+--------------+-----------+--------------+--------------+-----------+-----------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+ -; Total Pass ; 31 ; 0 ; 31 ; 0 ; 0 ; 31 ; 31 ; 0 ; 31 ; 31 ; 0 ; 0 ; 0 ; 0 ; 8 ; 0 ; 0 ; 8 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 31 ; 0 ; 0 ; -; Total Unchecked ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; Total Inapplicable ; 0 ; 31 ; 0 ; 31 ; 31 ; 0 ; 0 ; 31 ; 0 ; 0 ; 31 ; 31 ; 31 ; 31 ; 23 ; 31 ; 31 ; 23 ; 31 ; 31 ; 31 ; 31 ; 31 ; 31 ; 31 ; 31 ; 31 ; 0 ; 31 ; 31 ; -; Total Fail ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; VGA_R[0] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VGA_R[1] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VGA_R[2] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VGA_R[3] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VGA_R[4] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VGA_R[5] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VGA_G[0] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VGA_G[1] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VGA_G[2] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VGA_G[3] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VGA_G[4] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VGA_G[5] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VGA_B[0] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VGA_B[1] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VGA_B[2] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VGA_B[3] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VGA_B[4] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VGA_B[5] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VGA_HS ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VGA_VS ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; LED ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; AUDIO_L ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; AUDIO_R ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; SPI_DO ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; SPI_SS2 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; SPI_SS4 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; SPI_SCK ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; CONF_DATA0 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; SPI_SS3 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; SPI_DI ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; CLOCK_27 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -+--------------------+-----------+--------------+-----------+--------------+--------------+-----------+-----------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+ - - -+----------------------------------------------------------------------------------------+ -; Fitter Device Options ; -+------------------------------------------------------------------+---------------------+ -; Option ; Setting ; -+------------------------------------------------------------------+---------------------+ -; Enable user-supplied start-up clock (CLKUSR) ; Off ; -; Enable device-wide reset (DEV_CLRn) ; Off ; -; Enable device-wide output enable (DEV_OE) ; Off ; -; Enable INIT_DONE output ; Off ; -; Configuration scheme ; Passive Serial ; -; Error detection CRC ; Off ; -; Enable open drain on CRC_ERROR pin ; Off ; -; Enable input tri-state on active configuration pins in user mode ; Off ; -; Configuration Voltage Level ; Auto ; -; Force Configuration Voltage Level ; On ; -; nCEO ; Unreserved ; -; Data[0] ; Unreserved ; -; Data[1]/ASDO ; Unreserved ; -; Data[7..2] ; Unreserved ; -; FLASH_nCE/nCSO ; Unreserved ; -; Other Active Parallel pins ; Unreserved ; -; DCLK ; As input tri-stated ; -; Base pin-out file on sameframe device ; Off ; -+------------------------------------------------------------------+---------------------+ - - -+------------------------------------+ -; Operating Settings and Conditions ; -+---------------------------+--------+ -; Setting ; Value ; -+---------------------------+--------+ -; Nominal Core Voltage ; 1.20 V ; -; Low Junction Temperature ; 0 °C ; -; High Junction Temperature ; 85 °C ; -+---------------------------+--------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Estimated Delay Added for Hold Timing Summary ; -+--------------------------------------------------------------------------------------------------------------------------+----------------------------------------------------------------------------------+-------------------+ -; Source Clock(s) ; Destination Clock(s) ; Delay Added in ns ; -+--------------------------------------------------------------------------------------------------------------------------+----------------------------------------------------------------------------------+-------------------+ -; pll|altpll_component|auto_generated|pll1|clk[0],mz80k_top:mz80k_top|vga:vga1|counter[0],mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 951.8 ; -; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 296.5 ; -; pll|altpll_component|auto_generated|pll1|clk[0],mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 86.3 ; -; pll|altpll_component|auto_generated|pll1|clk[0],mz80k_top:mz80k_top|vga:vga1|counter[0],mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0],mz80k_top:mz80k_top|clk_count[2] ; 66.4 ; -+--------------------------------------------------------------------------------------------------------------------------+----------------------------------------------------------------------------------+-------------------+ -Note: For more information on problematic transfers, consider running the Fitter again with the Optimize hold timing option (Settings Menu) turned off. -This will disable optimization of problematic paths and expose them for further analysis using the TimeQuest Timing Analyzer. - - -+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Estimated Delay Added for Hold Timing Details ; -+------------------------------------------------------------------------------------------------------------------------+--------------------------------------------------+-------------------+ -; Source Register ; Destination Register ; Delay Added in ns ; -+------------------------------------------------------------------------------------------------------------------------+--------------------------------------------------+-------------------+ -; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|out_address_reg_a[0] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.670 ; -; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|out_address_reg_a[1] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.583 ; -; mz80k_top:mz80k_top|ps2:ps2_1|data[3]~reg0 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.579 ; -; mz80k_top:mz80k_top|ps2:ps2_1|data[1]~reg0 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.579 ; -; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|q_a[5] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.411 ; -; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|q_a[1] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.387 ; -; mz80k_top:mz80k_top|clk_count[24] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.368 ; -; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a12 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.341 ; -; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a20 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.341 ; -; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a4 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.341 ; -; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a28 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.341 ; -; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a11 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.292 ; -; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a19 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.292 ; -; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a3 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.292 ; -; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a27 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.292 ; -; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a18 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.289 ; -; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|q_a[3] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.289 ; -; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a21 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.285 ; -; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a13 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.285 ; -; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a5 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.285 ; -; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a29 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.285 ; -; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a17 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.282 ; -; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a9 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.282 ; -; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a1 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.282 ; -; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a25 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.282 ; -; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a10 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.274 ; -; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a2 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.274 ; -; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a26 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.274 ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[2] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|i8253:i8253_1|data[3] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[1] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|i8253:i8253_1|data[1] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|q_a[4] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|i8253:i8253_1|data[4] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|i8253:i8253_1|data[7] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a23 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a15 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a7 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a31 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|q_a[6] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|i8253:i8253_1|data[6] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a14 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a22 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a6 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a30 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|q_a[0] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a8 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a16 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a0 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a24 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|clk_count[19] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|i8253:i8253_1|data[0] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|q_a[2] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|i8253:i8253_1|data[2] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|ps2:ps2_1|data[2]~reg0 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|fz80:z80|reg_simplec:reg_i|q[5] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|i8253:i8253_1|data[5] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|fz80:z80|reg_simplec:reg_i|q[3] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q1[3] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_h|qx[3] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_h|q1[3] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_h|q0[3] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d|q1[3] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_l|qx[0] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_l|q1[0] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_l|q0[0] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|fz80:z80|reg_simplec:reg_i|q[7] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q1[7] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[7] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_h|qx[7] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_h|q1[7] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_h|q0[7] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d|q1[7] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrh|q[4] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_l|qx[7] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_l|q1[7] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_l|q0[7] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q1[7] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q1[7] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q0[7] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_spl|q[7] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrl|q[7] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q1[5] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q0[5] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_spl|q[5] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_l|qx[4] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_l|q1[4] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_l|q0[4] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_sph|q[4] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_b|q1[4] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_b|q0[4] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrh|q[0] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|fz80:z80|reg_pcl:reg_pcl|q[5] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|fz80:z80|reg_simplec:reg_i|q[1] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_h|qx[2] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_h|q1[2] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_h|q0[2] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|fz80:z80|reg_simplec:reg_i|q[2] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q1[2] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_h|qx[1] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -+------------------------------------------------------------------------------------------------------------------------+--------------------------------------------------+-------------------+ -Note: This table only shows the top 100 path(s) that have the largest delay added for hold. - - -+-----------------+ -; Fitter Messages ; -+-----------------+ -Info (11104): Parallel Compilation has detected 8 hyper-threaded processors. However, the extra hyper-threaded processors will not be used by default. Parallel Compilation will use 4 of the 4 physical processors detected instead. -Info (119006): Selected device EP3C25E144C8 for design "mz80k_mist" -Info (21077): Low junction temperature is 0 degrees C -Info (21077): High junction temperature is 85 degrees C -Info (15535): Implemented PLL "pll:pll|altpll:altpll_component|pll_altpll:auto_generated|pll1" as Cyclone III PLL type - Info (15099): Implementing clock multiplication of 50, clock division of 27, and phase shift of 0 degrees (0 ps) for pll:pll|altpll:altpll_component|pll_altpll:auto_generated|wire_pll1_clk[0] port -Info (171003): Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time -Info (176444): Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices - Info (176445): Device EP3C5E144C8 is compatible - Info (176445): Device EP3C10E144C8 is compatible - Info (176445): Device EP3C16E144C8 is compatible -Info (169124): Fitter converted 1 user pins into dedicated programming pins - Info (169125): Pin ~ALTERA_DCLK~ is reserved at location 12 -Info (169141): DATA[0] dual-purpose pin not reserved -Info (12825): Data[1]/ASDO dual-purpose pin not reserved -Info (12825): nCSO dual-purpose pin not reserved -Warning (15714): Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details -Info (176045): Design uses memory blocks. Violating setup or hold times of memory block address registers for either read or write operations could cause memory contents to be corrupted. Make sure that all memory block address registers meet the setup and hold time requirements. -Critical Warning (332012): Synopsys Design Constraints File file not found: 'mz80k_mist.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design. -Info (332144): No user constrained generated clocks found in the design -Info (332144): No user constrained base clocks found in the design -Warning (332125): Found combinational loop of 816 nodes - Warning (332126): Node "mz80k_top|vram_select|combout" - Warning (332126): Node "mz80k_top|cpu_data_in~15|dataa" - Warning (332126): Node "mz80k_top|cpu_data_in~15|combout" - Warning (332126): Node "mz80k_top|cpu_data_in[6]~28|datab" - Warning (332126): Node "mz80k_top|cpu_data_in[6]~28|combout" - Warning (332126): Node "mz80k_top|cpu_data_in[7]~31|datac" - Warning (332126): Node "mz80k_top|cpu_data_in[7]~31|combout" - Warning (332126): Node "mz80k_top|cpu_data_in[7]~32|datac" - Warning (332126): Node "mz80k_top|cpu_data_in[7]~32|combout" - Warning (332126): Node "mz80k_top|cpu_data_in[7]~51|datac" - Warning (332126): Node "mz80k_top|cpu_data_in[7]~51|combout" - Warning (332126): Node "mz80k_top|z80|reg_adrl|q[7]~2|dataa" - Warning (332126): Node "mz80k_top|z80|reg_adrl|q[7]~2|combout" - Warning (332126): Node "mz80k_top|z80|i[7]~10|dataa" - Warning (332126): Node "mz80k_top|z80|i[7]~10|combout" - Warning (332126): Node "mz80k_top|z80|comb~19|datac" - Warning (332126): Node "mz80k_top|z80|comb~19|combout" - Warning (332126): Node "mz80k_top|z80|comb~21|dataa" - Warning (332126): Node "mz80k_top|z80|comb~21|combout" - Warning (332126): Node "mz80k_top|z80|sela_hl~1|dataa" - Warning (332126): Node "mz80k_top|z80|sela_hl~1|combout" - Warning (332126): Node "mz80k_top|z80|sela_hl~3|dataa" - Warning (332126): Node "mz80k_top|z80|sela_hl~3|combout" - Warning (332126): Node "mz80k_top|z80|selal[1]~1|datac" - Warning (332126): Node "mz80k_top|z80|selal[1]~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux20~1|datab" - Warning (332126): Node "mz80k_top|z80|Mux20~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux20~3|dataa" - Warning (332126): Node "mz80k_top|z80|Mux20~3|combout" - Warning (332126): Node "mz80k_top|io_e008~0|dataa" - Warning (332126): Node "mz80k_top|io_e008~0|combout" - Warning (332126): Node "mz80k_top|cpu_data_in~47|datab" - Warning (332126): Node "mz80k_top|cpu_data_in~47|combout" - Warning (332126): Node "mz80k_top|cpu_data_in~48|datad" - Warning (332126): Node "mz80k_top|cpu_data_in~48|combout" - Warning (332126): Node "mz80k_top|cpu_data_in[1]~18|dataa" - Warning (332126): Node "mz80k_top|cpu_data_in[1]~18|combout" - Warning (332126): Node "mz80k_top|z80|i[5]~4|datad" - Warning (332126): Node "mz80k_top|z80|i[5]~4|combout" - Warning (332126): Node "mz80k_top|z80|comb~28|dataa" - Warning (332126): Node "mz80k_top|z80|comb~28|combout" - Warning (332126): Node "mz80k_top|z80|selah[0]~2|datac" - Warning (332126): Node "mz80k_top|z80|selah[0]~2|combout" - Warning (332126): Node "mz80k_top|z80|selah[0]~3|datac" - Warning (332126): Node "mz80k_top|z80|selah[0]~3|combout" - Warning (332126): Node "mz80k_top|z80|Mux13~1|datab" - Warning (332126): Node "mz80k_top|z80|Mux13~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux13~4|dataa" - Warning (332126): Node "mz80k_top|z80|Mux13~4|combout" - Warning (332126): Node "mz80k_top|cpu_data_in~41|datac" - Warning (332126): Node "mz80k_top|cpu_data_in~41|combout" - Warning (332126): Node "mz80k_top|cpu_data_in~42|datad" - Warning (332126): Node "mz80k_top|cpu_data_in~42|combout" - Warning (332126): Node "mz80k_top|cpu_data_in~45|datab" - Warning (332126): Node "mz80k_top|cpu_data_in~45|combout" - Warning (332126): Node "mz80k_top|cpu_data_in~52|datad" - Warning (332126): Node "mz80k_top|cpu_data_in~52|combout" - Warning (332126): Node "mz80k_top|cpu_data_in~46|datad" - Warning (332126): Node "mz80k_top|cpu_data_in~46|combout" - Warning (332126): Node "mz80k_top|cpu_data_in[1]~49|datab" - Warning (332126): Node "mz80k_top|cpu_data_in[1]~49|combout" - Warning (332126): Node "mz80k_top|cpu_data_in[2]~26|datad" - Warning (332126): Node "mz80k_top|cpu_data_in[2]~26|combout" - Warning (332126): Node "mz80k_top|cpu_data_in[2]~27|datad" - Warning (332126): Node "mz80k_top|cpu_data_in[2]~27|combout" - Warning (332126): Node "mz80k_top|z80|i[2]~8|datab" - Warning (332126): Node "mz80k_top|z80|i[2]~8|combout" - Warning (332126): Node "mz80k_top|z80|comb~24|datab" - Warning (332126): Node "mz80k_top|z80|comb~24|combout" - Warning (332126): Node "mz80k_top|z80|comb~25|datac" - Warning (332126): Node "mz80k_top|z80|comb~25|combout" - Warning (332126): Node "mz80k_top|z80|comb~26|dataa" - Warning (332126): Node "mz80k_top|z80|comb~26|combout" - Warning (332126): Node "mz80k_top|z80|selal[0]~2|datac" - Warning (332126): Node "mz80k_top|z80|selal[0]~2|combout" - Warning (332126): Node "mz80k_top|z80|selal[0]~3|dataa" - Warning (332126): Node "mz80k_top|z80|selal[0]~3|combout" - Warning (332126): Node "mz80k_top|z80|Mux20~0|datac" - Warning (332126): Node "mz80k_top|z80|Mux20~0|combout" - Warning (332126): Node "mz80k_top|z80|Mux20~1|datac" - Warning (332126): Node "mz80k_top|z80|Mux21~1|datab" - Warning (332126): Node "mz80k_top|z80|Mux21~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux21~3|dataa" - Warning (332126): Node "mz80k_top|z80|Mux21~3|combout" - Warning (332126): Node "mz80k_top|cpu_data_in~45|datac" - Warning (332126): Node "mz80k_top|cpu_data_in~43|dataa" - Warning (332126): Node "mz80k_top|cpu_data_in~43|combout" - Warning (332126): Node "mz80k_top|cpu_data_in~44|datad" - Warning (332126): Node "mz80k_top|cpu_data_in~44|combout" - Warning (332126): Node "mz80k_top|cpu_data_in[1]~18|datac" - Warning (332126): Node "mz80k_top|cpu_data_in[1]~49|dataa" - Warning (332126): Node "mz80k_top|cpu_data_in[7]~51|dataa" - Warning (332126): Node "mz80k_top|cpu_data_in[6]~50|dataa" - Warning (332126): Node "mz80k_top|cpu_data_in[6]~50|combout" - Warning (332126): Node "mz80k_top|z80|reg_adrl|q[6]~1|dataa" - Warning (332126): Node "mz80k_top|z80|reg_adrl|q[6]~1|combout" - Warning (332126): Node "mz80k_top|z80|i[6]~9|dataa" - Warning (332126): Node "mz80k_top|z80|i[6]~9|combout" - Warning (332126): Node "mz80k_top|z80|comb~19|datad" - Warning (332126): Node "mz80k_top|z80|comb~68|datac" - Warning (332126): Node "mz80k_top|z80|comb~68|combout" - Warning (332126): Node "mz80k_top|z80|comb~23|datad" - Warning (332126): Node "mz80k_top|z80|comb~23|combout" - Warning (332126): Node "mz80k_top|z80|sela_hl~1|datac" - Warning (332126): Node "mz80k_top|z80|incdec8|datab" - Warning (332126): Node "mz80k_top|z80|incdec8|combout" - Warning (332126): Node "mz80k_top|z80|reg_load_f~2|datab" - Warning (332126): Node "mz80k_top|z80|reg_load_f~2|combout" - Warning (332126): Node "mz80k_top|z80|comb~21|datac" - Warning (332126): Node "mz80k_top|z80|comb~11|dataa" - Warning (332126): Node "mz80k_top|z80|comb~11|combout" - Warning (332126): Node "mz80k_top|z80|comb~20|datac" - Warning (332126): Node "mz80k_top|z80|comb~20|combout" - Warning (332126): Node "mz80k_top|z80|comb~21|datad" - Warning (332126): Node "mz80k_top|z80|i_lddd_nn|dataa" - Warning (332126): Node "mz80k_top|z80|i_lddd_nn|combout" - Warning (332126): Node "mz80k_top|z80|selah[0]~2|datad" - Warning (332126): Node "mz80k_top|z80|i_ldnndd|dataa" - Warning (332126): Node "mz80k_top|z80|i_ldnndd|combout" - Warning (332126): Node "mz80k_top|z80|comb~27|datad" - Warning (332126): Node "mz80k_top|z80|comb~27|combout" - Warning (332126): Node "mz80k_top|z80|selah[0]~2|dataa" - Warning (332126): Node "mz80k_top|z80|retin~2|datac" - Warning (332126): Node "mz80k_top|z80|retin~2|combout" - Warning (332126): Node "mz80k_top|z80|asu_ci~0|datad" - Warning (332126): Node "mz80k_top|z80|asu_ci~0|combout" - Warning (332126): Node "mz80k_top|z80|comb~25|datad" - Warning (332126): Node "mz80k_top|z80|Decoder0~0|dataa" - Warning (332126): Node "mz80k_top|z80|Decoder0~0|combout" - Warning (332126): Node "mz80k_top|z80|selah[1]~4|dataa" - Warning (332126): Node "mz80k_top|z80|selah[1]~4|combout" - Warning (332126): Node "mz80k_top|z80|selah[1]~5|datab" - Warning (332126): Node "mz80k_top|z80|selah[1]~5|combout" - Warning (332126): Node "mz80k_top|z80|Mux13~0|datac" - Warning (332126): Node "mz80k_top|z80|Mux13~0|combout" - Warning (332126): Node "mz80k_top|z80|Mux13~1|datac" - Warning (332126): Node "mz80k_top|z80|Mux13~3|datab" - Warning (332126): Node "mz80k_top|z80|Mux13~3|combout" - Warning (332126): Node "mz80k_top|z80|Mux13~4|datab" - Warning (332126): Node "mz80k_top|z80|Mux13~2|dataa" - Warning (332126): Node "mz80k_top|z80|Mux13~2|combout" - Warning (332126): Node "mz80k_top|z80|Mux13~3|datac" - Warning (332126): Node "mz80k_top|z80|Mux11~3|datab" - Warning (332126): Node "mz80k_top|z80|Mux11~3|combout" - Warning (332126): Node "mz80k_top|z80|Mux11~4|datab" - Warning (332126): Node "mz80k_top|z80|Mux11~4|combout" - Warning (332126): Node "mz80k_top|vram_select|datab" - Warning (332126): Node "mz80k_top|cpu_data_in~41|datad" - Warning (332126): Node "mz80k_top|z80|Mux11~2|dataa" - Warning (332126): Node "mz80k_top|z80|Mux11~2|combout" - Warning (332126): Node "mz80k_top|z80|Mux11~3|datac" - Warning (332126): Node "mz80k_top|z80|Mux11~0|datac" - Warning (332126): Node "mz80k_top|z80|Mux11~0|combout" - Warning (332126): Node "mz80k_top|z80|Mux11~1|datac" - Warning (332126): Node "mz80k_top|z80|Mux11~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux11~4|dataa" - Warning (332126): Node "mz80k_top|z80|Mux8~1|datac" - Warning (332126): Node "mz80k_top|z80|Mux8~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux8~2|datac" - Warning (332126): Node "mz80k_top|z80|Mux8~2|combout" - Warning (332126): Node "mz80k_top|z80|Mux8~5|dataa" - Warning (332126): Node "mz80k_top|z80|Mux8~5|combout" - Warning (332126): Node "mz80k_top|comb~0|dataa" - Warning (332126): Node "mz80k_top|comb~0|combout" - Warning (332126): Node "mz80k_top|cpu_data_in~42|datab" - Warning (332126): Node "mz80k_top|vram_select|datac" - Warning (332126): Node "mz80k_top|z80|Mux8~4|datab" - Warning (332126): Node "mz80k_top|z80|Mux8~4|combout" - Warning (332126): Node "mz80k_top|z80|Mux8~5|datab" - Warning (332126): Node "mz80k_top|z80|Mux8~3|dataa" - Warning (332126): Node "mz80k_top|z80|Mux8~3|combout" - Warning (332126): Node "mz80k_top|z80|Mux8~4|datac" - Warning (332126): Node "mz80k_top|z80|Mux9~1|datac" - Warning (332126): Node "mz80k_top|z80|Mux9~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux9~2|datac" - Warning (332126): Node "mz80k_top|z80|Mux9~2|combout" - Warning (332126): Node "mz80k_top|z80|Mux9~5|dataa" - Warning (332126): Node "mz80k_top|z80|Mux9~5|combout" - Warning (332126): Node "mz80k_top|comb~0|datab" - Warning (332126): Node "mz80k_top|z80|Mux9~4|datab" - Warning (332126): Node "mz80k_top|z80|Mux9~4|combout" - Warning (332126): Node "mz80k_top|z80|Mux9~5|datab" - Warning (332126): Node "mz80k_top|z80|Mux9~3|dataa" - Warning (332126): Node "mz80k_top|z80|Mux9~3|combout" - Warning (332126): Node "mz80k_top|z80|Mux9~4|datac" - Warning (332126): Node "mz80k_top|z80|Mux12~0|datac" - Warning (332126): Node "mz80k_top|z80|Mux12~0|combout" - Warning (332126): Node "mz80k_top|z80|Mux12~1|datac" - Warning (332126): Node "mz80k_top|z80|Mux12~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux12~4|dataa" - Warning (332126): Node "mz80k_top|z80|Mux12~4|combout" - Warning (332126): Node "mz80k_top|comb~0|datad" - Warning (332126): Node "mz80k_top|z80|Mux12~3|datab" - Warning (332126): Node "mz80k_top|z80|Mux12~3|combout" - Warning (332126): Node "mz80k_top|z80|Mux12~4|datab" - Warning (332126): Node "mz80k_top|z80|Mux12~2|dataa" - Warning (332126): Node "mz80k_top|z80|Mux12~2|combout" - Warning (332126): Node "mz80k_top|z80|Mux12~3|datac" - Warning (332126): Node "mz80k_top|z80|Mux10~1|datac" - Warning (332126): Node "mz80k_top|z80|Mux10~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux10~2|datac" - Warning (332126): Node "mz80k_top|z80|Mux10~2|combout" - Warning (332126): Node "mz80k_top|z80|Mux10~5|dataa" - Warning (332126): Node "mz80k_top|z80|Mux10~5|combout" - Warning (332126): Node "mz80k_top|cpu_data_in~42|dataa" - Warning (332126): Node "mz80k_top|vram_select|datad" - Warning (332126): Node "mz80k_top|z80|Mux10~4|datab" - Warning (332126): Node "mz80k_top|z80|Mux10~4|combout" - Warning (332126): Node "mz80k_top|z80|Mux10~5|datab" - Warning (332126): Node "mz80k_top|z80|Mux10~3|dataa" - Warning (332126): Node "mz80k_top|z80|Mux10~3|combout" - Warning (332126): Node "mz80k_top|z80|Mux10~4|datac" - Warning (332126): Node "mz80k_top|z80|Mux15~0|datac" - Warning (332126): Node "mz80k_top|z80|Mux15~0|combout" - Warning (332126): Node "mz80k_top|z80|Mux15~1|datac" - Warning (332126): Node "mz80k_top|z80|Mux15~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux15~4|dataa" - Warning (332126): Node "mz80k_top|z80|Mux15~4|combout" - Warning (332126): Node "mz80k_top|cpu_data_in~40|datac" - Warning (332126): Node "mz80k_top|cpu_data_in~40|combout" - Warning (332126): Node "mz80k_top|cpu_data_in~42|datac" - Warning (332126): Node "mz80k_top|z80|Mux15~3|datab" - Warning (332126): Node "mz80k_top|z80|Mux15~3|combout" - Warning (332126): Node "mz80k_top|z80|Mux15~4|datab" - Warning (332126): Node "mz80k_top|z80|Mux15~2|dataa" - Warning (332126): Node "mz80k_top|z80|Mux15~2|combout" - Warning (332126): Node "mz80k_top|z80|Mux15~3|datac" - Warning (332126): Node "mz80k_top|z80|Mux14~0|datac" - Warning (332126): Node "mz80k_top|z80|Mux14~0|combout" - Warning (332126): Node "mz80k_top|z80|Mux14~1|datac" - Warning (332126): Node "mz80k_top|z80|Mux14~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux14~4|dataa" - Warning (332126): Node "mz80k_top|z80|Mux14~4|combout" - Warning (332126): Node "mz80k_top|cpu_data_in~40|datad" - Warning (332126): Node "mz80k_top|z80|Mux14~3|datab" - Warning (332126): Node "mz80k_top|z80|Mux14~3|combout" - Warning (332126): Node "mz80k_top|z80|Mux14~4|datab" - Warning (332126): Node "mz80k_top|z80|Mux14~2|dataa" - Warning (332126): Node "mz80k_top|z80|Mux14~2|combout" - Warning (332126): Node "mz80k_top|z80|Mux14~3|datac" - Warning (332126): Node "mz80k_top|z80|comb~22|dataa" - Warning (332126): Node "mz80k_top|z80|comb~22|combout" - Warning (332126): Node "mz80k_top|z80|comb~23|datab" - Warning (332126): Node "mz80k_top|z80|i_outna~0|dataa" - Warning (332126): Node "mz80k_top|z80|i_outna~0|combout" - Warning (332126): Node "mz80k_top|z80|imm1~0|datad" - Warning (332126): Node "mz80k_top|z80|imm1~0|combout" - Warning (332126): Node "mz80k_top|z80|selal[0]~3|datac" - Warning (332126): Node "mz80k_top|z80|i_outcr|dataa" - Warning (332126): Node "mz80k_top|z80|i_outcr|combout" - Warning (332126): Node "mz80k_top|z80|selal[2]~4|dataa" - Warning (332126): Node "mz80k_top|z80|selal[2]~4|combout" - Warning (332126): Node "mz80k_top|z80|selal[2]~5|datac" - Warning (332126): Node "mz80k_top|z80|selal[2]~5|combout" - Warning (332126): Node "mz80k_top|z80|selal[2]~6|datab" - Warning (332126): Node "mz80k_top|z80|selal[2]~6|combout" - Warning (332126): Node "mz80k_top|cpu_data_in~52|datac" - Warning (332126): Node "mz80k_top|z80|Mux8~5|datad" - Warning (332126): Node "mz80k_top|z80|Mux9~5|datad" - Warning (332126): Node "mz80k_top|z80|Mux12~4|datad" - Warning (332126): Node "mz80k_top|z80|Mux10~5|datad" - Warning (332126): Node "mz80k_top|z80|Mux19~3|datad" - Warning (332126): Node "mz80k_top|z80|Mux19~3|combout" - Warning (332126): Node "mz80k_top|cpu_data_in~40|dataa" - Warning (332126): Node "mz80k_top|z80|Mux18~3|datad" - Warning (332126): Node "mz80k_top|z80|Mux18~3|combout" - Warning (332126): Node "mz80k_top|cpu_data_in~40|datab" - Warning (332126): Node "mz80k_top|z80|Mux17~3|datad" - Warning (332126): Node "mz80k_top|z80|Mux17~3|combout" - Warning (332126): Node "mz80k_top|cpu_data_in~41|dataa" - Warning (332126): Node "mz80k_top|z80|Mux16~3|datad" - Warning (332126): Node "mz80k_top|z80|Mux16~3|combout" - Warning (332126): Node "mz80k_top|cpu_data_in~41|datab" - Warning (332126): Node "mz80k_top|z80|Mux15~4|datad" - Warning (332126): Node "mz80k_top|z80|Mux14~4|datad" - Warning (332126): Node "mz80k_top|ps2_1|always0~6|datac" - Warning (332126): Node "mz80k_top|ps2_1|always0~6|combout" - Warning (332126): Node "mz80k_top|ps2_1|always0~4|datad" - Warning (332126): Node "mz80k_top|ps2_1|always0~4|combout" - Warning (332126): Node "mz80k_top|ps2_1|always0~5|datab" - Warning (332126): Node "mz80k_top|ps2_1|always0~5|combout" - Warning (332126): Node "mz80k_top|cpu_data_in[1]~18|datab" - Warning (332126): Node "mz80k_top|cpu_data_in[1]~49|datac" - Warning (332126): Node "mz80k_top|cpu_data_in[1]~13|dataa" - Warning (332126): Node "mz80k_top|cpu_data_in[1]~13|combout" - Warning (332126): Node "mz80k_top|cpu_data_in[3]~33|datac" - Warning (332126): Node "mz80k_top|cpu_data_in[3]~33|combout" - Warning (332126): Node "mz80k_top|cpu_data_in[3]~34|datad" - Warning (332126): Node "mz80k_top|cpu_data_in[3]~34|combout" - Warning (332126): Node "mz80k_top|z80|i[3]~11|datab" - Warning (332126): Node "mz80k_top|z80|i[3]~11|combout" - Warning (332126): Node "mz80k_top|z80|comb~24|datac" - Warning (332126): Node "mz80k_top|z80|i_lddd_nn|datab" - Warning (332126): Node "mz80k_top|z80|Decoder1~2|datab" - Warning (332126): Node "mz80k_top|z80|Decoder1~2|combout" - Warning (332126): Node "mz80k_top|z80|imm1~0|datac" - Warning (332126): Node "mz80k_top|z80|selah[1]~4|datac" - Warning (332126): Node "mz80k_top|z80|sela_de~0|datab" - Warning (332126): Node "mz80k_top|z80|sela_de~0|combout" - Warning (332126): Node "mz80k_top|z80|sela_de~1|datac" - Warning (332126): Node "mz80k_top|z80|sela_de~1|combout" - Warning (332126): Node "mz80k_top|z80|selal[2]~6|dataa" - Warning (332126): Node "mz80k_top|z80|selal[0]~2|datad" - Warning (332126): Node "mz80k_top|z80|i_ldnndd|datad" - Warning (332126): Node "mz80k_top|z80|Decoder1~1|datad" - Warning (332126): Node "mz80k_top|z80|Decoder1~1|combout" - Warning (332126): Node "mz80k_top|z80|selah[1]~4|datad" - Warning (332126): Node "mz80k_top|z80|sela_de~0|datad" - Warning (332126): Node "mz80k_top|z80|i_outna~0|datad" - Warning (332126): Node "mz80k_top|z80|Decoder1~8|datad" - Warning (332126): Node "mz80k_top|z80|Decoder1~8|combout" - Warning (332126): Node "mz80k_top|z80|comb~27|datab" - Warning (332126): Node "mz80k_top|z80|i_exsphl|datac" - Warning (332126): Node "mz80k_top|z80|i_exsphl|combout" - Warning (332126): Node "mz80k_top|z80|comb~25|dataa" - Warning (332126): Node "mz80k_top|z80|Decoder1~3|dataa" - Warning (332126): Node "mz80k_top|z80|Decoder1~3|combout" - Warning (332126): Node "mz80k_top|z80|imm2~0|datab" - Warning (332126): Node "mz80k_top|z80|imm2~0|combout" - Warning (332126): Node "mz80k_top|z80|comb~15|dataa" - Warning (332126): Node "mz80k_top|z80|comb~15|combout" - Warning (332126): Node "mz80k_top|z80|comb~26|datac" - Warning (332126): Node "mz80k_top|z80|asu_ci~0|datab" - Warning (332126): Node "mz80k_top|z80|Decoder1~0|datad" - Warning (332126): Node "mz80k_top|z80|Decoder1~0|combout" - Warning (332126): Node "mz80k_top|z80|comb~19|datab" - Warning (332126): Node "mz80k_top|z80|comb~23|datac" - Warning (332126): Node "mz80k_top|z80|reg_load_f~2|dataa" - Warning (332126): Node "mz80k_top|z80|i_ldhlr~0|dataa" - Warning (332126): Node "mz80k_top|z80|i_ldhlr~0|combout" - Warning (332126): Node "mz80k_top|z80|comb~18|datad" - Warning (332126): Node "mz80k_top|z80|comb~18|combout" - Warning (332126): Node "mz80k_top|z80|reg_l|q~0|datad" - Warning (332126): Node "mz80k_top|z80|reg_l|q~0|combout" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux4~1|datab" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux4~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux12~0|datab" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux4~0|dataa" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux4~0|combout" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux4~1|datac" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux7~1|datab" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux7~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux23~1|datad" - Warning (332126): Node "mz80k_top|z80|Mux23~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux23~3|dataa" - Warning (332126): Node "mz80k_top|z80|Mux23~3|combout" - Warning (332126): Node "mz80k_top|cpu_data_in~45|dataa" - Warning (332126): Node "mz80k_top|io_e008~0|datab" - Warning (332126): Node "mz80k_top|ps2_1|always0~6|dataa" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux7~0|dataa" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux7~0|combout" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux7~1|datac" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux0~1|datab" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux0~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux8~1|datab" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux0~0|dataa" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux0~0|combout" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux0~1|datac" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux0~1|datab" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux0~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux16~1|datad" - Warning (332126): Node "mz80k_top|z80|Mux16~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux16~3|dataa" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux0~0|dataa" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux0~0|combout" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux0~1|datac" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux3~1|datab" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux3~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux19~1|datad" - Warning (332126): Node "mz80k_top|z80|Mux19~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux19~3|dataa" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux3~0|dataa" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux3~0|combout" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux3~1|datac" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux5~1|datab" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux5~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux13~0|datab" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux5~0|dataa" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux5~0|combout" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux5~1|datac" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux6~1|datab" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux6~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux14~0|datab" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux6~0|dataa" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux6~0|combout" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux6~1|datac" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux1~1|datab" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux1~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux17~1|datad" - Warning (332126): Node "mz80k_top|z80|Mux17~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux17~3|dataa" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux1~0|dataa" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux1~0|combout" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux1~1|datac" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux2~1|datab" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux2~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux18~1|datad" - Warning (332126): Node "mz80k_top|z80|Mux18~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux18~3|dataa" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux2~0|dataa" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux2~0|combout" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux2~1|datac" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux4~1|datab" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux4~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux20~1|datad" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux4~0|dataa" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux4~0|combout" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux4~1|datac" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux5~1|datab" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux5~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux21~1|datad" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux5~0|dataa" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux5~0|combout" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux5~1|datac" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux6~1|datab" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux6~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux22~2|datad" - Warning (332126): Node "mz80k_top|z80|Mux22~2|combout" - Warning (332126): Node "mz80k_top|cpu_data_in~52|dataa" - Warning (332126): Node "mz80k_top|z80|Mux22~4|dataa" - Warning (332126): Node "mz80k_top|z80|Mux22~4|combout" - Warning (332126): Node "mz80k_top|ps2_1|always0~6|datad" - Warning (332126): Node "mz80k_top|io_e008~0|datac" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux6~0|dataa" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux6~0|combout" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux6~1|datac" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux7~1|datab" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux7~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux15~0|datab" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux7~0|dataa" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux7~0|combout" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux7~1|datac" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux2~1|datab" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux2~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux10~1|datab" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux2~0|dataa" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux2~0|combout" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux2~1|datac" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux1~1|datab" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux1~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux9~1|datab" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux1~0|dataa" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux1~0|combout" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux1~1|datac" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux3~1|datab" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux3~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux11~0|datab" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux3~0|dataa" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux3~0|combout" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux3~1|datac" - Warning (332126): Node "mz80k_top|z80|reg_h|q~1|datad" - Warning (332126): Node "mz80k_top|z80|reg_h|q~1|combout" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux4~0|datac" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux7~0|datac" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux0~0|datac" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux0~0|datac" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux3~0|datac" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux5~0|datac" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux6~0|datac" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux1~0|datac" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux2~0|datac" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux4~0|datac" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux5~0|datac" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux6~0|datac" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux7~0|datac" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux2~0|datac" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux1~0|datac" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux3~0|datac" - Warning (332126): Node "mz80k_top|z80|i_ldrhl~2|datad" - Warning (332126): Node "mz80k_top|z80|i_ldrhl~2|combout" - Warning (332126): Node "mz80k_top|z80|comb~18|datac" - Warning (332126): Node "mz80k_top|z80|comb~28|datac" - Warning (332126): Node "mz80k_top|cpu_data_in[2]~26|datac" - Warning (332126): Node "mz80k_top|cpu_data_in[5]~16|datac" - Warning (332126): Node "mz80k_top|cpu_data_in[5]~16|combout" - Warning (332126): Node "mz80k_top|cpu_data_in[5]~17|datad" - Warning (332126): Node "mz80k_top|cpu_data_in[5]~17|combout" - Warning (332126): Node "mz80k_top|z80|i[5]~4|datab" - Warning (332126): Node "mz80k_top|cpu_data_in[4]~19|datac" - Warning (332126): Node "mz80k_top|cpu_data_in[4]~19|combout" - Warning (332126): Node "mz80k_top|cpu_data_in[4]~20|datad" - Warning (332126): Node "mz80k_top|cpu_data_in[4]~20|combout" - Warning (332126): Node "mz80k_top|z80|i[4]~5|datab" - Warning (332126): Node "mz80k_top|z80|i[4]~5|combout" - Warning (332126): Node "mz80k_top|z80|comb~28|datab" - Warning (332126): Node "mz80k_top|z80|retin~2|datab" - Warning (332126): Node "mz80k_top|z80|Decoder1~2|dataa" - Warning (332126): Node "mz80k_top|z80|Decoder1~7|datad" - Warning (332126): Node "mz80k_top|z80|Decoder1~7|combout" - Warning (332126): Node "mz80k_top|z80|selal[2]~4|datac" - Warning (332126): Node "mz80k_top|z80|Decoder1~1|dataa" - Warning (332126): Node "mz80k_top|z80|Decoder1~8|datac" - Warning (332126): Node "mz80k_top|z80|Decoder1~3|datad" - Warning (332126): Node "mz80k_top|z80|Decoder1~0|datab" - Warning (332126): Node "mz80k_top|z80|comb~10|datad" - Warning (332126): Node "mz80k_top|z80|comb~10|combout" - Warning (332126): Node "mz80k_top|z80|comb~20|dataa" - Warning (332126): Node "mz80k_top|cpu_data_in[1]~24|datac" - Warning (332126): Node "mz80k_top|cpu_data_in[1]~24|combout" - Warning (332126): Node "mz80k_top|cpu_data_in[1]~25|datad" - Warning (332126): Node "mz80k_top|cpu_data_in[1]~25|combout" - Warning (332126): Node "mz80k_top|z80|i[1]~7|datab" - Warning (332126): Node "mz80k_top|z80|i[1]~7|combout" - Warning (332126): Node "mz80k_top|z80|comb~24|datad" - Warning (332126): Node "mz80k_top|z80|Decoder2~3|datab" - Warning (332126): Node "mz80k_top|z80|Decoder2~3|combout" - Warning (332126): Node "mz80k_top|z80|imm1~0|datab" - Warning (332126): Node "mz80k_top|z80|selah[1]~5|dataa" - Warning (332126): Node "mz80k_top|z80|i_outna~0|datac" - Warning (332126): Node "mz80k_top|z80|i_ldnndd|datab" - Warning (332126): Node "mz80k_top|z80|i_exsphl|datab" - Warning (332126): Node "mz80k_top|z80|i_lddd_nn|datac" - Warning (332126): Node "mz80k_top|z80|xy3~0|dataa" - Warning (332126): Node "mz80k_top|z80|xy3~0|combout" - Warning (332126): Node "mz80k_top|z80|comb~30|datab" - Warning (332126): Node "mz80k_top|z80|comb~30|combout" - Warning (332126): Node "mz80k_top|z80|selal[2]~6|datac" - Warning (332126): Node "mz80k_top|z80|xy3|datab" - Warning (332126): Node "mz80k_top|z80|xy3|combout" - Warning (332126): Node "mz80k_top|z80|sela_hl~2|datad" - Warning (332126): Node "mz80k_top|z80|sela_hl~2|combout" - Warning (332126): Node "mz80k_top|z80|sela_hl~3|datab" - Warning (332126): Node "mz80k_top|z80|i_inblock|datad" - Warning (332126): Node "mz80k_top|z80|i_inblock|combout" - Warning (332126): Node "mz80k_top|z80|selal[2]~5|dataa" - Warning (332126): Node "mz80k_top|z80|sela_hl~3|datad" - Warning (332126): Node "mz80k_top|z80|Decoder2~4|datac" - Warning (332126): Node "mz80k_top|z80|Decoder2~4|combout" - Warning (332126): Node "mz80k_top|z80|asu_ci~0|datac" - Warning (332126): Node "mz80k_top|z80|i_outcr|datac" - Warning (332126): Node "mz80k_top|z80|i_cpblock|datab" - Warning (332126): Node "mz80k_top|z80|i_cpblock|combout" - Warning (332126): Node "mz80k_top|z80|sela_hl~1|datab" - Warning (332126): Node "mz80k_top|z80|i_neg~0|datad" - Warning (332126): Node "mz80k_top|z80|i_neg~0|combout" - Warning (332126): Node "mz80k_top|z80|imm2~0|datac" - Warning (332126): Node "mz80k_top|z80|Decoder2~5|dataa" - Warning (332126): Node "mz80k_top|z80|Decoder2~5|combout" - Warning (332126): Node "mz80k_top|z80|i_ldnnhl~0|dataa" - Warning (332126): Node "mz80k_top|z80|i_ldnnhl~0|combout" - Warning (332126): Node "mz80k_top|z80|selal[2]~4|datad" - Warning (332126): Node "mz80k_top|z80|selah[0]~2|datab" - Warning (332126): Node "mz80k_top|z80|sela_de~1|datab" - Warning (332126): Node "mz80k_top|z80|comb~27|datac" - Warning (332126): Node "mz80k_top|z80|incdec8|datad" - Warning (332126): Node "mz80k_top|z80|Decoder2~2|dataa" - Warning (332126): Node "mz80k_top|z80|Decoder2~2|combout" - Warning (332126): Node "mz80k_top|z80|comb~19|dataa" - Warning (332126): Node "mz80k_top|z80|comb~23|dataa" - Warning (332126): Node "mz80k_top|z80|reg_load_f~2|datac" - Warning (332126): Node "mz80k_top|z80|i_setres_hl~0|datac" - Warning (332126): Node "mz80k_top|z80|i_setres_hl~0|combout" - Warning (332126): Node "mz80k_top|z80|comb~20|datad" - Warning (332126): Node "mz80k_top|z80|i_ldhlr~0|datad" - Warning (332126): Node "mz80k_top|z80|i_ldrhl~2|dataa" - Warning (332126): Node "mz80k_top|z80|Decoder2~1|datad" - Warning (332126): Node "mz80k_top|z80|Decoder2~1|combout" - Warning (332126): Node "mz80k_top|z80|imm2~0|dataa" - Warning (332126): Node "mz80k_top|z80|retin~2|datad" - Warning (332126): Node "mz80k_top|z80|Decoder2~6|datac" - Warning (332126): Node "mz80k_top|z80|Decoder2~6|combout" - Warning (332126): Node "mz80k_top|z80|i_inrc|datac" - Warning (332126): Node "mz80k_top|z80|i_inrc|combout" - Warning (332126): Node "mz80k_top|z80|selal[2]~4|datab" - Warning (332126): Node "mz80k_top|z80|i_ldblock|datac" - Warning (332126): Node "mz80k_top|z80|i_ldblock|combout" - Warning (332126): Node "mz80k_top|z80|sela_hl~2|datab" - Warning (332126): Node "mz80k_top|z80|sela_de~1|datad" - Warning (332126): Node "mz80k_top|z80|Decoder2~0|datab" - Warning (332126): Node "mz80k_top|z80|Decoder2~0|combout" - Warning (332126): Node "mz80k_top|z80|comb~20|datab" - Warning (332126): Node "mz80k_top|z80|comb~15|datac" - Warning (332126): Node "mz80k_top|cpu_data_in[1]~12|dataa" - Warning (332126): Node "mz80k_top|cpu_data_in[1]~12|combout" - Warning (332126): Node "mz80k_top|cpu_data_in[3]~34|datac" - Warning (332126): Node "mz80k_top|cpu_data_in[1]~25|datac" - Warning (332126): Node "mz80k_top|cpu_data_in[4]~20|datac" - Warning (332126): Node "mz80k_top|cpu_data_in[2]~27|datac" - Warning (332126): Node "mz80k_top|cpu_data_in[5]~17|datac" - Warning (332126): Node "mz80k_top|z80|reg_adrl|q[0]~0|datad" - Warning (332126): Node "mz80k_top|z80|reg_adrl|q[0]~0|combout" - Warning (332126): Node "mz80k_top|z80|i[0]~6|dataa" - Warning (332126): Node "mz80k_top|z80|i[0]~6|combout" - Warning (332126): Node "mz80k_top|z80|sela_hl~2|datac" - Warning (332126): Node "mz80k_top|z80|comb~24|dataa" - Warning (332126): Node "mz80k_top|z80|Decoder2~3|dataa" - Warning (332126): Node "mz80k_top|z80|Decoder2~0|dataa" - Warning (332126): Node "mz80k_top|z80|comb~30|dataa" - Warning (332126): Node "mz80k_top|z80|Decoder2~4|dataa" - Warning (332126): Node "mz80k_top|z80|i_neg~0|datac" - Warning (332126): Node "mz80k_top|z80|Decoder2~5|datac" - Warning (332126): Node "mz80k_top|z80|Decoder2~2|datad" - Warning (332126): Node "mz80k_top|z80|Decoder2~1|dataa" - Warning (332126): Node "mz80k_top|z80|Decoder2~6|datab" - Warning (332126): Node "mz80k_top|z80|i_inblock|dataa" - Warning (332126): Node "mz80k_top|z80|reg_adrl|q[6]~1|datad" - Warning (332126): Node "mz80k_top|z80|reg_adrl|q[7]~2|datad" - Warning (332126): Node "mz80k_top|z80|Mux23~3|datad" - Warning (332126): Node "mz80k_top|z80|Mux22~4|datad" - Warning (332126): Node "mz80k_top|z80|Mux21~3|datad" - Warning (332126): Node "mz80k_top|z80|Mux11~4|datad" - Warning (332126): Node "mz80k_top|z80|Mux20~3|datad" - Warning (332126): Node "mz80k_top|z80|Mux13~4|datad" - Warning (332126): Node "mz80k_top|z80|i_rs_hl~0|datac" - Warning (332126): Node "mz80k_top|z80|i_rs_hl~0|combout" - Warning (332126): Node "mz80k_top|z80|reg_load_f~2|datad" - Warning (332126): Node "mz80k_top|z80|comb~29|datad" - Warning (332126): Node "mz80k_top|z80|comb~29|combout" - Warning (332126): Node "mz80k_top|z80|comb~30|datad" - Warning (332126): Node "mz80k_top|z80|xy3|datad" - Warning (332126): Node "mz80k_top|z80|i_cpblock|datad" - Warning (332126): Node "mz80k_top|z80|i_ldnnhl~0|datab" - Warning (332126): Node "mz80k_top|z80|i_inrc|dataa" - Warning (332126): Node "mz80k_top|z80|i_ldrhl~3|datac" - Warning (332126): Node "mz80k_top|z80|i_ldrhl~3|combout" - Warning (332126): Node "mz80k_top|z80|i_ldhlr~0|datab" - Warning (332126): Node "mz80k_top|z80|i_ldrhl~2|datab" - Warning (332126): Node "mz80k_top|z80|i_ldblock|datad" - Warning (332126): Node "mz80k_top|z80|comb~66|datac" - Warning (332126): Node "mz80k_top|z80|comb~66|combout" - Warning (332126): Node "mz80k_top|z80|comb~25|datab" - Warning (332126): Node "mz80k_top|z80|imm1~0|dataa" - Warning (332126): Node "mz80k_top|z80|asu_ci~0|dataa" - Warning (332126): Node "mz80k_top|z80|selah[1]~4|datab" - Warning (332126): Node "mz80k_top|z80|i_exsphl|dataa" - Warning (332126): Node "mz80k_top|z80|comb~15|datad" - Warning (332126): Node "mz80k_top|z80|imm2~0|datad" - Warning (332126): Node "mz80k_top|cpu_data_in[6]~28|datad" - Warning (332126): Node "mz80k_top|cpu_data_in[0]~14|datac" - Warning (332126): Node "mz80k_top|cpu_data_in[0]~14|combout" - Warning (332126): Node "mz80k_top|cpu_data_in[7]~32|datab" - Warning (332126): Node "mz80k_top|cpu_data_in[7]~31|dataa" - Warning (332126): Node "mz80k_top|cpu_data_in[6]~29|datac" - Warning (332126): Node "mz80k_top|cpu_data_in[6]~29|combout" - Warning (332126): Node "mz80k_top|cpu_data_in[6]~30|datac" - Warning (332126): Node "mz80k_top|cpu_data_in[6]~30|combout" - Warning (332126): Node "mz80k_top|cpu_data_in[6]~50|datac" - Warning (332126): Node "mz80k_top|cpu_data_in[0]~21|datab" - Warning (332126): Node "mz80k_top|cpu_data_in[0]~21|combout" - Warning (332126): Node "mz80k_top|cpu_data_in[0]~23|datab" - Warning (332126): Node "mz80k_top|cpu_data_in[0]~23|combout" - Warning (332126): Node "mz80k_top|z80|reg_adrl|q[0]~0|dataa" - Warning (332126): Node "mz80k_top|cpu_data_in[0]~23|datac" - Warning (332126): Node "mz80k_top|cpu_data_in[0]~22|datab" - Warning (332126): Node "mz80k_top|cpu_data_in[0]~22|combout" - Warning (332126): Node "mz80k_top|cpu_data_in[0]~23|datad" - Warning (332126): Node "mz80k_top|cpu_data_in[1]~12|datab" - Warning (332126): Node "mz80k_top|cpu_data_in[1]~13|datab" - Warning (332126): Node "mz80k_top|io_e008~0|datad" - Warning (332126): Node "mz80k_top|ps2_1|always0~5|datac" - Warning (332126): Node "mz80k_top|z80|Mux21~0|dataa" - Warning (332126): Node "mz80k_top|z80|Mux21~0|combout" - Warning (332126): Node "mz80k_top|z80|Mux21~1|datac" - Warning (332126): Node "mz80k_top|z80|Mux22~2|datab" - Warning (332126): Node "mz80k_top|z80|Mux22~1|dataa" - Warning (332126): Node "mz80k_top|z80|Mux22~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux22~2|datac" - Warning (332126): Node "mz80k_top|z80|Mux19~1|datab" - Warning (332126): Node "mz80k_top|z80|Mux19~0|dataa" - Warning (332126): Node "mz80k_top|z80|Mux19~0|combout" - Warning (332126): Node "mz80k_top|z80|Mux19~1|datac" - Warning (332126): Node "mz80k_top|z80|Mux19~2|datad" - Warning (332126): Node "mz80k_top|z80|Mux19~2|combout" - Warning (332126): Node "mz80k_top|z80|Mux19~3|datab" - Warning (332126): Node "mz80k_top|z80|Mux18~0|datac" - Warning (332126): Node "mz80k_top|z80|Mux18~0|combout" - Warning (332126): Node "mz80k_top|z80|Mux18~1|datac" - Warning (332126): Node "mz80k_top|z80|Mux18~2|datad" - Warning (332126): Node "mz80k_top|z80|Mux18~2|combout" - Warning (332126): Node "mz80k_top|z80|Mux18~3|datab" - Warning (332126): Node "mz80k_top|z80|Mux17~1|datab" - Warning (332126): Node "mz80k_top|z80|Mux17~0|dataa" - Warning (332126): Node "mz80k_top|z80|Mux17~0|combout" - Warning (332126): Node "mz80k_top|z80|Mux17~1|datac" - Warning (332126): Node "mz80k_top|z80|Mux17~2|datad" - Warning (332126): Node "mz80k_top|z80|Mux17~2|combout" - Warning (332126): Node "mz80k_top|z80|Mux17~3|datab" - Warning (332126): Node "mz80k_top|z80|Mux16~0|datac" - Warning (332126): Node "mz80k_top|z80|Mux16~0|combout" - Warning (332126): Node "mz80k_top|z80|Mux16~1|datac" - Warning (332126): Node "mz80k_top|z80|Mux16~2|datad" - Warning (332126): Node "mz80k_top|z80|Mux16~2|combout" - Warning (332126): Node "mz80k_top|z80|Mux16~3|datab" - Warning (332126): Node "mz80k_top|z80|Mux23~0|datac" - Warning (332126): Node "mz80k_top|z80|Mux23~0|combout" - Warning (332126): Node "mz80k_top|z80|Mux23~1|datac" - Warning (332126): Node "mz80k_top|z80|Mux23~2|datad" - Warning (332126): Node "mz80k_top|z80|Mux23~2|combout" - Warning (332126): Node "mz80k_top|ps2_1|always0~6|datab" - Warning (332126): Node "mz80k_top|z80|Mux23~3|datab" - Warning (332126): Node "mz80k_top|z80|Mux22~3|datad" - Warning (332126): Node "mz80k_top|z80|Mux22~3|combout" - Warning (332126): Node "mz80k_top|cpu_data_in~52|datab" - Warning (332126): Node "mz80k_top|z80|Mux22~4|datab" - Warning (332126): Node "mz80k_top|z80|Mux21~2|datad" - Warning (332126): Node "mz80k_top|z80|Mux21~2|combout" - Warning (332126): Node "mz80k_top|z80|Mux21~3|datab" - Warning (332126): Node "mz80k_top|z80|Mux20~2|datad" - Warning (332126): Node "mz80k_top|z80|Mux20~2|combout" - Warning (332126): Node "mz80k_top|z80|Mux20~3|datab" - Warning (332126): Node "mz80k_top|z80|selah[0]~3|datad" - Warning (332126): Node "mz80k_top|z80|selal[1]~1|datad" - Warning (332126): Node "mz80k_top|z80|xy3~0|datad" - Warning (332126): Node "mz80k_top|z80|Decoder2~4|datad" - Warning (332126): Node "mz80k_top|z80|i_neg~0|dataa" - Warning (332126): Node "mz80k_top|z80|Decoder2~5|datad" - Warning (332126): Node "mz80k_top|z80|incdec8|dataa" - Warning (332126): Node "mz80k_top|z80|Decoder2~2|datab" - Warning (332126): Node "mz80k_top|z80|Decoder2~1|datab" - Warning (332126): Node "mz80k_top|z80|Decoder2~6|datad" - Warning (332126): Node "mz80k_top|z80|Decoder2~0|datac" - Warning (332126): Node "mz80k_top|z80|Decoder2~3|datad" - Warning (332126): Node "mz80k_top|cpu_data_in[5]~16|datad" - Warning (332126): Node "mz80k_top|cpu_data_in[4]~19|datad" - Warning (332126): Node "mz80k_top|cpu_data_in[1]~24|datad" - Warning (332126): Node "mz80k_top|cpu_data_in[3]~33|datad" - Warning (332126): Node "mz80k_top|cpu_data_in[7]~51|datab" - Warning (332126): Node "mz80k_top|cpu_data_in[6]~50|datab" - Warning (332126): Node "mz80k_top|cpu_data_in[6]~28|dataa" - Warning (332126): Node "mz80k_top|cpu_data_in[0]~14|datad" - Warning (332126): Node "mz80k_top|cpu_data_in[0]~22|datac" - Warning (332126): Node "mz80k_top|cpu_data_in[1]~12|datac" - Warning (332126): Node "mz80k_top|cpu_data_in[1]~13|datad" - Warning (332126): Node "mz80k_top|cpu_data_in[1]~18|datad" - Warning (332126): Node "mz80k_top|ps2_1|always0~5|dataa" - Warning (332126): Node "mz80k_top|cpu_data_in~47|dataa" - Warning (332126): Node "mz80k_top|cpu_data_in~43|datab" - Warning (332126): Node "mz80k_top|z80|Mux13~0|dataa" - Warning (332126): Node "mz80k_top|z80|Mux13~2|datac" - Warning (332126): Node "mz80k_top|z80|Mux11~2|datac" - Warning (332126): Node "mz80k_top|z80|Mux11~1|datab" - Warning (332126): Node "mz80k_top|z80|Mux11~0|dataa" - Warning (332126): Node "mz80k_top|z80|Mux8~2|datab" - Warning (332126): Node "mz80k_top|z80|Mux8~1|dataa" - Warning (332126): Node "mz80k_top|z80|Mux8~3|datac" - Warning (332126): Node "mz80k_top|z80|Mux9~2|datab" - Warning (332126): Node "mz80k_top|z80|Mux9~1|dataa" - Warning (332126): Node "mz80k_top|z80|Mux9~3|datac" - Warning (332126): Node "mz80k_top|z80|Mux12~1|datab" - Warning (332126): Node "mz80k_top|z80|Mux12~0|dataa" - Warning (332126): Node "mz80k_top|z80|Mux12~2|datac" - Warning (332126): Node "mz80k_top|z80|Mux10~2|datab" - Warning (332126): Node "mz80k_top|z80|Mux10~1|dataa" - Warning (332126): Node "mz80k_top|z80|Mux10~3|datac" - Warning (332126): Node "mz80k_top|z80|Mux15~1|datab" - Warning (332126): Node "mz80k_top|z80|Mux15~0|dataa" - Warning (332126): Node "mz80k_top|z80|Mux15~2|datac" - Warning (332126): Node "mz80k_top|z80|Mux14~1|datab" - Warning (332126): Node "mz80k_top|z80|Mux14~0|dataa" - Warning (332126): Node "mz80k_top|z80|Mux14~2|datac" - Warning (332126): Node "mz80k_top|z80|selal[0]~3|datad" - Warning (332126): Node "mz80k_top|z80|retin~2|dataa" - Warning (332126): Node "mz80k_top|z80|comb~29|dataa" - Warning (332126): Node "mz80k_top|z80|comb~17|dataa" - Warning (332126): Node "mz80k_top|z80|comb~17|combout" - Warning (332126): Node "mz80k_top|z80|i_ldblock|datab" - Warning (332126): Node "mz80k_top|z80|xy3|datac" - Warning (332126): Node "mz80k_top|z80|i_cpblock|datac" - Warning (332126): Node "mz80k_top|z80|Decoder1~7|datac" - Warning (332126): Node "mz80k_top|z80|Decoder1~1|datac" - Warning (332126): Node "mz80k_top|z80|Decoder1~8|dataa" - Warning (332126): Node "mz80k_top|z80|Decoder1~3|datac" - Warning (332126): Node "mz80k_top|z80|Decoder1~0|dataa" - Warning (332126): Node "mz80k_top|z80|comb~10|dataa" - Warning (332126): Node "mz80k_top|z80|Decoder1~2|datad" - Warning (332126): Node "mz80k_top|z80|i[4]~5|datad" - Warning (332126): Node "mz80k_top|z80|i[1]~7|datad" - Warning (332126): Node "mz80k_top|z80|i[3]~11|datad" - Warning (332126): Node "mz80k_top|z80|i[2]~8|datad" - Warning (332126): Node "mz80k_top|cpu_data_in[7]~51|datad" - Warning (332126): Node "mz80k_top|cpu_data_in[6]~50|datad" - Warning (332126): Node "mz80k_top|cpu_data_in[0]~23|dataa" - Warning (332126): Node "mz80k_top|ps2_1|always0~5|datad" - Warning (332126): Node "mz80k_top|cpu_data_in~43|datac" - Warning (332126): Node "mz80k_top|cpu_data_in~45|datad" - Warning (332126): Node "mz80k_top|z80|Mux20~0|dataa" - Warning (332126): Node "mz80k_top|z80|Mux20~2|datac" - Warning (332126): Node "mz80k_top|z80|Mux21~0|datac" - Warning (332126): Node "mz80k_top|z80|Mux22~1|datac" - Warning (332126): Node "mz80k_top|z80|Mux19~0|datac" - Warning (332126): Node "mz80k_top|z80|Mux19~2|datac" - Warning (332126): Node "mz80k_top|z80|Mux18~1|datab" - Warning (332126): Node "mz80k_top|z80|Mux18~0|dataa" - Warning (332126): Node "mz80k_top|z80|Mux18~2|datac" - Warning (332126): Node "mz80k_top|z80|Mux17~0|datac" - Warning (332126): Node "mz80k_top|z80|Mux17~2|datac" - Warning (332126): Node "mz80k_top|z80|Mux16~1|datab" - Warning (332126): Node "mz80k_top|z80|Mux16~0|dataa" - Warning (332126): Node "mz80k_top|z80|Mux16~2|datac" - Warning (332126): Node "mz80k_top|z80|selah[1]~5|datad" - Warning (332126): Node "mz80k_top|z80|Mux23~1|datab" - Warning (332126): Node "mz80k_top|z80|Mux23~0|dataa" - Warning (332126): Node "mz80k_top|z80|Mux23~2|datac" - Warning (332126): Node "mz80k_top|z80|Mux22~3|datac" - Warning (332126): Node "mz80k_top|z80|Mux21~2|datac" - Warning (332126): Node "mz80k_top|z80|selal[2]~6|datad" - Warning (332126): Node "mz80k_top|z80|i_ldblock|dataa" - Warning (332126): Node "mz80k_top|z80|comb~22|datad" - Warning (332126): Node "mz80k_top|z80|i_outna~0|datab" - Warning (332126): Node "mz80k_top|z80|i_setres_hl~0|dataa" - Warning (332126): Node "mz80k_top|z80|i_outcr|datad" - Warning (332126): Node "mz80k_top|z80|i_rs_hl~0|datad" - Warning (332126): Node "mz80k_top|z80|comb~29|datab" - Warning (332126): Node "mz80k_top|z80|xy3|dataa" - Warning (332126): Node "mz80k_top|z80|i_cpblock|dataa" - Warning (332126): Node "mz80k_top|z80|i_ldnnhl~0|datac" - Warning (332126): Node "mz80k_top|z80|i_inrc|datad" - Warning (332126): Node "mz80k_top|z80|i_ldrhl~3|datad" - Warning (332126): Node "mz80k_top|z80|comb~11|datad" - Warning (332126): Node "mz80k_top|z80|comb~66|datad" - Warning (332126): Node "mz80k_top|z80|comb~68|datad" - Warning (332126): Node "mz80k_top|z80|Decoder0~0|datab" - Warning (332126): Node "mz80k_top|cpu_data_in[6]~30|datab" - Warning (332126): Node "mz80k_top|cpu_data_in[6]~29|dataa" - Warning (332126): Node "mz80k_top|cpu_data_in[0]~21|datac" - Warning (332126): Node "mz80k_top|cpu_data_in[1]~49|datad" -Critical Warning (332081): Design contains combinational loop of 816 nodes. Estimating the delays through the loop. -Info (332143): No user constrained clock uncertainty found in the design. Calling "derive_clock_uncertainty" -Info (332123): Deriving Clock Uncertainty. Please refer to report_sdc in TimeQuest to see clock uncertainties. -Info (332130): Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time. -Info (176353): Automatically promoted node pll:pll|altpll:altpll_component|pll_altpll:auto_generated|wire_pll1_clk[0] (placed in counter C0 of PLL_4) - Info (176355): Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G18 -Info (176353): Automatically promoted node SPI_SCK~input (placed in PIN 126 (CLK8, DIFFCLK_5n)) - Info (176355): Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G14 - Info (176356): Following destination nodes may be non-global or may not use global or regional clocks - Info (176357): Destination node mist_io:mist_io|status[2] -Info (176353): Automatically promoted node mz80k_top:mz80k_top|CLK_CPU - Info (176355): Automatically promoted destinations to use location or clock signal Global Clock -Info (176353): Automatically promoted node mz80k_top:mz80k_top|vga:vga1|counter[0] - Info (176355): Automatically promoted destinations to use location or clock signal Global Clock - Info (176356): Following destination nodes may be non-global or may not use global or regional clocks - Info (176357): Destination node mz80k_top:mz80k_top|vga:vga1|counter[0]~0 -Info (176353): Automatically promoted node mz80k_top:mz80k_top|CLK_2M - Info (176355): Automatically promoted destinations to use location or clock signal Global Clock - Info (176356): Following destination nodes may be non-global or may not use global or regional clocks - Info (176357): Destination node mz80k_top:mz80k_top|CLK_2M~0 -Info (176353): Automatically promoted node mz80k_top:mz80k_top|CLK_31250 - Info (176355): Automatically promoted destinations to use location or clock signal Global Clock - Info (176356): Following destination nodes may be non-global or may not use global or regional clocks - Info (176357): Destination node mz80k_top:mz80k_top|CLK_31250~0 -Info (176353): Automatically promoted node mz80k_top:mz80k_top|i8253:i8253_1|signal1 - Info (176355): Automatically promoted destinations to use location or clock signal Global Clock - Info (176356): Following destination nodes may be non-global or may not use global or regional clocks - Info (176357): Destination node mz80k_top:mz80k_top|i8253:i8253_1|signal1~0 -Info (176353): Automatically promoted node SPI_SS3~input (placed in PIN 91 (CLK4, DIFFCLK_2p)) - Info (176355): Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G9 - Info (176356): Following destination nodes may be non-global or may not use global or regional clocks - Info (176357): Destination node video_mixer:video_mixer|osd:osd|comb~4 - Info (176357): Destination node video_mixer:video_mixer|osd:osd|sbuf[4] - Info (176357): Destination node video_mixer:video_mixer|osd:osd|sbuf[5] - Info (176357): Destination node video_mixer:video_mixer|osd:osd|sbuf[3] - Info (176357): Destination node video_mixer:video_mixer|osd:osd|sbuf[6] - Info (176357): Destination node video_mixer:video_mixer|osd:osd|sbuf[1] - Info (176357): Destination node video_mixer:video_mixer|osd:osd|sbuf[0] - Info (176357): Destination node video_mixer:video_mixer|osd:osd|sbuf[2] - Info (176357): Destination node video_mixer:video_mixer|osd:osd|cmd[7]~0 -Info (176353): Automatically promoted node Equal1~2 - Info (176355): Automatically promoted destinations to use location or clock signal Global Clock - Info (176356): Following destination nodes may be non-global or may not use global or regional clocks - Info (176357): Destination node mz80k_top:mz80k_top|fz80:z80|seq:seq|busack - Info (176357): Destination node mz80k_top:mz80k_top|fz80:z80|seq:seq|state[3] - Info (176357): Destination node mz80k_top:mz80k_top|fz80:z80|seq:seq|state[2] - Info (176357): Destination node mz80k_top:mz80k_top|fz80:z80|seq:seq|state[1] - Info (176357): Destination node mz80k_top:mz80k_top|fz80:z80|seq:seq|state[0] - Info (176357): Destination node mz80k_top:mz80k_top|fz80:z80|sel_af - Info (176357): Destination node mz80k_top:mz80k_top|fz80:z80|seq:seq|ied - Info (176357): Destination node mz80k_top:mz80k_top|fz80:z80|seq:seq|icb - Info (176357): Destination node mz80k_top:mz80k_top|fz80:z80|sel_exx - Info (176357): Destination node mz80k_top:mz80k_top|fz80:z80|reg_r:reg_r|q[0] - Info (176358): Non-global destination nodes limited to 10 nodes -Info (176233): Starting register packing -Info (176235): Finished register packing - Extra Info (176219): No registers were packed into other blocks -Warning (15705): Ignored locations or region assignments to the following nodes - Warning (15706): Node "SDRAM_A[0]" is assigned to location or region, but does not exist in design - Warning (15706): Node "SDRAM_A[10]" is assigned to location or region, but does not exist in design - Warning (15706): Node "SDRAM_A[11]" is assigned to location or region, but does not exist in design - Warning (15706): Node "SDRAM_A[12]" is assigned to location or region, but does not exist in design - Warning (15706): Node "SDRAM_A[1]" is assigned to location or region, but does not exist in design - Warning (15706): Node "SDRAM_A[2]" is assigned to location or region, but does not exist in design - Warning (15706): Node "SDRAM_A[3]" is assigned to location or region, but does not exist in design - Warning (15706): Node "SDRAM_A[4]" is assigned to location or region, but does not exist in design - Warning (15706): Node "SDRAM_A[5]" is assigned to location or region, but does not exist in design - Warning (15706): Node "SDRAM_A[6]" is assigned to location or region, but does not exist in design - Warning (15706): Node "SDRAM_A[7]" is assigned to location or region, but does not exist in design - Warning (15706): Node "SDRAM_A[8]" is assigned to location or region, but does not exist in design - Warning (15706): Node "SDRAM_A[9]" is assigned to location or region, but does not exist in design - Warning (15706): Node "SDRAM_BA[0]" is assigned to location or region, but does not exist in design - Warning (15706): Node "SDRAM_BA[1]" is assigned to location or region, but does not exist in design - Warning (15706): Node "SDRAM_CKE" is assigned to location or region, but does not exist in design - Warning (15706): Node "SDRAM_CLK" is assigned to location or region, but does not exist in design - Warning (15706): Node "SDRAM_DQMH" is assigned to location or region, but does not exist in design - Warning (15706): Node "SDRAM_DQML" is assigned to location or region, but does not exist in design - Warning (15706): Node "SDRAM_DQ[0]" is assigned to location or region, but does not exist in design - Warning (15706): Node "SDRAM_DQ[10]" is assigned to location or region, but does not exist in design - Warning (15706): Node "SDRAM_DQ[11]" is assigned to location or region, but does not exist in design - Warning (15706): Node "SDRAM_DQ[12]" is assigned to location or region, but does not exist in design - Warning (15706): Node "SDRAM_DQ[13]" is assigned to location or region, but does not exist in design - Warning (15706): Node "SDRAM_DQ[14]" is assigned to location or region, but does not exist in design - Warning (15706): Node "SDRAM_DQ[15]" is assigned to location or region, but does not exist in design - Warning (15706): Node "SDRAM_DQ[1]" is assigned to location or region, but does not exist in design - Warning (15706): Node "SDRAM_DQ[2]" is assigned to location or region, but does not exist in design - Warning (15706): Node "SDRAM_DQ[3]" is assigned to location or region, but does not exist in design - Warning (15706): Node "SDRAM_DQ[4]" is assigned to location or region, but does not exist in design - Warning (15706): Node "SDRAM_DQ[5]" is assigned to location or region, but does not exist in design - Warning (15706): Node "SDRAM_DQ[6]" is assigned to location or region, but does not exist in design - Warning (15706): Node "SDRAM_DQ[7]" is assigned to location or region, but does not exist in design - Warning (15706): Node "SDRAM_DQ[8]" is assigned to location or region, but does not exist in design - Warning (15706): Node "SDRAM_DQ[9]" is assigned to location or region, but does not exist in design - Warning (15706): Node "SDRAM_nCAS" is assigned to location or region, but does not exist in design - Warning (15706): Node "SDRAM_nCS" is assigned to location or region, but does not exist in design - Warning (15706): Node "SDRAM_nRAS" is assigned to location or region, but does not exist in design - Warning (15706): Node "SDRAM_nWE" is assigned to location or region, but does not exist in design - Warning (15706): Node "UART_RX" is assigned to location or region, but does not exist in design - Warning (15706): Node "UART_TX" is assigned to location or region, but does not exist in design -Info (171121): Fitter preparation operations ending: elapsed time is 00:00:02 -Info (170189): Fitter placement preparation operations beginning -Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:02 -Info (170191): Fitter placement operations beginning -Info (170137): Fitter placement was successful -Info (170192): Fitter placement operations ending: elapsed time is 00:00:13 -Info (170193): Fitter routing operations beginning -Info (170089): 1e+03 ns of routing delay (approximately 2.6% of available device routing delay) has been added to meet hold timing. For more information, refer to the Estimated Delay Added for Hold Timing section in the Fitter report. -Info (170195): Router estimated average interconnect usage is 6% of the available device resources - Info (170196): Router estimated peak interconnect usage is 45% of the available device resources in the region that extends from location X21_Y11 to location X31_Y22 -Info (170194): Fitter routing operations ending: elapsed time is 00:00:25 -Info (170199): The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time. - Info (170201): Optimizations that may affect the design's routability were skipped -Info (11888): Total time spent on timing analysis during the Fitter is 19.66 seconds. -Info (334003): Started post-fitting delay annotation -Info (334004): Delay annotation completed successfully -Info (334003): Started post-fitting delay annotation -Info (334004): Delay annotation completed successfully -Info (11218): Fitter post-fit operations ending: elapsed time is 00:00:03 -Warning (171167): Found invalid Fitter assignments. See the Ignored Assignments panel in the Fitter Compilation Report for more information. -Warning (169177): 7 pins must meet Altera requirements for 3.3-, 3.0-, and 2.5-V interfaces. For more information, refer to AN 447: Interfacing Cyclone III Devices with 3.3/3.0/2.5-V LVTTL/LVCMOS I/O Systems. - Info (169178): Pin SPI_DO uses I/O standard 3.3-V LVTTL at 105 - Info (169178): Pin SPI_SS2 uses I/O standard 3.3-V LVTTL at 127 - Info (169178): Pin SPI_SS4 uses I/O standard 3.3-V LVTTL at 90 - Info (169178): Pin SPI_SCK uses I/O standard 3.3-V LVTTL at 126 - Info (169178): Pin SPI_SS3 uses I/O standard 3.3-V LVTTL at 91 - Info (169178): Pin SPI_DI uses I/O standard 3.3-V LVTTL at 88 - Info (169178): Pin CLOCK_27 uses I/O standard 3.3-V LVTTL at 54 -Warning (169203): PCI-clamp diode is not supported in this mode. The following 1 pins must meet the Altera requirements for 3.3V, 3.0V, and 2.5V interfaces if they are connected to devices other than the supported configuration devices. In these cases, Altera recommends termination method as specified in the Application Note 447. - Info (169178): Pin CONF_DATA0 uses I/O standard 3.3-V LVTTL at 13 -Info (144001): Generated suppressed messages file D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/Output/mz80k_mist.fit.smsg -Info: Quartus II 64-Bit Fitter was successful. 0 errors, 865 warnings - Info: Peak virtual memory: 5526 megabytes - Info: Processing ended: Sun Jun 24 13:31:07 2018 - Info: Elapsed time: 00:00:53 - Info: Total CPU time (on all processors): 00:01:08 - - -+----------------------------+ -; Fitter Suppressed Messages ; -+----------------------------+ -The suppressed messages can be found in D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/Output/mz80k_mist.fit.smsg. - - diff --git a/Sharp - MZ-80K_MiST/Output/mz80k_mist.fit.smsg b/Sharp - MZ-80K_MiST/Output/mz80k_mist.fit.smsg deleted file mode 100644 index 7121cbb1..00000000 --- a/Sharp - MZ-80K_MiST/Output/mz80k_mist.fit.smsg +++ /dev/null @@ -1,8 +0,0 @@ -Extra Info (176273): Performing register packing on registers with non-logic cell location assignments -Extra Info (176274): Completed register packing on registers with non-logic cell location assignments -Extra Info (176236): Started Fast Input/Output/OE register processing -Extra Info (176237): Finished Fast Input/Output/OE register processing -Extra Info (176238): Start inferring scan chains for DSP blocks -Extra Info (176239): Inferring scan chains for DSP blocks is complete -Extra Info (176248): Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density -Extra Info (176249): Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks diff --git a/Sharp - MZ-80K_MiST/Output/mz80k_mist.fit.summary b/Sharp - MZ-80K_MiST/Output/mz80k_mist.fit.summary deleted file mode 100644 index 243f9f70..00000000 --- a/Sharp - MZ-80K_MiST/Output/mz80k_mist.fit.summary +++ /dev/null @@ -1,16 +0,0 @@ -Fitter Status : Successful - Sun Jun 24 13:31:05 2018 -Quartus II 64-Bit Version : 13.1.0 Build 162 10/23/2013 SJ Web Edition -Revision Name : mz80k_mist -Top-level Entity Name : mz80k_mist -Family : Cyclone III -Device : EP3C25E144C8 -Timing Models : Final -Total logic elements : 3,012 / 24,624 ( 12 % ) - Total combinational functions : 2,886 / 24,624 ( 12 % ) - Dedicated logic registers : 891 / 24,624 ( 4 % ) -Total registers : 891 -Total pins : 31 / 83 ( 37 % ) -Total virtual pins : 0 -Total memory bits : 311,296 / 608,256 ( 51 % ) -Embedded Multiplier 9-bit elements : 0 / 132 ( 0 % ) -Total PLLs : 1 / 4 ( 25 % ) diff --git a/Sharp - MZ-80K_MiST/Output/mz80k_mist.flow.rpt b/Sharp - MZ-80K_MiST/Output/mz80k_mist.flow.rpt deleted file mode 100644 index 2c0cb61a..00000000 --- a/Sharp - MZ-80K_MiST/Output/mz80k_mist.flow.rpt +++ /dev/null @@ -1,136 +0,0 @@ -Flow report for mz80k_mist -Sun Jun 24 13:31:32 2018 -Quartus II 64-Bit Version 13.1.0 Build 162 10/23/2013 SJ Web Edition - - ---------------------- -; Table of Contents ; ---------------------- - 1. Legal Notice - 2. Flow Summary - 3. Flow Settings - 4. Flow Non-Default Global Settings - 5. Flow Elapsed Time - 6. Flow OS Summary - 7. Flow Log - 8. Flow Messages - 9. Flow Suppressed Messages - - - ----------------- -; Legal Notice ; ----------------- -Copyright (C) 1991-2013 Altera Corporation -Your use of Altera Corporation's design tools, logic functions -and other software and tools, and its AMPP partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Altera Program License -Subscription Agreement, Altera MegaCore Function License -Agreement, or other applicable license agreement, including, -without limitation, that your use is for the sole purpose of -programming logic devices manufactured by Altera and sold by -Altera or its authorized distributors. Please refer to the -applicable agreement for further details. - - - -+---------------------------------------------------------------------------------+ -; Flow Summary ; -+------------------------------------+--------------------------------------------+ -; Flow Status ; Successful - Sun Jun 24 13:31:32 2018 ; -; Quartus II 64-Bit Version ; 13.1.0 Build 162 10/23/2013 SJ Web Edition ; -; Revision Name ; mz80k_mist ; -; Top-level Entity Name ; mz80k_mist ; -; Family ; Cyclone III ; -; Device ; EP3C25E144C8 ; -; Timing Models ; Final ; -; Total logic elements ; 3,012 / 24,624 ( 12 % ) ; -; Total combinational functions ; 2,886 / 24,624 ( 12 % ) ; -; Dedicated logic registers ; 891 / 24,624 ( 4 % ) ; -; Total registers ; 891 ; -; Total pins ; 31 / 83 ( 37 % ) ; -; Total virtual pins ; 0 ; -; Total memory bits ; 311,296 / 608,256 ( 51 % ) ; -; Embedded Multiplier 9-bit elements ; 0 / 132 ( 0 % ) ; -; Total PLLs ; 1 / 4 ( 25 % ) ; -+------------------------------------+--------------------------------------------+ - - -+-----------------------------------------+ -; Flow Settings ; -+-------------------+---------------------+ -; Option ; Setting ; -+-------------------+---------------------+ -; Start date & time ; 06/24/2018 13:29:56 ; -; Main task ; Compilation ; -; Revision Name ; mz80k_mist ; -+-------------------+---------------------+ - - -+----------------------------------------------------------------------------------------------------------------------------+ -; Flow Non-Default Global Settings ; -+-------------------------------------+---------------------------------------+---------------+-------------+----------------+ -; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; -+-------------------------------------+---------------------------------------+---------------+-------------+----------------+ -; COMPILER_SIGNATURE_ID ; 84440844040061.152983979606548 ; -- ; -- ; -- ; -; EDA_OUTPUT_DATA_FORMAT ; Vhdl ; -- ; -- ; eda_simulation ; -; EDA_SIMULATION_TOOL ; ModelSim-Altera (VHDL) ; ; -- ; -- ; -; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ; -; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ; -; OUTPUT_IO_TIMING_FAR_END_VMEAS ; Half Signal Swing ; -- ; -- ; -- ; -; OUTPUT_IO_TIMING_FAR_END_VMEAS ; Half Signal Swing ; -- ; -- ; -- ; -; OUTPUT_IO_TIMING_NEAR_END_VMEAS ; Half Vccio ; -- ; -- ; -- ; -; OUTPUT_IO_TIMING_NEAR_END_VMEAS ; Half Vccio ; -- ; -- ; -- ; -; PARTITION_COLOR ; 16764057 ; -- ; -- ; Top ; -; PARTITION_FITTER_PRESERVATION_LEVEL ; PLACEMENT_AND_ROUTING ; -- ; -- ; Top ; -; PARTITION_NETLIST_TYPE ; SOURCE ; -- ; -- ; Top ; -; POWER_BOARD_THERMAL_MODEL ; None (CONSERVATIVE) ; -- ; -- ; -- ; -; POWER_PRESET_COOLING_SOLUTION ; 23 MM HEAT SINK WITH 200 LFPM AIRFLOW ; -- ; -- ; -- ; -; PRE_FLOW_SCRIPT_FILE ; quartus_sh:rtl/build_id.tcl ; -- ; -- ; -- ; -; PROJECT_OUTPUT_DIRECTORY ; Output ; -- ; -- ; -- ; -; VERILOG_INPUT_VERSION ; SystemVerilog_2005 ; Verilog_2001 ; -- ; -- ; -; VERILOG_SHOW_LMF_MAPPING_MESSAGES ; Off ; -- ; -- ; -- ; -+-------------------------------------+---------------------------------------+---------------+-------------+----------------+ - - -+-------------------------------------------------------------------------------------------------------------------------------+ -; Flow Elapsed Time ; -+---------------------------+--------------+-------------------------+---------------------+------------------------------------+ -; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; -+---------------------------+--------------+-------------------------+---------------------+------------------------------------+ -; Analysis & Synthesis ; 00:00:17 ; 1.0 ; 4725 MB ; 00:00:17 ; -; Fitter ; 00:00:51 ; 2.0 ; 5526 MB ; 00:01:06 ; -; Assembler ; 00:00:03 ; 1.0 ; 4627 MB ; 00:00:03 ; -; TimeQuest Timing Analyzer ; 00:00:10 ; 1.3 ; 4795 MB ; 00:00:12 ; -; EDA Netlist Writer ; 00:00:05 ; 1.0 ; 4636 MB ; 00:00:04 ; -; Total ; 00:01:26 ; -- ; -- ; 00:01:42 ; -+---------------------------+--------------+-------------------------+---------------------+------------------------------------+ - - -+----------------------------------------------------------------------------------------+ -; Flow OS Summary ; -+---------------------------+------------------+-----------+------------+----------------+ -; Module Name ; Machine Hostname ; OS Name ; OS Version ; Processor type ; -+---------------------------+------------------+-----------+------------+----------------+ -; Analysis & Synthesis ; DESKTOP-BA4AA0D ; Windows 7 ; 6.2 ; x86_64 ; -; Fitter ; DESKTOP-BA4AA0D ; Windows 7 ; 6.2 ; x86_64 ; -; Assembler ; DESKTOP-BA4AA0D ; Windows 7 ; 6.2 ; x86_64 ; -; TimeQuest Timing Analyzer ; DESKTOP-BA4AA0D ; Windows 7 ; 6.2 ; x86_64 ; -; EDA Netlist Writer ; DESKTOP-BA4AA0D ; Windows 7 ; 6.2 ; x86_64 ; -+---------------------------+------------------+-----------+------------+----------------+ - - ------------- -; Flow Log ; ------------- -quartus_map --read_settings_files=on --write_settings_files=off mz80k_mist -c mz80k_mist -quartus_fit --read_settings_files=off --write_settings_files=off mz80k_mist -c mz80k_mist -quartus_asm --read_settings_files=off --write_settings_files=off mz80k_mist -c mz80k_mist -quartus_sta mz80k_mist -c mz80k_mist -quartus_eda --read_settings_files=off --write_settings_files=off mz80k_mist -c mz80k_mist - - - diff --git a/Sharp - MZ-80K_MiST/Output/mz80k_mist.jdi b/Sharp - MZ-80K_MiST/Output/mz80k_mist.jdi deleted file mode 100644 index 749e8a8c..00000000 --- a/Sharp - MZ-80K_MiST/Output/mz80k_mist.jdi +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Sharp - MZ-80K_MiST/Output/mz80k_mist.map.rpt b/Sharp - MZ-80K_MiST/Output/mz80k_mist.map.rpt deleted file mode 100644 index 7252292b..00000000 --- a/Sharp - MZ-80K_MiST/Output/mz80k_mist.map.rpt +++ /dev/null @@ -1,3094 +0,0 @@ -Analysis & Synthesis report for mz80k_mist -Sun Jun 24 13:30:13 2018 -Quartus II 64-Bit Version 13.1.0 Build 162 10/23/2013 SJ Web Edition - - ---------------------- -; Table of Contents ; ---------------------- - 1. Legal Notice - 2. Analysis & Synthesis Summary - 3. Analysis & Synthesis Settings - 4. Parallel Compilation - 5. Analysis & Synthesis Source Files Read - 6. Analysis & Synthesis Resource Usage Summary - 7. Analysis & Synthesis Resource Utilization by Entity - 8. Analysis & Synthesis RAM Summary - 9. Analysis & Synthesis IP Cores Summary - 10. Logic Cells Representing Combinational Loops - 11. Registers Removed During Synthesis - 12. Removed Registers Triggering Further Register Optimizations - 13. General Register Statistics - 14. Inverted Register Statistics - 15. Registers Packed Into Inferred Megafunctions - 16. Multiplexer Restructuring Statistics (Restructuring Performed) - 17. Source assignments for video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_in:hq2x_in|hq2x_buf:buf0|altsyncram:altsyncram_component|altsyncram_c5o1:auto_generated - 18. Source assignments for video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_in:hq2x_in|hq2x_buf:buf1|altsyncram:altsyncram_component|altsyncram_c5o1:auto_generated - 19. Source assignments for video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf0|altsyncram:altsyncram_component|altsyncram_28o1:auto_generated - 20. Source assignments for video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf1|altsyncram:altsyncram_component|altsyncram_28o1:auto_generated - 21. Source assignments for video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf2|altsyncram:altsyncram_component|altsyncram_28o1:auto_generated - 22. Source assignments for video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf3|altsyncram:altsyncram_component|altsyncram_28o1:auto_generated - 23. Source assignments for mz80k_top:mz80k_top|vga:vga1|cg_rom:cg_rom|altsyncram:altsyncram_component|altsyncram_f7a1:auto_generated - 24. Source assignments for mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated - 25. Source assignments for mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated - 26. Source assignments for video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated - 27. Parameter Settings for User Entity Instance: pll:pll|altpll:altpll_component - 28. Parameter Settings for User Entity Instance: mist_io:mist_io - 29. Parameter Settings for User Entity Instance: video_mixer:video_mixer - 30. Parameter Settings for User Entity Instance: video_mixer:video_mixer|scandoubler:scandoubler - 31. Parameter Settings for User Entity Instance: video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x - 32. Parameter Settings for User Entity Instance: video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_in:hq2x_in - 33. Parameter Settings for User Entity Instance: video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_in:hq2x_in|hq2x_buf:buf0 - 34. Parameter Settings for User Entity Instance: video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_in:hq2x_in|hq2x_buf:buf0|altsyncram:altsyncram_component - 35. Parameter Settings for User Entity Instance: video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_in:hq2x_in|hq2x_buf:buf1 - 36. Parameter Settings for User Entity Instance: video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_in:hq2x_in|hq2x_buf:buf1|altsyncram:altsyncram_component - 37. Parameter Settings for User Entity Instance: video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out - 38. Parameter Settings for User Entity Instance: video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf0 - 39. Parameter Settings for User Entity Instance: video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf0|altsyncram:altsyncram_component - 40. Parameter Settings for User Entity Instance: video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf1 - 41. Parameter Settings for User Entity Instance: video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf1|altsyncram:altsyncram_component - 42. Parameter Settings for User Entity Instance: video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf2 - 43. Parameter Settings for User Entity Instance: video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf2|altsyncram:altsyncram_component - 44. Parameter Settings for User Entity Instance: video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf3 - 45. Parameter Settings for User Entity Instance: video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf3|altsyncram:altsyncram_component - 46. Parameter Settings for User Entity Instance: video_mixer:video_mixer|osd:osd - 47. Parameter Settings for User Entity Instance: sigma_delta_dac:sigma_delta_dac - 48. Parameter Settings for User Entity Instance: mz80k_top:mz80k_top|fz80:z80|seq:seq - 49. Parameter Settings for User Entity Instance: mz80k_top:mz80k_top|vga:vga1|cg_rom:cg_rom|altsyncram:altsyncram_component - 50. Parameter Settings for User Entity Instance: mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component - 51. Parameter Settings for User Entity Instance: mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component - 52. Parameter Settings for Inferred Entity Instance: video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0 - 53. altpll Parameter Settings by Entity Instance - 54. altsyncram Parameter Settings by Entity Instance - 55. Port Connectivity Checks: "keyboard:keyboard" - 56. Port Connectivity Checks: "mz80k_top:mz80k_top|ram2:ram2_2" - 57. Port Connectivity Checks: "mz80k_top:mz80k_top|monrom:mon_rom" - 58. Port Connectivity Checks: "mz80k_top:mz80k_top|vga:vga1|cg_rom:cg_rom" - 59. Port Connectivity Checks: "mz80k_top:mz80k_top|vga:vga1" - 60. Port Connectivity Checks: "mz80k_top:mz80k_top|ps2:ps2_1" - 61. Port Connectivity Checks: "mz80k_top:mz80k_top|i8253:i8253_1" - 62. Port Connectivity Checks: "mz80k_top:mz80k_top|fz80:z80|alu:alu" - 63. Port Connectivity Checks: "mz80k_top:mz80k_top|fz80:z80" - 64. Port Connectivity Checks: "mz80k_top:mz80k_top" - 65. Port Connectivity Checks: "sigma_delta_dac:sigma_delta_dac" - 66. Port Connectivity Checks: "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|Blend:blender" - 67. Port Connectivity Checks: "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x" - 68. Port Connectivity Checks: "video_mixer:video_mixer" - 69. Port Connectivity Checks: "mist_io:mist_io" - 70. Port Connectivity Checks: "pll:pll" - 71. Elapsed Time Per Partition - 72. Analysis & Synthesis Messages - 73. Analysis & Synthesis Suppressed Messages - - - ----------------- -; Legal Notice ; ----------------- -Copyright (C) 1991-2013 Altera Corporation -Your use of Altera Corporation's design tools, logic functions -and other software and tools, and its AMPP partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Altera Program License -Subscription Agreement, Altera MegaCore Function License -Agreement, or other applicable license agreement, including, -without limitation, that your use is for the sole purpose of -programming logic devices manufactured by Altera and sold by -Altera or its authorized distributors. Please refer to the -applicable agreement for further details. - - - -+---------------------------------------------------------------------------------+ -; Analysis & Synthesis Summary ; -+------------------------------------+--------------------------------------------+ -; Analysis & Synthesis Status ; Successful - Sun Jun 24 13:30:13 2018 ; -; Quartus II 64-Bit Version ; 13.1.0 Build 162 10/23/2013 SJ Web Edition ; -; Revision Name ; mz80k_mist ; -; Top-level Entity Name ; mz80k_mist ; -; Family ; Cyclone III ; -; Total logic elements ; 3,152 ; -; Total combinational functions ; 2,886 ; -; Dedicated logic registers ; 891 ; -; Total registers ; 891 ; -; Total pins ; 31 ; -; Total virtual pins ; 0 ; -; Total memory bits ; 311,296 ; -; Embedded Multiplier 9-bit elements ; 0 ; -; Total PLLs ; 1 ; -+------------------------------------+--------------------------------------------+ - - -+----------------------------------------------------------------------------------------------------------------------+ -; Analysis & Synthesis Settings ; -+----------------------------------------------------------------------------+--------------------+--------------------+ -; Option ; Setting ; Default Value ; -+----------------------------------------------------------------------------+--------------------+--------------------+ -; Device ; EP3C25E144C8 ; ; -; Top-level entity name ; mz80k_mist ; mz80k_mist ; -; Family name ; Cyclone III ; Cyclone IV GX ; -; Verilog Show LMF Mapping Messages ; Off ; ; -; Verilog Version ; SystemVerilog_2005 ; Verilog_2001 ; -; Use smart compilation ; Off ; Off ; -; Enable parallel Assembler and TimeQuest Timing Analyzer during compilation ; On ; On ; -; Enable compact report table ; Off ; Off ; -; Restructure Multiplexers ; Auto ; Auto ; -; Create Debugging Nodes for IP Cores ; Off ; Off ; -; Preserve fewer node names ; On ; On ; -; Disable OpenCore Plus hardware evaluation ; Off ; Off ; -; VHDL Version ; VHDL_1993 ; VHDL_1993 ; -; State Machine Processing ; Auto ; Auto ; -; Safe State Machine ; Off ; Off ; -; Extract Verilog State Machines ; On ; On ; -; Extract VHDL State Machines ; On ; On ; -; Ignore Verilog initial constructs ; Off ; Off ; -; Iteration limit for constant Verilog loops ; 5000 ; 5000 ; -; Iteration limit for non-constant Verilog loops ; 250 ; 250 ; -; Add Pass-Through Logic to Inferred RAMs ; On ; On ; -; Infer RAMs from Raw Logic ; On ; On ; -; Parallel Synthesis ; On ; On ; -; DSP Block Balancing ; Auto ; Auto ; -; NOT Gate Push-Back ; On ; On ; -; Power-Up Don't Care ; On ; On ; -; Remove Redundant Logic Cells ; Off ; Off ; -; Remove Duplicate Registers ; On ; On ; -; Ignore CARRY Buffers ; Off ; Off ; -; Ignore CASCADE Buffers ; Off ; Off ; -; Ignore GLOBAL Buffers ; Off ; Off ; -; Ignore ROW GLOBAL Buffers ; Off ; Off ; -; Ignore LCELL Buffers ; Off ; Off ; -; Ignore SOFT Buffers ; On ; On ; -; Limit AHDL Integers to 32 Bits ; Off ; Off ; -; Optimization Technique ; Balanced ; Balanced ; -; Carry Chain Length ; 70 ; 70 ; -; Auto Carry Chains ; On ; On ; -; Auto Open-Drain Pins ; On ; On ; -; Perform WYSIWYG Primitive Resynthesis ; Off ; Off ; -; Auto ROM Replacement ; On ; On ; -; Auto RAM Replacement ; On ; On ; -; Auto DSP Block Replacement ; On ; On ; -; Auto Shift Register Replacement ; Auto ; Auto ; -; Allow Shift Register Merging across Hierarchies ; Auto ; Auto ; -; Auto Clock Enable Replacement ; On ; On ; -; Strict RAM Replacement ; Off ; Off ; -; Allow Synchronous Control Signals ; On ; On ; -; Force Use of Synchronous Clear Signals ; Off ; Off ; -; Auto RAM Block Balancing ; On ; On ; -; Auto RAM to Logic Cell Conversion ; Off ; Off ; -; Auto Resource Sharing ; Off ; Off ; -; Allow Any RAM Size For Recognition ; Off ; Off ; -; Allow Any ROM Size For Recognition ; Off ; Off ; -; Allow Any Shift Register Size For Recognition ; Off ; Off ; -; Use LogicLock Constraints during Resource Balancing ; On ; On ; -; Ignore translate_off and synthesis_off directives ; Off ; Off ; -; Timing-Driven Synthesis ; On ; On ; -; Report Parameter Settings ; On ; On ; -; Report Source Assignments ; On ; On ; -; Report Connectivity Checks ; On ; On ; -; Ignore Maximum Fan-Out Assignments ; Off ; Off ; -; Synchronization Register Chain Length ; 2 ; 2 ; -; PowerPlay Power Optimization ; Normal compilation ; Normal compilation ; -; HDL message level ; Level2 ; Level2 ; -; Suppress Register Optimization Related Messages ; Off ; Off ; -; Number of Removed Registers Reported in Synthesis Report ; 5000 ; 5000 ; -; Number of Swept Nodes Reported in Synthesis Report ; 5000 ; 5000 ; -; Number of Inverted Registers Reported in Synthesis Report ; 100 ; 100 ; -; Clock MUX Protection ; On ; On ; -; Auto Gated Clock Conversion ; Off ; Off ; -; Block Design Naming ; Auto ; Auto ; -; SDC constraint protection ; Off ; Off ; -; Synthesis Effort ; Auto ; Auto ; -; Shift Register Replacement - Allow Asynchronous Clear Signal ; On ; On ; -; Pre-Mapping Resynthesis Optimization ; Off ; Off ; -; Analysis & Synthesis Message Level ; Medium ; Medium ; -; Disable Register Merging Across Hierarchies ; Auto ; Auto ; -; Resource Aware Inference For Block RAM ; On ; On ; -; Synthesis Seed ; 1 ; 1 ; -+----------------------------------------------------------------------------+--------------------+--------------------+ - - -+------------------------------------------+ -; Parallel Compilation ; -+----------------------------+-------------+ -; Processors ; Number ; -+----------------------------+-------------+ -; Number detected on machine ; 8 ; -; Maximum allowed ; 4 ; -; ; ; -; Average used ; 1.00 ; -; Maximum used ; 4 ; -; ; ; -; Usage by Processor ; % Time Used ; -; Processor 1 ; 100.0% ; -; Processors 2-4 ; < 0.1% ; -; Processors 5-8 ; 0.0% ; -+----------------------------+-------------+ - - -+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Analysis & Synthesis Source Files Read ; -+----------------------------------+-----------------+------------------------------+-------------------------------------------------------------------------+---------+ -; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; Library ; -+----------------------------------+-----------------+------------------------------+-------------------------------------------------------------------------+---------+ -; rtl/mz80k_mist.sv ; yes ; User SystemVerilog HDL File ; D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/rtl/mz80k_mist.sv ; ; -; rtl/mz80k_top.v ; yes ; User Verilog HDL File ; D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/rtl/mz80k_top.v ; ; -; rtl/vga.v ; yes ; User Verilog HDL File ; D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/rtl/vga.v ; ; -; rtl/i8253.v ; yes ; User Verilog HDL File ; D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/rtl/i8253.v ; ; -; rtl/ps2.v ; yes ; User Verilog HDL File ; D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/rtl/ps2.v ; ; -; rtl/fz80.v ; yes ; User Verilog HDL File ; D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/rtl/fz80.v ; ; -; rtl/video_mixer.sv ; yes ; User SystemVerilog HDL File ; D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/rtl/video_mixer.sv ; ; -; rtl/sigma_delta_dac.v ; yes ; User Verilog HDL File ; D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/rtl/sigma_delta_dac.v ; ; -; rtl/scandoubler.v ; yes ; User Verilog HDL File ; D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/rtl/scandoubler.v ; ; -; rtl/osd.v ; yes ; User Verilog HDL File ; D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/rtl/osd.v ; ; -; rtl/mist_io.v ; yes ; User Verilog HDL File ; D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/rtl/mist_io.v ; ; -; rtl/hq2x.sv ; yes ; User SystemVerilog HDL File ; D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/rtl/hq2x.sv ; ; -; rtl/keyboard.sv ; yes ; User SystemVerilog HDL File ; D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/rtl/keyboard.sv ; ; -; rtl/pll.v ; yes ; User Wizard-Generated File ; D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/rtl/pll.v ; ; -; rtl/cg_rom.v ; yes ; User Wizard-Generated File ; D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/rtl/cg_rom.v ; ; -; rtl/ram2.v ; yes ; User Wizard-Generated File ; D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/rtl/ram2.v ; ; -; rtl/monrom.v ; yes ; User Wizard-Generated File ; D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/rtl/monrom.v ; ; -; rtl/build_id.v ; yes ; Auto-Found Verilog HDL File ; D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/rtl/build_id.v ; ; -; altpll.tdf ; yes ; Megafunction ; c:/intelfpga/13.1/quartus/libraries/megafunctions/altpll.tdf ; ; -; aglobal131.inc ; yes ; Megafunction ; c:/intelfpga/13.1/quartus/libraries/megafunctions/aglobal131.inc ; ; -; stratix_pll.inc ; yes ; Megafunction ; c:/intelfpga/13.1/quartus/libraries/megafunctions/stratix_pll.inc ; ; -; stratixii_pll.inc ; yes ; Megafunction ; c:/intelfpga/13.1/quartus/libraries/megafunctions/stratixii_pll.inc ; ; -; cycloneii_pll.inc ; yes ; Megafunction ; c:/intelfpga/13.1/quartus/libraries/megafunctions/cycloneii_pll.inc ; ; -; db/pll_altpll.v ; yes ; Auto-Generated Megafunction ; D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/db/pll_altpll.v ; ; -; altsyncram.tdf ; yes ; Megafunction ; c:/intelfpga/13.1/quartus/libraries/megafunctions/altsyncram.tdf ; ; -; stratix_ram_block.inc ; yes ; Megafunction ; c:/intelfpga/13.1/quartus/libraries/megafunctions/stratix_ram_block.inc ; ; -; lpm_mux.inc ; yes ; Megafunction ; c:/intelfpga/13.1/quartus/libraries/megafunctions/lpm_mux.inc ; ; -; lpm_decode.inc ; yes ; Megafunction ; c:/intelfpga/13.1/quartus/libraries/megafunctions/lpm_decode.inc ; ; -; a_rdenreg.inc ; yes ; Megafunction ; c:/intelfpga/13.1/quartus/libraries/megafunctions/a_rdenreg.inc ; ; -; altrom.inc ; yes ; Megafunction ; c:/intelfpga/13.1/quartus/libraries/megafunctions/altrom.inc ; ; -; altram.inc ; yes ; Megafunction ; c:/intelfpga/13.1/quartus/libraries/megafunctions/altram.inc ; ; -; altdpram.inc ; yes ; Megafunction ; c:/intelfpga/13.1/quartus/libraries/megafunctions/altdpram.inc ; ; -; db/altsyncram_c5o1.tdf ; yes ; Auto-Generated Megafunction ; D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/db/altsyncram_c5o1.tdf ; ; -; db/altsyncram_28o1.tdf ; yes ; Auto-Generated Megafunction ; D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/db/altsyncram_28o1.tdf ; ; -; db/altsyncram_f7a1.tdf ; yes ; Auto-Generated Megafunction ; D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/db/altsyncram_f7a1.tdf ; ; -; db/altsyncram_vli1.tdf ; yes ; Auto-Generated Megafunction ; D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/db/altsyncram_vli1.tdf ; ; -; db/decode_dra.tdf ; yes ; Auto-Generated Megafunction ; D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/db/decode_dra.tdf ; ; -; db/mux_tlb.tdf ; yes ; Auto-Generated Megafunction ; D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/db/mux_tlb.tdf ; ; -; db/altsyncram_atg1.tdf ; yes ; Auto-Generated Megafunction ; D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/db/altsyncram_atg1.tdf ; ; -; db/altsyncram_dud1.tdf ; yes ; Auto-Generated Megafunction ; D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/db/altsyncram_dud1.tdf ; ; -+----------------------------------+-----------------+------------------------------+-------------------------------------------------------------------------+---------+ - - -+--------------------------------------------------------------------------------------------------------------------------+ -; Analysis & Synthesis Resource Usage Summary ; -+---------------------------------------------+----------------------------------------------------------------------------+ -; Resource ; Usage ; -+---------------------------------------------+----------------------------------------------------------------------------+ -; Estimated Total logic elements ; 3,152 ; -; ; ; -; Total combinational functions ; 2886 ; -; Logic element usage by number of LUT inputs ; ; -; -- 4 input functions ; 1641 ; -; -- 3 input functions ; 721 ; -; -- <=2 input functions ; 524 ; -; ; ; -; Logic elements by mode ; ; -; -- normal mode ; 2361 ; -; -- arithmetic mode ; 525 ; -; ; ; -; Total registers ; 891 ; -; -- Dedicated logic registers ; 891 ; -; -- I/O registers ; 0 ; -; ; ; -; I/O pins ; 31 ; -; Total memory bits ; 311296 ; -; Embedded Multiplier 9-bit elements ; 0 ; -; Total PLLs ; 1 ; -; -- PLLs ; 1 ; -; ; ; -; Maximum fan-out node ; pll:pll|altpll:altpll_component|pll_altpll:auto_generated|wire_pll1_clk[0] ; -; Maximum fan-out ; 422 ; -; Total fan-out ; 13647 ; -; Average fan-out ; 3.50 ; -+---------------------------------------------+----------------------------------------------------------------------------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Analysis & Synthesis Resource Utilization by Entity ; -+-------------------------------------------------+-------------------+--------------+-------------+--------------+---------+-----------+------+--------------+----------------------------------------------------------------------------------------------------------------------------------+--------------+ -; Compilation Hierarchy Node ; LC Combinationals ; LC Registers ; Memory Bits ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; Full Hierarchy Name ; Library Name ; -+-------------------------------------------------+-------------------+--------------+-------------+--------------+---------+-----------+------+--------------+----------------------------------------------------------------------------------------------------------------------------------+--------------+ -; |mz80k_mist ; 2886 (15) ; 891 (8) ; 311296 ; 0 ; 0 ; 0 ; 31 ; 0 ; |mz80k_mist ; work ; -; |mist_io:mist_io| ; 225 (225) ; 154 (154) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |mz80k_mist|mist_io:mist_io ; work ; -; |mz80k_top:mz80k_top| ; 1949 (106) ; 537 (48) ; 294912 ; 0 ; 0 ; 0 ; 0 ; 0 ; |mz80k_mist|mz80k_top:mz80k_top ; work ; -; |fz80:z80| ; 1286 (645) ; 250 (3) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80 ; work ; -; |alu:alu| ; 122 (122) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|alu:alu ; work ; -; |asu:asu| ; 79 (79) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|asu:asu ; work ; -; |reg_2:reg_adrh| ; 9 (9) ; 8 (8) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrh ; work ; -; |reg_2:reg_adrl| ; 9 (9) ; 8 (8) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrl ; work ; -; |reg_2s:reg_sph| ; 9 (9) ; 8 (8) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_sph ; work ; -; |reg_2s:reg_spl| ; 12 (12) ; 8 (8) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_spl ; work ; -; |reg_a:reg_a| ; 18 (18) ; 16 (16) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a ; work ; -; |reg_dual2:reg_b| ; 18 (18) ; 16 (16) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_b ; work ; -; |reg_dual2:reg_c| ; 18 (18) ; 16 (16) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c ; work ; -; |reg_dual2:reg_d| ; 19 (19) ; 16 (16) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d ; work ; -; |reg_dual2:reg_e| ; 18 (18) ; 16 (16) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e ; work ; -; |reg_f:reg_f| ; 33 (33) ; 12 (12) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_f:reg_f ; work ; -; |reg_pch:reg_pch| ; 32 (32) ; 8 (8) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch ; work ; -; |reg_pcl:reg_pcl| ; 30 (30) ; 8 (8) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_pcl:reg_pcl ; work ; -; |reg_quad3:reg_h| ; 54 (54) ; 32 (32) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_h ; work ; -; |reg_quad3:reg_l| ; 56 (56) ; 32 (32) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_l ; work ; -; |reg_r:reg_r| ; 16 (16) ; 8 (8) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_r:reg_r ; work ; -; |reg_simple:reg_data| ; 0 (0) ; 8 (8) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_simple:reg_data ; work ; -; |reg_simplec:reg_i| ; 9 (9) ; 8 (8) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_simplec:reg_i ; work ; -; |seq:seq| ; 80 (80) ; 19 (19) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|seq:seq ; work ; -; |i8253:i8253_1| ; 198 (198) ; 122 (122) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |mz80k_mist|mz80k_top:mz80k_top|i8253:i8253_1 ; work ; -; |monrom:mon_rom| ; 20 (0) ; 4 (0) ; 262144 ; 0 ; 0 ; 0 ; 0 ; 0 ; |mz80k_mist|mz80k_top:mz80k_top|monrom:mon_rom ; work ; -; |altsyncram:altsyncram_component| ; 20 (0) ; 4 (0) ; 262144 ; 0 ; 0 ; 0 ; 0 ; 0 ; |mz80k_mist|mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component ; work ; -; |altsyncram_vli1:auto_generated| ; 20 (0) ; 4 (4) ; 262144 ; 0 ; 0 ; 0 ; 0 ; 0 ; |mz80k_mist|mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated ; work ; -; |decode_dra:decode3| ; 4 (4) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |mz80k_mist|mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|decode_dra:decode3 ; work ; -; |mux_tlb:mux2| ; 16 (16) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |mz80k_mist|mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|mux_tlb:mux2 ; work ; -; |ps2:ps2_1| ; 258 (236) ; 92 (68) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |mz80k_mist|mz80k_top:mz80k_top|ps2:ps2_1 ; work ; -; |ps2_recieve:ps2_recieve1| ; 22 (22) ; 24 (24) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |mz80k_mist|mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1 ; work ; -; |ram2:ram2_2| ; 0 (0) ; 0 (0) ; 16384 ; 0 ; 0 ; 0 ; 0 ; 0 ; |mz80k_mist|mz80k_top:mz80k_top|ram2:ram2_2 ; work ; -; |altsyncram:altsyncram_component| ; 0 (0) ; 0 (0) ; 16384 ; 0 ; 0 ; 0 ; 0 ; 0 ; |mz80k_mist|mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component ; work ; -; |altsyncram_atg1:auto_generated| ; 0 (0) ; 0 (0) ; 16384 ; 0 ; 0 ; 0 ; 0 ; 0 ; |mz80k_mist|mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated ; work ; -; |vga:vga1| ; 81 (81) ; 21 (21) ; 16384 ; 0 ; 0 ; 0 ; 0 ; 0 ; |mz80k_mist|mz80k_top:mz80k_top|vga:vga1 ; work ; -; |cg_rom:cg_rom| ; 0 (0) ; 0 (0) ; 16384 ; 0 ; 0 ; 0 ; 0 ; 0 ; |mz80k_mist|mz80k_top:mz80k_top|vga:vga1|cg_rom:cg_rom ; work ; -; |altsyncram:altsyncram_component| ; 0 (0) ; 0 (0) ; 16384 ; 0 ; 0 ; 0 ; 0 ; 0 ; |mz80k_mist|mz80k_top:mz80k_top|vga:vga1|cg_rom:cg_rom|altsyncram:altsyncram_component ; work ; -; |altsyncram_f7a1:auto_generated| ; 0 (0) ; 0 (0) ; 16384 ; 0 ; 0 ; 0 ; 0 ; 0 ; |mz80k_mist|mz80k_top:mz80k_top|vga:vga1|cg_rom:cg_rom|altsyncram:altsyncram_component|altsyncram_f7a1:auto_generated ; work ; -; |pll:pll| ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |mz80k_mist|pll:pll ; work ; -; |altpll:altpll_component| ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |mz80k_mist|pll:pll|altpll:altpll_component ; work ; -; |pll_altpll:auto_generated| ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |mz80k_mist|pll:pll|altpll:altpll_component|pll_altpll:auto_generated ; work ; -; |sigma_delta_dac:sigma_delta_dac| ; 6 (6) ; 6 (6) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |mz80k_mist|sigma_delta_dac:sigma_delta_dac ; work ; -; |video_mixer:video_mixer| ; 691 (368) ; 186 (3) ; 16384 ; 0 ; 0 ; 0 ; 0 ; 0 ; |mz80k_mist|video_mixer:video_mixer ; work ; -; |osd:osd| ; 323 (323) ; 183 (183) ; 16384 ; 0 ; 0 ; 0 ; 0 ; 0 ; |mz80k_mist|video_mixer:video_mixer|osd:osd ; work ; -; |altsyncram:osd_buffer_rtl_0| ; 0 (0) ; 0 (0) ; 16384 ; 0 ; 0 ; 0 ; 0 ; 0 ; |mz80k_mist|video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0 ; work ; -; |altsyncram_dud1:auto_generated| ; 0 (0) ; 0 (0) ; 16384 ; 0 ; 0 ; 0 ; 0 ; 0 ; |mz80k_mist|video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated ; work ; -+-------------------------------------------------+-------------------+--------------+-------------+--------------+---------+-----------+------+--------------+----------------------------------------------------------------------------------------------------------------------------------+--------------+ -Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. - - -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Analysis & Synthesis RAM Summary ; -+----------------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+--------+----------------+ -; Name ; Type ; Mode ; Port A Depth ; Port A Width ; Port B Depth ; Port B Width ; Size ; MIF ; -+----------------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+--------+----------------+ -; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ALTSYNCRAM ; AUTO ; Single Port ; 32768 ; 8 ; -- ; -- ; 262144 ; ./roms/Mon.hex ; -; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|ALTSYNCRAM ; AUTO ; Single Port ; 2048 ; 8 ; -- ; -- ; 16384 ; None ; -; mz80k_top:mz80k_top|vga:vga1|cg_rom:cg_rom|altsyncram:altsyncram_component|altsyncram_f7a1:auto_generated|ALTSYNCRAM ; AUTO ; ROM ; 2048 ; 8 ; -- ; -- ; 16384 ; ./roms/cg.hex ; -; video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 2048 ; 8 ; 2048 ; 8 ; 16384 ; None ; -+----------------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+--------+----------------+ - - -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Analysis & Synthesis IP Cores Summary ; -+--------+--------------+---------+--------------+--------------+--------------------------------------------------------+------------------------------------------------------+ -; Vendor ; IP Core Name ; Version ; Release Date ; License Type ; Entity Instance ; IP Include File ; -+--------+--------------+---------+--------------+--------------+--------------------------------------------------------+------------------------------------------------------+ -; Altera ; RAM: 1-PORT ; N/A ; N/A ; N/A ; |mz80k_mist|mz80k_top:mz80k_top|monrom:mon_rom ; D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/rtl/monrom.v ; -; Altera ; RAM: 1-PORT ; N/A ; N/A ; N/A ; |mz80k_mist|mz80k_top:mz80k_top|ram2:ram2_2 ; D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/rtl/ram2.v ; -; Altera ; ROM: 1-PORT ; N/A ; N/A ; N/A ; |mz80k_mist|mz80k_top:mz80k_top|vga:vga1|cg_rom:cg_rom ; D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/rtl/cg_rom.v ; -; Altera ; ALTPLL ; N/A ; N/A ; N/A ; |mz80k_mist|pll:pll ; D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/rtl/pll.v ; -+--------+--------------+---------+--------------+--------------+--------------------------------------------------------+------------------------------------------------------+ - - -+-------------------------------------------------------------+ -; Logic Cells Representing Combinational Loops ; -+--------------------------------------------------------+----+ -; Logic Cell Name ; ; -+--------------------------------------------------------+----+ -; mz80k_top:mz80k_top|vram_select~0 ; ; -; rtl~0 ; ; -; rtl~1 ; ; -; mz80k_top:mz80k_top|fz80:z80|i[5]~1 ; ; -; mz80k_top:mz80k_top|fz80:z80|i[4]~2 ; ; -; mz80k_top:mz80k_top|cpu_data_in~1 ; ; -; mz80k_top:mz80k_top|cpu_data_in~2 ; ; -; mz80k_top:mz80k_top|cpu_data_in~3 ; ; -; mz80k_top:mz80k_top|cpu_data_in~0 ; ; -; mz80k_top:mz80k_top|fz80:z80|comb~1 ; ; -; rtl~2 ; ; -; mz80k_top:mz80k_top|fz80:z80|im[6]~4 ; ; -; rtl~3 ; ; -; rtl~4 ; ; -; rtl~5 ; ; -; mz80k_top:mz80k_top|fz80:z80|i[7]~0 ; ; -; rtl~6 ; ; -; mz80k_top:mz80k_top|fz80:z80|i[2]~3 ; ; -; mz80k_top:mz80k_top|fz80:z80|im[2]~1 ; ; -; rtl~7 ; ; -; rtl~8 ; ; -; mz80k_top:mz80k_top|fz80:z80|im[3]~0 ; ; -; mz80k_top:mz80k_top|fz80:z80|im[5]~3 ; ; -; rtl~9 ; ; -; rtl~10 ; ; -; mz80k_top:mz80k_top|fz80:z80|im[7]~5 ; ; -; mz80k_top:mz80k_top|fz80:z80|im[4]~2 ; ; -; rtl~11 ; ; -; mz80k_top:mz80k_top|fz80:z80|comb~0 ; ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_h|q~0 ; ; -; mz80k_top:mz80k_top|fz80:z80|sela_sp~0 ; ; -; mz80k_top:mz80k_top|fz80:z80|sela_hl~0 ; ; -; mz80k_top:mz80k_top|fz80:z80|selal[2]~0 ; ; -; mz80k_top:mz80k_top|fz80:z80|Mux22~0 ; ; -; mz80k_top:mz80k_top|fz80:z80|selah[1]~1 ; ; -; mz80k_top:mz80k_top|fz80:z80|selah[2]~0 ; ; -; mz80k_top:mz80k_top|fz80:z80|Mux8~0 ; ; -; mz80k_top:mz80k_top|fz80:z80|Mux9~0 ; ; -; mz80k_top:mz80k_top|fz80:z80|Mux10~0 ; ; -; Number of logic cells representing combinational loops ; 39 ; -+--------------------------------------------------------+----+ -Note: All cells listed above may not be present at the end of synthesis due to various synthesis optimizations. - - -+-------------------------------------------------------------------------------------------------------------------------------------+ -; Registers Removed During Synthesis ; -+-----------------------------------------------------------------------------+-------------------------------------------------------+ -; Register name ; Reason for Removal ; -+-----------------------------------------------------------------------------+-------------------------------------------------------+ -; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|wrout_en ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|pixsz4[6,7] ; Stuck at GND due to stuck port data_in ; -; video_mixer:video_mixer|scandoubler:scandoubler|pixsz2[7] ; Stuck at GND due to stuck port data_in ; -; pll:pll|altpll:altpll_component|pll_altpll:auto_generated|pll_lock_sync ; Stuck at VCC due to stuck port data_in ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|iff1 ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|sd_hcnt[0..9] ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|sd_h[0..10] ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|hs_out ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|req_line_reset ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|sd_hcnt[10,11] ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|hs2 ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|sd_line[0,1] ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|vs ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|hs_rise[0..11] ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|hcnt[0..10] ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|hs_max[0..11] ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|hs_ls[0..11] ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|ls ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|hs ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|ce_div[0..2] ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|ce_cnt[0..2] ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|pixsz4[0..5] ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|pixsz2[0..6] ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|phase[0..2] ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|ce_x1 ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|ce_x4 ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|pix_len[0..7] ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|old_ce ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|y[0,1] ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|prevbuf ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|old_reset_line ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|y[2..7] ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|old_reset_frame ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|i[0,1] ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|Next1[0..17] ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|Next0[0..17] ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|Curr1[0..17] ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|Curr0[0..17] ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|Prev1[0..17] ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|Prev0[0..17] ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|D[0..17] ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|H[0..17] ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|F[0..17] ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|B[0..17] ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|G[0..17] ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|A[0..17] ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|nextpatt[0..7] ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|wrout_addr2[0..9] ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|wrout_addr1[0,1] ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|wrdata[0..8] ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|offs[0..8] ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|wrin_addr2[0..8] ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|wrpix[0..8] ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|Next2[0..17] ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|Prev2[0..17] ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|Curr2_addr2[0..8] ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|Curr2_addr1 ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|pattern[2..7] ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|wrin_en ; Lost fanout ; -; mz80k_top:mz80k_top|ps2:ps2_1|data[0]~en ; Lost fanout ; -; mz80k_top:mz80k_top|ps2:ps2_1|data[1]~en ; Lost fanout ; -; mz80k_top:mz80k_top|ps2:ps2_1|data[2]~en ; Lost fanout ; -; mz80k_top:mz80k_top|ps2:ps2_1|data[3]~en ; Lost fanout ; -; mz80k_top:mz80k_top|ps2:ps2_1|data[4]~en ; Lost fanout ; -; mz80k_top:mz80k_top|ps2:ps2_1|data[5]~en ; Lost fanout ; -; mz80k_top:mz80k_top|ps2:ps2_1|data[6]~en ; Lost fanout ; -; mz80k_top:mz80k_top|ps2:ps2_1|data[7]~en ; Lost fanout ; -; mist_io:mist_io|b_data[1..7] ; Merged with mist_io:mist_io|b_data[0] ; -; video_mixer:video_mixer|osd:osd|pixsz[24..31] ; Merged with video_mixer:video_mixer|osd:osd|pixsz[23] ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|eschalt ; Stuck at GND due to stuck port data_in ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|nmiack ; Stuck at GND due to stuck port data_in ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|intack ; Stuck at GND due to stuck port data_in ; -; mz80k_top:mz80k_top|vga:vga1|counter[1] ; Lost fanout ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|intmode[0,1] ; Lost fanout ; -; mz80k_top:mz80k_top|i8253:i8253_1|mode0[1] ; Lost fanout ; -; mz80k_top:mz80k_top|i8253:i8253_1|mode1[1] ; Lost fanout ; -; mist_io:mist_io|b_data[0] ; Stuck at GND due to stuck port data_in ; -; mz80k_top:mz80k_top|clk_count[25..32] ; Lost fanout ; -; Total Number of Removed Registers = 495 ; ; -+-----------------------------------------------------------------------------+-------------------------------------------------------+ - - -+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Removed Registers Triggering Further Register Optimizations ; -+----------------------------------------------------------------------+---------------------------+---------------------------------------------------------------------------+ -; Register name ; Reason for Removal ; Registers Removed due to This Register ; -+----------------------------------------------------------------------+---------------------------+---------------------------------------------------------------------------+ -; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|wrout_en ; Lost Fanouts ; video_mixer:video_mixer|scandoubler:scandoubler|phase[0], ; -; ; ; video_mixer:video_mixer|scandoubler:scandoubler|phase[1], ; -; ; ; video_mixer:video_mixer|scandoubler:scandoubler|ce_x4, ; -; ; ; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|offs[0], ; -; ; ; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|offs[1], ; -; ; ; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|offs[2], ; -; ; ; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|offs[3], ; -; ; ; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|offs[4], ; -; ; ; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|offs[5], ; -; ; ; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|offs[6] ; -; video_mixer:video_mixer|scandoubler:scandoubler|sd_hcnt[9] ; Lost Fanouts ; video_mixer:video_mixer|scandoubler:scandoubler|hs2, ; -; ; ; video_mixer:video_mixer|scandoubler:scandoubler|hs_max[6], ; -; ; ; video_mixer:video_mixer|scandoubler:scandoubler|hs_max[7], ; -; ; ; video_mixer:video_mixer|scandoubler:scandoubler|hs_max[8], ; -; ; ; video_mixer:video_mixer|scandoubler:scandoubler|hs_max[9], ; -; ; ; video_mixer:video_mixer|scandoubler:scandoubler|hs_max[10], ; -; ; ; video_mixer:video_mixer|scandoubler:scandoubler|hs_max[11] ; -; video_mixer:video_mixer|scandoubler:scandoubler|sd_h[10] ; Lost Fanouts ; video_mixer:video_mixer|scandoubler:scandoubler|hs_ls[6], ; -; ; ; video_mixer:video_mixer|scandoubler:scandoubler|hs_ls[7], ; -; ; ; video_mixer:video_mixer|scandoubler:scandoubler|hs_ls[8], ; -; ; ; video_mixer:video_mixer|scandoubler:scandoubler|hs_ls[9], ; -; ; ; video_mixer:video_mixer|scandoubler:scandoubler|hs_ls[10], ; -; ; ; video_mixer:video_mixer|scandoubler:scandoubler|hs_ls[11] ; -; video_mixer:video_mixer|scandoubler:scandoubler|pixsz4[6] ; Stuck at GND ; video_mixer:video_mixer|scandoubler:scandoubler|pixsz2[5], ; -; ; due to stuck port data_in ; video_mixer:video_mixer|scandoubler:scandoubler|pixsz2[6], ; -; ; ; video_mixer:video_mixer|scandoubler:scandoubler|old_ce ; -; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|y[1] ; Lost Fanouts ; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|old_reset_line, ; -; ; ; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|old_reset_frame ; -; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|pattern[2] ; Lost Fanouts ; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|pattern[4], ; -; ; ; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|pattern[6] ; -; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|pattern[3] ; Lost Fanouts ; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|pattern[5], ; -; ; ; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|pattern[7] ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|nmiack ; Stuck at GND ; mz80k_top:mz80k_top|fz80:z80|seq:seq|intmode[0], ; -; ; due to stuck port data_in ; mz80k_top:mz80k_top|fz80:z80|seq:seq|intmode[1] ; -; video_mixer:video_mixer|scandoubler:scandoubler|sd_hcnt[5] ; Lost Fanouts ; video_mixer:video_mixer|scandoubler:scandoubler|hs_max[5] ; -; video_mixer:video_mixer|scandoubler:scandoubler|sd_hcnt[4] ; Lost Fanouts ; video_mixer:video_mixer|scandoubler:scandoubler|hs_max[4] ; -; video_mixer:video_mixer|scandoubler:scandoubler|sd_hcnt[3] ; Lost Fanouts ; video_mixer:video_mixer|scandoubler:scandoubler|hs_max[3] ; -; video_mixer:video_mixer|scandoubler:scandoubler|sd_hcnt[2] ; Lost Fanouts ; video_mixer:video_mixer|scandoubler:scandoubler|hs_max[2] ; -; video_mixer:video_mixer|scandoubler:scandoubler|vs ; Lost Fanouts ; video_mixer:video_mixer|scandoubler:scandoubler|ce_x1 ; -+----------------------------------------------------------------------+---------------------------+---------------------------------------------------------------------------+ - - -+------------------------------------------------------+ -; General Register Statistics ; -+----------------------------------------------+-------+ -; Statistic ; Value ; -+----------------------------------------------+-------+ -; Total registers ; 891 ; -; Number of registers using Synchronous Clear ; 138 ; -; Number of registers using Synchronous Load ; 142 ; -; Number of registers using Asynchronous Clear ; 160 ; -; Number of registers using Asynchronous Load ; 0 ; -; Number of registers using Clock Enable ; 565 ; -; Number of registers using Preset ; 0 ; -+----------------------------------------------+-------+ - - -+-------------------------------------------------------------------------------+ -; Inverted Register Statistics ; -+---------------------------------------------------------------------+---------+ -; Inverted Register ; Fan out ; -+---------------------------------------------------------------------+---------+ -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl8[5] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[5] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[5] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[5] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl8[4] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tblc[4] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[4] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl3[4] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[4] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl4[4] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl1[4] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl0[4] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[4] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl8[0] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tblc[0] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tble[0] ; 7 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[0] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl3[0] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl1[0] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl7[0] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl4[0] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[0] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl0[0] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[0] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl9[1] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl8[1] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tblc[1] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[1] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl1[1] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl0[1] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl3[1] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[1] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[1] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl4[1] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl7[1] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tblc[2] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl9[2] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[2] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl3[2] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[2] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl7[2] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl4[2] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl1[2] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl0[2] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[2] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tblc[7] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbld[7] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl9[3] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl8[3] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tblc[3] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[3] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl1[3] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl0[3] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl3[3] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[3] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[3] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl4[3] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl7[3] ; 2 ; -; mz80k_top:mz80k_top|i8253:i8253_1|max0[0] ; 2 ; -; mz80k_top:mz80k_top|i8253:i8253_1|max2[0] ; 2 ; -; mz80k_top:mz80k_top|i8253:i8253_1|max1[0] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[4] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[0] ; 13 ; -; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[10] ; 14 ; -; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[7] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[1] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[2] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[3] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[5] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[6] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[8] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[9] ; 1 ; -; Total number of inverted registers = 72 ; ; -+---------------------------------------------------------------------+---------+ - - -+----------------------------------------------------------------------------------------------------------+ -; Registers Packed Into Inferred Megafunctions ; -+------------------------------------------------+--------------------------------------------------+------+ -; Register Name ; Megafunction ; Type ; -+------------------------------------------------+--------------------------------------------------+------+ -; video_mixer:video_mixer|osd:osd|osd_byte[0..7] ; video_mixer:video_mixer|osd:osd|osd_buffer_rtl_0 ; RAM ; -+------------------------------------------------+--------------------------------------------------+------+ - - -+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Multiplexer Restructuring Statistics (Restructuring Performed) ; -+--------------------+-----------+---------------+----------------------+------------------------+------------+--------------------------------------------------------------------------------+ -; Multiplexer Inputs ; Bus Width ; Baseline Area ; Area if Restructured ; Saving if Restructured ; Registered ; Example Multiplexer Output ; -+--------------------+-----------+---------------+----------------------+------------------------+------------+--------------------------------------------------------------------------------+ -; 3:1 ; 8 bits ; 16 LEs ; 8 LEs ; 8 LEs ; Yes ; |mz80k_mist|video_mixer:video_mixer|osd:osd|bcnt[1] ; -; 3:1 ; 3 bits ; 6 LEs ; 3 LEs ; 3 LEs ; Yes ; |mz80k_mist|video_mixer:video_mixer|osd:osd|bcnt[10] ; -; 3:1 ; 8 bits ; 16 LEs ; 0 LEs ; 16 LEs ; Yes ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[3] ; -; 3:1 ; 8 bits ; 16 LEs ; 8 LEs ; 8 LEs ; Yes ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_simplec:reg_i|q[4] ; -; 3:1 ; 8 bits ; 16 LEs ; 8 LEs ; 8 LEs ; Yes ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrl|q[5] ; -; 3:1 ; 8 bits ; 16 LEs ; 8 LEs ; 8 LEs ; Yes ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrh|q[1] ; -; 3:1 ; 32 bits ; 64 LEs ; 32 LEs ; 32 LEs ; Yes ; |mz80k_mist|video_mixer:video_mixer|osd:osd|pixcnt[16] ; -; 3:1 ; 8 bits ; 16 LEs ; 8 LEs ; 8 LEs ; Yes ; |mz80k_mist|reset_cnt[1] ; -; 3:1 ; 16 bits ; 32 LEs ; 16 LEs ; 16 LEs ; Yes ; |mz80k_mist|mz80k_top:mz80k_top|i8253:i8253_1|count0[0] ; -; 3:1 ; 16 bits ; 32 LEs ; 16 LEs ; 16 LEs ; Yes ; |mz80k_mist|mz80k_top:mz80k_top|i8253:i8253_1|count1[3] ; -; 3:1 ; 16 bits ; 32 LEs ; 16 LEs ; 16 LEs ; Yes ; |mz80k_mist|mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; -; 4:1 ; 6 bits ; 12 LEs ; 6 LEs ; 6 LEs ; Yes ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_f:reg_f|q1[0] ; -; 4:1 ; 6 bits ; 12 LEs ; 6 LEs ; 6 LEs ; Yes ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_f:reg_f|q0[6] ; -; 4:1 ; 8 bits ; 16 LEs ; 8 LEs ; 8 LEs ; Yes ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q1[4] ; -; 4:1 ; 8 bits ; 16 LEs ; 8 LEs ; 8 LEs ; Yes ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[4] ; -; 4:1 ; 4 bits ; 8 LEs ; 4 LEs ; 4 LEs ; Yes ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|seq:seq|state[2] ; -; 4:1 ; 7 bits ; 14 LEs ; 14 LEs ; 0 LEs ; Yes ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_r:reg_r|q[2] ; -; 4:1 ; 8 bits ; 16 LEs ; 16 LEs ; 0 LEs ; Yes ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_sph|q[1] ; -; 4:1 ; 8 bits ; 16 LEs ; 16 LEs ; 0 LEs ; Yes ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_spl|q[1] ; -; 4:1 ; 4 bits ; 8 LEs ; 8 LEs ; 0 LEs ; Yes ; |mz80k_mist|mist_io:mist_io|ps2_kbd_tx_state[1] ; -; 4:1 ; 7 bits ; 14 LEs ; 7 LEs ; 7 LEs ; Yes ; |mz80k_mist|mist_io:mist_io|ps2_kbd_tx_byte[5] ; -; 5:1 ; 8 bits ; 24 LEs ; 8 LEs ; 16 LEs ; Yes ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_b|q1[2] ; -; 5:1 ; 8 bits ; 24 LEs ; 8 LEs ; 16 LEs ; Yes ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_b|q0[4] ; -; 5:1 ; 8 bits ; 24 LEs ; 8 LEs ; 16 LEs ; Yes ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q1[1] ; -; 5:1 ; 8 bits ; 24 LEs ; 8 LEs ; 16 LEs ; Yes ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q0[3] ; -; 5:1 ; 8 bits ; 24 LEs ; 8 LEs ; 16 LEs ; Yes ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d|q1[6] ; -; 5:1 ; 8 bits ; 24 LEs ; 8 LEs ; 16 LEs ; Yes ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d|q0[6] ; -; 5:1 ; 8 bits ; 24 LEs ; 8 LEs ; 16 LEs ; Yes ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q1[4] ; -; 5:1 ; 8 bits ; 24 LEs ; 8 LEs ; 16 LEs ; Yes ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q0[4] ; -; 15:1 ; 2 bits ; 20 LEs ; 14 LEs ; 6 LEs ; Yes ; |mz80k_mist|mz80k_top:mz80k_top|ps2:ps2_1|data[1]~reg0 ; -; 7:1 ; 8 bits ; 32 LEs ; 16 LEs ; 16 LEs ; Yes ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_h|qx[5] ; -; 7:1 ; 8 bits ; 32 LEs ; 16 LEs ; 16 LEs ; Yes ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_l|qx[2] ; -; 8:1 ; 2 bits ; 10 LEs ; 4 LEs ; 6 LEs ; Yes ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|seq:seq|ifd ; -; 7:1 ; 8 bits ; 32 LEs ; 32 LEs ; 0 LEs ; Yes ; |mz80k_mist|mz80k_top:mz80k_top|i8253:i8253_1|data[5] ; -; 10:1 ; 8 bits ; 48 LEs ; 16 LEs ; 32 LEs ; Yes ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_h|qy[1] ; -; 10:1 ; 8 bits ; 48 LEs ; 16 LEs ; 32 LEs ; Yes ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_l|qy[6] ; -; 259:1 ; 4 bits ; 688 LEs ; 0 LEs ; 688 LEs ; Yes ; |mz80k_mist|mist_io:mist_io|status[5] ; -; 13:1 ; 8 bits ; 64 LEs ; 16 LEs ; 48 LEs ; Yes ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_h|q1[7] ; -; 13:1 ; 8 bits ; 64 LEs ; 16 LEs ; 48 LEs ; Yes ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_h|q0[3] ; -; 13:1 ; 8 bits ; 64 LEs ; 16 LEs ; 48 LEs ; Yes ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_l|q1[4] ; -; 13:1 ; 8 bits ; 64 LEs ; 16 LEs ; 48 LEs ; Yes ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_l|q0[7] ; -; 3:1 ; 11 bits ; 22 LEs ; 11 LEs ; 11 LEs ; Yes ; |mz80k_mist|mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[4] ; -; 4:1 ; 8 bits ; 16 LEs ; 16 LEs ; 0 LEs ; No ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_h|Mux2 ; -; 4:1 ; 8 bits ; 16 LEs ; 16 LEs ; 0 LEs ; No ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_l|Mux4 ; -; 4:1 ; 2 bits ; 4 LEs ; 4 LEs ; 0 LEs ; No ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|Mux4 ; -; 4:1 ; 6 bits ; 12 LEs ; 12 LEs ; 0 LEs ; No ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|Mux3 ; -; 3:1 ; 8 bits ; 16 LEs ; 16 LEs ; 0 LEs ; No ; |mz80k_mist|video_mixer:video_mixer|pr[6] ; -; 3:1 ; 8 bits ; 16 LEs ; 16 LEs ; 0 LEs ; No ; |mz80k_mist|video_mixer:video_mixer|pb[0] ; -; 3:1 ; 8 bits ; 16 LEs ; 16 LEs ; 0 LEs ; No ; |mz80k_mist|video_mixer:video_mixer|y[2] ; -; 8:1 ; 8 bits ; 40 LEs ; 32 LEs ; 8 LEs ; No ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|Mux17 ; -; 8:1 ; 8 bits ; 40 LEs ; 40 LEs ; 0 LEs ; No ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|Mux8 ; -; 8:1 ; 16 bits ; 80 LEs ; 64 LEs ; 16 LEs ; No ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|Mux44 ; -; 16:1 ; 4 bits ; 40 LEs ; 40 LEs ; 0 LEs ; No ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|Mux28 ; -; 16:1 ; 4 bits ; 40 LEs ; 40 LEs ; 0 LEs ; No ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|Mux27 ; -; 6:1 ; 5 bits ; 20 LEs ; 15 LEs ; 5 LEs ; No ; |mz80k_mist|mz80k_top:mz80k_top|cpu_data_in[1] ; -; 6:1 ; 2 bits ; 8 LEs ; 8 LEs ; 0 LEs ; No ; |mz80k_mist|mz80k_top:mz80k_top|cpu_data_in[6] ; -+--------------------+-----------+---------------+----------------------+------------------------+------------+--------------------------------------------------------------------------------+ - - -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Source assignments for video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_in:hq2x_in|hq2x_buf:buf0|altsyncram:altsyncram_component|altsyncram_c5o1:auto_generated ; -+---------------------------------+--------------------+------+-----------------------------------------------------------------------------------------------------------------+ -; Assignment ; Value ; From ; To ; -+---------------------------------+--------------------+------+-----------------------------------------------------------------------------------------------------------------+ -; OPTIMIZE_POWER_DURING_SYNTHESIS ; NORMAL_COMPILATION ; - ; - ; -+---------------------------------+--------------------+------+-----------------------------------------------------------------------------------------------------------------+ - - -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Source assignments for video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_in:hq2x_in|hq2x_buf:buf1|altsyncram:altsyncram_component|altsyncram_c5o1:auto_generated ; -+---------------------------------+--------------------+------+-----------------------------------------------------------------------------------------------------------------+ -; Assignment ; Value ; From ; To ; -+---------------------------------+--------------------+------+-----------------------------------------------------------------------------------------------------------------+ -; OPTIMIZE_POWER_DURING_SYNTHESIS ; NORMAL_COMPILATION ; - ; - ; -+---------------------------------+--------------------+------+-----------------------------------------------------------------------------------------------------------------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Source assignments for video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf0|altsyncram:altsyncram_component|altsyncram_28o1:auto_generated ; -+---------------------------------+--------------------+------+-------------------------------------------------------------------------------------------------------------------+ -; Assignment ; Value ; From ; To ; -+---------------------------------+--------------------+------+-------------------------------------------------------------------------------------------------------------------+ -; OPTIMIZE_POWER_DURING_SYNTHESIS ; NORMAL_COMPILATION ; - ; - ; -+---------------------------------+--------------------+------+-------------------------------------------------------------------------------------------------------------------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Source assignments for video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf1|altsyncram:altsyncram_component|altsyncram_28o1:auto_generated ; -+---------------------------------+--------------------+------+-------------------------------------------------------------------------------------------------------------------+ -; Assignment ; Value ; From ; To ; -+---------------------------------+--------------------+------+-------------------------------------------------------------------------------------------------------------------+ -; OPTIMIZE_POWER_DURING_SYNTHESIS ; NORMAL_COMPILATION ; - ; - ; -+---------------------------------+--------------------+------+-------------------------------------------------------------------------------------------------------------------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Source assignments for video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf2|altsyncram:altsyncram_component|altsyncram_28o1:auto_generated ; -+---------------------------------+--------------------+------+-------------------------------------------------------------------------------------------------------------------+ -; Assignment ; Value ; From ; To ; -+---------------------------------+--------------------+------+-------------------------------------------------------------------------------------------------------------------+ -; OPTIMIZE_POWER_DURING_SYNTHESIS ; NORMAL_COMPILATION ; - ; - ; -+---------------------------------+--------------------+------+-------------------------------------------------------------------------------------------------------------------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Source assignments for video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf3|altsyncram:altsyncram_component|altsyncram_28o1:auto_generated ; -+---------------------------------+--------------------+------+-------------------------------------------------------------------------------------------------------------------+ -; Assignment ; Value ; From ; To ; -+---------------------------------+--------------------+------+-------------------------------------------------------------------------------------------------------------------+ -; OPTIMIZE_POWER_DURING_SYNTHESIS ; NORMAL_COMPILATION ; - ; - ; -+---------------------------------+--------------------+------+-------------------------------------------------------------------------------------------------------------------+ - - -+----------------------------------------------------------------------------------------------------------------------------------+ -; Source assignments for mz80k_top:mz80k_top|vga:vga1|cg_rom:cg_rom|altsyncram:altsyncram_component|altsyncram_f7a1:auto_generated ; -+---------------------------------+--------------------+------+--------------------------------------------------------------------+ -; Assignment ; Value ; From ; To ; -+---------------------------------+--------------------+------+--------------------------------------------------------------------+ -; OPTIMIZE_POWER_DURING_SYNTHESIS ; NORMAL_COMPILATION ; - ; - ; -+---------------------------------+--------------------+------+--------------------------------------------------------------------+ - - -+--------------------------------------------------------------------------------------------------------------------------+ -; Source assignments for mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated ; -+---------------------------------+--------------------+------+------------------------------------------------------------+ -; Assignment ; Value ; From ; To ; -+---------------------------------+--------------------+------+------------------------------------------------------------+ -; OPTIMIZE_POWER_DURING_SYNTHESIS ; NORMAL_COMPILATION ; - ; - ; -+---------------------------------+--------------------+------+------------------------------------------------------------+ - - -+-----------------------------------------------------------------------------------------------------------------------+ -; Source assignments for mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated ; -+---------------------------------+--------------------+------+---------------------------------------------------------+ -; Assignment ; Value ; From ; To ; -+---------------------------------+--------------------+------+---------------------------------------------------------+ -; OPTIMIZE_POWER_DURING_SYNTHESIS ; NORMAL_COMPILATION ; - ; - ; -+---------------------------------+--------------------+------+---------------------------------------------------------+ - - -+-------------------------------------------------------------------------------------------------------------------+ -; Source assignments for video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated ; -+---------------------------------+--------------------+------+-----------------------------------------------------+ -; Assignment ; Value ; From ; To ; -+---------------------------------+--------------------+------+-----------------------------------------------------+ -; OPTIMIZE_POWER_DURING_SYNTHESIS ; NORMAL_COMPILATION ; - ; - ; -+---------------------------------+--------------------+------+-----------------------------------------------------+ - - -+------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: pll:pll|altpll:altpll_component ; -+-------------------------------+-----------------------+----------------------+ -; Parameter Name ; Value ; Type ; -+-------------------------------+-----------------------+----------------------+ -; OPERATION_MODE ; NORMAL ; Untyped ; -; PLL_TYPE ; AUTO ; Untyped ; -; LPM_HINT ; CBX_MODULE_PREFIX=pll ; Untyped ; -; QUALIFY_CONF_DONE ; OFF ; Untyped ; -; COMPENSATE_CLOCK ; CLK0 ; Untyped ; -; SCAN_CHAIN ; LONG ; Untyped ; -; PRIMARY_CLOCK ; INCLK0 ; Untyped ; -; INCLK0_INPUT_FREQUENCY ; 37037 ; Signed Integer ; -; INCLK1_INPUT_FREQUENCY ; 0 ; Untyped ; -; GATE_LOCK_SIGNAL ; NO ; Untyped ; -; GATE_LOCK_COUNTER ; 0 ; Untyped ; -; LOCK_HIGH ; 1 ; Untyped ; -; LOCK_LOW ; 1 ; Untyped ; -; VALID_LOCK_MULTIPLIER ; 1 ; Untyped ; -; INVALID_LOCK_MULTIPLIER ; 5 ; Untyped ; -; SWITCH_OVER_ON_LOSSCLK ; OFF ; Untyped ; -; SWITCH_OVER_ON_GATED_LOCK ; OFF ; Untyped ; -; ENABLE_SWITCH_OVER_COUNTER ; OFF ; Untyped ; -; SKIP_VCO ; OFF ; Untyped ; -; SWITCH_OVER_COUNTER ; 0 ; Untyped ; -; SWITCH_OVER_TYPE ; AUTO ; Untyped ; -; FEEDBACK_SOURCE ; EXTCLK0 ; Untyped ; -; BANDWIDTH ; 0 ; Untyped ; -; BANDWIDTH_TYPE ; AUTO ; Untyped ; -; SPREAD_FREQUENCY ; 0 ; Untyped ; -; DOWN_SPREAD ; 0 ; Untyped ; -; SELF_RESET_ON_GATED_LOSS_LOCK ; OFF ; Untyped ; -; SELF_RESET_ON_LOSS_LOCK ; OFF ; Untyped ; -; CLK9_MULTIPLY_BY ; 0 ; Untyped ; -; CLK8_MULTIPLY_BY ; 0 ; Untyped ; -; CLK7_MULTIPLY_BY ; 0 ; Untyped ; -; CLK6_MULTIPLY_BY ; 0 ; Untyped ; -; CLK5_MULTIPLY_BY ; 1 ; Untyped ; -; CLK4_MULTIPLY_BY ; 1 ; Untyped ; -; CLK3_MULTIPLY_BY ; 1 ; Untyped ; -; CLK2_MULTIPLY_BY ; 1 ; Untyped ; -; CLK1_MULTIPLY_BY ; 25 ; Signed Integer ; -; CLK0_MULTIPLY_BY ; 50 ; Signed Integer ; -; CLK9_DIVIDE_BY ; 0 ; Untyped ; -; CLK8_DIVIDE_BY ; 0 ; Untyped ; -; CLK7_DIVIDE_BY ; 0 ; Untyped ; -; CLK6_DIVIDE_BY ; 0 ; Untyped ; -; CLK5_DIVIDE_BY ; 1 ; Untyped ; -; CLK4_DIVIDE_BY ; 1 ; Untyped ; -; CLK3_DIVIDE_BY ; 1 ; Untyped ; -; CLK2_DIVIDE_BY ; 1 ; Untyped ; -; CLK1_DIVIDE_BY ; 54 ; Signed Integer ; -; CLK0_DIVIDE_BY ; 27 ; Signed Integer ; -; CLK9_PHASE_SHIFT ; 0 ; Untyped ; -; CLK8_PHASE_SHIFT ; 0 ; Untyped ; -; CLK7_PHASE_SHIFT ; 0 ; Untyped ; -; CLK6_PHASE_SHIFT ; 0 ; Untyped ; -; CLK5_PHASE_SHIFT ; 0 ; Untyped ; -; CLK4_PHASE_SHIFT ; 0 ; Untyped ; -; CLK3_PHASE_SHIFT ; 0 ; Untyped ; -; CLK2_PHASE_SHIFT ; 0 ; Untyped ; -; CLK1_PHASE_SHIFT ; 0 ; Untyped ; -; CLK0_PHASE_SHIFT ; 0 ; Untyped ; -; CLK5_TIME_DELAY ; 0 ; Untyped ; -; CLK4_TIME_DELAY ; 0 ; Untyped ; -; CLK3_TIME_DELAY ; 0 ; Untyped ; -; CLK2_TIME_DELAY ; 0 ; Untyped ; -; CLK1_TIME_DELAY ; 0 ; Untyped ; -; CLK0_TIME_DELAY ; 0 ; Untyped ; -; CLK9_DUTY_CYCLE ; 50 ; Untyped ; -; CLK8_DUTY_CYCLE ; 50 ; Untyped ; -; CLK7_DUTY_CYCLE ; 50 ; Untyped ; -; CLK6_DUTY_CYCLE ; 50 ; Untyped ; -; CLK5_DUTY_CYCLE ; 50 ; Untyped ; -; CLK4_DUTY_CYCLE ; 50 ; Untyped ; -; CLK3_DUTY_CYCLE ; 50 ; Untyped ; -; CLK2_DUTY_CYCLE ; 50 ; Untyped ; -; CLK1_DUTY_CYCLE ; 50 ; Signed Integer ; -; CLK0_DUTY_CYCLE ; 50 ; Signed Integer ; -; CLK9_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; -; CLK8_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; -; CLK7_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; -; CLK6_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; -; CLK5_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; -; CLK4_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; -; CLK3_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; -; CLK2_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; -; CLK1_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; -; CLK0_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; -; CLK9_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; -; CLK8_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; -; CLK7_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; -; CLK6_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; -; CLK5_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; -; CLK4_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; -; CLK3_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; -; CLK2_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; -; CLK1_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; -; CLK0_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; -; LOCK_WINDOW_UI ; 0.05 ; Untyped ; -; LOCK_WINDOW_UI_BITS ; UNUSED ; Untyped ; -; VCO_RANGE_DETECTOR_LOW_BITS ; UNUSED ; Untyped ; -; VCO_RANGE_DETECTOR_HIGH_BITS ; UNUSED ; Untyped ; -; DPA_MULTIPLY_BY ; 0 ; Untyped ; -; DPA_DIVIDE_BY ; 1 ; Untyped ; -; DPA_DIVIDER ; 0 ; Untyped ; -; EXTCLK3_MULTIPLY_BY ; 1 ; Untyped ; -; EXTCLK2_MULTIPLY_BY ; 1 ; Untyped ; -; EXTCLK1_MULTIPLY_BY ; 1 ; Untyped ; -; EXTCLK0_MULTIPLY_BY ; 1 ; Untyped ; -; EXTCLK3_DIVIDE_BY ; 1 ; Untyped ; -; EXTCLK2_DIVIDE_BY ; 1 ; Untyped ; -; EXTCLK1_DIVIDE_BY ; 1 ; Untyped ; -; EXTCLK0_DIVIDE_BY ; 1 ; Untyped ; -; EXTCLK3_PHASE_SHIFT ; 0 ; Untyped ; -; EXTCLK2_PHASE_SHIFT ; 0 ; Untyped ; -; EXTCLK1_PHASE_SHIFT ; 0 ; Untyped ; -; EXTCLK0_PHASE_SHIFT ; 0 ; Untyped ; -; EXTCLK3_TIME_DELAY ; 0 ; Untyped ; -; EXTCLK2_TIME_DELAY ; 0 ; Untyped ; -; EXTCLK1_TIME_DELAY ; 0 ; Untyped ; -; EXTCLK0_TIME_DELAY ; 0 ; Untyped ; -; EXTCLK3_DUTY_CYCLE ; 50 ; Untyped ; -; EXTCLK2_DUTY_CYCLE ; 50 ; Untyped ; -; EXTCLK1_DUTY_CYCLE ; 50 ; Untyped ; -; EXTCLK0_DUTY_CYCLE ; 50 ; Untyped ; -; VCO_MULTIPLY_BY ; 0 ; Untyped ; -; VCO_DIVIDE_BY ; 0 ; Untyped ; -; SCLKOUT0_PHASE_SHIFT ; 0 ; Untyped ; -; SCLKOUT1_PHASE_SHIFT ; 0 ; Untyped ; -; VCO_MIN ; 0 ; Untyped ; -; VCO_MAX ; 0 ; Untyped ; -; VCO_CENTER ; 0 ; Untyped ; -; PFD_MIN ; 0 ; Untyped ; -; PFD_MAX ; 0 ; Untyped ; -; M_INITIAL ; 0 ; Untyped ; -; M ; 0 ; Untyped ; -; N ; 1 ; Untyped ; -; M2 ; 1 ; Untyped ; -; N2 ; 1 ; Untyped ; -; SS ; 1 ; Untyped ; -; C0_HIGH ; 0 ; Untyped ; -; C1_HIGH ; 0 ; Untyped ; -; C2_HIGH ; 0 ; Untyped ; -; C3_HIGH ; 0 ; Untyped ; -; C4_HIGH ; 0 ; Untyped ; -; C5_HIGH ; 0 ; Untyped ; -; C6_HIGH ; 0 ; Untyped ; -; C7_HIGH ; 0 ; Untyped ; -; C8_HIGH ; 0 ; Untyped ; -; C9_HIGH ; 0 ; Untyped ; -; C0_LOW ; 0 ; Untyped ; -; C1_LOW ; 0 ; Untyped ; -; C2_LOW ; 0 ; Untyped ; -; C3_LOW ; 0 ; Untyped ; -; C4_LOW ; 0 ; Untyped ; -; C5_LOW ; 0 ; Untyped ; -; C6_LOW ; 0 ; Untyped ; -; C7_LOW ; 0 ; Untyped ; -; C8_LOW ; 0 ; Untyped ; -; C9_LOW ; 0 ; Untyped ; -; C0_INITIAL ; 0 ; Untyped ; -; C1_INITIAL ; 0 ; Untyped ; -; C2_INITIAL ; 0 ; Untyped ; -; C3_INITIAL ; 0 ; Untyped ; -; C4_INITIAL ; 0 ; Untyped ; -; C5_INITIAL ; 0 ; Untyped ; -; C6_INITIAL ; 0 ; Untyped ; -; C7_INITIAL ; 0 ; Untyped ; -; C8_INITIAL ; 0 ; Untyped ; -; C9_INITIAL ; 0 ; Untyped ; -; C0_MODE ; BYPASS ; Untyped ; -; C1_MODE ; BYPASS ; Untyped ; -; C2_MODE ; BYPASS ; Untyped ; -; C3_MODE ; BYPASS ; Untyped ; -; C4_MODE ; BYPASS ; Untyped ; -; C5_MODE ; BYPASS ; Untyped ; -; C6_MODE ; BYPASS ; Untyped ; -; C7_MODE ; BYPASS ; Untyped ; -; C8_MODE ; BYPASS ; Untyped ; -; C9_MODE ; BYPASS ; Untyped ; -; C0_PH ; 0 ; Untyped ; -; C1_PH ; 0 ; Untyped ; -; C2_PH ; 0 ; Untyped ; -; C3_PH ; 0 ; Untyped ; -; C4_PH ; 0 ; Untyped ; -; C5_PH ; 0 ; Untyped ; -; C6_PH ; 0 ; Untyped ; -; C7_PH ; 0 ; Untyped ; -; C8_PH ; 0 ; Untyped ; -; C9_PH ; 0 ; Untyped ; -; L0_HIGH ; 1 ; Untyped ; -; L1_HIGH ; 1 ; Untyped ; -; G0_HIGH ; 1 ; Untyped ; -; G1_HIGH ; 1 ; Untyped ; -; G2_HIGH ; 1 ; Untyped ; -; G3_HIGH ; 1 ; Untyped ; -; E0_HIGH ; 1 ; Untyped ; -; E1_HIGH ; 1 ; Untyped ; -; E2_HIGH ; 1 ; Untyped ; -; E3_HIGH ; 1 ; Untyped ; -; L0_LOW ; 1 ; Untyped ; -; L1_LOW ; 1 ; Untyped ; -; G0_LOW ; 1 ; Untyped ; -; G1_LOW ; 1 ; Untyped ; -; G2_LOW ; 1 ; Untyped ; -; G3_LOW ; 1 ; Untyped ; -; E0_LOW ; 1 ; Untyped ; -; E1_LOW ; 1 ; Untyped ; -; E2_LOW ; 1 ; Untyped ; -; E3_LOW ; 1 ; Untyped ; -; L0_INITIAL ; 1 ; Untyped ; -; L1_INITIAL ; 1 ; Untyped ; -; G0_INITIAL ; 1 ; Untyped ; -; G1_INITIAL ; 1 ; Untyped ; -; G2_INITIAL ; 1 ; Untyped ; -; G3_INITIAL ; 1 ; Untyped ; -; E0_INITIAL ; 1 ; Untyped ; -; E1_INITIAL ; 1 ; Untyped ; -; E2_INITIAL ; 1 ; Untyped ; -; E3_INITIAL ; 1 ; Untyped ; -; L0_MODE ; BYPASS ; Untyped ; -; L1_MODE ; BYPASS ; Untyped ; -; G0_MODE ; BYPASS ; Untyped ; -; G1_MODE ; BYPASS ; Untyped ; -; G2_MODE ; BYPASS ; Untyped ; -; G3_MODE ; BYPASS ; Untyped ; -; E0_MODE ; BYPASS ; Untyped ; -; E1_MODE ; BYPASS ; Untyped ; -; E2_MODE ; BYPASS ; Untyped ; -; E3_MODE ; BYPASS ; Untyped ; -; L0_PH ; 0 ; Untyped ; -; L1_PH ; 0 ; Untyped ; -; G0_PH ; 0 ; Untyped ; -; G1_PH ; 0 ; Untyped ; -; G2_PH ; 0 ; Untyped ; -; G3_PH ; 0 ; Untyped ; -; E0_PH ; 0 ; Untyped ; -; E1_PH ; 0 ; Untyped ; -; E2_PH ; 0 ; Untyped ; -; E3_PH ; 0 ; Untyped ; -; M_PH ; 0 ; Untyped ; -; C1_USE_CASC_IN ; OFF ; Untyped ; -; C2_USE_CASC_IN ; OFF ; Untyped ; -; C3_USE_CASC_IN ; OFF ; Untyped ; -; C4_USE_CASC_IN ; OFF ; Untyped ; -; C5_USE_CASC_IN ; OFF ; Untyped ; -; C6_USE_CASC_IN ; OFF ; Untyped ; -; C7_USE_CASC_IN ; OFF ; Untyped ; -; C8_USE_CASC_IN ; OFF ; Untyped ; -; C9_USE_CASC_IN ; OFF ; Untyped ; -; CLK0_COUNTER ; G0 ; Untyped ; -; CLK1_COUNTER ; G0 ; Untyped ; -; CLK2_COUNTER ; G0 ; Untyped ; -; CLK3_COUNTER ; G0 ; Untyped ; -; CLK4_COUNTER ; G0 ; Untyped ; -; CLK5_COUNTER ; G0 ; Untyped ; -; CLK6_COUNTER ; E0 ; Untyped ; -; CLK7_COUNTER ; E1 ; Untyped ; -; CLK8_COUNTER ; E2 ; Untyped ; -; CLK9_COUNTER ; E3 ; Untyped ; -; L0_TIME_DELAY ; 0 ; Untyped ; -; L1_TIME_DELAY ; 0 ; Untyped ; -; G0_TIME_DELAY ; 0 ; Untyped ; -; G1_TIME_DELAY ; 0 ; Untyped ; -; G2_TIME_DELAY ; 0 ; Untyped ; -; G3_TIME_DELAY ; 0 ; Untyped ; -; E0_TIME_DELAY ; 0 ; Untyped ; -; E1_TIME_DELAY ; 0 ; Untyped ; -; E2_TIME_DELAY ; 0 ; Untyped ; -; E3_TIME_DELAY ; 0 ; Untyped ; -; M_TIME_DELAY ; 0 ; Untyped ; -; N_TIME_DELAY ; 0 ; Untyped ; -; EXTCLK3_COUNTER ; E3 ; Untyped ; -; EXTCLK2_COUNTER ; E2 ; Untyped ; -; EXTCLK1_COUNTER ; E1 ; Untyped ; -; EXTCLK0_COUNTER ; E0 ; Untyped ; -; ENABLE0_COUNTER ; L0 ; Untyped ; -; ENABLE1_COUNTER ; L0 ; Untyped ; -; CHARGE_PUMP_CURRENT ; 2 ; Untyped ; -; LOOP_FILTER_R ; 1.000000 ; Untyped ; -; LOOP_FILTER_C ; 5 ; Untyped ; -; CHARGE_PUMP_CURRENT_BITS ; 9999 ; Untyped ; -; LOOP_FILTER_R_BITS ; 9999 ; Untyped ; -; LOOP_FILTER_C_BITS ; 9999 ; Untyped ; -; VCO_POST_SCALE ; 0 ; Untyped ; -; CLK2_OUTPUT_FREQUENCY ; 0 ; Untyped ; -; CLK1_OUTPUT_FREQUENCY ; 0 ; Untyped ; -; CLK0_OUTPUT_FREQUENCY ; 0 ; Untyped ; -; INTENDED_DEVICE_FAMILY ; Cyclone III ; Untyped ; -; PORT_CLKENA0 ; PORT_UNUSED ; Untyped ; -; PORT_CLKENA1 ; PORT_UNUSED ; Untyped ; -; PORT_CLKENA2 ; PORT_UNUSED ; Untyped ; -; PORT_CLKENA3 ; PORT_UNUSED ; Untyped ; -; PORT_CLKENA4 ; PORT_UNUSED ; Untyped ; -; PORT_CLKENA5 ; PORT_UNUSED ; Untyped ; -; PORT_EXTCLKENA0 ; PORT_CONNECTIVITY ; Untyped ; -; PORT_EXTCLKENA1 ; PORT_CONNECTIVITY ; Untyped ; -; PORT_EXTCLKENA2 ; PORT_CONNECTIVITY ; Untyped ; -; PORT_EXTCLKENA3 ; PORT_CONNECTIVITY ; Untyped ; -; PORT_EXTCLK0 ; PORT_UNUSED ; Untyped ; -; PORT_EXTCLK1 ; PORT_UNUSED ; Untyped ; -; PORT_EXTCLK2 ; PORT_UNUSED ; Untyped ; -; PORT_EXTCLK3 ; PORT_UNUSED ; Untyped ; -; PORT_CLKBAD0 ; PORT_UNUSED ; Untyped ; -; PORT_CLKBAD1 ; PORT_UNUSED ; Untyped ; -; PORT_CLK0 ; PORT_USED ; Untyped ; -; PORT_CLK1 ; PORT_USED ; Untyped ; -; PORT_CLK2 ; PORT_UNUSED ; Untyped ; -; PORT_CLK3 ; PORT_UNUSED ; Untyped ; -; PORT_CLK4 ; PORT_UNUSED ; Untyped ; -; PORT_CLK5 ; PORT_UNUSED ; Untyped ; -; PORT_CLK6 ; PORT_UNUSED ; Untyped ; -; PORT_CLK7 ; PORT_UNUSED ; Untyped ; -; PORT_CLK8 ; PORT_UNUSED ; Untyped ; -; PORT_CLK9 ; PORT_UNUSED ; Untyped ; -; PORT_SCANDATA ; PORT_UNUSED ; Untyped ; -; PORT_SCANDATAOUT ; PORT_UNUSED ; Untyped ; -; PORT_SCANDONE ; PORT_UNUSED ; Untyped ; -; PORT_SCLKOUT1 ; PORT_CONNECTIVITY ; Untyped ; -; PORT_SCLKOUT0 ; PORT_CONNECTIVITY ; Untyped ; -; PORT_ACTIVECLOCK ; PORT_UNUSED ; Untyped ; -; PORT_CLKLOSS ; PORT_UNUSED ; Untyped ; -; PORT_INCLK1 ; PORT_UNUSED ; Untyped ; -; PORT_INCLK0 ; PORT_USED ; Untyped ; -; PORT_FBIN ; PORT_UNUSED ; Untyped ; -; PORT_PLLENA ; PORT_UNUSED ; Untyped ; -; PORT_CLKSWITCH ; PORT_UNUSED ; Untyped ; -; PORT_ARESET ; PORT_USED ; Untyped ; -; PORT_PFDENA ; PORT_UNUSED ; Untyped ; -; PORT_SCANCLK ; PORT_UNUSED ; Untyped ; -; PORT_SCANACLR ; PORT_UNUSED ; Untyped ; -; PORT_SCANREAD ; PORT_UNUSED ; Untyped ; -; PORT_SCANWRITE ; PORT_UNUSED ; Untyped ; -; PORT_ENABLE0 ; PORT_CONNECTIVITY ; Untyped ; -; PORT_ENABLE1 ; PORT_CONNECTIVITY ; Untyped ; -; PORT_LOCKED ; PORT_USED ; Untyped ; -; PORT_CONFIGUPDATE ; PORT_UNUSED ; Untyped ; -; PORT_FBOUT ; PORT_CONNECTIVITY ; Untyped ; -; PORT_PHASEDONE ; PORT_UNUSED ; Untyped ; -; PORT_PHASESTEP ; PORT_UNUSED ; Untyped ; -; PORT_PHASEUPDOWN ; PORT_UNUSED ; Untyped ; -; PORT_SCANCLKENA ; PORT_UNUSED ; Untyped ; -; PORT_PHASECOUNTERSELECT ; PORT_UNUSED ; Untyped ; -; PORT_VCOOVERRANGE ; PORT_CONNECTIVITY ; Untyped ; -; PORT_VCOUNDERRANGE ; PORT_CONNECTIVITY ; Untyped ; -; M_TEST_SOURCE ; 5 ; Untyped ; -; C0_TEST_SOURCE ; 5 ; Untyped ; -; C1_TEST_SOURCE ; 5 ; Untyped ; -; C2_TEST_SOURCE ; 5 ; Untyped ; -; C3_TEST_SOURCE ; 5 ; Untyped ; -; C4_TEST_SOURCE ; 5 ; Untyped ; -; C5_TEST_SOURCE ; 5 ; Untyped ; -; C6_TEST_SOURCE ; 5 ; Untyped ; -; C7_TEST_SOURCE ; 5 ; Untyped ; -; C8_TEST_SOURCE ; 5 ; Untyped ; -; C9_TEST_SOURCE ; 5 ; Untyped ; -; CBXI_PARAMETER ; pll_altpll ; Untyped ; -; VCO_FREQUENCY_CONTROL ; AUTO ; Untyped ; -; VCO_PHASE_SHIFT_STEP ; 0 ; Untyped ; -; WIDTH_CLOCK ; 5 ; Signed Integer ; -; WIDTH_PHASECOUNTERSELECT ; 4 ; Untyped ; -; USING_FBMIMICBIDIR_PORT ; OFF ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -; SCAN_CHAIN_MIF_FILE ; UNUSED ; Untyped ; -; SIM_GATE_LOCK_DEVICE_BEHAVIOR ; OFF ; Untyped ; -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -+-------------------------------+-----------------------+----------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+--------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: mist_io:mist_io ; -+----------------+-------+-------------------------------------+ -; Parameter Name ; Value ; Type ; -+----------------+-------+-------------------------------------+ -; STRLEN ; 63 ; Signed Integer ; -; PS2DIV ; 100 ; Signed Integer ; -+----------------+-------+-------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+----------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: video_mixer:video_mixer ; -+----------------+------------+----------------------------------------+ -; Parameter Name ; Value ; Type ; -+----------------+------------+----------------------------------------+ -; LINE_LENGTH ; 480 ; Signed Integer ; -; HALF_DEPTH ; 1 ; Signed Integer ; -; OSD_COLOR ; 111 ; Unsigned Binary ; -; OSD_X_OFFSET ; 0000000000 ; Unsigned Binary ; -; OSD_Y_OFFSET ; 0000000000 ; Unsigned Binary ; -+----------------+------------+----------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+----------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: video_mixer:video_mixer|scandoubler:scandoubler ; -+----------------+-------+---------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+----------------+-------+---------------------------------------------------------------------+ -; LENGTH ; 480 ; Signed Integer ; -; HALF_DEPTH ; 1 ; Signed Integer ; -+----------------+-------+---------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+--------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x ; -+----------------+-------+-------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+----------------+-------+-------------------------------------------------------------------------------+ -; LENGTH ; 480 ; Signed Integer ; -; HALF_DEPTH ; 1 ; Signed Integer ; -+----------------+-------+-------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_in:hq2x_in ; -+----------------+-------+-----------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+----------------+-------+-----------------------------------------------------------------------------------------------+ -; LENGTH ; 480 ; Signed Integer ; -; DWIDTH ; 8 ; Signed Integer ; -+----------------+-------+-----------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+--------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_in:hq2x_in|hq2x_buf:buf0 ; -+----------------+-------+-------------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+----------------+-------+-------------------------------------------------------------------------------------------------------------+ -; NUMWORDS ; 480 ; Signed Integer ; -; AWIDTH ; 8 ; Signed Integer ; -; DWIDTH ; 8 ; Signed Integer ; -+----------------+-------+-------------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+----------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_in:hq2x_in|hq2x_buf:buf0|altsyncram:altsyncram_component ; -+------------------------------------+----------------------+----------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------------------+----------------------+----------------------------------------------------------------------------------------------------------+ -; BYTE_SIZE_BLOCK ; 8 ; Untyped ; -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -; WIDTH_BYTEENA ; 1 ; Untyped ; -; OPERATION_MODE ; DUAL_PORT ; Untyped ; -; WIDTH_A ; 9 ; Signed Integer ; -; WIDTHAD_A ; 9 ; Signed Integer ; -; NUMWORDS_A ; 480 ; Signed Integer ; -; OUTDATA_REG_A ; UNREGISTERED ; Untyped ; -; ADDRESS_ACLR_A ; NONE ; Untyped ; -; OUTDATA_ACLR_A ; NONE ; Untyped ; -; WRCONTROL_ACLR_A ; NONE ; Untyped ; -; INDATA_ACLR_A ; NONE ; Untyped ; -; BYTEENA_ACLR_A ; NONE ; Untyped ; -; WIDTH_B ; 9 ; Signed Integer ; -; WIDTHAD_B ; 9 ; Signed Integer ; -; NUMWORDS_B ; 480 ; Signed Integer ; -; INDATA_REG_B ; CLOCK1 ; Untyped ; -; WRCONTROL_WRADDRESS_REG_B ; CLOCK1 ; Untyped ; -; RDCONTROL_REG_B ; CLOCK1 ; Untyped ; -; ADDRESS_REG_B ; CLOCK0 ; Untyped ; -; OUTDATA_REG_B ; UNREGISTERED ; Untyped ; -; BYTEENA_REG_B ; CLOCK1 ; Untyped ; -; INDATA_ACLR_B ; NONE ; Untyped ; -; WRCONTROL_ACLR_B ; NONE ; Untyped ; -; ADDRESS_ACLR_B ; NONE ; Untyped ; -; OUTDATA_ACLR_B ; NONE ; Untyped ; -; RDCONTROL_ACLR_B ; NONE ; Untyped ; -; BYTEENA_ACLR_B ; NONE ; Untyped ; -; WIDTH_BYTEENA_A ; 1 ; Signed Integer ; -; WIDTH_BYTEENA_B ; 1 ; Untyped ; -; RAM_BLOCK_TYPE ; AUTO ; Untyped ; -; BYTE_SIZE ; 8 ; Untyped ; -; READ_DURING_WRITE_MODE_MIXED_PORTS ; DONT_CARE ; Untyped ; -; READ_DURING_WRITE_MODE_PORT_A ; NEW_DATA_NO_NBE_READ ; Untyped ; -; READ_DURING_WRITE_MODE_PORT_B ; NEW_DATA_NO_NBE_READ ; Untyped ; -; INIT_FILE ; UNUSED ; Untyped ; -; INIT_FILE_LAYOUT ; PORT_A ; Untyped ; -; MAXIMUM_DEPTH ; 0 ; Untyped ; -; CLOCK_ENABLE_INPUT_A ; BYPASS ; Untyped ; -; CLOCK_ENABLE_INPUT_B ; BYPASS ; Untyped ; -; CLOCK_ENABLE_OUTPUT_A ; NORMAL ; Untyped ; -; CLOCK_ENABLE_OUTPUT_B ; BYPASS ; Untyped ; -; CLOCK_ENABLE_CORE_A ; USE_INPUT_CLKEN ; Untyped ; -; CLOCK_ENABLE_CORE_B ; USE_INPUT_CLKEN ; Untyped ; -; ENABLE_ECC ; FALSE ; Untyped ; -; ECC_PIPELINE_STAGE_ENABLED ; FALSE ; Untyped ; -; WIDTH_ECCSTATUS ; 3 ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -; CBXI_PARAMETER ; altsyncram_c5o1 ; Untyped ; -+------------------------------------+----------------------+----------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+--------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_in:hq2x_in|hq2x_buf:buf1 ; -+----------------+-------+-------------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+----------------+-------+-------------------------------------------------------------------------------------------------------------+ -; NUMWORDS ; 480 ; Signed Integer ; -; AWIDTH ; 8 ; Signed Integer ; -; DWIDTH ; 8 ; Signed Integer ; -+----------------+-------+-------------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+----------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_in:hq2x_in|hq2x_buf:buf1|altsyncram:altsyncram_component ; -+------------------------------------+----------------------+----------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------------------+----------------------+----------------------------------------------------------------------------------------------------------+ -; BYTE_SIZE_BLOCK ; 8 ; Untyped ; -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -; WIDTH_BYTEENA ; 1 ; Untyped ; -; OPERATION_MODE ; DUAL_PORT ; Untyped ; -; WIDTH_A ; 9 ; Signed Integer ; -; WIDTHAD_A ; 9 ; Signed Integer ; -; NUMWORDS_A ; 480 ; Signed Integer ; -; OUTDATA_REG_A ; UNREGISTERED ; Untyped ; -; ADDRESS_ACLR_A ; NONE ; Untyped ; -; OUTDATA_ACLR_A ; NONE ; Untyped ; -; WRCONTROL_ACLR_A ; NONE ; Untyped ; -; INDATA_ACLR_A ; NONE ; Untyped ; -; BYTEENA_ACLR_A ; NONE ; Untyped ; -; WIDTH_B ; 9 ; Signed Integer ; -; WIDTHAD_B ; 9 ; Signed Integer ; -; NUMWORDS_B ; 480 ; Signed Integer ; -; INDATA_REG_B ; CLOCK1 ; Untyped ; -; WRCONTROL_WRADDRESS_REG_B ; CLOCK1 ; Untyped ; -; RDCONTROL_REG_B ; CLOCK1 ; Untyped ; -; ADDRESS_REG_B ; CLOCK0 ; Untyped ; -; OUTDATA_REG_B ; UNREGISTERED ; Untyped ; -; BYTEENA_REG_B ; CLOCK1 ; Untyped ; -; INDATA_ACLR_B ; NONE ; Untyped ; -; WRCONTROL_ACLR_B ; NONE ; Untyped ; -; ADDRESS_ACLR_B ; NONE ; Untyped ; -; OUTDATA_ACLR_B ; NONE ; Untyped ; -; RDCONTROL_ACLR_B ; NONE ; Untyped ; -; BYTEENA_ACLR_B ; NONE ; Untyped ; -; WIDTH_BYTEENA_A ; 1 ; Signed Integer ; -; WIDTH_BYTEENA_B ; 1 ; Untyped ; -; RAM_BLOCK_TYPE ; AUTO ; Untyped ; -; BYTE_SIZE ; 8 ; Untyped ; -; READ_DURING_WRITE_MODE_MIXED_PORTS ; DONT_CARE ; Untyped ; -; READ_DURING_WRITE_MODE_PORT_A ; NEW_DATA_NO_NBE_READ ; Untyped ; -; READ_DURING_WRITE_MODE_PORT_B ; NEW_DATA_NO_NBE_READ ; Untyped ; -; INIT_FILE ; UNUSED ; Untyped ; -; INIT_FILE_LAYOUT ; PORT_A ; Untyped ; -; MAXIMUM_DEPTH ; 0 ; Untyped ; -; CLOCK_ENABLE_INPUT_A ; BYPASS ; Untyped ; -; CLOCK_ENABLE_INPUT_B ; BYPASS ; Untyped ; -; CLOCK_ENABLE_OUTPUT_A ; NORMAL ; Untyped ; -; CLOCK_ENABLE_OUTPUT_B ; BYPASS ; Untyped ; -; CLOCK_ENABLE_CORE_A ; USE_INPUT_CLKEN ; Untyped ; -; CLOCK_ENABLE_CORE_B ; USE_INPUT_CLKEN ; Untyped ; -; ENABLE_ECC ; FALSE ; Untyped ; -; ECC_PIPELINE_STAGE_ENABLED ; FALSE ; Untyped ; -; WIDTH_ECCSTATUS ; 3 ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -; CBXI_PARAMETER ; altsyncram_c5o1 ; Untyped ; -+------------------------------------+----------------------+----------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+--------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out ; -+----------------+-------+-------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+----------------+-------+-------------------------------------------------------------------------------------------------+ -; LENGTH ; 480 ; Signed Integer ; -; DWIDTH ; 8 ; Signed Integer ; -+----------------+-------+-------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+----------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf0 ; -+----------------+-------+---------------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+----------------+-------+---------------------------------------------------------------------------------------------------------------+ -; NUMWORDS ; 960 ; Signed Integer ; -; AWIDTH ; 9 ; Signed Integer ; -; DWIDTH ; 8 ; Signed Integer ; -+----------------+-------+---------------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf0|altsyncram:altsyncram_component ; -+------------------------------------+----------------------+------------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------------------+----------------------+------------------------------------------------------------------------------------------------------------+ -; BYTE_SIZE_BLOCK ; 8 ; Untyped ; -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -; WIDTH_BYTEENA ; 1 ; Untyped ; -; OPERATION_MODE ; DUAL_PORT ; Untyped ; -; WIDTH_A ; 9 ; Signed Integer ; -; WIDTHAD_A ; 10 ; Signed Integer ; -; NUMWORDS_A ; 960 ; Signed Integer ; -; OUTDATA_REG_A ; UNREGISTERED ; Untyped ; -; ADDRESS_ACLR_A ; NONE ; Untyped ; -; OUTDATA_ACLR_A ; NONE ; Untyped ; -; WRCONTROL_ACLR_A ; NONE ; Untyped ; -; INDATA_ACLR_A ; NONE ; Untyped ; -; BYTEENA_ACLR_A ; NONE ; Untyped ; -; WIDTH_B ; 9 ; Signed Integer ; -; WIDTHAD_B ; 10 ; Signed Integer ; -; NUMWORDS_B ; 960 ; Signed Integer ; -; INDATA_REG_B ; CLOCK1 ; Untyped ; -; WRCONTROL_WRADDRESS_REG_B ; CLOCK1 ; Untyped ; -; RDCONTROL_REG_B ; CLOCK1 ; Untyped ; -; ADDRESS_REG_B ; CLOCK0 ; Untyped ; -; OUTDATA_REG_B ; UNREGISTERED ; Untyped ; -; BYTEENA_REG_B ; CLOCK1 ; Untyped ; -; INDATA_ACLR_B ; NONE ; Untyped ; -; WRCONTROL_ACLR_B ; NONE ; Untyped ; -; ADDRESS_ACLR_B ; NONE ; Untyped ; -; OUTDATA_ACLR_B ; NONE ; Untyped ; -; RDCONTROL_ACLR_B ; NONE ; Untyped ; -; BYTEENA_ACLR_B ; NONE ; Untyped ; -; WIDTH_BYTEENA_A ; 1 ; Signed Integer ; -; WIDTH_BYTEENA_B ; 1 ; Untyped ; -; RAM_BLOCK_TYPE ; AUTO ; Untyped ; -; BYTE_SIZE ; 8 ; Untyped ; -; READ_DURING_WRITE_MODE_MIXED_PORTS ; DONT_CARE ; Untyped ; -; READ_DURING_WRITE_MODE_PORT_A ; NEW_DATA_NO_NBE_READ ; Untyped ; -; READ_DURING_WRITE_MODE_PORT_B ; NEW_DATA_NO_NBE_READ ; Untyped ; -; INIT_FILE ; UNUSED ; Untyped ; -; INIT_FILE_LAYOUT ; PORT_A ; Untyped ; -; MAXIMUM_DEPTH ; 0 ; Untyped ; -; CLOCK_ENABLE_INPUT_A ; BYPASS ; Untyped ; -; CLOCK_ENABLE_INPUT_B ; BYPASS ; Untyped ; -; CLOCK_ENABLE_OUTPUT_A ; NORMAL ; Untyped ; -; CLOCK_ENABLE_OUTPUT_B ; BYPASS ; Untyped ; -; CLOCK_ENABLE_CORE_A ; USE_INPUT_CLKEN ; Untyped ; -; CLOCK_ENABLE_CORE_B ; USE_INPUT_CLKEN ; Untyped ; -; ENABLE_ECC ; FALSE ; Untyped ; -; ECC_PIPELINE_STAGE_ENABLED ; FALSE ; Untyped ; -; WIDTH_ECCSTATUS ; 3 ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -; CBXI_PARAMETER ; altsyncram_28o1 ; Untyped ; -+------------------------------------+----------------------+------------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+----------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf1 ; -+----------------+-------+---------------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+----------------+-------+---------------------------------------------------------------------------------------------------------------+ -; NUMWORDS ; 960 ; Signed Integer ; -; AWIDTH ; 9 ; Signed Integer ; -; DWIDTH ; 8 ; Signed Integer ; -+----------------+-------+---------------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf1|altsyncram:altsyncram_component ; -+------------------------------------+----------------------+------------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------------------+----------------------+------------------------------------------------------------------------------------------------------------+ -; BYTE_SIZE_BLOCK ; 8 ; Untyped ; -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -; WIDTH_BYTEENA ; 1 ; Untyped ; -; OPERATION_MODE ; DUAL_PORT ; Untyped ; -; WIDTH_A ; 9 ; Signed Integer ; -; WIDTHAD_A ; 10 ; Signed Integer ; -; NUMWORDS_A ; 960 ; Signed Integer ; -; OUTDATA_REG_A ; UNREGISTERED ; Untyped ; -; ADDRESS_ACLR_A ; NONE ; Untyped ; -; OUTDATA_ACLR_A ; NONE ; Untyped ; -; WRCONTROL_ACLR_A ; NONE ; Untyped ; -; INDATA_ACLR_A ; NONE ; Untyped ; -; BYTEENA_ACLR_A ; NONE ; Untyped ; -; WIDTH_B ; 9 ; Signed Integer ; -; WIDTHAD_B ; 10 ; Signed Integer ; -; NUMWORDS_B ; 960 ; Signed Integer ; -; INDATA_REG_B ; CLOCK1 ; Untyped ; -; WRCONTROL_WRADDRESS_REG_B ; CLOCK1 ; Untyped ; -; RDCONTROL_REG_B ; CLOCK1 ; Untyped ; -; ADDRESS_REG_B ; CLOCK0 ; Untyped ; -; OUTDATA_REG_B ; UNREGISTERED ; Untyped ; -; BYTEENA_REG_B ; CLOCK1 ; Untyped ; -; INDATA_ACLR_B ; NONE ; Untyped ; -; WRCONTROL_ACLR_B ; NONE ; Untyped ; -; ADDRESS_ACLR_B ; NONE ; Untyped ; -; OUTDATA_ACLR_B ; NONE ; Untyped ; -; RDCONTROL_ACLR_B ; NONE ; Untyped ; -; BYTEENA_ACLR_B ; NONE ; Untyped ; -; WIDTH_BYTEENA_A ; 1 ; Signed Integer ; -; WIDTH_BYTEENA_B ; 1 ; Untyped ; -; RAM_BLOCK_TYPE ; AUTO ; Untyped ; -; BYTE_SIZE ; 8 ; Untyped ; -; READ_DURING_WRITE_MODE_MIXED_PORTS ; DONT_CARE ; Untyped ; -; READ_DURING_WRITE_MODE_PORT_A ; NEW_DATA_NO_NBE_READ ; Untyped ; -; READ_DURING_WRITE_MODE_PORT_B ; NEW_DATA_NO_NBE_READ ; Untyped ; -; INIT_FILE ; UNUSED ; Untyped ; -; INIT_FILE_LAYOUT ; PORT_A ; Untyped ; -; MAXIMUM_DEPTH ; 0 ; Untyped ; -; CLOCK_ENABLE_INPUT_A ; BYPASS ; Untyped ; -; CLOCK_ENABLE_INPUT_B ; BYPASS ; Untyped ; -; CLOCK_ENABLE_OUTPUT_A ; NORMAL ; Untyped ; -; CLOCK_ENABLE_OUTPUT_B ; BYPASS ; Untyped ; -; CLOCK_ENABLE_CORE_A ; USE_INPUT_CLKEN ; Untyped ; -; CLOCK_ENABLE_CORE_B ; USE_INPUT_CLKEN ; Untyped ; -; ENABLE_ECC ; FALSE ; Untyped ; -; ECC_PIPELINE_STAGE_ENABLED ; FALSE ; Untyped ; -; WIDTH_ECCSTATUS ; 3 ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -; CBXI_PARAMETER ; altsyncram_28o1 ; Untyped ; -+------------------------------------+----------------------+------------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+----------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf2 ; -+----------------+-------+---------------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+----------------+-------+---------------------------------------------------------------------------------------------------------------+ -; NUMWORDS ; 960 ; Signed Integer ; -; AWIDTH ; 9 ; Signed Integer ; -; DWIDTH ; 8 ; Signed Integer ; -+----------------+-------+---------------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf2|altsyncram:altsyncram_component ; -+------------------------------------+----------------------+------------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------------------+----------------------+------------------------------------------------------------------------------------------------------------+ -; BYTE_SIZE_BLOCK ; 8 ; Untyped ; -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -; WIDTH_BYTEENA ; 1 ; Untyped ; -; OPERATION_MODE ; DUAL_PORT ; Untyped ; -; WIDTH_A ; 9 ; Signed Integer ; -; WIDTHAD_A ; 10 ; Signed Integer ; -; NUMWORDS_A ; 960 ; Signed Integer ; -; OUTDATA_REG_A ; UNREGISTERED ; Untyped ; -; ADDRESS_ACLR_A ; NONE ; Untyped ; -; OUTDATA_ACLR_A ; NONE ; Untyped ; -; WRCONTROL_ACLR_A ; NONE ; Untyped ; -; INDATA_ACLR_A ; NONE ; Untyped ; -; BYTEENA_ACLR_A ; NONE ; Untyped ; -; WIDTH_B ; 9 ; Signed Integer ; -; WIDTHAD_B ; 10 ; Signed Integer ; -; NUMWORDS_B ; 960 ; Signed Integer ; -; INDATA_REG_B ; CLOCK1 ; Untyped ; -; WRCONTROL_WRADDRESS_REG_B ; CLOCK1 ; Untyped ; -; RDCONTROL_REG_B ; CLOCK1 ; Untyped ; -; ADDRESS_REG_B ; CLOCK0 ; Untyped ; -; OUTDATA_REG_B ; UNREGISTERED ; Untyped ; -; BYTEENA_REG_B ; CLOCK1 ; Untyped ; -; INDATA_ACLR_B ; NONE ; Untyped ; -; WRCONTROL_ACLR_B ; NONE ; Untyped ; -; ADDRESS_ACLR_B ; NONE ; Untyped ; -; OUTDATA_ACLR_B ; NONE ; Untyped ; -; RDCONTROL_ACLR_B ; NONE ; Untyped ; -; BYTEENA_ACLR_B ; NONE ; Untyped ; -; WIDTH_BYTEENA_A ; 1 ; Signed Integer ; -; WIDTH_BYTEENA_B ; 1 ; Untyped ; -; RAM_BLOCK_TYPE ; AUTO ; Untyped ; -; BYTE_SIZE ; 8 ; Untyped ; -; READ_DURING_WRITE_MODE_MIXED_PORTS ; DONT_CARE ; Untyped ; -; READ_DURING_WRITE_MODE_PORT_A ; NEW_DATA_NO_NBE_READ ; Untyped ; -; READ_DURING_WRITE_MODE_PORT_B ; NEW_DATA_NO_NBE_READ ; Untyped ; -; INIT_FILE ; UNUSED ; Untyped ; -; INIT_FILE_LAYOUT ; PORT_A ; Untyped ; -; MAXIMUM_DEPTH ; 0 ; Untyped ; -; CLOCK_ENABLE_INPUT_A ; BYPASS ; Untyped ; -; CLOCK_ENABLE_INPUT_B ; BYPASS ; Untyped ; -; CLOCK_ENABLE_OUTPUT_A ; NORMAL ; Untyped ; -; CLOCK_ENABLE_OUTPUT_B ; BYPASS ; Untyped ; -; CLOCK_ENABLE_CORE_A ; USE_INPUT_CLKEN ; Untyped ; -; CLOCK_ENABLE_CORE_B ; USE_INPUT_CLKEN ; Untyped ; -; ENABLE_ECC ; FALSE ; Untyped ; -; ECC_PIPELINE_STAGE_ENABLED ; FALSE ; Untyped ; -; WIDTH_ECCSTATUS ; 3 ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -; CBXI_PARAMETER ; altsyncram_28o1 ; Untyped ; -+------------------------------------+----------------------+------------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+----------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf3 ; -+----------------+-------+---------------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+----------------+-------+---------------------------------------------------------------------------------------------------------------+ -; NUMWORDS ; 960 ; Signed Integer ; -; AWIDTH ; 9 ; Signed Integer ; -; DWIDTH ; 8 ; Signed Integer ; -+----------------+-------+---------------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf3|altsyncram:altsyncram_component ; -+------------------------------------+----------------------+------------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------------------+----------------------+------------------------------------------------------------------------------------------------------------+ -; BYTE_SIZE_BLOCK ; 8 ; Untyped ; -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -; WIDTH_BYTEENA ; 1 ; Untyped ; -; OPERATION_MODE ; DUAL_PORT ; Untyped ; -; WIDTH_A ; 9 ; Signed Integer ; -; WIDTHAD_A ; 10 ; Signed Integer ; -; NUMWORDS_A ; 960 ; Signed Integer ; -; OUTDATA_REG_A ; UNREGISTERED ; Untyped ; -; ADDRESS_ACLR_A ; NONE ; Untyped ; -; OUTDATA_ACLR_A ; NONE ; Untyped ; -; WRCONTROL_ACLR_A ; NONE ; Untyped ; -; INDATA_ACLR_A ; NONE ; Untyped ; -; BYTEENA_ACLR_A ; NONE ; Untyped ; -; WIDTH_B ; 9 ; Signed Integer ; -; WIDTHAD_B ; 10 ; Signed Integer ; -; NUMWORDS_B ; 960 ; Signed Integer ; -; INDATA_REG_B ; CLOCK1 ; Untyped ; -; WRCONTROL_WRADDRESS_REG_B ; CLOCK1 ; Untyped ; -; RDCONTROL_REG_B ; CLOCK1 ; Untyped ; -; ADDRESS_REG_B ; CLOCK0 ; Untyped ; -; OUTDATA_REG_B ; UNREGISTERED ; Untyped ; -; BYTEENA_REG_B ; CLOCK1 ; Untyped ; -; INDATA_ACLR_B ; NONE ; Untyped ; -; WRCONTROL_ACLR_B ; NONE ; Untyped ; -; ADDRESS_ACLR_B ; NONE ; Untyped ; -; OUTDATA_ACLR_B ; NONE ; Untyped ; -; RDCONTROL_ACLR_B ; NONE ; Untyped ; -; BYTEENA_ACLR_B ; NONE ; Untyped ; -; WIDTH_BYTEENA_A ; 1 ; Signed Integer ; -; WIDTH_BYTEENA_B ; 1 ; Untyped ; -; RAM_BLOCK_TYPE ; AUTO ; Untyped ; -; BYTE_SIZE ; 8 ; Untyped ; -; READ_DURING_WRITE_MODE_MIXED_PORTS ; DONT_CARE ; Untyped ; -; READ_DURING_WRITE_MODE_PORT_A ; NEW_DATA_NO_NBE_READ ; Untyped ; -; READ_DURING_WRITE_MODE_PORT_B ; NEW_DATA_NO_NBE_READ ; Untyped ; -; INIT_FILE ; UNUSED ; Untyped ; -; INIT_FILE_LAYOUT ; PORT_A ; Untyped ; -; MAXIMUM_DEPTH ; 0 ; Untyped ; -; CLOCK_ENABLE_INPUT_A ; BYPASS ; Untyped ; -; CLOCK_ENABLE_INPUT_B ; BYPASS ; Untyped ; -; CLOCK_ENABLE_OUTPUT_A ; NORMAL ; Untyped ; -; CLOCK_ENABLE_OUTPUT_B ; BYPASS ; Untyped ; -; CLOCK_ENABLE_CORE_A ; USE_INPUT_CLKEN ; Untyped ; -; CLOCK_ENABLE_CORE_B ; USE_INPUT_CLKEN ; Untyped ; -; ENABLE_ECC ; FALSE ; Untyped ; -; ECC_PIPELINE_STAGE_ENABLED ; FALSE ; Untyped ; -; WIDTH_ECCSTATUS ; 3 ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -; CBXI_PARAMETER ; altsyncram_28o1 ; Untyped ; -+------------------------------------+----------------------+------------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: video_mixer:video_mixer|osd:osd ; -+----------------+------------+------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+----------------+------------+------------------------------------------------+ -; OSD_X_OFFSET ; 0000000000 ; Unsigned Binary ; -; OSD_Y_OFFSET ; 0000000000 ; Unsigned Binary ; -; OSD_COLOR ; 111 ; Unsigned Binary ; -+----------------+------------+------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: sigma_delta_dac:sigma_delta_dac ; -+----------------+-------+-----------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+----------------+-------+-----------------------------------------------------+ -; MSBI ; 2 ; Signed Integer ; -+----------------+-------+-----------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+-----------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: mz80k_top:mz80k_top|fz80:z80|seq:seq ; -+----------------+-------+----------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+----------------+-------+----------------------------------------------------------+ -; S_IF1 ; 0000 ; Unsigned Binary ; -; S_IF2 ; 0001 ; Unsigned Binary ; -; S_IMM1 ; 0010 ; Unsigned Binary ; -; S_IMM2 ; 0011 ; Unsigned Binary ; -; S_MR1 ; 0100 ; Unsigned Binary ; -; S_MR2 ; 0101 ; Unsigned Binary ; -; S_DISP ; 0110 ; Unsigned Binary ; -; S_IN ; 0111 ; Unsigned Binary ; -; S_IACK ; 1000 ; Unsigned Binary ; -; S_MW1 ; 1100 ; Unsigned Binary ; -; S_MW2 ; 1101 ; Unsigned Binary ; -; S_OUT ; 1111 ; Unsigned Binary ; -+----------------+-------+----------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+-------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: mz80k_top:mz80k_top|vga:vga1|cg_rom:cg_rom|altsyncram:altsyncram_component ; -+------------------------------------+----------------------+-------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------------------+----------------------+-------------------------------------------------------------+ -; BYTE_SIZE_BLOCK ; 8 ; Untyped ; -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -; WIDTH_BYTEENA ; 1 ; Untyped ; -; OPERATION_MODE ; ROM ; Untyped ; -; WIDTH_A ; 8 ; Signed Integer ; -; WIDTHAD_A ; 11 ; Signed Integer ; -; NUMWORDS_A ; 2048 ; Signed Integer ; -; OUTDATA_REG_A ; CLOCK0 ; Untyped ; -; ADDRESS_ACLR_A ; NONE ; Untyped ; -; OUTDATA_ACLR_A ; NONE ; Untyped ; -; WRCONTROL_ACLR_A ; NONE ; Untyped ; -; INDATA_ACLR_A ; NONE ; Untyped ; -; BYTEENA_ACLR_A ; NONE ; Untyped ; -; WIDTH_B ; 1 ; Untyped ; -; WIDTHAD_B ; 1 ; Untyped ; -; NUMWORDS_B ; 1 ; Untyped ; -; INDATA_REG_B ; CLOCK1 ; Untyped ; -; WRCONTROL_WRADDRESS_REG_B ; CLOCK1 ; Untyped ; -; RDCONTROL_REG_B ; CLOCK1 ; Untyped ; -; ADDRESS_REG_B ; CLOCK1 ; Untyped ; -; OUTDATA_REG_B ; UNREGISTERED ; Untyped ; -; BYTEENA_REG_B ; CLOCK1 ; Untyped ; -; INDATA_ACLR_B ; NONE ; Untyped ; -; WRCONTROL_ACLR_B ; NONE ; Untyped ; -; ADDRESS_ACLR_B ; NONE ; Untyped ; -; OUTDATA_ACLR_B ; NONE ; Untyped ; -; RDCONTROL_ACLR_B ; NONE ; Untyped ; -; BYTEENA_ACLR_B ; NONE ; Untyped ; -; WIDTH_BYTEENA_A ; 1 ; Signed Integer ; -; WIDTH_BYTEENA_B ; 1 ; Untyped ; -; RAM_BLOCK_TYPE ; AUTO ; Untyped ; -; BYTE_SIZE ; 8 ; Untyped ; -; READ_DURING_WRITE_MODE_MIXED_PORTS ; DONT_CARE ; Untyped ; -; READ_DURING_WRITE_MODE_PORT_A ; NEW_DATA_NO_NBE_READ ; Untyped ; -; READ_DURING_WRITE_MODE_PORT_B ; NEW_DATA_NO_NBE_READ ; Untyped ; -; INIT_FILE ; ./roms/cg.hex ; Untyped ; -; INIT_FILE_LAYOUT ; PORT_A ; Untyped ; -; MAXIMUM_DEPTH ; 0 ; Untyped ; -; CLOCK_ENABLE_INPUT_A ; NORMAL ; Untyped ; -; CLOCK_ENABLE_INPUT_B ; NORMAL ; Untyped ; -; CLOCK_ENABLE_OUTPUT_A ; NORMAL ; Untyped ; -; CLOCK_ENABLE_OUTPUT_B ; NORMAL ; Untyped ; -; CLOCK_ENABLE_CORE_A ; USE_INPUT_CLKEN ; Untyped ; -; CLOCK_ENABLE_CORE_B ; USE_INPUT_CLKEN ; Untyped ; -; ENABLE_ECC ; FALSE ; Untyped ; -; ECC_PIPELINE_STAGE_ENABLED ; FALSE ; Untyped ; -; WIDTH_ECCSTATUS ; 3 ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -; CBXI_PARAMETER ; altsyncram_f7a1 ; Untyped ; -+------------------------------------+----------------------+-------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+-----------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component ; -+------------------------------------+----------------------+-----------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------------------+----------------------+-----------------------------------------------------+ -; BYTE_SIZE_BLOCK ; 8 ; Untyped ; -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -; WIDTH_BYTEENA ; 1 ; Untyped ; -; OPERATION_MODE ; SINGLE_PORT ; Untyped ; -; WIDTH_A ; 8 ; Signed Integer ; -; WIDTHAD_A ; 15 ; Signed Integer ; -; NUMWORDS_A ; 32768 ; Signed Integer ; -; OUTDATA_REG_A ; CLOCK0 ; Untyped ; -; ADDRESS_ACLR_A ; NONE ; Untyped ; -; OUTDATA_ACLR_A ; NONE ; Untyped ; -; WRCONTROL_ACLR_A ; NONE ; Untyped ; -; INDATA_ACLR_A ; NONE ; Untyped ; -; BYTEENA_ACLR_A ; NONE ; Untyped ; -; WIDTH_B ; 1 ; Untyped ; -; WIDTHAD_B ; 1 ; Untyped ; -; NUMWORDS_B ; 1 ; Untyped ; -; INDATA_REG_B ; CLOCK1 ; Untyped ; -; WRCONTROL_WRADDRESS_REG_B ; CLOCK1 ; Untyped ; -; RDCONTROL_REG_B ; CLOCK1 ; Untyped ; -; ADDRESS_REG_B ; CLOCK1 ; Untyped ; -; OUTDATA_REG_B ; UNREGISTERED ; Untyped ; -; BYTEENA_REG_B ; CLOCK1 ; Untyped ; -; INDATA_ACLR_B ; NONE ; Untyped ; -; WRCONTROL_ACLR_B ; NONE ; Untyped ; -; ADDRESS_ACLR_B ; NONE ; Untyped ; -; OUTDATA_ACLR_B ; NONE ; Untyped ; -; RDCONTROL_ACLR_B ; NONE ; Untyped ; -; BYTEENA_ACLR_B ; NONE ; Untyped ; -; WIDTH_BYTEENA_A ; 1 ; Signed Integer ; -; WIDTH_BYTEENA_B ; 1 ; Untyped ; -; RAM_BLOCK_TYPE ; AUTO ; Untyped ; -; BYTE_SIZE ; 8 ; Untyped ; -; READ_DURING_WRITE_MODE_MIXED_PORTS ; DONT_CARE ; Untyped ; -; READ_DURING_WRITE_MODE_PORT_A ; NEW_DATA_NO_NBE_READ ; Untyped ; -; READ_DURING_WRITE_MODE_PORT_B ; NEW_DATA_NO_NBE_READ ; Untyped ; -; INIT_FILE ; ./roms/Mon.hex ; Untyped ; -; INIT_FILE_LAYOUT ; PORT_A ; Untyped ; -; MAXIMUM_DEPTH ; 0 ; Untyped ; -; CLOCK_ENABLE_INPUT_A ; NORMAL ; Untyped ; -; CLOCK_ENABLE_INPUT_B ; NORMAL ; Untyped ; -; CLOCK_ENABLE_OUTPUT_A ; NORMAL ; Untyped ; -; CLOCK_ENABLE_OUTPUT_B ; NORMAL ; Untyped ; -; CLOCK_ENABLE_CORE_A ; USE_INPUT_CLKEN ; Untyped ; -; CLOCK_ENABLE_CORE_B ; USE_INPUT_CLKEN ; Untyped ; -; ENABLE_ECC ; FALSE ; Untyped ; -; ECC_PIPELINE_STAGE_ENABLED ; FALSE ; Untyped ; -; WIDTH_ECCSTATUS ; 3 ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -; CBXI_PARAMETER ; altsyncram_vli1 ; Untyped ; -+------------------------------------+----------------------+-----------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+--------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component ; -+------------------------------------+----------------------+--------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------------------+----------------------+--------------------------------------------------+ -; BYTE_SIZE_BLOCK ; 8 ; Untyped ; -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -; WIDTH_BYTEENA ; 1 ; Untyped ; -; OPERATION_MODE ; SINGLE_PORT ; Untyped ; -; WIDTH_A ; 8 ; Signed Integer ; -; WIDTHAD_A ; 11 ; Signed Integer ; -; NUMWORDS_A ; 2048 ; Signed Integer ; -; OUTDATA_REG_A ; CLOCK0 ; Untyped ; -; ADDRESS_ACLR_A ; NONE ; Untyped ; -; OUTDATA_ACLR_A ; NONE ; Untyped ; -; WRCONTROL_ACLR_A ; NONE ; Untyped ; -; INDATA_ACLR_A ; NONE ; Untyped ; -; BYTEENA_ACLR_A ; NONE ; Untyped ; -; WIDTH_B ; 1 ; Untyped ; -; WIDTHAD_B ; 1 ; Untyped ; -; NUMWORDS_B ; 1 ; Untyped ; -; INDATA_REG_B ; CLOCK1 ; Untyped ; -; WRCONTROL_WRADDRESS_REG_B ; CLOCK1 ; Untyped ; -; RDCONTROL_REG_B ; CLOCK1 ; Untyped ; -; ADDRESS_REG_B ; CLOCK1 ; Untyped ; -; OUTDATA_REG_B ; UNREGISTERED ; Untyped ; -; BYTEENA_REG_B ; CLOCK1 ; Untyped ; -; INDATA_ACLR_B ; NONE ; Untyped ; -; WRCONTROL_ACLR_B ; NONE ; Untyped ; -; ADDRESS_ACLR_B ; NONE ; Untyped ; -; OUTDATA_ACLR_B ; NONE ; Untyped ; -; RDCONTROL_ACLR_B ; NONE ; Untyped ; -; BYTEENA_ACLR_B ; NONE ; Untyped ; -; WIDTH_BYTEENA_A ; 1 ; Signed Integer ; -; WIDTH_BYTEENA_B ; 1 ; Untyped ; -; RAM_BLOCK_TYPE ; AUTO ; Untyped ; -; BYTE_SIZE ; 8 ; Untyped ; -; READ_DURING_WRITE_MODE_MIXED_PORTS ; DONT_CARE ; Untyped ; -; READ_DURING_WRITE_MODE_PORT_A ; NEW_DATA_NO_NBE_READ ; Untyped ; -; READ_DURING_WRITE_MODE_PORT_B ; NEW_DATA_NO_NBE_READ ; Untyped ; -; INIT_FILE ; UNUSED ; Untyped ; -; INIT_FILE_LAYOUT ; PORT_A ; Untyped ; -; MAXIMUM_DEPTH ; 0 ; Untyped ; -; CLOCK_ENABLE_INPUT_A ; NORMAL ; Untyped ; -; CLOCK_ENABLE_INPUT_B ; NORMAL ; Untyped ; -; CLOCK_ENABLE_OUTPUT_A ; NORMAL ; Untyped ; -; CLOCK_ENABLE_OUTPUT_B ; NORMAL ; Untyped ; -; CLOCK_ENABLE_CORE_A ; USE_INPUT_CLKEN ; Untyped ; -; CLOCK_ENABLE_CORE_B ; USE_INPUT_CLKEN ; Untyped ; -; ENABLE_ECC ; FALSE ; Untyped ; -; ECC_PIPELINE_STAGE_ENABLED ; FALSE ; Untyped ; -; WIDTH_ECCSTATUS ; 3 ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -; CBXI_PARAMETER ; altsyncram_atg1 ; Untyped ; -+------------------------------------+----------------------+--------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+--------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for Inferred Entity Instance: video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0 ; -+------------------------------------+----------------------+--------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------------------+----------------------+--------------------------------------------------+ -; BYTE_SIZE_BLOCK ; 8 ; Untyped ; -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -; WIDTH_BYTEENA ; 1 ; Untyped ; -; OPERATION_MODE ; DUAL_PORT ; Untyped ; -; WIDTH_A ; 8 ; Untyped ; -; WIDTHAD_A ; 11 ; Untyped ; -; NUMWORDS_A ; 2048 ; Untyped ; -; OUTDATA_REG_A ; UNREGISTERED ; Untyped ; -; ADDRESS_ACLR_A ; NONE ; Untyped ; -; OUTDATA_ACLR_A ; NONE ; Untyped ; -; WRCONTROL_ACLR_A ; NONE ; Untyped ; -; INDATA_ACLR_A ; NONE ; Untyped ; -; BYTEENA_ACLR_A ; NONE ; Untyped ; -; WIDTH_B ; 8 ; Untyped ; -; WIDTHAD_B ; 11 ; Untyped ; -; NUMWORDS_B ; 2048 ; Untyped ; -; INDATA_REG_B ; CLOCK1 ; Untyped ; -; WRCONTROL_WRADDRESS_REG_B ; CLOCK1 ; Untyped ; -; RDCONTROL_REG_B ; CLOCK1 ; Untyped ; -; ADDRESS_REG_B ; CLOCK1 ; Untyped ; -; OUTDATA_REG_B ; UNREGISTERED ; Untyped ; -; BYTEENA_REG_B ; CLOCK1 ; Untyped ; -; INDATA_ACLR_B ; NONE ; Untyped ; -; WRCONTROL_ACLR_B ; NONE ; Untyped ; -; ADDRESS_ACLR_B ; NONE ; Untyped ; -; OUTDATA_ACLR_B ; NONE ; Untyped ; -; RDCONTROL_ACLR_B ; NONE ; Untyped ; -; BYTEENA_ACLR_B ; NONE ; Untyped ; -; WIDTH_BYTEENA_A ; 1 ; Untyped ; -; WIDTH_BYTEENA_B ; 1 ; Untyped ; -; RAM_BLOCK_TYPE ; AUTO ; Untyped ; -; BYTE_SIZE ; 8 ; Untyped ; -; READ_DURING_WRITE_MODE_MIXED_PORTS ; DONT_CARE ; Untyped ; -; READ_DURING_WRITE_MODE_PORT_A ; NEW_DATA_NO_NBE_READ ; Untyped ; -; READ_DURING_WRITE_MODE_PORT_B ; NEW_DATA_NO_NBE_READ ; Untyped ; -; INIT_FILE ; UNUSED ; Untyped ; -; INIT_FILE_LAYOUT ; PORT_A ; Untyped ; -; MAXIMUM_DEPTH ; 0 ; Untyped ; -; CLOCK_ENABLE_INPUT_A ; NORMAL ; Untyped ; -; CLOCK_ENABLE_INPUT_B ; NORMAL ; Untyped ; -; CLOCK_ENABLE_OUTPUT_A ; NORMAL ; Untyped ; -; CLOCK_ENABLE_OUTPUT_B ; NORMAL ; Untyped ; -; CLOCK_ENABLE_CORE_A ; USE_INPUT_CLKEN ; Untyped ; -; CLOCK_ENABLE_CORE_B ; USE_INPUT_CLKEN ; Untyped ; -; ENABLE_ECC ; FALSE ; Untyped ; -; ECC_PIPELINE_STAGE_ENABLED ; FALSE ; Untyped ; -; WIDTH_ECCSTATUS ; 3 ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -; CBXI_PARAMETER ; altsyncram_dud1 ; Untyped ; -+------------------------------------+----------------------+--------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+-----------------------------------------------------------------+ -; altpll Parameter Settings by Entity Instance ; -+-------------------------------+---------------------------------+ -; Name ; Value ; -+-------------------------------+---------------------------------+ -; Number of entity instances ; 1 ; -; Entity Instance ; pll:pll|altpll:altpll_component ; -; -- OPERATION_MODE ; NORMAL ; -; -- PLL_TYPE ; AUTO ; -; -- PRIMARY_CLOCK ; INCLK0 ; -; -- INCLK0_INPUT_FREQUENCY ; 37037 ; -; -- INCLK1_INPUT_FREQUENCY ; 0 ; -; -- VCO_MULTIPLY_BY ; 0 ; -; -- VCO_DIVIDE_BY ; 0 ; -+-------------------------------+---------------------------------+ - - -+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; altsyncram Parameter Settings by Entity Instance ; -+-------------------------------------------+---------------------------------------------------------------------------------------------------------------------------+ -; Name ; Value ; -+-------------------------------------------+---------------------------------------------------------------------------------------------------------------------------+ -; Number of entity instances ; 10 ; -; Entity Instance ; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_in:hq2x_in|hq2x_buf:buf0|altsyncram:altsyncram_component ; -; -- OPERATION_MODE ; DUAL_PORT ; -; -- WIDTH_A ; 9 ; -; -- NUMWORDS_A ; 480 ; -; -- OUTDATA_REG_A ; UNREGISTERED ; -; -- WIDTH_B ; 9 ; -; -- NUMWORDS_B ; 480 ; -; -- ADDRESS_REG_B ; CLOCK0 ; -; -- OUTDATA_REG_B ; UNREGISTERED ; -; -- RAM_BLOCK_TYPE ; AUTO ; -; -- READ_DURING_WRITE_MODE_MIXED_PORTS ; DONT_CARE ; -; Entity Instance ; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_in:hq2x_in|hq2x_buf:buf1|altsyncram:altsyncram_component ; -; -- OPERATION_MODE ; DUAL_PORT ; -; -- WIDTH_A ; 9 ; -; -- NUMWORDS_A ; 480 ; -; -- OUTDATA_REG_A ; UNREGISTERED ; -; -- WIDTH_B ; 9 ; -; -- NUMWORDS_B ; 480 ; -; -- ADDRESS_REG_B ; CLOCK0 ; -; -- OUTDATA_REG_B ; UNREGISTERED ; -; -- RAM_BLOCK_TYPE ; AUTO ; -; -- READ_DURING_WRITE_MODE_MIXED_PORTS ; DONT_CARE ; -; Entity Instance ; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf0|altsyncram:altsyncram_component ; -; -- OPERATION_MODE ; DUAL_PORT ; -; -- WIDTH_A ; 9 ; -; -- NUMWORDS_A ; 960 ; -; -- OUTDATA_REG_A ; UNREGISTERED ; -; -- WIDTH_B ; 9 ; -; -- NUMWORDS_B ; 960 ; -; -- ADDRESS_REG_B ; CLOCK0 ; -; -- OUTDATA_REG_B ; UNREGISTERED ; -; -- RAM_BLOCK_TYPE ; AUTO ; -; -- READ_DURING_WRITE_MODE_MIXED_PORTS ; DONT_CARE ; -; Entity Instance ; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf1|altsyncram:altsyncram_component ; -; -- OPERATION_MODE ; DUAL_PORT ; -; -- WIDTH_A ; 9 ; -; -- NUMWORDS_A ; 960 ; -; -- OUTDATA_REG_A ; UNREGISTERED ; -; -- WIDTH_B ; 9 ; -; -- NUMWORDS_B ; 960 ; -; -- ADDRESS_REG_B ; CLOCK0 ; -; -- OUTDATA_REG_B ; UNREGISTERED ; -; -- RAM_BLOCK_TYPE ; AUTO ; -; -- READ_DURING_WRITE_MODE_MIXED_PORTS ; DONT_CARE ; -; Entity Instance ; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf2|altsyncram:altsyncram_component ; -; -- OPERATION_MODE ; DUAL_PORT ; -; -- WIDTH_A ; 9 ; -; -- NUMWORDS_A ; 960 ; -; -- OUTDATA_REG_A ; UNREGISTERED ; -; -- WIDTH_B ; 9 ; -; -- NUMWORDS_B ; 960 ; -; -- ADDRESS_REG_B ; CLOCK0 ; -; -- OUTDATA_REG_B ; UNREGISTERED ; -; -- RAM_BLOCK_TYPE ; AUTO ; -; -- READ_DURING_WRITE_MODE_MIXED_PORTS ; DONT_CARE ; -; Entity Instance ; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf3|altsyncram:altsyncram_component ; -; -- OPERATION_MODE ; DUAL_PORT ; -; -- WIDTH_A ; 9 ; -; -- NUMWORDS_A ; 960 ; -; -- OUTDATA_REG_A ; UNREGISTERED ; -; -- WIDTH_B ; 9 ; -; -- NUMWORDS_B ; 960 ; -; -- ADDRESS_REG_B ; CLOCK0 ; -; -- OUTDATA_REG_B ; UNREGISTERED ; -; -- RAM_BLOCK_TYPE ; AUTO ; -; -- READ_DURING_WRITE_MODE_MIXED_PORTS ; DONT_CARE ; -; Entity Instance ; mz80k_top:mz80k_top|vga:vga1|cg_rom:cg_rom|altsyncram:altsyncram_component ; -; -- OPERATION_MODE ; ROM ; -; -- WIDTH_A ; 8 ; -; -- NUMWORDS_A ; 2048 ; -; -- OUTDATA_REG_A ; CLOCK0 ; -; -- WIDTH_B ; 1 ; -; -- NUMWORDS_B ; 1 ; -; -- ADDRESS_REG_B ; CLOCK1 ; -; -- OUTDATA_REG_B ; UNREGISTERED ; -; -- RAM_BLOCK_TYPE ; AUTO ; -; -- READ_DURING_WRITE_MODE_MIXED_PORTS ; DONT_CARE ; -; Entity Instance ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component ; -; -- OPERATION_MODE ; SINGLE_PORT ; -; -- WIDTH_A ; 8 ; -; -- NUMWORDS_A ; 32768 ; -; -- OUTDATA_REG_A ; CLOCK0 ; -; -- WIDTH_B ; 1 ; -; -- NUMWORDS_B ; 1 ; -; -- ADDRESS_REG_B ; CLOCK1 ; -; -- OUTDATA_REG_B ; UNREGISTERED ; -; -- RAM_BLOCK_TYPE ; AUTO ; -; -- READ_DURING_WRITE_MODE_MIXED_PORTS ; DONT_CARE ; -; Entity Instance ; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component ; -; -- OPERATION_MODE ; SINGLE_PORT ; -; -- WIDTH_A ; 8 ; -; -- NUMWORDS_A ; 2048 ; -; -- OUTDATA_REG_A ; CLOCK0 ; -; -- WIDTH_B ; 1 ; -; -- NUMWORDS_B ; 1 ; -; -- ADDRESS_REG_B ; CLOCK1 ; -; -- OUTDATA_REG_B ; UNREGISTERED ; -; -- RAM_BLOCK_TYPE ; AUTO ; -; -- READ_DURING_WRITE_MODE_MIXED_PORTS ; DONT_CARE ; -; Entity Instance ; video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0 ; -; -- OPERATION_MODE ; DUAL_PORT ; -; -- WIDTH_A ; 8 ; -; -- NUMWORDS_A ; 2048 ; -; -- OUTDATA_REG_A ; UNREGISTERED ; -; -- WIDTH_B ; 8 ; -; -- NUMWORDS_B ; 2048 ; -; -- ADDRESS_REG_B ; CLOCK1 ; -; -- OUTDATA_REG_B ; UNREGISTERED ; -; -- RAM_BLOCK_TYPE ; AUTO ; -; -- READ_DURING_WRITE_MODE_MIXED_PORTS ; DONT_CARE ; -+-------------------------------------------+---------------------------------------------------------------------------------------------------------------------------+ - - -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Port Connectivity Checks: "keyboard:keyboard" ; -+-----------+--------+----------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Port ; Type ; Severity ; Details ; -+-----------+--------+----------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; reset ; Input ; Warning ; Input port expression (32 bits) is wider than the input port (1 bits) it drives. The 31 most-significant bit(s) in the expression will be dangling if they have no other fanouts. ; -; reset[-1] ; Input ; Info ; Stuck at GND ; -; joystick ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -+-----------+--------+----------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ - - -+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Port Connectivity Checks: "mz80k_top:mz80k_top|ram2:ram2_2" ; -+---------+-------+----------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Port ; Type ; Severity ; Details ; -+---------+-------+----------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; address ; Input ; Warning ; Input port expression (12 bits) is wider than the input port (11 bits) it drives. The 1 most-significant bit(s) in the expression will be dangling if they have no other fanouts. ; -+---------+-------+----------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ - - -+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Port Connectivity Checks: "mz80k_top:mz80k_top|monrom:mon_rom" ; -+---------+-------+----------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Port ; Type ; Severity ; Details ; -+---------+-------+----------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; address ; Input ; Warning ; Input port expression (16 bits) is wider than the input port (15 bits) it drives. The 1 most-significant bit(s) in the expression will be dangling if they have no other fanouts. ; -+---------+-------+----------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ - - -+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Port Connectivity Checks: "mz80k_top:mz80k_top|vga:vga1|cg_rom:cg_rom" ; -+---------+-------+----------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Port ; Type ; Severity ; Details ; -+---------+-------+----------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; address ; Input ; Warning ; Input port expression (12 bits) is wider than the input port (11 bits) it drives. The 1 most-significant bit(s) in the expression will be dangling if they have no other fanouts. ; -; clken ; Input ; Info ; Stuck at VCC ; -+---------+-------+----------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ - - -+-------------------------------------------------------------------------------------------------------------------------------------------+ -; Port Connectivity Checks: "mz80k_top:mz80k_top|vga:vga1" ; -+------------+--------+----------+----------------------------------------------------------------------------------------------------------+ -; Port ; Type ; Severity ; Details ; -+------------+--------+----------+----------------------------------------------------------------------------------------------------------+ -; VGA_VBLANK ; Output ; Warning ; Declared by entity but not connected by instance. Logic that only feeds a dangling port will be removed. ; -+------------+--------+----------+----------------------------------------------------------------------------------------------------------+ - - -+------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Port Connectivity Checks: "mz80k_top:mz80k_top|ps2:ps2_1" ; -+------+-------+----------+----------------------------------------------------------------------------------------------------------------------------------------------+ -; Port ; Type ; Severity ; Details ; -+------+-------+----------+----------------------------------------------------------------------------------------------------------------------------------------------+ -; addr ; Input ; Warning ; Input port expression (4 bits) is smaller than the input port (8 bits) it drives. Extra input bit(s) "addr[7..4]" will be connected to GND. ; -+------+-------+----------+----------------------------------------------------------------------------------------------------------------------------------------------+ - - -+----------------------------------------------------------------------------------------------------------------+ -; Port Connectivity Checks: "mz80k_top:mz80k_top|i8253:i8253_1" ; -+------+--------+----------+-------------------------------------------------------------------------------------+ -; Port ; Type ; Severity ; Details ; -+------+--------+----------+-------------------------------------------------------------------------------------+ -; out2 ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -+------+--------+----------+-------------------------------------------------------------------------------------+ - - -+--------------------------------------------------------------------------------------------------------------------+ -; Port Connectivity Checks: "mz80k_top:mz80k_top|fz80:z80|alu:alu" ; -+----------+--------+----------+-------------------------------------------------------------------------------------+ -; Port ; Type ; Severity ; Details ; -+----------+--------+----------+-------------------------------------------------------------------------------------+ -; co[5..4] ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -; co[2..0] ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -+----------+--------+----------+-------------------------------------------------------------------------------------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Port Connectivity Checks: "mz80k_top:mz80k_top|fz80:z80" ; -+------------+--------+----------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Port ; Type ; Severity ; Details ; -+------------+--------+----------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; iorq ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -; nmireq ; Input ; Warning ; Input port expression (32 bits) is wider than the input port (1 bits) it drives. The 31 most-significant bit(s) in the expression will be dangling if they have no other fanouts. ; -; nmireq[-1] ; Input ; Info ; Stuck at GND ; -; intreq ; Input ; Warning ; Input port expression (32 bits) is wider than the input port (1 bits) it drives. The 31 most-significant bit(s) in the expression will be dangling if they have no other fanouts. ; -; intreq[-1] ; Input ; Info ; Stuck at GND ; -; intack_out ; Output ; Warning ; Declared by entity but not connected by instance. Logic that only feeds a dangling port will be removed. ; -; mr ; Output ; Warning ; Declared by entity but not connected by instance. Logic that only feeds a dangling port will be removed. ; -+------------+--------+----------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ - - -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Port Connectivity Checks: "mz80k_top:mz80k_top" ; -+--------+-------+----------+----------------------------------------------------------------------------------------------------------------------------------------------+ -; Port ; Type ; Severity ; Details ; -+--------+-------+----------+----------------------------------------------------------------------------------------------------------------------------------------------+ -; SW ; Input ; Warning ; Declared by entity but not connected by instance. If a default value exists, it will be used. Otherwise, the port will be connected to GND. ; -; SCREEN ; Input ; Warning ; Declared by entity but not connected by instance. If a default value exists, it will be used. Otherwise, the port will be connected to GND. ; -+--------+-------+----------+----------------------------------------------------------------------------------------------------------------------------------------------+ - - -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Port Connectivity Checks: "sigma_delta_dac:sigma_delta_dac" ; -+-----------+-------+----------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Port ; Type ; Severity ; Details ; -+-----------+-------+----------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; RESET ; Input ; Warning ; Input port expression (32 bits) is wider than the input port (1 bits) it drives. The 31 most-significant bit(s) in the expression will be dangling if they have no other fanouts. ; -; RESET[-1] ; Input ; Info ; Stuck at GND ; -+-----------+-------+----------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ - - -+------------------------------------------------------------------------------------------------------------------------+ -; Port Connectivity Checks: "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|Blend:blender" ; -+--------------+--------+----------+-------------------------------------------------------------------------------------+ -; Port ; Type ; Severity ; Details ; -+--------------+--------+----------+-------------------------------------------------------------------------------------+ -; Result[8..6] ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -; Result[2..0] ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -+--------------+--------+----------+-------------------------------------------------------------------------------------+ - - -+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Port Connectivity Checks: "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x" ; -+--------+-------+----------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Port ; Type ; Severity ; Details ; -+--------+-------+----------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; read_x ; Input ; Warning ; Input port expression (11 bits) is wider than the input port (10 bits) it drives. The 1 most-significant bit(s) in the expression will be dangling if they have no other fanouts. ; -+--------+-------+----------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Port Connectivity Checks: "video_mixer:video_mixer" ; -+-------------------------+-------+----------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Port ; Type ; Severity ; Details ; -+-------------------------+-------+----------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; scandoubler_disable ; Input ; Warning ; Input port expression (32 bits) is wider than the input port (1 bits) it drives. The 31 most-significant bit(s) in the expression will be dangling if they have no other fanouts. ; -; scandoubler_disable[-1] ; Input ; Info ; Stuck at VCC ; -; ypbpr_full ; Input ; Warning ; Input port expression (32 bits) is wider than the input port (1 bits) it drives. The 31 most-significant bit(s) in the expression will be dangling if they have no other fanouts. ; -; ypbpr_full[-1] ; Input ; Info ; Stuck at VCC ; -; mono ; Input ; Warning ; Input port expression (32 bits) is wider than the input port (1 bits) it drives. The 31 most-significant bit(s) in the expression will be dangling if they have no other fanouts. ; -; mono[-1] ; Input ; Info ; Stuck at GND ; -; line_start ; Input ; Warning ; Input port expression (32 bits) is wider than the input port (1 bits) it drives. The 31 most-significant bit(s) in the expression will be dangling if they have no other fanouts. ; -; line_start[-1] ; Input ; Info ; Stuck at GND ; -+-------------------------+-------+----------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Port Connectivity Checks: "mist_io:mist_io" ; -+--------------------+--------+----------+----------------------------------------------------------------------------------------------------------------------------------------------+ -; Port ; Type ; Severity ; Details ; -+--------------------+--------+----------+----------------------------------------------------------------------------------------------------------------------------------------------+ -; conf_str[497..496] ; Input ; Info ; Stuck at VCC ; -; conf_str[494..493] ; Input ; Info ; Stuck at VCC ; -; conf_str[486..485] ; Input ; Info ; Stuck at VCC ; -; conf_str[478..476] ; Input ; Info ; Stuck at VCC ; -; conf_str[470..468] ; Input ; Info ; Stuck at VCC ; -; conf_str[451..450] ; Input ; Info ; Stuck at VCC ; -; conf_str[444..443] ; Input ; Info ; Stuck at VCC ; -; conf_str[437..435] ; Input ; Info ; Stuck at VCC ; -; conf_str[429..428] ; Input ; Info ; Stuck at VCC ; -; conf_str[417..416] ; Input ; Info ; Stuck at VCC ; -; conf_str[413..411] ; Input ; Info ; Stuck at VCC ; -; conf_str[409..408] ; Input ; Info ; Stuck at VCC ; -; conf_str[403..402] ; Input ; Info ; Stuck at VCC ; -; conf_str[396..395] ; Input ; Info ; Stuck at VCC ; -; conf_str[386..385] ; Input ; Info ; Stuck at VCC ; -; conf_str[381..379] ; Input ; Info ; Stuck at VCC ; -; conf_str[377..376] ; Input ; Info ; Stuck at VCC ; -; conf_str[371..368] ; Input ; Info ; Stuck at VCC ; -; conf_str[365..364] ; Input ; Info ; Stuck at VCC ; -; conf_str[355..354] ; Input ; Info ; Stuck at VCC ; -; conf_str[345..344] ; Input ; Info ; Stuck at VCC ; -; conf_str[313..312] ; Input ; Info ; Stuck at VCC ; -; conf_str[310..309] ; Input ; Info ; Stuck at VCC ; -; conf_str[307..306] ; Input ; Info ; Stuck at VCC ; -; conf_str[302..301] ; Input ; Info ; Stuck at VCC ; -; conf_str[299..296] ; Input ; Info ; Stuck at VCC ; -; conf_str[294..293] ; Input ; Info ; Stuck at VCC ; -; conf_str[289..288] ; Input ; Info ; Stuck at VCC ; -; conf_str[286..285] ; Input ; Info ; Stuck at VCC ; -; conf_str[281..280] ; Input ; Info ; Stuck at VCC ; -; conf_str[275..274] ; Input ; Info ; Stuck at VCC ; -; conf_str[261..260] ; Input ; Info ; Stuck at VCC ; -; conf_str[257..256] ; Input ; Info ; Stuck at VCC ; -; conf_str[251..250] ; Input ; Info ; Stuck at VCC ; -; conf_str[246..245] ; Input ; Info ; Stuck at VCC ; -; conf_str[238..235] ; Input ; Info ; Stuck at VCC ; -; conf_str[227..226] ; Input ; Info ; Stuck at VCC ; -; conf_str[213..212] ; Input ; Info ; Stuck at VCC ; -; conf_str[210..209] ; Input ; Info ; Stuck at VCC ; -; conf_str[203..202] ; Input ; Info ; Stuck at VCC ; -; conf_str[198..197] ; Input ; Info ; Stuck at VCC ; -; conf_str[190..187] ; Input ; Info ; Stuck at VCC ; -; conf_str[181..179] ; Input ; Info ; Stuck at VCC ; -; conf_str[177..176] ; Input ; Info ; Stuck at VCC ; -; conf_str[165..164] ; Input ; Info ; Stuck at VCC ; -; conf_str[155..154] ; Input ; Info ; Stuck at VCC ; -; conf_str[142..141] ; Input ; Info ; Stuck at VCC ; -; conf_str[134..132] ; Input ; Info ; Stuck at VCC ; -; conf_str[129..128] ; Input ; Info ; Stuck at VCC ; -; conf_str[126..125] ; Input ; Info ; Stuck at VCC ; -; conf_str[118..116] ; Input ; Info ; Stuck at VCC ; -; conf_str[109..107] ; Input ; Info ; Stuck at VCC ; -; conf_str[105..104] ; Input ; Info ; Stuck at VCC ; -; conf_str[98..97] ; Input ; Info ; Stuck at VCC ; -; conf_str[91..90] ; Input ; Info ; Stuck at VCC ; -; conf_str[86..84] ; Input ; Info ; Stuck at VCC ; -; conf_str[82..81] ; Input ; Info ; Stuck at VCC ; -; conf_str[77..76] ; Input ; Info ; Stuck at VCC ; -; conf_str[67..65] ; Input ; Info ; Stuck at VCC ; -; conf_str[61..60] ; Input ; Info ; Stuck at VCC ; -; conf_str[51..49] ; Input ; Info ; Stuck at VCC ; -; conf_str[45..44] ; Input ; Info ; Stuck at VCC ; -; conf_str[37..35] ; Input ; Info ; Stuck at VCC ; -; conf_str[29..28] ; Input ; Info ; Stuck at VCC ; -; conf_str[21..20] ; Input ; Info ; Stuck at VCC ; -; conf_str[18..17] ; Input ; Info ; Stuck at VCC ; -; conf_str[13..12] ; Input ; Info ; Stuck at VCC ; -; conf_str[5..4] ; Input ; Info ; Stuck at VCC ; -; conf_str[499..498] ; Input ; Info ; Stuck at GND ; -; conf_str[490..487] ; Input ; Info ; Stuck at GND ; -; conf_str[484..481] ; Input ; Info ; Stuck at GND ; -; conf_str[475..474] ; Input ; Info ; Stuck at GND ; -; conf_str[472..471] ; Input ; Info ; Stuck at GND ; -; conf_str[467..462] ; Input ; Info ; Stuck at GND ; -; conf_str[460..455] ; Input ; Info ; Stuck at GND ; -; conf_str[453..452] ; Input ; Info ; Stuck at GND ; -; conf_str[440..438] ; Input ; Info ; Stuck at GND ; -; conf_str[434..430] ; Input ; Info ; Stuck at GND ; -; conf_str[427..423] ; Input ; Info ; Stuck at GND ; -; conf_str[421..420] ; Input ; Info ; Stuck at GND ; -; conf_str[415..414] ; Input ; Info ; Stuck at GND ; -; conf_str[405..404] ; Input ; Info ; Stuck at GND ; -; conf_str[392..391] ; Input ; Info ; Stuck at GND ; -; conf_str[389..387] ; Input ; Info ; Stuck at GND ; -; conf_str[384..382] ; Input ; Info ; Stuck at GND ; -; conf_str[373..372] ; Input ; Info ; Stuck at GND ; -; conf_str[367..366] ; Input ; Info ; Stuck at GND ; -; conf_str[363..362] ; Input ; Info ; Stuck at GND ; -; conf_str[360..358] ; Input ; Info ; Stuck at GND ; -; conf_str[353..351] ; Input ; Info ; Stuck at GND ; -; conf_str[349..346] ; Input ; Info ; Stuck at GND ; -; conf_str[339..335] ; Input ; Info ; Stuck at GND ; -; conf_str[327..326] ; Input ; Info ; Stuck at GND ; -; conf_str[324..319] ; Input ; Info ; Stuck at GND ; -; conf_str[317..314] ; Input ; Info ; Stuck at GND ; -; conf_str[305..303] ; Input ; Info ; Stuck at GND ; -; conf_str[292..290] ; Input ; Info ; Stuck at GND ; -; conf_str[279..278] ; Input ; Info ; Stuck at GND ; -; conf_str[273..270] ; Input ; Info ; Stuck at GND ; -; conf_str[268..262] ; Input ; Info ; Stuck at GND ; -; conf_str[259..258] ; Input ; Info ; Stuck at GND ; -; conf_str[253..252] ; Input ; Info ; Stuck at GND ; -; conf_str[242..239] ; Input ; Info ; Stuck at GND ; -; conf_str[232..230] ; Input ; Info ; Stuck at GND ; -; conf_str[225..222] ; Input ; Info ; Stuck at GND ; -; conf_str[220..214] ; Input ; Info ; Stuck at GND ; -; conf_str[208..207] ; Input ; Info ; Stuck at GND ; -; conf_str[205..204] ; Input ; Info ; Stuck at GND ; -; conf_str[194..191] ; Input ; Info ; Stuck at GND ; -; conf_str[184..182] ; Input ; Info ; Stuck at GND ; -; conf_str[169..166] ; Input ; Info ; Stuck at GND ; -; conf_str[159..158] ; Input ; Info ; Stuck at GND ; -; conf_str[153..151] ; Input ; Info ; Stuck at GND ; -; conf_str[147..146] ; Input ; Info ; Stuck at GND ; -; conf_str[144..143] ; Input ; Info ; Stuck at GND ; -; conf_str[140..139] ; Input ; Info ; Stuck at GND ; -; conf_str[131..130] ; Input ; Info ; Stuck at GND ; -; conf_str[124..123] ; Input ; Info ; Stuck at GND ; -; conf_str[113..110] ; Input ; Info ; Stuck at GND ; -; conf_str[96..94] ; Input ; Info ; Stuck at GND ; -; conf_str[89..87] ; Input ; Info ; Stuck at GND ; -; conf_str[80..78] ; Input ; Info ; Stuck at GND ; -; conf_str[75..70] ; Input ; Info ; Stuck at GND ; -; conf_str[64..62] ; Input ; Info ; Stuck at GND ; -; conf_str[59..58] ; Input ; Info ; Stuck at GND ; -; conf_str[56..54] ; Input ; Info ; Stuck at GND ; -; conf_str[48..46] ; Input ; Info ; Stuck at GND ; -; conf_str[43..41] ; Input ; Info ; Stuck at GND ; -; conf_str[39..38] ; Input ; Info ; Stuck at GND ; -; conf_str[34..30] ; Input ; Info ; Stuck at GND ; -; conf_str[27..22] ; Input ; Info ; Stuck at GND ; -; conf_str[16..14] ; Input ; Info ; Stuck at GND ; -; conf_str[11..10] ; Input ; Info ; Stuck at GND ; -; conf_str[8..6] ; Input ; Info ; Stuck at GND ; -; conf_str[1..0] ; Input ; Info ; Stuck at GND ; -; conf_str[503] ; Input ; Info ; Stuck at GND ; -; conf_str[502] ; Input ; Info ; Stuck at VCC ; -; conf_str[501] ; Input ; Info ; Stuck at GND ; -; conf_str[500] ; Input ; Info ; Stuck at VCC ; -; conf_str[495] ; Input ; Info ; Stuck at GND ; -; conf_str[492] ; Input ; Info ; Stuck at GND ; -; conf_str[491] ; Input ; Info ; Stuck at VCC ; -; conf_str[480] ; Input ; Info ; Stuck at VCC ; -; conf_str[479] ; Input ; Info ; Stuck at GND ; -; conf_str[473] ; Input ; Info ; Stuck at VCC ; -; conf_str[461] ; Input ; Info ; Stuck at VCC ; -; conf_str[454] ; Input ; Info ; Stuck at VCC ; -; conf_str[449] ; Input ; Info ; Stuck at GND ; -; conf_str[448] ; Input ; Info ; Stuck at VCC ; -; conf_str[447] ; Input ; Info ; Stuck at GND ; -; conf_str[446] ; Input ; Info ; Stuck at VCC ; -; conf_str[445] ; Input ; Info ; Stuck at GND ; -; conf_str[442] ; Input ; Info ; Stuck at GND ; -; conf_str[441] ; Input ; Info ; Stuck at VCC ; -; conf_str[422] ; Input ; Info ; Stuck at VCC ; -; conf_str[419] ; Input ; Info ; Stuck at VCC ; -; conf_str[418] ; Input ; Info ; Stuck at GND ; -; conf_str[410] ; Input ; Info ; Stuck at GND ; -; conf_str[407] ; Input ; Info ; Stuck at GND ; -; conf_str[406] ; Input ; Info ; Stuck at VCC ; -; conf_str[401] ; Input ; Info ; Stuck at GND ; -; conf_str[400] ; Input ; Info ; Stuck at VCC ; -; conf_str[399] ; Input ; Info ; Stuck at GND ; -; conf_str[398] ; Input ; Info ; Stuck at VCC ; -; conf_str[397] ; Input ; Info ; Stuck at GND ; -; conf_str[394] ; Input ; Info ; Stuck at GND ; -; conf_str[393] ; Input ; Info ; Stuck at VCC ; -; conf_str[390] ; Input ; Info ; Stuck at VCC ; -; conf_str[378] ; Input ; Info ; Stuck at GND ; -; conf_str[375] ; Input ; Info ; Stuck at GND ; -; conf_str[374] ; Input ; Info ; Stuck at VCC ; -; conf_str[361] ; Input ; Info ; Stuck at VCC ; -; conf_str[357] ; Input ; Info ; Stuck at VCC ; -; conf_str[356] ; Input ; Info ; Stuck at GND ; -; conf_str[350] ; Input ; Info ; Stuck at VCC ; -; conf_str[343] ; Input ; Info ; Stuck at GND ; -; conf_str[342] ; Input ; Info ; Stuck at VCC ; -; conf_str[341] ; Input ; Info ; Stuck at GND ; -; conf_str[340] ; Input ; Info ; Stuck at VCC ; -; conf_str[334] ; Input ; Info ; Stuck at VCC ; -; conf_str[333] ; Input ; Info ; Stuck at GND ; -; conf_str[332] ; Input ; Info ; Stuck at VCC ; -; conf_str[331] ; Input ; Info ; Stuck at GND ; -; conf_str[330] ; Input ; Info ; Stuck at VCC ; -; conf_str[329] ; Input ; Info ; Stuck at GND ; -; conf_str[328] ; Input ; Info ; Stuck at VCC ; -; conf_str[325] ; Input ; Info ; Stuck at VCC ; -; conf_str[318] ; Input ; Info ; Stuck at VCC ; -; conf_str[311] ; Input ; Info ; Stuck at GND ; -; conf_str[308] ; Input ; Info ; Stuck at GND ; -; conf_str[300] ; Input ; Info ; Stuck at GND ; -; conf_str[295] ; Input ; Info ; Stuck at GND ; -; conf_str[287] ; Input ; Info ; Stuck at GND ; -; conf_str[284] ; Input ; Info ; Stuck at GND ; -; conf_str[283] ; Input ; Info ; Stuck at VCC ; -; conf_str[282] ; Input ; Info ; Stuck at GND ; -; conf_str[277] ; Input ; Info ; Stuck at VCC ; -; conf_str[276] ; Input ; Info ; Stuck at GND ; -; conf_str[269] ; Input ; Info ; Stuck at VCC ; -; conf_str[255] ; Input ; Info ; Stuck at GND ; -; conf_str[254] ; Input ; Info ; Stuck at VCC ; -; conf_str[249] ; Input ; Info ; Stuck at GND ; -; conf_str[248] ; Input ; Info ; Stuck at VCC ; -; conf_str[247] ; Input ; Info ; Stuck at GND ; -; conf_str[244] ; Input ; Info ; Stuck at GND ; -; conf_str[243] ; Input ; Info ; Stuck at VCC ; -; conf_str[234] ; Input ; Info ; Stuck at GND ; -; conf_str[233] ; Input ; Info ; Stuck at VCC ; -; conf_str[229] ; Input ; Info ; Stuck at VCC ; -; conf_str[228] ; Input ; Info ; Stuck at GND ; -; conf_str[221] ; Input ; Info ; Stuck at VCC ; -; conf_str[211] ; Input ; Info ; Stuck at GND ; -; conf_str[206] ; Input ; Info ; Stuck at VCC ; -; conf_str[201] ; Input ; Info ; Stuck at GND ; -; conf_str[200] ; Input ; Info ; Stuck at VCC ; -; conf_str[199] ; Input ; Info ; Stuck at GND ; -; conf_str[196] ; Input ; Info ; Stuck at GND ; -; conf_str[195] ; Input ; Info ; Stuck at VCC ; -; conf_str[186] ; Input ; Info ; Stuck at GND ; -; conf_str[185] ; Input ; Info ; Stuck at VCC ; -; conf_str[178] ; Input ; Info ; Stuck at GND ; -; conf_str[175] ; Input ; Info ; Stuck at GND ; -; conf_str[174] ; Input ; Info ; Stuck at VCC ; -; conf_str[173] ; Input ; Info ; Stuck at GND ; -; conf_str[172] ; Input ; Info ; Stuck at VCC ; -; conf_str[171] ; Input ; Info ; Stuck at GND ; -; conf_str[170] ; Input ; Info ; Stuck at VCC ; -; conf_str[163] ; Input ; Info ; Stuck at GND ; -; conf_str[162] ; Input ; Info ; Stuck at VCC ; -; conf_str[161] ; Input ; Info ; Stuck at GND ; -; conf_str[160] ; Input ; Info ; Stuck at VCC ; -; conf_str[157] ; Input ; Info ; Stuck at VCC ; -; conf_str[156] ; Input ; Info ; Stuck at GND ; -; conf_str[150] ; Input ; Info ; Stuck at VCC ; -; conf_str[149] ; Input ; Info ; Stuck at GND ; -; conf_str[148] ; Input ; Info ; Stuck at VCC ; -; conf_str[145] ; Input ; Info ; Stuck at VCC ; -; conf_str[138] ; Input ; Info ; Stuck at VCC ; -; conf_str[137] ; Input ; Info ; Stuck at GND ; -; conf_str[136] ; Input ; Info ; Stuck at VCC ; -; conf_str[135] ; Input ; Info ; Stuck at GND ; -; conf_str[127] ; Input ; Info ; Stuck at GND ; -; conf_str[122] ; Input ; Info ; Stuck at VCC ; -; conf_str[121] ; Input ; Info ; Stuck at GND ; -; conf_str[120] ; Input ; Info ; Stuck at VCC ; -; conf_str[119] ; Input ; Info ; Stuck at GND ; -; conf_str[115] ; Input ; Info ; Stuck at GND ; -; conf_str[114] ; Input ; Info ; Stuck at VCC ; -; conf_str[106] ; Input ; Info ; Stuck at GND ; -; conf_str[103] ; Input ; Info ; Stuck at GND ; -; conf_str[102] ; Input ; Info ; Stuck at VCC ; -; conf_str[101] ; Input ; Info ; Stuck at GND ; -; conf_str[100] ; Input ; Info ; Stuck at VCC ; -; conf_str[99] ; Input ; Info ; Stuck at GND ; -; conf_str[93] ; Input ; Info ; Stuck at VCC ; -; conf_str[92] ; Input ; Info ; Stuck at GND ; -; conf_str[83] ; Input ; Info ; Stuck at GND ; -; conf_str[69] ; Input ; Info ; Stuck at VCC ; -; conf_str[68] ; Input ; Info ; Stuck at GND ; -; conf_str[57] ; Input ; Info ; Stuck at VCC ; -; conf_str[53] ; Input ; Info ; Stuck at VCC ; -; conf_str[52] ; Input ; Info ; Stuck at GND ; -; conf_str[40] ; Input ; Info ; Stuck at VCC ; -; conf_str[19] ; Input ; Info ; Stuck at GND ; -; conf_str[9] ; Input ; Info ; Stuck at VCC ; -; conf_str[3] ; Input ; Info ; Stuck at GND ; -; conf_str[2] ; Input ; Info ; Stuck at VCC ; -; buttons[0] ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -; switches ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -; status[31..6] ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -; status[1] ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -; joystick_0 ; Output ; Warning ; Declared by entity but not connected by instance. Logic that only feeds a dangling port will be removed. ; -; joystick_1 ; Output ; Warning ; Declared by entity but not connected by instance. Logic that only feeds a dangling port will be removed. ; -; joystick_analog_0 ; Output ; Warning ; Declared by entity but not connected by instance. Logic that only feeds a dangling port will be removed. ; -; joystick_analog_1 ; Output ; Warning ; Declared by entity but not connected by instance. Logic that only feeds a dangling port will be removed. ; -; sd_conf ; Input ; Warning ; Declared by entity but not connected by instance. If a default value exists, it will be used. Otherwise, the port will be connected to GND. ; -; sd_sdhc ; Input ; Warning ; Declared by entity but not connected by instance. If a default value exists, it will be used. Otherwise, the port will be connected to GND. ; -; img_mounted ; Output ; Warning ; Declared by entity but not connected by instance. Logic that only feeds a dangling port will be removed. ; -; img_size ; Output ; Warning ; Declared by entity but not connected by instance. Logic that only feeds a dangling port will be removed. ; -; sd_lba ; Input ; Warning ; Declared by entity but not connected by instance. If a default value exists, it will be used. Otherwise, the port will be connected to GND. ; -; sd_rd ; Input ; Warning ; Declared by entity but not connected by instance. If a default value exists, it will be used. Otherwise, the port will be connected to GND. ; -; sd_wr ; Input ; Warning ; Declared by entity but not connected by instance. If a default value exists, it will be used. Otherwise, the port will be connected to GND. ; -; sd_ack ; Output ; Warning ; Declared by entity but not connected by instance. Logic that only feeds a dangling port will be removed. ; -; sd_ack_conf ; Output ; Warning ; Declared by entity but not connected by instance. Logic that only feeds a dangling port will be removed. ; -; sd_buff_addr ; Output ; Warning ; Declared by entity but not connected by instance. Logic that only feeds a dangling port will be removed. ; -; sd_buff_dout ; Output ; Warning ; Declared by entity but not connected by instance. Logic that only feeds a dangling port will be removed. ; -; sd_buff_din ; Input ; Warning ; Declared by entity but not connected by instance. If a default value exists, it will be used. Otherwise, the port will be connected to GND. ; -; sd_buff_wr ; Output ; Warning ; Declared by entity but not connected by instance. Logic that only feeds a dangling port will be removed. ; -; ps2_mouse_clk ; Output ; Warning ; Declared by entity but not connected by instance. Logic that only feeds a dangling port will be removed. ; -; ps2_mouse_data ; Output ; Warning ; Declared by entity but not connected by instance. Logic that only feeds a dangling port will be removed. ; -; ioctl_force_erase ; Input ; Warning ; Declared by entity but not connected by instance. If a default value exists, it will be used. Otherwise, the port will be connected to GND. ; -; ioctl_download ; Output ; Warning ; Declared by entity but not connected by instance. Logic that only feeds a dangling port will be removed. ; -; ioctl_erasing ; Output ; Warning ; Declared by entity but not connected by instance. Logic that only feeds a dangling port will be removed. ; -; ioctl_index ; Output ; Warning ; Declared by entity but not connected by instance. Logic that only feeds a dangling port will be removed. ; -; ioctl_wr ; Output ; Warning ; Declared by entity but not connected by instance. Logic that only feeds a dangling port will be removed. ; -; ioctl_addr ; Output ; Warning ; Declared by entity but not connected by instance. Logic that only feeds a dangling port will be removed. ; -; ioctl_dout ; Output ; Warning ; Declared by entity but not connected by instance. Logic that only feeds a dangling port will be removed. ; -+--------------------+--------+----------+----------------------------------------------------------------------------------------------------------------------------------------------+ - - -+----------------------------------------------------+ -; Port Connectivity Checks: "pll:pll" ; -+--------+-------+----------+------------------------+ -; Port ; Type ; Severity ; Details ; -+--------+-------+----------+------------------------+ -; areset ; Input ; Info ; Explicitly unconnected ; -+--------+-------+----------+------------------------+ - - -+-------------------------------+ -; Elapsed Time Per Partition ; -+----------------+--------------+ -; Partition Name ; Elapsed Time ; -+----------------+--------------+ -; Top ; 00:00:14 ; -+----------------+--------------+ - - -+-------------------------------+ -; Analysis & Synthesis Messages ; -+-------------------------------+ -Info: ******************************************************************* -Info: Running Quartus II 64-Bit Analysis & Synthesis - Info: Version 13.1.0 Build 162 10/23/2013 SJ Web Edition - Info: Processing started: Sun Jun 24 13:29:55 2018 -Info: Command: quartus_map --read_settings_files=on --write_settings_files=off mz80k_mist -c mz80k_mist -Info (11104): Parallel Compilation has detected 8 hyper-threaded processors. However, the extra hyper-threaded processors will not be used by default. Parallel Compilation will use 4 of the 4 physical processors detected instead. -Info (12021): Found 1 design units, including 1 entities, in source file rtl/mz80k_mist.sv - Info (12023): Found entity 1: mz80k_mist -Info (12021): Found 1 design units, including 1 entities, in source file rtl/mz80k_top.v - Info (12023): Found entity 1: mz80k_top -Info (12021): Found 1 design units, including 1 entities, in source file rtl/vga.v - Info (12023): Found entity 1: vga -Info (12021): Found 1 design units, including 1 entities, in source file rtl/i8253.v - Info (12023): Found entity 1: i8253 -Info (12021): Found 2 design units, including 2 entities, in source file rtl/ps2.v - Info (12023): Found entity 1: ps2 - Info (12023): Found entity 2: ps2_recieve -Info (12021): Found 15 design units, including 15 entities, in source file rtl/fz80.v - Info (12023): Found entity 1: fz80 - Info (12023): Found entity 2: seq - Info (12023): Found entity 3: asu - Info (12023): Found entity 4: alu - Info (12023): Found entity 5: reg_a - Info (12023): Found entity 6: reg_f - Info (12023): Found entity 7: reg_simple - Info (12023): Found entity 8: reg_simplec - Info (12023): Found entity 9: reg_dual2 - Info (12023): Found entity 10: reg_2 - Info (12023): Found entity 11: reg_2s - Info (12023): Found entity 12: reg_quad3 - Info (12023): Found entity 13: reg_pch - Info (12023): Found entity 14: reg_pcl - Info (12023): Found entity 15: reg_r -Info (12021): Found 1 design units, including 1 entities, in source file rtl/sound.v - Info (12023): Found entity 1: sound -Info (12021): Found 1 design units, including 1 entities, in source file rtl/video_mixer.sv - Info (12023): Found entity 1: video_mixer -Info (12021): Found 1 design units, including 1 entities, in source file rtl/sigma_delta_dac.v - Info (12023): Found entity 1: sigma_delta_dac -Info (12021): Found 1 design units, including 1 entities, in source file rtl/scandoubler.v - Info (12023): Found entity 1: scandoubler -Info (12021): Found 1 design units, including 1 entities, in source file rtl/osd.v - Info (12023): Found entity 1: osd -Info (12021): Found 1 design units, including 1 entities, in source file rtl/mist_io.v - Info (12023): Found entity 1: mist_io -Info (12021): Found 7 design units, including 7 entities, in source file rtl/hq2x.sv - Info (12023): Found entity 1: hq2x_in - Info (12023): Found entity 2: hq2x_out - Info (12023): Found entity 3: hq2x_buf - Info (12023): Found entity 4: DiffCheck - Info (12023): Found entity 5: InnerBlend - Info (12023): Found entity 6: Blend - Info (12023): Found entity 7: Hq2x -Info (12021): Found 1 design units, including 1 entities, in source file rtl/keyboard.sv - Info (12023): Found entity 1: keyboard -Info (12021): Found 1 design units, including 1 entities, in source file rtl/pll.v - Info (12023): Found entity 1: pll -Info (12021): Found 1 design units, including 1 entities, in source file rtl/cg_rom.v - Info (12023): Found entity 1: cg_rom -Info (12021): Found 1 design units, including 1 entities, in source file rtl/ram2.v - Info (12023): Found entity 1: ram2 -Info (12021): Found 1 design units, including 1 entities, in source file rtl/monrom.v - Info (12023): Found entity 1: monrom -Info (12127): Elaborating entity "mz80k_mist" for the top level hierarchy -Info (12128): Elaborating entity "pll" for hierarchy "pll:pll" -Info (12128): Elaborating entity "altpll" for hierarchy "pll:pll|altpll:altpll_component" -Info (12130): Elaborated megafunction instantiation "pll:pll|altpll:altpll_component" -Info (12133): Instantiated megafunction "pll:pll|altpll:altpll_component" with the following parameter: - Info (12134): Parameter "bandwidth_type" = "AUTO" - Info (12134): Parameter "clk0_divide_by" = "27" - Info (12134): Parameter "clk0_duty_cycle" = "50" - Info (12134): Parameter "clk0_multiply_by" = "50" - Info (12134): Parameter "clk0_phase_shift" = "0" - Info (12134): Parameter "clk1_divide_by" = "54" - Info (12134): Parameter "clk1_duty_cycle" = "50" - Info (12134): Parameter "clk1_multiply_by" = "25" - Info (12134): Parameter "clk1_phase_shift" = "0" - Info (12134): Parameter "compensate_clock" = "CLK0" - Info (12134): Parameter "inclk0_input_frequency" = "37037" - Info (12134): Parameter "intended_device_family" = "Cyclone III" - Info (12134): Parameter "lpm_hint" = "CBX_MODULE_PREFIX=pll" - Info (12134): Parameter "lpm_type" = "altpll" - Info (12134): Parameter "operation_mode" = "NORMAL" - Info (12134): Parameter "pll_type" = "AUTO" - Info (12134): Parameter "port_activeclock" = "PORT_UNUSED" - Info (12134): Parameter "port_areset" = "PORT_USED" - Info (12134): Parameter "port_clkbad0" = "PORT_UNUSED" - Info (12134): Parameter "port_clkbad1" = "PORT_UNUSED" - Info (12134): Parameter "port_clkloss" = "PORT_UNUSED" - Info (12134): Parameter "port_clkswitch" = "PORT_UNUSED" - Info (12134): Parameter "port_configupdate" = "PORT_UNUSED" - Info (12134): Parameter "port_fbin" = "PORT_UNUSED" - Info (12134): Parameter "port_inclk0" = "PORT_USED" - Info (12134): Parameter "port_inclk1" = "PORT_UNUSED" - Info (12134): Parameter "port_locked" = "PORT_USED" - Info (12134): Parameter "port_pfdena" = "PORT_UNUSED" - Info (12134): Parameter "port_phasecounterselect" = "PORT_UNUSED" - Info (12134): Parameter "port_phasedone" = "PORT_UNUSED" - Info (12134): Parameter "port_phasestep" = "PORT_UNUSED" - Info (12134): Parameter "port_phaseupdown" = "PORT_UNUSED" - Info (12134): Parameter "port_pllena" = "PORT_UNUSED" - Info (12134): Parameter "port_scanaclr" = "PORT_UNUSED" - Info (12134): Parameter "port_scanclk" = "PORT_UNUSED" - Info (12134): Parameter "port_scanclkena" = "PORT_UNUSED" - Info (12134): Parameter "port_scandata" = "PORT_UNUSED" - Info (12134): Parameter "port_scandataout" = "PORT_UNUSED" - Info (12134): Parameter "port_scandone" = "PORT_UNUSED" - Info (12134): Parameter "port_scanread" = "PORT_UNUSED" - Info (12134): Parameter "port_scanwrite" = "PORT_UNUSED" - Info (12134): Parameter "port_clk0" = "PORT_USED" - Info (12134): Parameter "port_clk1" = "PORT_USED" - Info (12134): Parameter "port_clk2" = "PORT_UNUSED" - Info (12134): Parameter "port_clk3" = "PORT_UNUSED" - Info (12134): Parameter "port_clk4" = "PORT_UNUSED" - Info (12134): Parameter "port_clk5" = "PORT_UNUSED" - Info (12134): Parameter "port_clkena0" = "PORT_UNUSED" - Info (12134): Parameter "port_clkena1" = "PORT_UNUSED" - Info (12134): Parameter "port_clkena2" = "PORT_UNUSED" - Info (12134): Parameter "port_clkena3" = "PORT_UNUSED" - Info (12134): Parameter "port_clkena4" = "PORT_UNUSED" - Info (12134): Parameter "port_clkena5" = "PORT_UNUSED" - Info (12134): Parameter "port_extclk0" = "PORT_UNUSED" - Info (12134): Parameter "port_extclk1" = "PORT_UNUSED" - Info (12134): Parameter "port_extclk2" = "PORT_UNUSED" - Info (12134): Parameter "port_extclk3" = "PORT_UNUSED" - Info (12134): Parameter "self_reset_on_loss_lock" = "OFF" - Info (12134): Parameter "width_clock" = "5" -Info (12021): Found 1 design units, including 1 entities, in source file db/pll_altpll.v - Info (12023): Found entity 1: pll_altpll -Info (12128): Elaborating entity "pll_altpll" for hierarchy "pll:pll|altpll:altpll_component|pll_altpll:auto_generated" -Info (12128): Elaborating entity "mist_io" for hierarchy "mist_io:mist_io" -Info (12128): Elaborating entity "video_mixer" for hierarchy "video_mixer:video_mixer" -Info (12128): Elaborating entity "scandoubler" for hierarchy "video_mixer:video_mixer|scandoubler:scandoubler" -Info (10264): Verilog HDL Case Statement information at scandoubler.v(114): all case item expressions in this case statement are onehot -Info (10264): Verilog HDL Case Statement information at scandoubler.v(138): all case item expressions in this case statement are onehot -Info (12128): Elaborating entity "Hq2x" for hierarchy "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x" -Info (12128): Elaborating entity "DiffCheck" for hierarchy "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|DiffCheck:diffcheck0" -Info (12128): Elaborating entity "Blend" for hierarchy "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|Blend:blender" -Info (12128): Elaborating entity "InnerBlend" for hierarchy "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|Blend:blender|InnerBlend:inner_blend1" -Info (12128): Elaborating entity "hq2x_in" for hierarchy "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_in:hq2x_in" -Info (12128): Elaborating entity "hq2x_buf" for hierarchy "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_in:hq2x_in|hq2x_buf:buf0" -Info (12128): Elaborating entity "altsyncram" for hierarchy "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_in:hq2x_in|hq2x_buf:buf0|altsyncram:altsyncram_component" -Info (12130): Elaborated megafunction instantiation "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_in:hq2x_in|hq2x_buf:buf0|altsyncram:altsyncram_component" -Info (12133): Instantiated megafunction "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_in:hq2x_in|hq2x_buf:buf0|altsyncram:altsyncram_component" with the following parameter: - Info (12134): Parameter "address_aclr_b" = "NONE" - Info (12134): Parameter "address_reg_b" = "CLOCK0" - Info (12134): Parameter "clock_enable_input_a" = "BYPASS" - Info (12134): Parameter "clock_enable_input_b" = "BYPASS" - Info (12134): Parameter "clock_enable_output_b" = "BYPASS" - Info (12134): Parameter "intended_device_family" = "Cyclone III" - Info (12134): Parameter "lpm_type" = "altsyncram" - Info (12134): Parameter "numwords_a" = "480" - Info (12134): Parameter "numwords_b" = "480" - Info (12134): Parameter "operation_mode" = "DUAL_PORT" - Info (12134): Parameter "outdata_aclr_b" = "NONE" - Info (12134): Parameter "outdata_reg_b" = "UNREGISTERED" - Info (12134): Parameter "power_up_uninitialized" = "FALSE" - Info (12134): Parameter "read_during_write_mode_mixed_ports" = "DONT_CARE" - Info (12134): Parameter "widthad_a" = "9" - Info (12134): Parameter "widthad_b" = "9" - Info (12134): Parameter "width_a" = "9" - Info (12134): Parameter "width_b" = "9" - Info (12134): Parameter "width_byteena_a" = "1" -Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_c5o1.tdf - Info (12023): Found entity 1: altsyncram_c5o1 -Info (12128): Elaborating entity "altsyncram_c5o1" for hierarchy "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_in:hq2x_in|hq2x_buf:buf0|altsyncram:altsyncram_component|altsyncram_c5o1:auto_generated" -Info (12128): Elaborating entity "hq2x_out" for hierarchy "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out" -Info (12128): Elaborating entity "hq2x_buf" for hierarchy "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf0" -Info (12128): Elaborating entity "altsyncram" for hierarchy "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf0|altsyncram:altsyncram_component" -Info (12130): Elaborated megafunction instantiation "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf0|altsyncram:altsyncram_component" -Info (12133): Instantiated megafunction "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf0|altsyncram:altsyncram_component" with the following parameter: - Info (12134): Parameter "address_aclr_b" = "NONE" - Info (12134): Parameter "address_reg_b" = "CLOCK0" - Info (12134): Parameter "clock_enable_input_a" = "BYPASS" - Info (12134): Parameter "clock_enable_input_b" = "BYPASS" - Info (12134): Parameter "clock_enable_output_b" = "BYPASS" - Info (12134): Parameter "intended_device_family" = "Cyclone III" - Info (12134): Parameter "lpm_type" = "altsyncram" - Info (12134): Parameter "numwords_a" = "960" - Info (12134): Parameter "numwords_b" = "960" - Info (12134): Parameter "operation_mode" = "DUAL_PORT" - Info (12134): Parameter "outdata_aclr_b" = "NONE" - Info (12134): Parameter "outdata_reg_b" = "UNREGISTERED" - Info (12134): Parameter "power_up_uninitialized" = "FALSE" - Info (12134): Parameter "read_during_write_mode_mixed_ports" = "DONT_CARE" - Info (12134): Parameter "widthad_a" = "10" - Info (12134): Parameter "widthad_b" = "10" - Info (12134): Parameter "width_a" = "9" - Info (12134): Parameter "width_b" = "9" - Info (12134): Parameter "width_byteena_a" = "1" -Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_28o1.tdf - Info (12023): Found entity 1: altsyncram_28o1 -Info (12128): Elaborating entity "altsyncram_28o1" for hierarchy "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf0|altsyncram:altsyncram_component|altsyncram_28o1:auto_generated" -Info (12128): Elaborating entity "osd" for hierarchy "video_mixer:video_mixer|osd:osd" -Info (12128): Elaborating entity "sigma_delta_dac" for hierarchy "sigma_delta_dac:sigma_delta_dac" -Info (12128): Elaborating entity "mz80k_top" for hierarchy "mz80k_top:mz80k_top" -Info (12128): Elaborating entity "fz80" for hierarchy "mz80k_top:mz80k_top|fz80:z80" -Info (10264): Verilog HDL Case Statement information at fz80.v(82): all case item expressions in this case statement are onehot -Info (10264): Verilog HDL Case Statement information at fz80.v(154): all case item expressions in this case statement are onehot -Info (12128): Elaborating entity "alu" for hierarchy "mz80k_top:mz80k_top|fz80:z80|alu:alu" -Info (12128): Elaborating entity "asu" for hierarchy "mz80k_top:mz80k_top|fz80:z80|asu:asu" -Info (12128): Elaborating entity "seq" for hierarchy "mz80k_top:mz80k_top|fz80:z80|seq:seq" -Info (12128): Elaborating entity "reg_a" for hierarchy "mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a" -Info (12128): Elaborating entity "reg_f" for hierarchy "mz80k_top:mz80k_top|fz80:z80|reg_f:reg_f" -Info (12128): Elaborating entity "reg_dual2" for hierarchy "mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_b" -Info (12128): Elaborating entity "reg_quad3" for hierarchy "mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_h" -Info (12128): Elaborating entity "reg_2s" for hierarchy "mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_sph" -Info (12128): Elaborating entity "reg_pch" for hierarchy "mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch" -Info (12128): Elaborating entity "reg_pcl" for hierarchy "mz80k_top:mz80k_top|fz80:z80|reg_pcl:reg_pcl" -Info (12128): Elaborating entity "reg_2" for hierarchy "mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrh" -Info (12128): Elaborating entity "reg_r" for hierarchy "mz80k_top:mz80k_top|fz80:z80|reg_r:reg_r" -Info (12128): Elaborating entity "reg_simplec" for hierarchy "mz80k_top:mz80k_top|fz80:z80|reg_simplec:reg_i" -Info (12128): Elaborating entity "reg_simple" for hierarchy "mz80k_top:mz80k_top|fz80:z80|reg_simple:reg_data" -Info (12128): Elaborating entity "i8253" for hierarchy "mz80k_top:mz80k_top|i8253:i8253_1" -Info (12128): Elaborating entity "ps2" for hierarchy "mz80k_top:mz80k_top|ps2:ps2_1" -Warning (10272): Verilog HDL Case Statement warning at ps2.v(159): case item expression covers a value already covered by a previous case item -Warning (10272): Verilog HDL Case Statement warning at ps2.v(167): case item expression covers a value already covered by a previous case item -Warning (10240): Verilog HDL Always Construct warning at ps2.v(76): inferring latch(es) for variable "key_tbl0", which holds its previous value in one or more paths through the always construct -Warning (10240): Verilog HDL Always Construct warning at ps2.v(76): inferring latch(es) for variable "key_tbl1", which holds its previous value in one or more paths through the always construct -Warning (10240): Verilog HDL Always Construct warning at ps2.v(76): inferring latch(es) for variable "key_tbl2", which holds its previous value in one or more paths through the always construct -Warning (10240): Verilog HDL Always Construct warning at ps2.v(76): inferring latch(es) for variable "key_tbl3", which holds its previous value in one or more paths through the always construct -Warning (10240): Verilog HDL Always Construct warning at ps2.v(76): inferring latch(es) for variable "key_tbl4", which holds its previous value in one or more paths through the always construct -Warning (10240): Verilog HDL Always Construct warning at ps2.v(76): inferring latch(es) for variable "key_tbl5", which holds its previous value in one or more paths through the always construct -Warning (10240): Verilog HDL Always Construct warning at ps2.v(76): inferring latch(es) for variable "key_tbl6", which holds its previous value in one or more paths through the always construct -Warning (10240): Verilog HDL Always Construct warning at ps2.v(76): inferring latch(es) for variable "key_tbl7", which holds its previous value in one or more paths through the always construct -Warning (10240): Verilog HDL Always Construct warning at ps2.v(76): inferring latch(es) for variable "key_tbl8", which holds its previous value in one or more paths through the always construct -Warning (10240): Verilog HDL Always Construct warning at ps2.v(76): inferring latch(es) for variable "key_tbl9", which holds its previous value in one or more paths through the always construct -Info (10041): Inferred latch for "key_tbl9[0]" at ps2.v(76) -Info (10041): Inferred latch for "key_tbl9[4]" at ps2.v(76) -Info (10041): Inferred latch for "key_tbl9[5]" at ps2.v(76) -Info (10041): Inferred latch for "key_tbl9[6]" at ps2.v(76) -Info (10041): Inferred latch for "key_tbl9[7]" at ps2.v(76) -Info (10041): Inferred latch for "key_tbl8[2]" at ps2.v(76) -Info (10041): Inferred latch for "key_tbl8[6]" at ps2.v(76) -Info (10041): Inferred latch for "key_tbl8[7]" at ps2.v(76) -Info (10041): Inferred latch for "key_tbl7[4]" at ps2.v(76) -Info (10041): Inferred latch for "key_tbl7[5]" at ps2.v(76) -Info (10041): Inferred latch for "key_tbl7[6]" at ps2.v(76) -Info (10041): Inferred latch for "key_tbl7[7]" at ps2.v(76) -Info (10041): Inferred latch for "key_tbl6[6]" at ps2.v(76) -Info (10041): Inferred latch for "key_tbl6[7]" at ps2.v(76) -Info (10041): Inferred latch for "key_tbl5[6]" at ps2.v(76) -Info (10041): Inferred latch for "key_tbl5[7]" at ps2.v(76) -Info (10041): Inferred latch for "key_tbl4[5]" at ps2.v(76) -Info (10041): Inferred latch for "key_tbl4[6]" at ps2.v(76) -Info (10041): Inferred latch for "key_tbl4[7]" at ps2.v(76) -Info (10041): Inferred latch for "key_tbl3[5]" at ps2.v(76) -Info (10041): Inferred latch for "key_tbl3[6]" at ps2.v(76) -Info (10041): Inferred latch for "key_tbl3[7]" at ps2.v(76) -Info (10041): Inferred latch for "key_tbl2[6]" at ps2.v(76) -Info (10041): Inferred latch for "key_tbl2[7]" at ps2.v(76) -Info (10041): Inferred latch for "key_tbl1[5]" at ps2.v(76) -Info (10041): Inferred latch for "key_tbl1[6]" at ps2.v(76) -Info (10041): Inferred latch for "key_tbl1[7]" at ps2.v(76) -Info (10041): Inferred latch for "key_tbl0[5]" at ps2.v(76) -Info (10041): Inferred latch for "key_tbl0[6]" at ps2.v(76) -Info (10041): Inferred latch for "key_tbl0[7]" at ps2.v(76) -Info (12128): Elaborating entity "ps2_recieve" for hierarchy "mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1" -Info (12128): Elaborating entity "vga" for hierarchy "mz80k_top:mz80k_top|vga:vga1" -Info (12128): Elaborating entity "cg_rom" for hierarchy "mz80k_top:mz80k_top|vga:vga1|cg_rom:cg_rom" -Info (12128): Elaborating entity "altsyncram" for hierarchy "mz80k_top:mz80k_top|vga:vga1|cg_rom:cg_rom|altsyncram:altsyncram_component" -Info (12130): Elaborated megafunction instantiation "mz80k_top:mz80k_top|vga:vga1|cg_rom:cg_rom|altsyncram:altsyncram_component" -Info (12133): Instantiated megafunction "mz80k_top:mz80k_top|vga:vga1|cg_rom:cg_rom|altsyncram:altsyncram_component" with the following parameter: - Info (12134): Parameter "address_aclr_a" = "NONE" - Info (12134): Parameter "clock_enable_input_a" = "NORMAL" - Info (12134): Parameter "clock_enable_output_a" = "NORMAL" - Info (12134): Parameter "init_file" = "./roms/cg.hex" - Info (12134): Parameter "intended_device_family" = "Cyclone III" - Info (12134): Parameter "lpm_hint" = "ENABLE_RUNTIME_MOD=NO" - Info (12134): Parameter "lpm_type" = "altsyncram" - Info (12134): Parameter "numwords_a" = "2048" - Info (12134): Parameter "operation_mode" = "ROM" - Info (12134): Parameter "outdata_aclr_a" = "NONE" - Info (12134): Parameter "outdata_reg_a" = "CLOCK0" - Info (12134): Parameter "widthad_a" = "11" - Info (12134): Parameter "width_a" = "8" - Info (12134): Parameter "width_byteena_a" = "1" -Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_f7a1.tdf - Info (12023): Found entity 1: altsyncram_f7a1 -Info (12128): Elaborating entity "altsyncram_f7a1" for hierarchy "mz80k_top:mz80k_top|vga:vga1|cg_rom:cg_rom|altsyncram:altsyncram_component|altsyncram_f7a1:auto_generated" -Info (12128): Elaborating entity "monrom" for hierarchy "mz80k_top:mz80k_top|monrom:mon_rom" -Info (12128): Elaborating entity "altsyncram" for hierarchy "mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component" -Info (12130): Elaborated megafunction instantiation "mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component" -Info (12133): Instantiated megafunction "mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component" with the following parameter: - Info (12134): Parameter "clock_enable_input_a" = "NORMAL" - Info (12134): Parameter "clock_enable_output_a" = "NORMAL" - Info (12134): Parameter "init_file" = "./roms/Mon.hex" - Info (12134): Parameter "intended_device_family" = "Cyclone III" - Info (12134): Parameter "lpm_hint" = "ENABLE_RUNTIME_MOD=NO" - Info (12134): Parameter "lpm_type" = "altsyncram" - Info (12134): Parameter "numwords_a" = "32768" - Info (12134): Parameter "operation_mode" = "SINGLE_PORT" - Info (12134): Parameter "outdata_aclr_a" = "NONE" - Info (12134): Parameter "outdata_reg_a" = "CLOCK0" - Info (12134): Parameter "power_up_uninitialized" = "FALSE" - Info (12134): Parameter "read_during_write_mode_port_a" = "NEW_DATA_NO_NBE_READ" - Info (12134): Parameter "widthad_a" = "15" - Info (12134): Parameter "width_a" = "8" - Info (12134): Parameter "width_byteena_a" = "1" -Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_vli1.tdf - Info (12023): Found entity 1: altsyncram_vli1 -Info (12128): Elaborating entity "altsyncram_vli1" for hierarchy "mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated" -Info (12021): Found 1 design units, including 1 entities, in source file db/decode_dra.tdf - Info (12023): Found entity 1: decode_dra -Info (12128): Elaborating entity "decode_dra" for hierarchy "mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|decode_dra:decode3" -Info (12021): Found 1 design units, including 1 entities, in source file db/mux_tlb.tdf - Info (12023): Found entity 1: mux_tlb -Info (12128): Elaborating entity "mux_tlb" for hierarchy "mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|mux_tlb:mux2" -Info (12128): Elaborating entity "ram2" for hierarchy "mz80k_top:mz80k_top|ram2:ram2_2" -Info (12128): Elaborating entity "altsyncram" for hierarchy "mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component" -Info (12130): Elaborated megafunction instantiation "mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component" -Info (12133): Instantiated megafunction "mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component" with the following parameter: - Info (12134): Parameter "clock_enable_input_a" = "NORMAL" - Info (12134): Parameter "clock_enable_output_a" = "NORMAL" - Info (12134): Parameter "intended_device_family" = "Cyclone III" - Info (12134): Parameter "lpm_hint" = "ENABLE_RUNTIME_MOD=NO" - Info (12134): Parameter "lpm_type" = "altsyncram" - Info (12134): Parameter "numwords_a" = "2048" - Info (12134): Parameter "operation_mode" = "SINGLE_PORT" - Info (12134): Parameter "outdata_aclr_a" = "NONE" - Info (12134): Parameter "outdata_reg_a" = "CLOCK0" - Info (12134): Parameter "power_up_uninitialized" = "FALSE" - Info (12134): Parameter "read_during_write_mode_port_a" = "NEW_DATA_NO_NBE_READ" - Info (12134): Parameter "widthad_a" = "11" - Info (12134): Parameter "width_a" = "8" - Info (12134): Parameter "width_byteena_a" = "1" -Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_atg1.tdf - Info (12023): Found entity 1: altsyncram_atg1 -Info (12128): Elaborating entity "altsyncram_atg1" for hierarchy "mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated" -Info (12128): Elaborating entity "keyboard" for hierarchy "keyboard:keyboard" -Warning (14284): Synthesized away the following node(s): - Warning (14285): Synthesized away the following RAM node(s): - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf3|altsyncram:altsyncram_component|altsyncram_28o1:auto_generated|q_b[0]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf3|altsyncram:altsyncram_component|altsyncram_28o1:auto_generated|q_b[1]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf3|altsyncram:altsyncram_component|altsyncram_28o1:auto_generated|q_b[2]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf3|altsyncram:altsyncram_component|altsyncram_28o1:auto_generated|q_b[3]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf3|altsyncram:altsyncram_component|altsyncram_28o1:auto_generated|q_b[4]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf3|altsyncram:altsyncram_component|altsyncram_28o1:auto_generated|q_b[5]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf3|altsyncram:altsyncram_component|altsyncram_28o1:auto_generated|q_b[6]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf3|altsyncram:altsyncram_component|altsyncram_28o1:auto_generated|q_b[7]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf3|altsyncram:altsyncram_component|altsyncram_28o1:auto_generated|q_b[8]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf2|altsyncram:altsyncram_component|altsyncram_28o1:auto_generated|q_b[0]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf2|altsyncram:altsyncram_component|altsyncram_28o1:auto_generated|q_b[1]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf2|altsyncram:altsyncram_component|altsyncram_28o1:auto_generated|q_b[2]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf2|altsyncram:altsyncram_component|altsyncram_28o1:auto_generated|q_b[3]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf2|altsyncram:altsyncram_component|altsyncram_28o1:auto_generated|q_b[4]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf2|altsyncram:altsyncram_component|altsyncram_28o1:auto_generated|q_b[5]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf2|altsyncram:altsyncram_component|altsyncram_28o1:auto_generated|q_b[6]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf2|altsyncram:altsyncram_component|altsyncram_28o1:auto_generated|q_b[7]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf2|altsyncram:altsyncram_component|altsyncram_28o1:auto_generated|q_b[8]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf1|altsyncram:altsyncram_component|altsyncram_28o1:auto_generated|q_b[0]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf1|altsyncram:altsyncram_component|altsyncram_28o1:auto_generated|q_b[1]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf1|altsyncram:altsyncram_component|altsyncram_28o1:auto_generated|q_b[2]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf1|altsyncram:altsyncram_component|altsyncram_28o1:auto_generated|q_b[3]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf1|altsyncram:altsyncram_component|altsyncram_28o1:auto_generated|q_b[4]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf1|altsyncram:altsyncram_component|altsyncram_28o1:auto_generated|q_b[5]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf1|altsyncram:altsyncram_component|altsyncram_28o1:auto_generated|q_b[6]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf1|altsyncram:altsyncram_component|altsyncram_28o1:auto_generated|q_b[7]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf1|altsyncram:altsyncram_component|altsyncram_28o1:auto_generated|q_b[8]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf0|altsyncram:altsyncram_component|altsyncram_28o1:auto_generated|q_b[0]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf0|altsyncram:altsyncram_component|altsyncram_28o1:auto_generated|q_b[1]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf0|altsyncram:altsyncram_component|altsyncram_28o1:auto_generated|q_b[2]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf0|altsyncram:altsyncram_component|altsyncram_28o1:auto_generated|q_b[3]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf0|altsyncram:altsyncram_component|altsyncram_28o1:auto_generated|q_b[4]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf0|altsyncram:altsyncram_component|altsyncram_28o1:auto_generated|q_b[5]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf0|altsyncram:altsyncram_component|altsyncram_28o1:auto_generated|q_b[6]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf0|altsyncram:altsyncram_component|altsyncram_28o1:auto_generated|q_b[7]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf0|altsyncram:altsyncram_component|altsyncram_28o1:auto_generated|q_b[8]" -Warning (14284): Synthesized away the following node(s): - Warning (14285): Synthesized away the following RAM node(s): - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_in:hq2x_in|hq2x_buf:buf1|altsyncram:altsyncram_component|altsyncram_c5o1:auto_generated|q_b[0]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_in:hq2x_in|hq2x_buf:buf1|altsyncram:altsyncram_component|altsyncram_c5o1:auto_generated|q_b[1]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_in:hq2x_in|hq2x_buf:buf1|altsyncram:altsyncram_component|altsyncram_c5o1:auto_generated|q_b[2]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_in:hq2x_in|hq2x_buf:buf1|altsyncram:altsyncram_component|altsyncram_c5o1:auto_generated|q_b[3]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_in:hq2x_in|hq2x_buf:buf1|altsyncram:altsyncram_component|altsyncram_c5o1:auto_generated|q_b[4]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_in:hq2x_in|hq2x_buf:buf1|altsyncram:altsyncram_component|altsyncram_c5o1:auto_generated|q_b[5]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_in:hq2x_in|hq2x_buf:buf1|altsyncram:altsyncram_component|altsyncram_c5o1:auto_generated|q_b[6]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_in:hq2x_in|hq2x_buf:buf1|altsyncram:altsyncram_component|altsyncram_c5o1:auto_generated|q_b[7]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_in:hq2x_in|hq2x_buf:buf1|altsyncram:altsyncram_component|altsyncram_c5o1:auto_generated|q_b[8]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_in:hq2x_in|hq2x_buf:buf0|altsyncram:altsyncram_component|altsyncram_c5o1:auto_generated|q_b[0]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_in:hq2x_in|hq2x_buf:buf0|altsyncram:altsyncram_component|altsyncram_c5o1:auto_generated|q_b[1]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_in:hq2x_in|hq2x_buf:buf0|altsyncram:altsyncram_component|altsyncram_c5o1:auto_generated|q_b[2]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_in:hq2x_in|hq2x_buf:buf0|altsyncram:altsyncram_component|altsyncram_c5o1:auto_generated|q_b[3]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_in:hq2x_in|hq2x_buf:buf0|altsyncram:altsyncram_component|altsyncram_c5o1:auto_generated|q_b[4]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_in:hq2x_in|hq2x_buf:buf0|altsyncram:altsyncram_component|altsyncram_c5o1:auto_generated|q_b[5]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_in:hq2x_in|hq2x_buf:buf0|altsyncram:altsyncram_component|altsyncram_c5o1:auto_generated|q_b[6]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_in:hq2x_in|hq2x_buf:buf0|altsyncram:altsyncram_component|altsyncram_c5o1:auto_generated|q_b[7]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_in:hq2x_in|hq2x_buf:buf0|altsyncram:altsyncram_component|altsyncram_c5o1:auto_generated|q_b[8]" -Warning (19016): Clock multiplexers are found and protected - Warning (19017): Found clock multiplexer mz80k_top:mz80k_top|CLK_CPU -Warning (13046): Tri-state node(s) do not directly drive top-level pin(s) - Warning (13049): Converted tri-state buffer "mz80k_top:mz80k_top|cpu_data_in[1]" feeding internal logic into a wire - Warning (13049): Converted tri-state buffer "mz80k_top:mz80k_top|cpu_data_in[0]" feeding internal logic into a wire - Warning (13049): Converted tri-state buffer "mz80k_top:mz80k_top|vram_data_in[0]" feeding internal logic into a wire - Warning (13049): Converted tri-state buffer "mz80k_top:mz80k_top|vram_data_in[1]" feeding internal logic into a wire - Warning (13049): Converted tri-state buffer "mz80k_top:mz80k_top|cpu_data_in[2]" feeding internal logic into a wire - Warning (13049): Converted tri-state buffer "mz80k_top:mz80k_top|cpu_data_in[3]" feeding internal logic into a wire - Warning (13049): Converted tri-state buffer "mz80k_top:mz80k_top|cpu_data_in[4]" feeding internal logic into a wire - Warning (13049): Converted tri-state buffer "mz80k_top:mz80k_top|cpu_data_in[5]" feeding internal logic into a wire - Warning (13049): Converted tri-state buffer "mz80k_top:mz80k_top|cpu_data_in[6]" feeding internal logic into a wire - Warning (13049): Converted tri-state buffer "mz80k_top:mz80k_top|cpu_data_in[7]" feeding internal logic into a wire - Warning (13049): Converted tri-state buffer "mz80k_top:mz80k_top|vram_data_in[2]" feeding internal logic into a wire - Warning (13049): Converted tri-state buffer "mz80k_top:mz80k_top|vram_data_in[3]" feeding internal logic into a wire - Warning (13049): Converted tri-state buffer "mz80k_top:mz80k_top|vram_data_in[4]" feeding internal logic into a wire - Warning (13049): Converted tri-state buffer "mz80k_top:mz80k_top|vram_data_in[5]" feeding internal logic into a wire - Warning (13049): Converted tri-state buffer "mz80k_top:mz80k_top|vram_data_in[6]" feeding internal logic into a wire - Warning (13049): Converted tri-state buffer "mz80k_top:mz80k_top|vram_data_in[7]" feeding internal logic into a wire - Warning (13049): Converted tri-state buffer "mz80k_top:mz80k_top|ps2:ps2_1|data[0]" feeding internal logic into a wire - Warning (13049): Converted tri-state buffer "mz80k_top:mz80k_top|ps2:ps2_1|data[1]" feeding internal logic into a wire - Warning (13049): Converted tri-state buffer "mz80k_top:mz80k_top|ps2:ps2_1|data[2]" feeding internal logic into a wire - Warning (13049): Converted tri-state buffer "mz80k_top:mz80k_top|ps2:ps2_1|data[3]" feeding internal logic into a wire - Warning (13049): Converted tri-state buffer "mz80k_top:mz80k_top|ps2:ps2_1|data[4]" feeding internal logic into a wire - Warning (13049): Converted tri-state buffer "mz80k_top:mz80k_top|ps2:ps2_1|data[5]" feeding internal logic into a wire - Warning (13049): Converted tri-state buffer "mz80k_top:mz80k_top|ps2:ps2_1|data[6]" feeding internal logic into a wire - Warning (13049): Converted tri-state buffer "mz80k_top:mz80k_top|ps2:ps2_1|data[7]" feeding internal logic into a wire -Info (276014): Found 1 instances of uninferred RAM logic - Info (276004): RAM logic "mist_io:mist_io|ps2_kbd_fifo" is uninferred due to inappropriate RAM size -Info (19000): Inferred 1 megafunctions from design logic - Info (276029): Inferred altsyncram megafunction from the following design logic: "video_mixer:video_mixer|osd:osd|osd_buffer_rtl_0" - Info (286033): Parameter OPERATION_MODE set to DUAL_PORT - Info (286033): Parameter WIDTH_A set to 8 - Info (286033): Parameter WIDTHAD_A set to 11 - Info (286033): Parameter NUMWORDS_A set to 2048 - Info (286033): Parameter WIDTH_B set to 8 - Info (286033): Parameter WIDTHAD_B set to 11 - Info (286033): Parameter NUMWORDS_B set to 2048 - Info (286033): Parameter ADDRESS_ACLR_A set to NONE - Info (286033): Parameter OUTDATA_REG_B set to UNREGISTERED - Info (286033): Parameter ADDRESS_ACLR_B set to NONE - Info (286033): Parameter OUTDATA_ACLR_B set to NONE - Info (286033): Parameter ADDRESS_REG_B set to CLOCK1 - Info (286033): Parameter INDATA_ACLR_A set to NONE - Info (286033): Parameter WRCONTROL_ACLR_A set to NONE -Info (12130): Elaborated megafunction instantiation "video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0" -Info (12133): Instantiated megafunction "video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0" with the following parameter: - Info (12134): Parameter "OPERATION_MODE" = "DUAL_PORT" - Info (12134): Parameter "WIDTH_A" = "8" - Info (12134): Parameter "WIDTHAD_A" = "11" - Info (12134): Parameter "NUMWORDS_A" = "2048" - Info (12134): Parameter "WIDTH_B" = "8" - Info (12134): Parameter "WIDTHAD_B" = "11" - Info (12134): Parameter "NUMWORDS_B" = "2048" - Info (12134): Parameter "ADDRESS_ACLR_A" = "NONE" - Info (12134): Parameter "OUTDATA_REG_B" = "UNREGISTERED" - Info (12134): Parameter "ADDRESS_ACLR_B" = "NONE" - Info (12134): Parameter "OUTDATA_ACLR_B" = "NONE" - Info (12134): Parameter "ADDRESS_REG_B" = "CLOCK1" - Info (12134): Parameter "INDATA_ACLR_A" = "NONE" - Info (12134): Parameter "WRCONTROL_ACLR_A" = "NONE" -Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_dud1.tdf - Info (12023): Found entity 1: altsyncram_dud1 -Warning (12241): 12 hierarchies have connectivity warnings - see the Connectivity Checks report folder -Info (13000): Registers with preset signals will power-up high -Info (13003): DEV_CLRn pin will set, and not reset, register with preset signal due to NOT Gate Push-Back -Warning (13024): Output pins are stuck at VCC or GND - Warning (13410): Pin "VGA_VS" is stuck at VCC - Warning (13410): Pin "LED" is stuck at VCC -Info (286030): Timing-Driven Synthesis is running -Info (17049): 472 registers lost all their fanouts during netlist optimizations. -Info (144001): Generated suppressed messages file D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/Output/mz80k_mist.map.smsg -Info (16010): Generating hard_block partition "hard_block:auto_generated_inst" - Info (16011): Adding 1 node(s), including 0 DDIO, 1 PLL, 0 transceiver and 0 LCELL -Warning (15899): PLL "pll:pll|altpll:altpll_component|pll_altpll:auto_generated|pll1" has parameters clk1_multiply_by and clk1_divide_by specified but port CLK[1] is not connected -Warning (21074): Design contains 2 input pin(s) that do not drive logic - Warning (15610): No output dependent on input pin "SPI_SS2" - Warning (15610): No output dependent on input pin "SPI_SS4" -Info (21057): Implemented 3331 device resources after synthesis - the final resource count might be different - Info (21058): Implemented 7 input pins - Info (21059): Implemented 24 output pins - Info (21061): Implemented 3243 logic cells - Info (21064): Implemented 56 RAM segments - Info (21065): Implemented 1 PLLs -Info: Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 105 warnings - Info: Peak virtual memory: 4725 megabytes - Info: Processing ended: Sun Jun 24 13:30:13 2018 - Info: Elapsed time: 00:00:18 - Info: Total CPU time (on all processors): 00:00:17 - - -+------------------------------------------+ -; Analysis & Synthesis Suppressed Messages ; -+------------------------------------------+ -The suppressed messages can be found in D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/Output/mz80k_mist.map.smsg. - - diff --git a/Sharp - MZ-80K_MiST/Output/mz80k_mist.map.smsg b/Sharp - MZ-80K_MiST/Output/mz80k_mist.map.smsg deleted file mode 100644 index 15350f3f..00000000 --- a/Sharp - MZ-80K_MiST/Output/mz80k_mist.map.smsg +++ /dev/null @@ -1,42 +0,0 @@ -Info (10281): Verilog HDL Declaration information at fz80.v(893): object "s_if2" differs only in case from object "S_IF2" in the same scope -Info (10281): Verilog HDL Declaration information at fz80.v(893): object "s_imm1" differs only in case from object "S_IMM1" in the same scope -Info (10281): Verilog HDL Declaration information at fz80.v(893): object "s_imm2" differs only in case from object "S_IMM2" in the same scope -Info (10281): Verilog HDL Declaration information at fz80.v(893): object "s_mr1" differs only in case from object "S_MR1" in the same scope -Info (10281): Verilog HDL Declaration information at fz80.v(893): object "s_mr2" differs only in case from object "S_MR2" in the same scope -Info (10281): Verilog HDL Declaration information at fz80.v(893): object "s_disp" differs only in case from object "S_DISP" in the same scope -Info (10281): Verilog HDL Declaration information at fz80.v(893): object "s_in" differs only in case from object "S_IN" in the same scope -Info (10281): Verilog HDL Declaration information at fz80.v(893): object "s_iack" differs only in case from object "S_IACK" in the same scope -Info (10281): Verilog HDL Declaration information at fz80.v(893): object "s_mw1" differs only in case from object "S_MW1" in the same scope -Info (10281): Verilog HDL Declaration information at fz80.v(893): object "s_mw2" differs only in case from object "S_MW2" in the same scope -Info (10281): Verilog HDL Declaration information at fz80.v(893): object "s_out" differs only in case from object "S_OUT" in the same scope -Warning (10268): Verilog HDL information at scandoubler.v(114): always construct contains both blocking and non-blocking assignments -Info (10281): Verilog HDL Declaration information at scandoubler.v(41): object "hq2x" differs only in case from object "Hq2x" in the same scope -Info (10281): Verilog HDL Declaration information at mist_io.v(47): object "SPI_DO" differs only in case from object "spi_do" in the same scope -Warning (10273): Verilog HDL warning at hq2x.sv(247): extended using "x" or "z" -Warning (10230): Verilog HDL assignment warning at mz80k_top.v(30): truncated value with size 32 to match size of target (5) -Warning (10230): Verilog HDL assignment warning at mz80k_top.v(31): truncated value with size 32 to match size of target (11) -Warning (10230): Verilog HDL assignment warning at fz80.v(1085): truncated value with size 16 to match size of target (8) -Warning (10230): Verilog HDL assignment warning at fz80.v(1128): truncated value with size 8 to match size of target (7) -Warning (10230): Verilog HDL assignment warning at fz80.v(1129): truncated value with size 8 to match size of target (7) -Warning (10230): Verilog HDL assignment warning at fz80.v(1458): truncated value with size 32 to match size of target (7) -Warning (10230): Verilog HDL assignment warning at i8253.v(80): truncated value with size 32 to match size of target (1) -Warning (10230): Verilog HDL assignment warning at i8253.v(81): truncated value with size 32 to match size of target (1) -Warning (10230): Verilog HDL assignment warning at i8253.v(84): truncated value with size 32 to match size of target (1) -Warning (10230): Verilog HDL assignment warning at i8253.v(85): truncated value with size 32 to match size of target (1) -Warning (10230): Verilog HDL assignment warning at i8253.v(88): truncated value with size 32 to match size of target (1) -Warning (10230): Verilog HDL assignment warning at i8253.v(89): truncated value with size 32 to match size of target (1) -Warning (10230): Verilog HDL assignment warning at i8253.v(106): truncated value with size 32 to match size of target (16) -Warning (10230): Verilog HDL assignment warning at i8253.v(122): truncated value with size 32 to match size of target (16) -Warning (10230): Verilog HDL assignment warning at i8253.v(138): truncated value with size 32 to match size of target (16) -Warning (10230): Verilog HDL assignment warning at vga.v(42): truncated value with size 32 to match size of target (2) -Warning (10230): Verilog HDL assignment warning at vga.v(44): truncated value with size 32 to match size of target (10) -Warning (10230): Verilog HDL assignment warning at vga.v(45): truncated value with size 32 to match size of target (10) -Warning (10230): Verilog HDL assignment warning at vga.v(49): truncated value with size 32 to match size of target (10) -Warning (10230): Verilog HDL assignment warning at vga.v(53): truncated value with size 32 to match size of target (10) -Warning (10230): Verilog HDL assignment warning at vga.v(71): truncated value with size 10 to match size of target (6) -Warning (10230): Verilog HDL assignment warning at vga.v(72): truncated value with size 10 to match size of target (6) -Warning (10230): Verilog HDL assignment warning at vga.v(73): truncated value with size 32 to match size of target (12) -Warning (10230): Verilog HDL assignment warning at vga.v(80): truncated value with size 32 to match size of target (1) -Warning (10230): Verilog HDL assignment warning at vga.v(82): truncated value with size 32 to match size of target (1) -Warning (10230): Verilog HDL assignment warning at vga.v(83): truncated value with size 32 to match size of target (1) -Warning (10230): Verilog HDL assignment warning at vga.v(84): truncated value with size 32 to match size of target (1) diff --git a/Sharp - MZ-80K_MiST/Output/mz80k_mist.map.summary b/Sharp - MZ-80K_MiST/Output/mz80k_mist.map.summary deleted file mode 100644 index 525567a1..00000000 --- a/Sharp - MZ-80K_MiST/Output/mz80k_mist.map.summary +++ /dev/null @@ -1,14 +0,0 @@ -Analysis & Synthesis Status : Successful - Sun Jun 24 13:30:13 2018 -Quartus II 64-Bit Version : 13.1.0 Build 162 10/23/2013 SJ Web Edition -Revision Name : mz80k_mist -Top-level Entity Name : mz80k_mist -Family : Cyclone III -Total logic elements : 3,152 - Total combinational functions : 2,886 - Dedicated logic registers : 891 -Total registers : 891 -Total pins : 31 -Total virtual pins : 0 -Total memory bits : 311,296 -Embedded Multiplier 9-bit elements : 0 -Total PLLs : 1 diff --git a/Sharp - MZ-80K_MiST/Output/mz80k_mist.pin b/Sharp - MZ-80K_MiST/Output/mz80k_mist.pin deleted file mode 100644 index 19920292..00000000 --- a/Sharp - MZ-80K_MiST/Output/mz80k_mist.pin +++ /dev/null @@ -1,215 +0,0 @@ - -- Copyright (C) 1991-2013 Altera Corporation - -- Your use of Altera Corporation's design tools, logic functions - -- and other software and tools, and its AMPP partner logic - -- functions, and any output files from any of the foregoing - -- (including device programming or simulation files), and any - -- associated documentation or information are expressly subject - -- to the terms and conditions of the Altera Program License - -- Subscription Agreement, Altera MegaCore Function License - -- Agreement, or other applicable license agreement, including, - -- without limitation, that your use is for the sole purpose of - -- programming logic devices manufactured by Altera and sold by - -- Altera or its authorized distributors. Please refer to the - -- applicable agreement for further details. - -- - -- This is a Quartus II output file. It is for reporting purposes only, and is - -- not intended for use as a Quartus II input file. This file cannot be used - -- to make Quartus II pin assignments - for instructions on how to make pin - -- assignments, please see Quartus II help. - --------------------------------------------------------------------------------- - - - - --------------------------------------------------------------------------------- - -- NC : No Connect. This pin has no internal connection to the device. - -- DNU : Do Not Use. This pin MUST NOT be connected. - -- VCCINT : Dedicated power pin, which MUST be connected to VCC (1.2V). - -- VCCIO : Dedicated power pin, which MUST be connected to VCC - -- of its bank. - -- Bank 1: 3.3V - -- Bank 2: 3.3V - -- Bank 3: 3.3V - -- Bank 4: 3.3V - -- Bank 5: 3.3V - -- Bank 6: 3.3V - -- Bank 7: 3.3V - -- Bank 8: 3.3V - -- GND : Dedicated ground pin. Dedicated GND pins MUST be connected to GND. - -- It can also be used to report unused dedicated pins. The connection - -- on the board for unused dedicated pins depends on whether this will - -- be used in a future design. One example is device migration. When - -- using device migration, refer to the device pin-tables. If it is a - -- GND pin in the pin table or if it will not be used in a future design - -- for another purpose the it MUST be connected to GND. If it is an unused - -- dedicated pin, then it can be connected to a valid signal on the board - -- (low, high, or toggling) if that signal is required for a different - -- revision of the design. - -- GND+ : Unused input pin. It can also be used to report unused dual-purpose pins. - -- This pin should be connected to GND. It may also be connected to a - -- valid signal on the board (low, high, or toggling) if that signal - -- is required for a different revision of the design. - -- GND* : Unused I/O pin. Connect each pin marked GND* directly to GND - -- or leave it unconnected. - -- RESERVED : Unused I/O pin, which MUST be left unconnected. - -- RESERVED_INPUT : Pin is tri-stated and should be connected to the board. - -- RESERVED_INPUT_WITH_WEAK_PULLUP : Pin is tri-stated with internal weak pull-up resistor. - -- RESERVED_INPUT_WITH_BUS_HOLD : Pin is tri-stated with bus-hold circuitry. - -- RESERVED_OUTPUT_DRIVEN_HIGH : Pin is output driven high. - --------------------------------------------------------------------------------- - - - - --------------------------------------------------------------------------------- - -- Pin directions (input, output or bidir) are based on device operating in user mode. - --------------------------------------------------------------------------------- - -Quartus II 64-Bit Version 13.1.0 Build 162 10/23/2013 SJ Web Edition -CHIP "mz80k_mist" ASSIGNED TO AN: EP3C25E144C8 - -Pin Name/Usage : Location : Dir. : I/O Standard : Voltage : I/O Bank : User Assignment -------------------------------------------------------------------------------------------------------------- -VCCD_PLL3 : 1 : power : : 1.2V : : -GNDA3 : 2 : gnd : : : : -VCCA3 : 3 : power : : 2.5V : : -RESERVED_INPUT_WITH_WEAK_PULLUP : 4 : : : : 1 : -VCCINT : 5 : power : : 1.2V : : -RESERVED_INPUT_WITH_WEAK_PULLUP : 6 : : : : 1 : -LED : 7 : output : 3.3-V LVTTL : : 1 : Y -RESERVED_INPUT_WITH_WEAK_PULLUP : 8 : : : : 1 : -nSTATUS : 9 : : : : 1 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 10 : : : : 1 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 11 : : : : 1 : -~ALTERA_DCLK~ / RESERVED_INPUT : 12 : input : 3.3-V LVTTL : : 1 : N -CONF_DATA0 : 13 : input : 3.3-V LVTTL : : 1 : Y -nCONFIG : 14 : : : : 1 : -TDI : 15 : input : : : 1 : -TCK : 16 : input : : : 1 : -VCCIO1 : 17 : power : : 3.3V : 1 : -TMS : 18 : input : : : 1 : -GND : 19 : gnd : : : : -TDO : 20 : output : : : 1 : -nCE : 21 : : : : 1 : -GND+ : 22 : : : : 1 : -GND+ : 23 : : : : 1 : -GND+ : 24 : : : : 2 : -GND+ : 25 : : : : 2 : -VCCIO2 : 26 : power : : 3.3V : 2 : -GND : 27 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : 28 : : : : 2 : -VCCINT : 29 : power : : 1.2V : : -RESERVED_INPUT_WITH_WEAK_PULLUP : 30 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 31 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 32 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 33 : : : : 2 : -VCCINT : 34 : power : : 1.2V : : -VCCA1 : 35 : power : : 2.5V : : -GNDA1 : 36 : gnd : : : : -VCCD_PLL1 : 37 : power : : 1.2V : : -VCCINT : 38 : power : : 1.2V : : -RESERVED_INPUT_WITH_WEAK_PULLUP : 39 : : : : 3 : -VCCIO3 : 40 : power : : 3.3V : 3 : -GND : 41 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : 42 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 43 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 44 : : : : 3 : -VCCINT : 45 : power : : 1.2V : : -RESERVED_INPUT_WITH_WEAK_PULLUP : 46 : : : : 3 : -VCCIO3 : 47 : power : : 3.3V : 3 : -GND : 48 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : 49 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 50 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 51 : : : : 3 : -GND+ : 52 : : : : 3 : -GND+ : 53 : : : : 3 : -CLOCK_27 : 54 : input : 3.3-V LVTTL : : 4 : Y -GND+ : 55 : : : : 4 : -VCCIO4 : 56 : power : : 3.3V : 4 : -GND : 57 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : 58 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 59 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 60 : : : : 4 : -VCCINT : 61 : power : : 1.2V : : -VCCIO4 : 62 : power : : 3.3V : 4 : -GND : 63 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : 64 : : : : 4 : -AUDIO_L : 65 : output : 3.3-V LVTTL : : 4 : Y -RESERVED_INPUT_WITH_WEAK_PULLUP : 66 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 67 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 68 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 69 : : : : 4 : -VCCINT : 70 : power : : 1.2V : : -RESERVED_INPUT_WITH_WEAK_PULLUP : 71 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 72 : : : : 4 : -VCCD_PLL4 : 73 : power : : 1.2V : : -GNDA4 : 74 : gnd : : : : -VCCA4 : 75 : power : : 2.5V : : -RESERVED_INPUT_WITH_WEAK_PULLUP : 76 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 77 : : : : 5 : -VCCINT : 78 : power : : 1.2V : : -RESERVED_INPUT_WITH_WEAK_PULLUP : 79 : : : : 5 : -AUDIO_R : 80 : output : 3.3-V LVTTL : : 5 : Y -VCCIO5 : 81 : power : : 3.3V : 5 : -GND : 82 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : 83 : : : : 5 : -VCCINT : 84 : power : : 1.2V : : -RESERVED_INPUT_WITH_WEAK_PULLUP : 85 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 86 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 87 : : : : 5 : -SPI_DI : 88 : input : 3.3-V LVTTL : : 5 : Y -GND+ : 89 : : : : 5 : -SPI_SS4 : 90 : input : 3.3-V LVTTL : : 6 : Y -SPI_SS3 : 91 : input : 3.3-V LVTTL : : 6 : Y -CONF_DONE : 92 : : : : 6 : -VCCIO6 : 93 : power : : 3.3V : 6 : -MSEL0 : 94 : : : : 6 : -GND : 95 : gnd : : : : -MSEL1 : 96 : : : : 6 : -MSEL2 : 97 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 98 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 99 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 100 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 101 : : : : 6 : -VCCINT : 102 : power : : 1.2V : : -RESERVED_INPUT_WITH_WEAK_PULLUP : 103 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 104 : : : : 6 : -SPI_DO : 105 : output : 3.3-V LVTTL : : 6 : Y -VGA_G[0] : 106 : output : 3.3-V LVTTL : : 6 : Y -VCCA2 : 107 : power : : 2.5V : : -GNDA2 : 108 : gnd : : : : -VCCD_PLL2 : 109 : power : : 1.2V : : -VGA_G[1] : 110 : output : 3.3-V LVTTL : : 7 : Y -VGA_G[2] : 111 : output : 3.3-V LVTTL : : 7 : Y -VGA_G[3] : 112 : output : 3.3-V LVTTL : : 7 : Y -VGA_G[4] : 113 : output : 3.3-V LVTTL : : 7 : Y -VGA_G[5] : 114 : output : 3.3-V LVTTL : : 7 : Y -VGA_B[0] : 115 : output : 3.3-V LVTTL : : 7 : Y -VCCINT : 116 : power : : 1.2V : : -VCCIO7 : 117 : power : : 3.3V : 7 : -GND : 118 : gnd : : : : -VGA_HS : 119 : output : 3.3-V LVTTL : : 7 : Y -VGA_B[1] : 120 : output : 3.3-V LVTTL : : 7 : Y -VGA_B[2] : 121 : output : 3.3-V LVTTL : : 7 : Y -VCCIO7 : 122 : power : : 3.3V : 7 : -GND : 123 : gnd : : : : -VCCINT : 124 : power : : 1.2V : : -VGA_B[3] : 125 : output : 3.3-V LVTTL : : 7 : Y -SPI_SCK : 126 : input : 3.3-V LVTTL : : 7 : Y -SPI_SS2 : 127 : input : 3.3-V LVTTL : : 7 : Y -GND+ : 128 : : : : 8 : -GND+ : 129 : : : : 8 : -VCCIO8 : 130 : power : : 3.3V : 8 : -GND : 131 : gnd : : : : -VGA_B[4] : 132 : output : 3.3-V LVTTL : : 8 : Y -VGA_B[5] : 133 : output : 3.3-V LVTTL : : 8 : Y -VCCINT : 134 : power : : 1.2V : : -VGA_R[0] : 135 : output : 3.3-V LVTTL : : 8 : Y -VGA_VS : 136 : output : 3.3-V LVTTL : : 8 : Y -VGA_R[1] : 137 : output : 3.3-V LVTTL : : 8 : Y -VCCINT : 138 : power : : 1.2V : : -VCCIO8 : 139 : power : : 3.3V : 8 : -GND : 140 : gnd : : : : -VGA_R[2] : 141 : output : 3.3-V LVTTL : : 8 : Y -VGA_R[3] : 142 : output : 3.3-V LVTTL : : 8 : Y -VGA_R[4] : 143 : output : 3.3-V LVTTL : : 8 : Y -VGA_R[5] : 144 : output : 3.3-V LVTTL : : 8 : Y -GND : EPAD : : : : : diff --git a/Sharp - MZ-80K_MiST/Output/mz80k_mist.sof b/Sharp - MZ-80K_MiST/Output/mz80k_mist.sof deleted file mode 100644 index 03ab9aec..00000000 Binary files a/Sharp - MZ-80K_MiST/Output/mz80k_mist.sof and /dev/null differ diff --git a/Sharp - MZ-80K_MiST/Output/mz80k_mist.sta.rpt b/Sharp - MZ-80K_MiST/Output/mz80k_mist.sta.rpt deleted file mode 100644 index 769a556b..00000000 --- a/Sharp - MZ-80K_MiST/Output/mz80k_mist.sta.rpt +++ /dev/null @@ -1,10537 +0,0 @@ -TimeQuest Timing Analyzer report for mz80k_mist -Sun Jun 24 13:31:25 2018 -Quartus II 64-Bit Version 13.1.0 Build 162 10/23/2013 SJ Web Edition - - ---------------------- -; Table of Contents ; ---------------------- - 1. Legal Notice - 2. TimeQuest Timing Analyzer Summary - 3. Parallel Compilation - 4. Clocks - 5. Slow 1200mV 85C Model Fmax Summary - 6. Timing Closure Recommendations - 7. Slow 1200mV 85C Model Setup Summary - 8. Slow 1200mV 85C Model Hold Summary - 9. Slow 1200mV 85C Model Recovery Summary - 10. Slow 1200mV 85C Model Removal Summary - 11. Slow 1200mV 85C Model Minimum Pulse Width Summary - 12. Slow 1200mV 85C Model Setup: 'mz80k_top:mz80k_top|clk_count[2]' - 13. Slow 1200mV 85C Model Setup: 'pll|altpll_component|auto_generated|pll1|clk[0]' - 14. Slow 1200mV 85C Model Setup: 'mz80k_top:mz80k_top|CLK_2M' - 15. Slow 1200mV 85C Model Setup: 'SPI_SCK' - 16. Slow 1200mV 85C Model Setup: 'mz80k_top:mz80k_top|CLK_31250' - 17. Slow 1200mV 85C Model Setup: 'mz80k_top:mz80k_top|i8253:i8253_1|signal1' - 18. Slow 1200mV 85C Model Setup: 'mz80k_top:mz80k_top|vga:vga1|counter[0]' - 19. Slow 1200mV 85C Model Hold: 'mz80k_top:mz80k_top|clk_count[2]' - 20. Slow 1200mV 85C Model Hold: 'pll|altpll_component|auto_generated|pll1|clk[0]' - 21. Slow 1200mV 85C Model Hold: 'mz80k_top:mz80k_top|CLK_31250' - 22. Slow 1200mV 85C Model Hold: 'SPI_SCK' - 23. Slow 1200mV 85C Model Hold: 'mz80k_top:mz80k_top|CLK_2M' - 24. Slow 1200mV 85C Model Hold: 'mz80k_top:mz80k_top|i8253:i8253_1|signal1' - 25. Slow 1200mV 85C Model Hold: 'mz80k_top:mz80k_top|vga:vga1|counter[0]' - 26. Slow 1200mV 85C Model Recovery: 'mz80k_top:mz80k_top|clk_count[2]' - 27. Slow 1200mV 85C Model Recovery: 'pll|altpll_component|auto_generated|pll1|clk[0]' - 28. Slow 1200mV 85C Model Removal: 'mz80k_top:mz80k_top|clk_count[2]' - 29. Slow 1200mV 85C Model Removal: 'pll|altpll_component|auto_generated|pll1|clk[0]' - 30. Slow 1200mV 85C Model Minimum Pulse Width: 'SPI_SCK' - 31. Slow 1200mV 85C Model Minimum Pulse Width: 'mz80k_top:mz80k_top|clk_count[2]' - 32. Slow 1200mV 85C Model Minimum Pulse Width: 'mz80k_top:mz80k_top|vga:vga1|counter[0]' - 33. Slow 1200mV 85C Model Minimum Pulse Width: 'mz80k_top:mz80k_top|CLK_2M' - 34. Slow 1200mV 85C Model Minimum Pulse Width: 'mz80k_top:mz80k_top|CLK_31250' - 35. Slow 1200mV 85C Model Minimum Pulse Width: 'mz80k_top:mz80k_top|i8253:i8253_1|signal1' - 36. Slow 1200mV 85C Model Minimum Pulse Width: 'pll|altpll_component|auto_generated|pll1|clk[0]' - 37. Slow 1200mV 85C Model Minimum Pulse Width: 'CLOCK_27' - 38. Setup Times - 39. Hold Times - 40. Clock to Output Times - 41. Minimum Clock to Output Times - 42. Propagation Delay - 43. Minimum Propagation Delay - 44. Slow 1200mV 85C Model Metastability Report - 45. Slow 1200mV 0C Model Fmax Summary - 46. Slow 1200mV 0C Model Setup Summary - 47. Slow 1200mV 0C Model Hold Summary - 48. Slow 1200mV 0C Model Recovery Summary - 49. Slow 1200mV 0C Model Removal Summary - 50. Slow 1200mV 0C Model Minimum Pulse Width Summary - 51. Slow 1200mV 0C Model Setup: 'mz80k_top:mz80k_top|clk_count[2]' - 52. Slow 1200mV 0C Model Setup: 'pll|altpll_component|auto_generated|pll1|clk[0]' - 53. Slow 1200mV 0C Model Setup: 'mz80k_top:mz80k_top|CLK_2M' - 54. Slow 1200mV 0C Model Setup: 'SPI_SCK' - 55. Slow 1200mV 0C Model Setup: 'mz80k_top:mz80k_top|CLK_31250' - 56. Slow 1200mV 0C Model Setup: 'mz80k_top:mz80k_top|i8253:i8253_1|signal1' - 57. Slow 1200mV 0C Model Setup: 'mz80k_top:mz80k_top|vga:vga1|counter[0]' - 58. Slow 1200mV 0C Model Hold: 'mz80k_top:mz80k_top|clk_count[2]' - 59. Slow 1200mV 0C Model Hold: 'pll|altpll_component|auto_generated|pll1|clk[0]' - 60. Slow 1200mV 0C Model Hold: 'mz80k_top:mz80k_top|CLK_31250' - 61. Slow 1200mV 0C Model Hold: 'SPI_SCK' - 62. Slow 1200mV 0C Model Hold: 'mz80k_top:mz80k_top|CLK_2M' - 63. Slow 1200mV 0C Model Hold: 'mz80k_top:mz80k_top|i8253:i8253_1|signal1' - 64. Slow 1200mV 0C Model Hold: 'mz80k_top:mz80k_top|vga:vga1|counter[0]' - 65. Slow 1200mV 0C Model Recovery: 'mz80k_top:mz80k_top|clk_count[2]' - 66. Slow 1200mV 0C Model Recovery: 'pll|altpll_component|auto_generated|pll1|clk[0]' - 67. Slow 1200mV 0C Model Removal: 'mz80k_top:mz80k_top|clk_count[2]' - 68. Slow 1200mV 0C Model Removal: 'pll|altpll_component|auto_generated|pll1|clk[0]' - 69. Slow 1200mV 0C Model Minimum Pulse Width: 'SPI_SCK' - 70. Slow 1200mV 0C Model Minimum Pulse Width: 'mz80k_top:mz80k_top|clk_count[2]' - 71. Slow 1200mV 0C Model Minimum Pulse Width: 'mz80k_top:mz80k_top|vga:vga1|counter[0]' - 72. Slow 1200mV 0C Model Minimum Pulse Width: 'mz80k_top:mz80k_top|CLK_2M' - 73. Slow 1200mV 0C Model Minimum Pulse Width: 'mz80k_top:mz80k_top|CLK_31250' - 74. Slow 1200mV 0C Model Minimum Pulse Width: 'mz80k_top:mz80k_top|i8253:i8253_1|signal1' - 75. Slow 1200mV 0C Model Minimum Pulse Width: 'pll|altpll_component|auto_generated|pll1|clk[0]' - 76. Slow 1200mV 0C Model Minimum Pulse Width: 'CLOCK_27' - 77. Setup Times - 78. Hold Times - 79. Clock to Output Times - 80. Minimum Clock to Output Times - 81. Propagation Delay - 82. Minimum Propagation Delay - 83. Slow 1200mV 0C Model Metastability Report - 84. Fast 1200mV 0C Model Setup Summary - 85. Fast 1200mV 0C Model Hold Summary - 86. Fast 1200mV 0C Model Recovery Summary - 87. Fast 1200mV 0C Model Removal Summary - 88. Fast 1200mV 0C Model Minimum Pulse Width Summary - 89. Fast 1200mV 0C Model Setup: 'mz80k_top:mz80k_top|clk_count[2]' - 90. Fast 1200mV 0C Model Setup: 'pll|altpll_component|auto_generated|pll1|clk[0]' - 91. Fast 1200mV 0C Model Setup: 'mz80k_top:mz80k_top|CLK_2M' - 92. Fast 1200mV 0C Model Setup: 'SPI_SCK' - 93. Fast 1200mV 0C Model Setup: 'mz80k_top:mz80k_top|CLK_31250' - 94. Fast 1200mV 0C Model Setup: 'mz80k_top:mz80k_top|i8253:i8253_1|signal1' - 95. Fast 1200mV 0C Model Setup: 'mz80k_top:mz80k_top|vga:vga1|counter[0]' - 96. Fast 1200mV 0C Model Hold: 'mz80k_top:mz80k_top|clk_count[2]' - 97. Fast 1200mV 0C Model Hold: 'mz80k_top:mz80k_top|CLK_31250' - 98. Fast 1200mV 0C Model Hold: 'pll|altpll_component|auto_generated|pll1|clk[0]' - 99. Fast 1200mV 0C Model Hold: 'SPI_SCK' -100. Fast 1200mV 0C Model Hold: 'mz80k_top:mz80k_top|CLK_2M' -101. Fast 1200mV 0C Model Hold: 'mz80k_top:mz80k_top|i8253:i8253_1|signal1' -102. Fast 1200mV 0C Model Hold: 'mz80k_top:mz80k_top|vga:vga1|counter[0]' -103. Fast 1200mV 0C Model Recovery: 'mz80k_top:mz80k_top|clk_count[2]' -104. Fast 1200mV 0C Model Recovery: 'pll|altpll_component|auto_generated|pll1|clk[0]' -105. Fast 1200mV 0C Model Removal: 'mz80k_top:mz80k_top|clk_count[2]' -106. Fast 1200mV 0C Model Removal: 'pll|altpll_component|auto_generated|pll1|clk[0]' -107. Fast 1200mV 0C Model Minimum Pulse Width: 'SPI_SCK' -108. Fast 1200mV 0C Model Minimum Pulse Width: 'mz80k_top:mz80k_top|clk_count[2]' -109. Fast 1200mV 0C Model Minimum Pulse Width: 'mz80k_top:mz80k_top|vga:vga1|counter[0]' -110. Fast 1200mV 0C Model Minimum Pulse Width: 'mz80k_top:mz80k_top|CLK_2M' -111. Fast 1200mV 0C Model Minimum Pulse Width: 'mz80k_top:mz80k_top|CLK_31250' -112. Fast 1200mV 0C Model Minimum Pulse Width: 'mz80k_top:mz80k_top|i8253:i8253_1|signal1' -113. Fast 1200mV 0C Model Minimum Pulse Width: 'pll|altpll_component|auto_generated|pll1|clk[0]' -114. Fast 1200mV 0C Model Minimum Pulse Width: 'CLOCK_27' -115. Setup Times -116. Hold Times -117. Clock to Output Times -118. Minimum Clock to Output Times -119. Propagation Delay -120. Minimum Propagation Delay -121. Fast 1200mV 0C Model Metastability Report -122. Multicorner Timing Analysis Summary -123. Setup Times -124. Hold Times -125. Clock to Output Times -126. Minimum Clock to Output Times -127. Propagation Delay -128. Minimum Propagation Delay -129. Board Trace Model Assignments -130. Input Transition Times -131. Slow Corner Signal Integrity Metrics -132. Fast Corner Signal Integrity Metrics -133. Setup Transfers -134. Hold Transfers -135. Recovery Transfers -136. Removal Transfers -137. Report TCCS -138. Report RSKM -139. Unconstrained Paths -140. TimeQuest Timing Analyzer Messages - - - ----------------- -; Legal Notice ; ----------------- -Copyright (C) 1991-2013 Altera Corporation -Your use of Altera Corporation's design tools, logic functions -and other software and tools, and its AMPP partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Altera Program License -Subscription Agreement, Altera MegaCore Function License -Agreement, or other applicable license agreement, including, -without limitation, that your use is for the sole purpose of -programming logic devices manufactured by Altera and sold by -Altera or its authorized distributors. Please refer to the -applicable agreement for further details. - - - -+-------------------------------------------------------------------------+ -; TimeQuest Timing Analyzer Summary ; -+--------------------+----------------------------------------------------+ -; Quartus II Version ; Version 13.1.0 Build 162 10/23/2013 SJ Web Edition ; -; Revision Name ; mz80k_mist ; -; Device Family ; Cyclone III ; -; Device Name ; EP3C25E144C8 ; -; Timing Models ; Final ; -; Delay Model ; Combined ; -; Rise/Fall Delays ; Enabled ; -+--------------------+----------------------------------------------------+ - - -+------------------------------------------+ -; Parallel Compilation ; -+----------------------------+-------------+ -; Processors ; Number ; -+----------------------------+-------------+ -; Number detected on machine ; 8 ; -; Maximum allowed ; 4 ; -; ; ; -; Average used ; 1.33 ; -; Maximum used ; 4 ; -; ; ; -; Usage by Processor ; % Time Used ; -; Processor 1 ; 100.0% ; -; Processors 2-4 ; 11.1% ; -; Processors 5-8 ; 0.0% ; -+----------------------------+-------------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Clocks ; -+-------------------------------------------------+-----------+--------+------------+-------+--------+------------+-----------+-------------+-------+--------+-----------+------------+----------+----------+---------------------------------------------------+-----------------------------------------------------+ -; Clock Name ; Type ; Period ; Frequency ; Rise ; Fall ; Duty Cycle ; Divide by ; Multiply by ; Phase ; Offset ; Edge List ; Edge Shift ; Inverted ; Master ; Source ; Targets ; -+-------------------------------------------------+-----------+--------+------------+-------+--------+------------+-----------+-------------+-------+--------+-----------+------------+----------+----------+---------------------------------------------------+-----------------------------------------------------+ -; CLOCK_27 ; Base ; 37.037 ; 27.0 MHz ; 0.000 ; 18.518 ; ; ; ; ; ; ; ; ; ; ; { CLOCK_27 } ; -; mz80k_top:mz80k_top|CLK_2M ; Base ; 1.000 ; 1000.0 MHz ; 0.000 ; 0.500 ; ; ; ; ; ; ; ; ; ; ; { mz80k_top:mz80k_top|CLK_2M } ; -; mz80k_top:mz80k_top|CLK_31250 ; Base ; 1.000 ; 1000.0 MHz ; 0.000 ; 0.500 ; ; ; ; ; ; ; ; ; ; ; { mz80k_top:mz80k_top|CLK_31250 } ; -; mz80k_top:mz80k_top|clk_count[2] ; Base ; 1.000 ; 1000.0 MHz ; 0.000 ; 0.500 ; ; ; ; ; ; ; ; ; ; ; { mz80k_top:mz80k_top|clk_count[2] } ; -; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Base ; 1.000 ; 1000.0 MHz ; 0.000 ; 0.500 ; ; ; ; ; ; ; ; ; ; ; { mz80k_top:mz80k_top|i8253:i8253_1|signal1 } ; -; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Base ; 1.000 ; 1000.0 MHz ; 0.000 ; 0.500 ; ; ; ; ; ; ; ; ; ; ; { mz80k_top:mz80k_top|vga:vga1|counter[0] } ; -; pll|altpll_component|auto_generated|pll1|clk[0] ; Generated ; 19.999 ; 50.0 MHz ; 0.000 ; 9.999 ; 50.00 ; 27 ; 50 ; ; ; ; ; false ; CLOCK_27 ; pll|altpll_component|auto_generated|pll1|inclk[0] ; { pll|altpll_component|auto_generated|pll1|clk[0] } ; -; SPI_SCK ; Base ; 1.000 ; 1000.0 MHz ; 0.000 ; 0.500 ; ; ; ; ; ; ; ; ; ; ; { SPI_SCK } ; -+-------------------------------------------------+-----------+--------+------------+-------+--------+------------+-----------+-------------+-------+--------+-----------+------------+----------+----------+---------------------------------------------------+-----------------------------------------------------+ - - -+---------------------------------------------------------------------------------------+ -; Slow 1200mV 85C Model Fmax Summary ; -+------------+-----------------+-------------------------------------------------+------+ -; Fmax ; Restricted Fmax ; Clock Name ; Note ; -+------------+-----------------+-------------------------------------------------+------+ -; 27.83 MHz ; 27.83 MHz ; mz80k_top:mz80k_top|clk_count[2] ; ; -; 33.84 MHz ; 33.84 MHz ; pll|altpll_component|auto_generated|pll1|clk[0] ; ; -; 103.91 MHz ; 103.91 MHz ; SPI_SCK ; ; -; 165.45 MHz ; 165.45 MHz ; mz80k_top:mz80k_top|CLK_31250 ; ; -; 179.12 MHz ; 179.12 MHz ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; ; -; 184.47 MHz ; 184.47 MHz ; mz80k_top:mz80k_top|CLK_2M ; ; -; 330.8 MHz ; 330.8 MHz ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; ; -+------------+-----------------+-------------------------------------------------+------+ -This panel reports FMAX for every clock in the design, regardless of the user-specified clock periods. FMAX is only computed for paths where the source and destination registers or ports are driven by the same clock. Paths of different clocks, including generated clocks, are ignored. For paths between a clock and its inversion, FMAX is computed as if the rising and falling edges are scaled along with FMAX, such that the duty cycle (in terms of a percentage) is maintained. Altera recommends that you always use clock constraints and other slack reports for sign-off analysis. - - ----------------------------------- -; Timing Closure Recommendations ; ----------------------------------- -HTML report is unavailable in plain text report export. - - -+---------------------------------------------------------------------------+ -; Slow 1200mV 85C Model Setup Summary ; -+-------------------------------------------------+---------+---------------+ -; Clock ; Slack ; End Point TNS ; -+-------------------------------------------------+---------+---------------+ -; mz80k_top:mz80k_top|clk_count[2] ; -34.936 ; -9377.424 ; -; pll|altpll_component|auto_generated|pll1|clk[0] ; -32.140 ; -3694.987 ; -; mz80k_top:mz80k_top|CLK_2M ; -7.401 ; -121.075 ; -; SPI_SCK ; -7.006 ; -594.451 ; -; mz80k_top:mz80k_top|CLK_31250 ; -6.618 ; -110.932 ; -; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; -4.583 ; -71.203 ; -; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -2.023 ; -36.640 ; -+-------------------------------------------------+---------+---------------+ - - -+--------------------------------------------------------------------------+ -; Slow 1200mV 85C Model Hold Summary ; -+-------------------------------------------------+--------+---------------+ -; Clock ; Slack ; End Point TNS ; -+-------------------------------------------------+--------+---------------+ -; mz80k_top:mz80k_top|clk_count[2] ; -0.877 ; -2.243 ; -; pll|altpll_component|auto_generated|pll1|clk[0] ; -0.412 ; -1.310 ; -; mz80k_top:mz80k_top|CLK_31250 ; -0.029 ; -0.029 ; -; SPI_SCK ; 0.449 ; 0.000 ; -; mz80k_top:mz80k_top|CLK_2M ; 0.453 ; 0.000 ; -; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.675 ; 0.000 ; -; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.735 ; 0.000 ; -+-------------------------------------------------+--------+---------------+ - - -+--------------------------------------------------------------------------+ -; Slow 1200mV 85C Model Recovery Summary ; -+-------------------------------------------------+--------+---------------+ -; Clock ; Slack ; End Point TNS ; -+-------------------------------------------------+--------+---------------+ -; mz80k_top:mz80k_top|clk_count[2] ; -2.223 ; -153.204 ; -; pll|altpll_component|auto_generated|pll1|clk[0] ; 14.024 ; 0.000 ; -+-------------------------------------------------+--------+---------------+ - - -+-------------------------------------------------------------------------+ -; Slow 1200mV 85C Model Removal Summary ; -+-------------------------------------------------+-------+---------------+ -; Clock ; Slack ; End Point TNS ; -+-------------------------------------------------+-------+---------------+ -; mz80k_top:mz80k_top|clk_count[2] ; 0.336 ; 0.000 ; -; pll|altpll_component|auto_generated|pll1|clk[0] ; 4.796 ; 0.000 ; -+-------------------------------------------------+-------+---------------+ - - -+--------------------------------------------------------------------------+ -; Slow 1200mV 85C Model Minimum Pulse Width Summary ; -+-------------------------------------------------+--------+---------------+ -; Clock ; Slack ; End Point TNS ; -+-------------------------------------------------+--------+---------------+ -; SPI_SCK ; -3.201 ; -217.003 ; -; mz80k_top:mz80k_top|clk_count[2] ; -1.487 ; -486.249 ; -; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -1.487 ; -29.740 ; -; mz80k_top:mz80k_top|CLK_2M ; -1.487 ; -25.279 ; -; mz80k_top:mz80k_top|CLK_31250 ; -1.487 ; -25.279 ; -; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; -1.487 ; -23.792 ; -; pll|altpll_component|auto_generated|pll1|clk[0] ; 9.666 ; 0.000 ; -; CLOCK_27 ; 18.366 ; 0.000 ; -+-------------------------------------------------+--------+---------------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Slow 1200mV 85C Model Setup: 'mz80k_top:mz80k_top|clk_count[2]' ; -+---------+----------------------------------------------------+---------------------------------------------------+----------------------------------+----------------------------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+---------+----------------------------------------------------+---------------------------------------------------+----------------------------------+----------------------------------+--------------+------------+------------+ -; -34.936 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.373 ; 36.310 ; -; -34.810 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[3] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; -0.084 ; 35.727 ; -; -34.808 ; mz80k_top:mz80k_top|fz80:z80|sel_af ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.377 ; 36.186 ; -; -34.775 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[6] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.373 ; 36.149 ; -; -34.774 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.373 ; 36.148 ; -; -34.724 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[3] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.362 ; 36.087 ; -; -34.682 ; mz80k_top:mz80k_top|fz80:z80|sel_af ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[3] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; -0.080 ; 35.603 ; -; -34.647 ; mz80k_top:mz80k_top|fz80:z80|sel_af ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[6] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.377 ; 36.025 ; -; -34.646 ; mz80k_top:mz80k_top|fz80:z80|sel_af ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.377 ; 36.024 ; -; -34.598 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[3] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[3] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; -0.095 ; 35.504 ; -; -34.563 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[3] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[6] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.362 ; 35.926 ; -; -34.562 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[3] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.362 ; 35.925 ; -; -34.559 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[4] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.373 ; 35.933 ; -; -34.559 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[2] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.362 ; 35.922 ; -; -34.513 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[4] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.372 ; 35.886 ; -; -34.499 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q0[5] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.376 ; 35.876 ; -; -34.481 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[2] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.354 ; 35.836 ; -; -34.474 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[5] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.391 ; 35.866 ; -; -34.458 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[0] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; -0.084 ; 35.375 ; -; -34.433 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[2] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[3] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; -0.095 ; 35.339 ; -; -34.431 ; mz80k_top:mz80k_top|fz80:z80|sel_af ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[4] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.377 ; 35.809 ; -; -34.402 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[0] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.362 ; 35.765 ; -; -34.400 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; mz80k_top:mz80k_top|fz80:z80|reg_pcl:reg_pcl|q[1] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; -0.079 ; 35.322 ; -; -34.398 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[2] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[6] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.362 ; 35.761 ; -; -34.397 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[2] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.362 ; 35.760 ; -; -34.387 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[4] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[3] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; -0.085 ; 35.303 ; -; -34.373 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q0[5] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[3] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; -0.081 ; 35.293 ; -; -34.366 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[5] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[3] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; -0.084 ; 35.283 ; -; -34.353 ; mz80k_top:mz80k_top|fz80:z80|sel_af ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[2] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.358 ; 35.712 ; -; -34.352 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[4] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[6] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.372 ; 35.725 ; -; -34.351 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[4] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.372 ; 35.724 ; -; -34.347 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[3] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[4] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.362 ; 35.710 ; -; -34.346 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; mz80k_top:mz80k_top|fz80:z80|reg_pcl:reg_pcl|q[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; -0.084 ; 35.263 ; -; -34.345 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[1] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.362 ; 35.708 ; -; -34.338 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q0[5] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[6] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.376 ; 35.715 ; -; -34.337 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q0[5] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.376 ; 35.714 ; -; -34.330 ; mz80k_top:mz80k_top|fz80:z80|sel_af ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[0] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; -0.080 ; 35.251 ; -; -34.313 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[5] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[6] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.391 ; 35.705 ; -; -34.312 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[5] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.391 ; 35.704 ; -; -34.307 ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[2] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; -0.079 ; 35.229 ; -; -34.287 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[3] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[2] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.325 ; 35.613 ; -; -34.277 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q0[0] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.388 ; 35.666 ; -; -34.276 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[0] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[3] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; -0.095 ; 35.182 ; -; -34.272 ; mz80k_top:mz80k_top|fz80:z80|sel_af ; mz80k_top:mz80k_top|fz80:z80|reg_pcl:reg_pcl|q[1] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; -0.075 ; 35.198 ; -; -34.246 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[3] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[0] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; -0.095 ; 35.152 ; -; -34.242 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q0[3] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.388 ; 35.631 ; -; -34.241 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[0] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[6] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.362 ; 35.604 ; -; -34.240 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[0] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.362 ; 35.603 ; -; -34.238 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q0[2] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.372 ; 35.611 ; -; -34.225 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q0[7] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.388 ; 35.614 ; -; -34.219 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[1] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[3] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; -0.095 ; 35.125 ; -; -34.218 ; mz80k_top:mz80k_top|fz80:z80|sel_af ; mz80k_top:mz80k_top|fz80:z80|reg_pcl:reg_pcl|q[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; -0.080 ; 35.139 ; -; -34.206 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[3] ; mz80k_top:mz80k_top|fz80:z80|reg_pcl:reg_pcl|q[1] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; -0.108 ; 35.099 ; -; -34.191 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[3] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.372 ; 35.564 ; -; -34.190 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q0[7] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.372 ; 35.563 ; -; -34.184 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[1] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[6] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.362 ; 35.547 ; -; -34.183 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[1] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.362 ; 35.546 ; -; -34.182 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[2] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[4] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.362 ; 35.545 ; -; -34.181 ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[2] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[3] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; -0.536 ; 34.646 ; -; -34.177 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; mz80k_top:mz80k_top|fz80:z80|reg_pcl:reg_pcl|q[0] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; -0.079 ; 35.099 ; -; -34.169 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q0[0] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[3] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; -0.087 ; 35.083 ; -; -34.163 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q0[5] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.388 ; 35.552 ; -; -34.146 ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[2] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[6] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; -0.079 ; 35.068 ; -; -34.145 ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[2] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; -0.079 ; 35.067 ; -; -34.136 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[4] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[4] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.372 ; 35.509 ; -; -34.134 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q0[3] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[3] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; -0.087 ; 35.048 ; -; -34.134 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[3] ; mz80k_top:mz80k_top|fz80:z80|reg_pcl:reg_pcl|q[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; -0.095 ; 35.040 ; -; -34.131 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q1[5] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.391 ; 35.523 ; -; -34.122 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q0[5] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[4] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.376 ; 35.499 ; -; -34.122 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[2] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[2] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.325 ; 35.448 ; -; -34.119 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d|q0[7] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.388 ; 35.508 ; -; -34.117 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q0[7] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[3] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; -0.087 ; 35.031 ; -; -34.116 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q0[0] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[6] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.388 ; 35.505 ; -; -34.115 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q0[0] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.388 ; 35.504 ; -; -34.112 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q0[2] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[3] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; -0.085 ; 35.028 ; -; -34.097 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[5] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[4] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.391 ; 35.489 ; -; -34.081 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q0[3] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[6] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.388 ; 35.470 ; -; -34.081 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[2] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[0] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; -0.095 ; 34.987 ; -; -34.080 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q0[3] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.388 ; 35.469 ; -; -34.077 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q0[2] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[6] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.372 ; 35.450 ; -; -34.076 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q0[2] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.372 ; 35.449 ; -; -34.065 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[3] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[3] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; -0.085 ; 34.981 ; -; -34.064 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q0[7] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[6] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.388 ; 35.453 ; -; -34.064 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q0[7] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[3] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; -0.085 ; 34.980 ; -; -34.063 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q0[7] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.388 ; 35.452 ; -; -34.058 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[4] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[2] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.353 ; 35.412 ; -; -34.056 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d|q1[7] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.388 ; 35.445 ; -; -34.055 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[5] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[2] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.336 ; 35.392 ; -; -34.055 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q0[5] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[3] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; -0.087 ; 34.969 ; -; -34.049 ; mz80k_top:mz80k_top|fz80:z80|sel_af ; mz80k_top:mz80k_top|fz80:z80|reg_pcl:reg_pcl|q[0] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; -0.075 ; 34.975 ; -; -34.044 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q0[5] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[2] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.357 ; 35.402 ; -; -34.041 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[2] ; mz80k_top:mz80k_top|fz80:z80|reg_pcl:reg_pcl|q[1] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; -0.108 ; 34.934 ; -; -34.035 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[4] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[0] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; -0.085 ; 34.951 ; -; -34.033 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[6] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.391 ; 35.425 ; -; -34.030 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[3] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[6] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.372 ; 35.403 ; -; -34.029 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[3] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.372 ; 35.402 ; -; -34.029 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q0[7] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[6] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.372 ; 35.402 ; -; -34.028 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q0[7] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.372 ; 35.401 ; -; -34.025 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[0] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[4] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.362 ; 35.388 ; -; -34.023 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q1[5] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[3] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; -0.084 ; 34.940 ; -+---------+----------------------------------------------------+---------------------------------------------------+----------------------------------+----------------------------------+--------------+------------+------------+ - - -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Slow 1200mV 85C Model Setup: 'pll|altpll_component|auto_generated|pll1|clk[0]' ; -+---------+----------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------------+----------------------------------+-------------------------------------------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+---------+----------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------------+----------------------------------+-------------------------------------------------+--------------+------------+------------+ -; -32.140 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a15~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.067 ; 28.062 ; -; -32.099 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|ram_block1a4~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.046 ; 28.042 ; -; -32.012 ; mz80k_top:mz80k_top|fz80:z80|sel_af ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a15~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.063 ; 27.938 ; -; -31.971 ; mz80k_top:mz80k_top|fz80:z80|sel_af ; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|ram_block1a4~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.042 ; 27.918 ; -; -31.928 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[3] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a15~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.078 ; 27.839 ; -; -31.887 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[3] ; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|ram_block1a4~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.057 ; 27.819 ; -; -31.797 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a7~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.056 ; 27.730 ; -; -31.767 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a23~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.056 ; 27.700 ; -; -31.763 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[2] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a15~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.078 ; 27.674 ; -; -31.722 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[2] ; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|ram_block1a4~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.057 ; 27.654 ; -; -31.717 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[4] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a15~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.068 ; 27.638 ; -; -31.703 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q0[5] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a15~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.064 ; 27.628 ; -; -31.696 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[5] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a15~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.067 ; 27.618 ; -; -31.676 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[4] ; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|ram_block1a4~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.047 ; 27.618 ; -; -31.669 ; mz80k_top:mz80k_top|fz80:z80|sel_af ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a7~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.052 ; 27.606 ; -; -31.662 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q0[5] ; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|ram_block1a4~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.043 ; 27.608 ; -; -31.655 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[5] ; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|ram_block1a4~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.046 ; 27.598 ; -; -31.639 ; mz80k_top:mz80k_top|fz80:z80|sel_af ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a23~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.052 ; 27.576 ; -; -31.606 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[0] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a15~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.078 ; 27.517 ; -; -31.589 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a25~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.069 ; 27.509 ; -; -31.585 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[3] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a7~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.067 ; 27.507 ; -; -31.576 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a13~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.079 ; 27.486 ; -; -31.573 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a5~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.076 ; 27.486 ; -; -31.565 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[0] ; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|ram_block1a4~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.057 ; 27.497 ; -; -31.563 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a29~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.071 ; 27.481 ; -; -31.555 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[3] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a23~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.067 ; 27.477 ; -; -31.549 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[1] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a15~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.078 ; 27.460 ; -; -31.523 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a21~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.076 ; 27.436 ; -; -31.519 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a17~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.060 ; 27.448 ; -; -31.511 ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[2] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a15~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.519 ; 26.981 ; -; -31.508 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[1] ; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|ram_block1a4~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.057 ; 27.440 ; -; -31.499 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q0[0] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a15~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.070 ; 27.418 ; -; -31.470 ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[2] ; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|ram_block1a4~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.498 ; 26.961 ; -; -31.464 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q0[3] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a15~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.070 ; 27.383 ; -; -31.461 ; mz80k_top:mz80k_top|fz80:z80|sel_af ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a25~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.065 ; 27.385 ; -; -31.458 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q0[0] ; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|ram_block1a4~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.049 ; 27.398 ; -; -31.448 ; mz80k_top:mz80k_top|fz80:z80|sel_af ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a13~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.075 ; 27.362 ; -; -31.447 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q0[7] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a15~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.070 ; 27.366 ; -; -31.445 ; mz80k_top:mz80k_top|fz80:z80|sel_af ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a5~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.072 ; 27.362 ; -; -31.442 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q0[2] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a15~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.068 ; 27.363 ; -; -31.435 ; mz80k_top:mz80k_top|fz80:z80|sel_af ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a29~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.067 ; 27.357 ; -; -31.423 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q0[3] ; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|ram_block1a4~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.049 ; 27.363 ; -; -31.420 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[2] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a7~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.067 ; 27.342 ; -; -31.407 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a31~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.058 ; 27.338 ; -; -31.406 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q0[7] ; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|ram_block1a4~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.049 ; 27.346 ; -; -31.401 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q0[2] ; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|ram_block1a4~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.047 ; 27.343 ; -; -31.395 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[3] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a15~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.068 ; 27.316 ; -; -31.395 ; mz80k_top:mz80k_top|fz80:z80|sel_af ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a21~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.072 ; 27.312 ; -; -31.394 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q0[7] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a15~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.068 ; 27.315 ; -; -31.391 ; mz80k_top:mz80k_top|fz80:z80|sel_af ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a17~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.056 ; 27.324 ; -; -31.390 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[2] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a23~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.067 ; 27.312 ; -; -31.385 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q0[5] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a15~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.070 ; 27.304 ; -; -31.377 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[3] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a25~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.080 ; 27.286 ; -; -31.374 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[4] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a7~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.057 ; 27.306 ; -; -31.364 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[3] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a13~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.090 ; 27.263 ; -; -31.361 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[3] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a5~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.087 ; 27.263 ; -; -31.360 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q0[5] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a7~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.053 ; 27.296 ; -; -31.354 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[3] ; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|ram_block1a4~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.047 ; 27.296 ; -; -31.353 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q1[5] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a15~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.067 ; 27.275 ; -; -31.353 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q0[7] ; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|ram_block1a4~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.047 ; 27.295 ; -; -31.353 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[5] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a7~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.056 ; 27.286 ; -; -31.351 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[3] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a29~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.082 ; 27.258 ; -; -31.344 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[4] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a23~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.057 ; 27.276 ; -; -31.344 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q0[5] ; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|ram_block1a4~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.049 ; 27.284 ; -; -31.341 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d|q0[7] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a15~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.070 ; 27.260 ; -; -31.331 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a1~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.069 ; 27.251 ; -; -31.330 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q0[5] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a23~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.053 ; 27.266 ; -; -31.323 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[5] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a23~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.056 ; 27.256 ; -; -31.312 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q1[5] ; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|ram_block1a4~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.046 ; 27.255 ; -; -31.311 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[3] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a21~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.087 ; 27.213 ; -; -31.307 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[3] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a17~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.071 ; 27.225 ; -; -31.300 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d|q0[7] ; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|ram_block1a4~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.049 ; 27.240 ; -; -31.279 ; mz80k_top:mz80k_top|fz80:z80|sel_af ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a31~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.054 ; 27.214 ; -; -31.278 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d|q1[7] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a15~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.070 ; 27.197 ; -; -31.263 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[0] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a7~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.067 ; 27.185 ; -; -31.262 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|ram_block1a0~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.056 ; 27.195 ; -; -31.262 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a30~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.072 ; 27.179 ; -; -31.255 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a12~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.066 ; 27.178 ; -; -31.255 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[6] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a15~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.067 ; 27.177 ; -; -31.237 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d|q1[7] ; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|ram_block1a4~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.049 ; 27.177 ; -; -31.236 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a6~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.069 ; 27.156 ; -; -31.233 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[0] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a23~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.067 ; 27.155 ; -; -31.229 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a8~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.070 ; 27.148 ; -; -31.228 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a14~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.071 ; 27.146 ; -; -31.218 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a16~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.059 ; 27.148 ; -; -31.216 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a9~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.067 ; 27.138 ; -; -31.214 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[6] ; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|ram_block1a4~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.046 ; 27.157 ; -; -31.212 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[2] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a25~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.080 ; 27.121 ; -; -31.206 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[1] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a7~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.067 ; 27.128 ; -; -31.203 ; mz80k_top:mz80k_top|fz80:z80|sel_af ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a1~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.065 ; 27.127 ; -; -31.199 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[2] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a13~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.090 ; 27.098 ; -; -31.197 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[1] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a15~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.068 ; 27.118 ; -; -31.196 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[2] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a5~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.087 ; 27.098 ; -; -31.195 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[3] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a31~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.069 ; 27.115 ; -; -31.186 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[2] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a29~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.082 ; 27.093 ; -; -31.176 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[1] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a23~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.067 ; 27.098 ; -; -31.168 ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[2] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a7~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.508 ; 26.649 ; -; -31.166 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[4] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a25~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.070 ; 27.085 ; -; -31.156 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[1] ; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|ram_block1a4~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.047 ; 27.098 ; -; -31.156 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q0[0] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a7~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.059 ; 27.086 ; -+---------+----------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------------+----------------------------------+-------------------------------------------------+--------------+------------+------------+ - - -+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Slow 1200mV 85C Model Setup: 'mz80k_top:mz80k_top|CLK_2M' ; -+--------+-------------------------------------------+----------------------------------------------+----------------------------------+----------------------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+--------+-------------------------------------------+----------------------------------------------+----------------------------------+----------------------------+--------------+------------+------------+ -; -7.401 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.742 ; 6.660 ; -; -7.401 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[0] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.742 ; 6.660 ; -; -7.401 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[1] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.742 ; 6.660 ; -; -7.401 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[2] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.742 ; 6.660 ; -; -7.401 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[3] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.742 ; 6.660 ; -; -7.401 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[4] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.742 ; 6.660 ; -; -7.401 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[6] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.742 ; 6.660 ; -; -7.401 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.742 ; 6.660 ; -; -7.401 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[8] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.742 ; 6.660 ; -; -7.401 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[9] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.742 ; 6.660 ; -; -7.401 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[10] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.742 ; 6.660 ; -; -7.401 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[11] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.742 ; 6.660 ; -; -7.401 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[12] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.742 ; 6.660 ; -; -7.401 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[13] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.742 ; 6.660 ; -; -7.401 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[14] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.742 ; 6.660 ; -; -7.401 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[15] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.742 ; 6.660 ; -; -6.096 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.746 ; 5.351 ; -; -6.096 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[0] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.746 ; 5.351 ; -; -6.096 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[1] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.746 ; 5.351 ; -; -6.096 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[2] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.746 ; 5.351 ; -; -6.096 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[3] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.746 ; 5.351 ; -; -6.096 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[4] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.746 ; 5.351 ; -; -6.096 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[6] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.746 ; 5.351 ; -; -6.096 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.746 ; 5.351 ; -; -6.096 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[8] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.746 ; 5.351 ; -; -6.096 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[9] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.746 ; 5.351 ; -; -6.096 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[10] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.746 ; 5.351 ; -; -6.096 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[11] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.746 ; 5.351 ; -; -6.096 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[12] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.746 ; 5.351 ; -; -6.096 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[13] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.746 ; 5.351 ; -; -6.096 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[14] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.746 ; 5.351 ; -; -6.096 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[15] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.746 ; 5.351 ; -; -5.934 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.746 ; 5.189 ; -; -5.934 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[0] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.746 ; 5.189 ; -; -5.934 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[1] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.746 ; 5.189 ; -; -5.934 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[2] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.746 ; 5.189 ; -; -5.934 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[3] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.746 ; 5.189 ; -; -5.934 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[4] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.746 ; 5.189 ; -; -5.934 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[6] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.746 ; 5.189 ; -; -5.934 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.746 ; 5.189 ; -; -5.934 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[8] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.746 ; 5.189 ; -; -5.934 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[9] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.746 ; 5.189 ; -; -5.934 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[10] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.746 ; 5.189 ; -; -5.934 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[11] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.746 ; 5.189 ; -; -5.934 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[12] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.746 ; 5.189 ; -; -5.934 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[13] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.746 ; 5.189 ; -; -5.934 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[14] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.746 ; 5.189 ; -; -5.934 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[15] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.746 ; 5.189 ; -; -5.911 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.746 ; 5.166 ; -; -5.911 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[0] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.746 ; 5.166 ; -; -5.911 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[1] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.746 ; 5.166 ; -; -5.911 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[2] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.746 ; 5.166 ; -; -5.911 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[3] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.746 ; 5.166 ; -; -5.911 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[4] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.746 ; 5.166 ; -; -5.911 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[6] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.746 ; 5.166 ; -; -5.911 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.746 ; 5.166 ; -; -5.911 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[8] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.746 ; 5.166 ; -; -5.911 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[9] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.746 ; 5.166 ; -; -5.911 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[10] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.746 ; 5.166 ; -; -5.911 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[11] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.746 ; 5.166 ; -; -5.911 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[12] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.746 ; 5.166 ; -; -5.911 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[13] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.746 ; 5.166 ; -; -5.911 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[14] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.746 ; 5.166 ; -; -5.911 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[15] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.746 ; 5.166 ; -; -5.666 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.746 ; 4.921 ; -; -5.666 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[0] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.746 ; 4.921 ; -; -5.666 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[1] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.746 ; 4.921 ; -; -5.666 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[2] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.746 ; 4.921 ; -; -5.666 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[3] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.746 ; 4.921 ; -; -5.666 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[4] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.746 ; 4.921 ; -; -5.666 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[6] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.746 ; 4.921 ; -; -5.666 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.746 ; 4.921 ; -; -5.666 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[8] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.746 ; 4.921 ; -; -5.666 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[9] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.746 ; 4.921 ; -; -5.666 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[10] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.746 ; 4.921 ; -; -5.666 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[11] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.746 ; 4.921 ; -; -5.666 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[12] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.746 ; 4.921 ; -; -5.666 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[13] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.746 ; 4.921 ; -; -5.666 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[14] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.746 ; 4.921 ; -; -5.666 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[15] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.746 ; 4.921 ; -; -5.620 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.746 ; 4.875 ; -; -5.620 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[0] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.746 ; 4.875 ; -; -5.620 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[1] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.746 ; 4.875 ; -; -5.620 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[2] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.746 ; 4.875 ; -; -5.620 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[3] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.746 ; 4.875 ; -; -5.620 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[4] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.746 ; 4.875 ; -; -5.620 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[6] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.746 ; 4.875 ; -; -5.620 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.746 ; 4.875 ; -; -5.620 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[8] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.746 ; 4.875 ; -; -5.620 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[9] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.746 ; 4.875 ; -; -5.620 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[10] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.746 ; 4.875 ; -; -5.620 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[11] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.746 ; 4.875 ; -; -5.620 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[12] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.746 ; 4.875 ; -; -5.620 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[13] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.746 ; 4.875 ; -; -5.620 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[14] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.746 ; 4.875 ; -; -5.620 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[15] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.746 ; 4.875 ; -; -5.530 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.746 ; 4.785 ; -; -5.530 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[0] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.746 ; 4.785 ; -; -5.530 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[1] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.746 ; 4.785 ; -; -5.530 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[2] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.746 ; 4.785 ; -+--------+-------------------------------------------+----------------------------------------------+----------------------------------+----------------------------+--------------+------------+------------+ - - -+----------------------------------------------------------------------------------------------------------------------------------------------+ -; Slow 1200mV 85C Model Setup: 'SPI_SCK' ; -+--------+-----------------------------+---------------------------------+--------------+-------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+--------+-----------------------------+---------------------------------+--------------+-------------+--------------+------------+------------+ -; -7.006 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~15 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.089 ; 7.918 ; -; -7.006 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~14 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.089 ; 7.918 ; -; -7.006 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~13 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.089 ; 7.918 ; -; -7.006 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~12 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.089 ; 7.918 ; -; -7.006 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~11 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.089 ; 7.918 ; -; -7.006 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~10 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.089 ; 7.918 ; -; -7.006 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~9 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.089 ; 7.918 ; -; -7.006 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~8 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.089 ; 7.918 ; -; -6.766 ; mist_io:mist_io|byte_cnt[3] ; mist_io:mist_io|ps2_kbd_fifo~15 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.089 ; 7.678 ; -; -6.766 ; mist_io:mist_io|byte_cnt[3] ; mist_io:mist_io|ps2_kbd_fifo~14 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.089 ; 7.678 ; -; -6.766 ; mist_io:mist_io|byte_cnt[3] ; mist_io:mist_io|ps2_kbd_fifo~13 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.089 ; 7.678 ; -; -6.766 ; mist_io:mist_io|byte_cnt[3] ; mist_io:mist_io|ps2_kbd_fifo~12 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.089 ; 7.678 ; -; -6.766 ; mist_io:mist_io|byte_cnt[3] ; mist_io:mist_io|ps2_kbd_fifo~11 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.089 ; 7.678 ; -; -6.766 ; mist_io:mist_io|byte_cnt[3] ; mist_io:mist_io|ps2_kbd_fifo~10 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.089 ; 7.678 ; -; -6.766 ; mist_io:mist_io|byte_cnt[3] ; mist_io:mist_io|ps2_kbd_fifo~9 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.089 ; 7.678 ; -; -6.766 ; mist_io:mist_io|byte_cnt[3] ; mist_io:mist_io|ps2_kbd_fifo~8 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.089 ; 7.678 ; -; -6.596 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~62 ; SPI_SCK ; SPI_SCK ; 1.000 ; 0.358 ; 7.955 ; -; -6.596 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~61 ; SPI_SCK ; SPI_SCK ; 1.000 ; 0.358 ; 7.955 ; -; -6.547 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~38 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.070 ; 7.478 ; -; -6.547 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~37 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.070 ; 7.478 ; -; -6.500 ; mist_io:mist_io|byte_cnt[4] ; mist_io:mist_io|ps2_kbd_fifo~15 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.089 ; 7.412 ; -; -6.500 ; mist_io:mist_io|byte_cnt[4] ; mist_io:mist_io|ps2_kbd_fifo~14 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.089 ; 7.412 ; -; -6.500 ; mist_io:mist_io|byte_cnt[4] ; mist_io:mist_io|ps2_kbd_fifo~13 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.089 ; 7.412 ; -; -6.500 ; mist_io:mist_io|byte_cnt[4] ; mist_io:mist_io|ps2_kbd_fifo~12 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.089 ; 7.412 ; -; -6.500 ; mist_io:mist_io|byte_cnt[4] ; mist_io:mist_io|ps2_kbd_fifo~11 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.089 ; 7.412 ; -; -6.500 ; mist_io:mist_io|byte_cnt[4] ; mist_io:mist_io|ps2_kbd_fifo~10 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.089 ; 7.412 ; -; -6.500 ; mist_io:mist_io|byte_cnt[4] ; mist_io:mist_io|ps2_kbd_fifo~9 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.089 ; 7.412 ; -; -6.500 ; mist_io:mist_io|byte_cnt[4] ; mist_io:mist_io|ps2_kbd_fifo~8 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.089 ; 7.412 ; -; -6.459 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~47 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.069 ; 7.391 ; -; -6.459 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~46 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.069 ; 7.391 ; -; -6.459 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~45 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.069 ; 7.391 ; -; -6.459 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~44 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.069 ; 7.391 ; -; -6.459 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~43 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.069 ; 7.391 ; -; -6.459 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~42 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.069 ; 7.391 ; -; -6.459 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~41 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.069 ; 7.391 ; -; -6.459 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~40 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.069 ; 7.391 ; -; -6.356 ; mist_io:mist_io|byte_cnt[3] ; mist_io:mist_io|ps2_kbd_fifo~62 ; SPI_SCK ; SPI_SCK ; 1.000 ; 0.358 ; 7.715 ; -; -6.356 ; mist_io:mist_io|byte_cnt[3] ; mist_io:mist_io|ps2_kbd_fifo~61 ; SPI_SCK ; SPI_SCK ; 1.000 ; 0.358 ; 7.715 ; -; -6.336 ; mist_io:mist_io|byte_cnt[5] ; mist_io:mist_io|ps2_kbd_fifo~15 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.089 ; 7.248 ; -; -6.336 ; mist_io:mist_io|byte_cnt[5] ; mist_io:mist_io|ps2_kbd_fifo~14 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.089 ; 7.248 ; -; -6.336 ; mist_io:mist_io|byte_cnt[5] ; mist_io:mist_io|ps2_kbd_fifo~13 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.089 ; 7.248 ; -; -6.336 ; mist_io:mist_io|byte_cnt[5] ; mist_io:mist_io|ps2_kbd_fifo~12 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.089 ; 7.248 ; -; -6.336 ; mist_io:mist_io|byte_cnt[5] ; mist_io:mist_io|ps2_kbd_fifo~11 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.089 ; 7.248 ; -; -6.336 ; mist_io:mist_io|byte_cnt[5] ; mist_io:mist_io|ps2_kbd_fifo~10 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.089 ; 7.248 ; -; -6.336 ; mist_io:mist_io|byte_cnt[5] ; mist_io:mist_io|ps2_kbd_fifo~9 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.089 ; 7.248 ; -; -6.336 ; mist_io:mist_io|byte_cnt[5] ; mist_io:mist_io|ps2_kbd_fifo~8 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.089 ; 7.248 ; -; -6.334 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~31 ; SPI_SCK ; SPI_SCK ; 1.000 ; 0.347 ; 7.682 ; -; -6.334 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~30 ; SPI_SCK ; SPI_SCK ; 1.000 ; 0.347 ; 7.682 ; -; -6.334 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~29 ; SPI_SCK ; SPI_SCK ; 1.000 ; 0.347 ; 7.682 ; -; -6.334 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~28 ; SPI_SCK ; SPI_SCK ; 1.000 ; 0.347 ; 7.682 ; -; -6.334 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~27 ; SPI_SCK ; SPI_SCK ; 1.000 ; 0.347 ; 7.682 ; -; -6.334 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~26 ; SPI_SCK ; SPI_SCK ; 1.000 ; 0.347 ; 7.682 ; -; -6.334 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~25 ; SPI_SCK ; SPI_SCK ; 1.000 ; 0.347 ; 7.682 ; -; -6.334 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~24 ; SPI_SCK ; SPI_SCK ; 1.000 ; 0.347 ; 7.682 ; -; -6.307 ; mist_io:mist_io|byte_cnt[3] ; mist_io:mist_io|ps2_kbd_fifo~38 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.070 ; 7.238 ; -; -6.307 ; mist_io:mist_io|byte_cnt[3] ; mist_io:mist_io|ps2_kbd_fifo~37 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.070 ; 7.238 ; -; -6.283 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|status[4] ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.086 ; 7.198 ; -; -6.263 ; mist_io:mist_io|byte_cnt[0] ; mist_io:mist_io|status[4] ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.086 ; 7.178 ; -; -6.256 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|status[3] ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.087 ; 7.170 ; -; -6.236 ; mist_io:mist_io|byte_cnt[0] ; mist_io:mist_io|status[3] ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.087 ; 7.150 ; -; -6.233 ; mist_io:mist_io|byte_cnt[2] ; mist_io:mist_io|status[4] ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.086 ; 7.148 ; -; -6.225 ; mist_io:mist_io|byte_cnt[3] ; mist_io:mist_io|status[4] ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.086 ; 7.140 ; -; -6.219 ; mist_io:mist_io|byte_cnt[3] ; mist_io:mist_io|ps2_kbd_fifo~47 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.069 ; 7.151 ; -; -6.219 ; mist_io:mist_io|byte_cnt[3] ; mist_io:mist_io|ps2_kbd_fifo~46 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.069 ; 7.151 ; -; -6.219 ; mist_io:mist_io|byte_cnt[3] ; mist_io:mist_io|ps2_kbd_fifo~45 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.069 ; 7.151 ; -; -6.219 ; mist_io:mist_io|byte_cnt[3] ; mist_io:mist_io|ps2_kbd_fifo~44 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.069 ; 7.151 ; -; -6.219 ; mist_io:mist_io|byte_cnt[3] ; mist_io:mist_io|ps2_kbd_fifo~43 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.069 ; 7.151 ; -; -6.219 ; mist_io:mist_io|byte_cnt[3] ; mist_io:mist_io|ps2_kbd_fifo~42 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.069 ; 7.151 ; -; -6.219 ; mist_io:mist_io|byte_cnt[3] ; mist_io:mist_io|ps2_kbd_fifo~41 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.069 ; 7.151 ; -; -6.219 ; mist_io:mist_io|byte_cnt[3] ; mist_io:mist_io|ps2_kbd_fifo~40 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.069 ; 7.151 ; -; -6.206 ; mist_io:mist_io|byte_cnt[2] ; mist_io:mist_io|status[3] ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.087 ; 7.120 ; -; -6.198 ; mist_io:mist_io|byte_cnt[3] ; mist_io:mist_io|status[3] ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.087 ; 7.112 ; -; -6.194 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~23 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.088 ; 7.107 ; -; -6.194 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~22 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.088 ; 7.107 ; -; -6.194 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~21 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.088 ; 7.107 ; -; -6.194 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~20 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.088 ; 7.107 ; -; -6.194 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~19 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.088 ; 7.107 ; -; -6.194 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~18 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.088 ; 7.107 ; -; -6.194 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~17 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.088 ; 7.107 ; -; -6.194 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~16 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.088 ; 7.107 ; -; -6.186 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~55 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.070 ; 7.117 ; -; -6.186 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~54 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.070 ; 7.117 ; -; -6.186 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~53 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.070 ; 7.117 ; -; -6.186 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~52 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.070 ; 7.117 ; -; -6.186 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~51 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.070 ; 7.117 ; -; -6.186 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~50 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.070 ; 7.117 ; -; -6.186 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~49 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.070 ; 7.117 ; -; -6.186 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~48 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.070 ; 7.117 ; -; -6.158 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~7 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.089 ; 7.070 ; -; -6.158 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~6 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.089 ; 7.070 ; -; -6.158 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~5 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.089 ; 7.070 ; -; -6.158 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~4 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.089 ; 7.070 ; -; -6.158 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~3 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.089 ; 7.070 ; -; -6.158 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~2 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.089 ; 7.070 ; -; -6.158 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~1 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.089 ; 7.070 ; -; -6.158 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~0 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.089 ; 7.070 ; -; -6.112 ; mist_io:mist_io|byte_cnt[0] ; mist_io:mist_io|ps2_kbd_fifo~15 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.089 ; 7.024 ; -; -6.112 ; mist_io:mist_io|byte_cnt[0] ; mist_io:mist_io|ps2_kbd_fifo~14 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.089 ; 7.024 ; -; -6.112 ; mist_io:mist_io|byte_cnt[0] ; mist_io:mist_io|ps2_kbd_fifo~13 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.089 ; 7.024 ; -; -6.112 ; mist_io:mist_io|byte_cnt[0] ; mist_io:mist_io|ps2_kbd_fifo~12 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.089 ; 7.024 ; -+--------+-----------------------------+---------------------------------+--------------+-------------+--------------+------------+------------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Slow 1200mV 85C Model Setup: 'mz80k_top:mz80k_top|CLK_31250' ; -+--------+-------------------------------------------+----------------------------------------------+----------------------------------+-------------------------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+--------+-------------------------------------------+----------------------------------------------+----------------------------------+-------------------------------+--------------+------------+------------+ -; -6.618 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.935 ; 5.684 ; -; -6.618 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[0] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.935 ; 5.684 ; -; -6.618 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[1] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.935 ; 5.684 ; -; -6.618 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[2] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.935 ; 5.684 ; -; -6.618 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[3] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.935 ; 5.684 ; -; -6.618 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[4] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.935 ; 5.684 ; -; -6.618 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.935 ; 5.684 ; -; -6.618 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[6] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.935 ; 5.684 ; -; -6.618 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[8] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.935 ; 5.684 ; -; -6.618 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[9] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.935 ; 5.684 ; -; -6.618 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[10] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.935 ; 5.684 ; -; -6.618 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[11] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.935 ; 5.684 ; -; -6.618 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[12] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.935 ; 5.684 ; -; -6.618 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[13] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.935 ; 5.684 ; -; -6.618 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[14] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.935 ; 5.684 ; -; -6.618 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[15] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.935 ; 5.684 ; -; -6.616 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.950 ; 5.667 ; -; -6.616 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[0] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.950 ; 5.667 ; -; -6.616 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[1] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.950 ; 5.667 ; -; -6.616 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[2] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.950 ; 5.667 ; -; -6.616 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[3] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.950 ; 5.667 ; -; -6.616 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[4] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.950 ; 5.667 ; -; -6.616 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.950 ; 5.667 ; -; -6.616 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[6] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.950 ; 5.667 ; -; -6.616 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[8] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.950 ; 5.667 ; -; -6.616 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[9] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.950 ; 5.667 ; -; -6.616 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[10] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.950 ; 5.667 ; -; -6.616 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[11] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.950 ; 5.667 ; -; -6.616 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[12] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.950 ; 5.667 ; -; -6.616 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[13] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.950 ; 5.667 ; -; -6.616 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[14] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.950 ; 5.667 ; -; -6.616 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[15] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.950 ; 5.667 ; -; -6.576 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.938 ; 5.639 ; -; -6.576 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[0] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.938 ; 5.639 ; -; -6.576 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[1] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.938 ; 5.639 ; -; -6.576 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[2] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.938 ; 5.639 ; -; -6.576 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[3] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.938 ; 5.639 ; -; -6.576 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[4] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.938 ; 5.639 ; -; -6.576 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.938 ; 5.639 ; -; -6.576 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[6] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.938 ; 5.639 ; -; -6.576 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[8] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.938 ; 5.639 ; -; -6.576 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[9] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.938 ; 5.639 ; -; -6.576 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[10] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.938 ; 5.639 ; -; -6.576 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[11] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.938 ; 5.639 ; -; -6.576 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[12] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.938 ; 5.639 ; -; -6.576 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[13] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.938 ; 5.639 ; -; -6.576 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[14] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.938 ; 5.639 ; -; -6.576 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[15] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.938 ; 5.639 ; -; -6.503 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.940 ; 5.564 ; -; -6.503 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[0] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.940 ; 5.564 ; -; -6.503 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[1] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.940 ; 5.564 ; -; -6.503 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[2] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.940 ; 5.564 ; -; -6.503 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[3] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.940 ; 5.564 ; -; -6.503 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[4] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.940 ; 5.564 ; -; -6.503 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.940 ; 5.564 ; -; -6.503 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[6] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.940 ; 5.564 ; -; -6.503 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[8] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.940 ; 5.564 ; -; -6.503 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[9] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.940 ; 5.564 ; -; -6.503 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[10] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.940 ; 5.564 ; -; -6.503 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[11] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.940 ; 5.564 ; -; -6.503 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[12] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.940 ; 5.564 ; -; -6.503 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[13] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.940 ; 5.564 ; -; -6.503 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[14] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.940 ; 5.564 ; -; -6.503 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[15] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.940 ; 5.564 ; -; -6.429 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.937 ; 5.493 ; -; -6.429 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[0] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.937 ; 5.493 ; -; -6.429 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[1] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.937 ; 5.493 ; -; -6.429 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[2] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.937 ; 5.493 ; -; -6.429 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[3] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.937 ; 5.493 ; -; -6.429 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[4] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.937 ; 5.493 ; -; -6.429 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.937 ; 5.493 ; -; -6.429 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[6] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.937 ; 5.493 ; -; -6.429 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[8] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.937 ; 5.493 ; -; -6.429 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[9] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.937 ; 5.493 ; -; -6.429 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[10] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.937 ; 5.493 ; -; -6.429 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[11] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.937 ; 5.493 ; -; -6.429 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[12] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.937 ; 5.493 ; -; -6.429 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[13] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.937 ; 5.493 ; -; -6.429 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[14] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.937 ; 5.493 ; -; -6.429 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[15] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.937 ; 5.493 ; -; -6.357 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.949 ; 5.409 ; -; -6.357 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[0] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.949 ; 5.409 ; -; -6.357 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[1] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.949 ; 5.409 ; -; -6.357 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[2] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.949 ; 5.409 ; -; -6.357 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[3] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.949 ; 5.409 ; -; -6.357 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[4] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.949 ; 5.409 ; -; -6.357 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.949 ; 5.409 ; -; -6.357 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[6] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.949 ; 5.409 ; -; -6.357 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[8] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.949 ; 5.409 ; -; -6.357 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[9] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.949 ; 5.409 ; -; -6.357 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[10] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.949 ; 5.409 ; -; -6.357 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[11] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.949 ; 5.409 ; -; -6.357 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[12] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.949 ; 5.409 ; -; -6.357 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[13] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.949 ; 5.409 ; -; -6.357 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[14] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.949 ; 5.409 ; -; -6.357 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[15] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.949 ; 5.409 ; -; -6.234 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.946 ; 5.289 ; -; -6.234 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[0] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.946 ; 5.289 ; -; -6.234 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[1] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.946 ; 5.289 ; -; -6.234 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[2] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.946 ; 5.289 ; -+--------+-------------------------------------------+----------------------------------------------+----------------------------------+-------------------------------+--------------+------------+------------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Slow 1200mV 85C Model Setup: 'mz80k_top:mz80k_top|i8253:i8253_1|signal1' ; -+--------+----------------------------------------------+----------------------------------------------+-------------------------------------------+-------------------------------------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+--------+----------------------------------------------+----------------------------------------------+-------------------------------------------+-------------------------------------------+--------------+------------+------------+ -; -4.583 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.080 ; 5.504 ; -; -4.583 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[1] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.080 ; 5.504 ; -; -4.583 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.080 ; 5.504 ; -; -4.583 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[3] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.080 ; 5.504 ; -; -4.583 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[4] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.080 ; 5.504 ; -; -4.583 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[5] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.080 ; 5.504 ; -; -4.583 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[6] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.080 ; 5.504 ; -; -4.583 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[7] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.080 ; 5.504 ; -; -4.583 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[10] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.080 ; 5.504 ; -; -4.583 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[11] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.080 ; 5.504 ; -; -4.583 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[15] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.080 ; 5.504 ; -; -4.556 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.501 ; 5.056 ; -; -4.556 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[1] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.501 ; 5.056 ; -; -4.556 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.501 ; 5.056 ; -; -4.556 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[3] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.501 ; 5.056 ; -; -4.556 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[4] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.501 ; 5.056 ; -; -4.556 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.501 ; 5.056 ; -; -4.556 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[6] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.501 ; 5.056 ; -; -4.556 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.501 ; 5.056 ; -; -4.556 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[10] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.501 ; 5.056 ; -; -4.556 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[11] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.501 ; 5.056 ; -; -4.556 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[15] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.501 ; 5.056 ; -; -4.525 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.501 ; 5.025 ; -; -4.525 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[1] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.501 ; 5.025 ; -; -4.525 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.501 ; 5.025 ; -; -4.525 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[3] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.501 ; 5.025 ; -; -4.525 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[4] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.501 ; 5.025 ; -; -4.525 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.501 ; 5.025 ; -; -4.525 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[6] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.501 ; 5.025 ; -; -4.525 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.501 ; 5.025 ; -; -4.525 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[10] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.501 ; 5.025 ; -; -4.525 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[11] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.501 ; 5.025 ; -; -4.525 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[15] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.501 ; 5.025 ; -; -4.426 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.501 ; 4.926 ; -; -4.426 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[1] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.501 ; 4.926 ; -; -4.426 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.501 ; 4.926 ; -; -4.426 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[3] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.501 ; 4.926 ; -; -4.426 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[4] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.501 ; 4.926 ; -; -4.426 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.501 ; 4.926 ; -; -4.426 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[6] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.501 ; 4.926 ; -; -4.426 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.501 ; 4.926 ; -; -4.426 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[10] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.501 ; 4.926 ; -; -4.426 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[11] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.501 ; 4.926 ; -; -4.426 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[15] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.501 ; 4.926 ; -; -4.417 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.080 ; 5.338 ; -; -4.417 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[1] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.080 ; 5.338 ; -; -4.417 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.080 ; 5.338 ; -; -4.417 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[3] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.080 ; 5.338 ; -; -4.417 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[4] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.080 ; 5.338 ; -; -4.417 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[5] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.080 ; 5.338 ; -; -4.417 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[6] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.080 ; 5.338 ; -; -4.417 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[7] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.080 ; 5.338 ; -; -4.417 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[10] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.080 ; 5.338 ; -; -4.417 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[11] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.080 ; 5.338 ; -; -4.417 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[15] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.080 ; 5.338 ; -; -4.380 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.501 ; 4.880 ; -; -4.380 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[1] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.501 ; 4.880 ; -; -4.380 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.501 ; 4.880 ; -; -4.380 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[3] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.501 ; 4.880 ; -; -4.380 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[4] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.501 ; 4.880 ; -; -4.380 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.501 ; 4.880 ; -; -4.380 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[6] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.501 ; 4.880 ; -; -4.380 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.501 ; 4.880 ; -; -4.380 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[10] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.501 ; 4.880 ; -; -4.380 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[11] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.501 ; 4.880 ; -; -4.380 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[15] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.501 ; 4.880 ; -; -4.294 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.523 ; 4.772 ; -; -4.294 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[1] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.523 ; 4.772 ; -; -4.294 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.523 ; 4.772 ; -; -4.294 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[3] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.523 ; 4.772 ; -; -4.294 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[4] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.523 ; 4.772 ; -; -4.294 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[5] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.523 ; 4.772 ; -; -4.294 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[6] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.523 ; 4.772 ; -; -4.294 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[7] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.523 ; 4.772 ; -; -4.294 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[10] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.523 ; 4.772 ; -; -4.294 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[11] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.523 ; 4.772 ; -; -4.294 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[15] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.523 ; 4.772 ; -; -4.290 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.501 ; 4.790 ; -; -4.290 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[1] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.501 ; 4.790 ; -; -4.290 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.501 ; 4.790 ; -; -4.290 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[3] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.501 ; 4.790 ; -; -4.290 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[4] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.501 ; 4.790 ; -; -4.290 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.501 ; 4.790 ; -; -4.290 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[6] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.501 ; 4.790 ; -; -4.290 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.501 ; 4.790 ; -; -4.290 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[10] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.501 ; 4.790 ; -; -4.290 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[11] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.501 ; 4.790 ; -; -4.290 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[15] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.501 ; 4.790 ; -; -4.280 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.501 ; 4.780 ; -; -4.280 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[1] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.501 ; 4.780 ; -; -4.280 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.501 ; 4.780 ; -; -4.280 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[3] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.501 ; 4.780 ; -; -4.280 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[4] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.501 ; 4.780 ; -; -4.280 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.501 ; 4.780 ; -; -4.280 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[6] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.501 ; 4.780 ; -; -4.280 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.501 ; 4.780 ; -; -4.280 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[10] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.501 ; 4.780 ; -; -4.280 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[11] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.501 ; 4.780 ; -; -4.280 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[15] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.501 ; 4.780 ; -; -4.246 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[12] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.523 ; 4.724 ; -+--------+----------------------------------------------+----------------------------------------------+-------------------------------------------+-------------------------------------------+--------------+------------+------------+ - - -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Slow 1200mV 85C Model Setup: 'mz80k_top:mz80k_top|vga:vga1|counter[0]' ; -+--------+-----------------------------------+-----------------------------------+-----------------------------------------+-----------------------------------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+--------+-----------------------------------+-----------------------------------+-----------------------------------------+-----------------------------------------+--------------+------------+------------+ -; -2.023 ; mz80k_top:mz80k_top|vga:vga1|y[4] ; mz80k_top:mz80k_top|vga:vga1|y[6] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.081 ; 2.943 ; -; -2.023 ; mz80k_top:mz80k_top|vga:vga1|y[4] ; mz80k_top:mz80k_top|vga:vga1|y[9] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.081 ; 2.943 ; -; -2.023 ; mz80k_top:mz80k_top|vga:vga1|y[4] ; mz80k_top:mz80k_top|vga:vga1|y[8] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.081 ; 2.943 ; -; -2.023 ; mz80k_top:mz80k_top|vga:vga1|y[4] ; mz80k_top:mz80k_top|vga:vga1|y[7] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.081 ; 2.943 ; -; -2.023 ; mz80k_top:mz80k_top|vga:vga1|y[4] ; mz80k_top:mz80k_top|vga:vga1|y[5] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.081 ; 2.943 ; -; -2.023 ; mz80k_top:mz80k_top|vga:vga1|y[4] ; mz80k_top:mz80k_top|vga:vga1|y[2] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.081 ; 2.943 ; -; -2.023 ; mz80k_top:mz80k_top|vga:vga1|y[4] ; mz80k_top:mz80k_top|vga:vga1|y[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.081 ; 2.943 ; -; -2.023 ; mz80k_top:mz80k_top|vga:vga1|y[4] ; mz80k_top:mz80k_top|vga:vga1|y[1] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.081 ; 2.943 ; -; -2.023 ; mz80k_top:mz80k_top|vga:vga1|y[4] ; mz80k_top:mz80k_top|vga:vga1|y[3] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.081 ; 2.943 ; -; -2.023 ; mz80k_top:mz80k_top|vga:vga1|y[4] ; mz80k_top:mz80k_top|vga:vga1|y[4] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.081 ; 2.943 ; -; -2.015 ; mz80k_top:mz80k_top|vga:vga1|x[6] ; mz80k_top:mz80k_top|vga:vga1|y[6] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.080 ; 2.936 ; -; -2.015 ; mz80k_top:mz80k_top|vga:vga1|x[6] ; mz80k_top:mz80k_top|vga:vga1|y[9] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.080 ; 2.936 ; -; -2.015 ; mz80k_top:mz80k_top|vga:vga1|x[6] ; mz80k_top:mz80k_top|vga:vga1|y[8] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.080 ; 2.936 ; -; -2.015 ; mz80k_top:mz80k_top|vga:vga1|x[6] ; mz80k_top:mz80k_top|vga:vga1|y[7] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.080 ; 2.936 ; -; -2.015 ; mz80k_top:mz80k_top|vga:vga1|x[6] ; mz80k_top:mz80k_top|vga:vga1|y[5] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.080 ; 2.936 ; -; -2.015 ; mz80k_top:mz80k_top|vga:vga1|x[6] ; mz80k_top:mz80k_top|vga:vga1|y[2] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.080 ; 2.936 ; -; -2.015 ; mz80k_top:mz80k_top|vga:vga1|x[6] ; mz80k_top:mz80k_top|vga:vga1|y[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.080 ; 2.936 ; -; -2.015 ; mz80k_top:mz80k_top|vga:vga1|x[6] ; mz80k_top:mz80k_top|vga:vga1|y[1] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.080 ; 2.936 ; -; -2.015 ; mz80k_top:mz80k_top|vga:vga1|x[6] ; mz80k_top:mz80k_top|vga:vga1|y[3] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.080 ; 2.936 ; -; -2.015 ; mz80k_top:mz80k_top|vga:vga1|x[6] ; mz80k_top:mz80k_top|vga:vga1|y[4] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.080 ; 2.936 ; -; -2.014 ; mz80k_top:mz80k_top|vga:vga1|y[7] ; mz80k_top:mz80k_top|vga:vga1|y[6] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.081 ; 2.934 ; -; -2.014 ; mz80k_top:mz80k_top|vga:vga1|y[7] ; mz80k_top:mz80k_top|vga:vga1|y[9] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.081 ; 2.934 ; -; -2.014 ; mz80k_top:mz80k_top|vga:vga1|y[7] ; mz80k_top:mz80k_top|vga:vga1|y[8] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.081 ; 2.934 ; -; -2.014 ; mz80k_top:mz80k_top|vga:vga1|y[7] ; mz80k_top:mz80k_top|vga:vga1|y[7] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.081 ; 2.934 ; -; -2.014 ; mz80k_top:mz80k_top|vga:vga1|y[7] ; mz80k_top:mz80k_top|vga:vga1|y[5] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.081 ; 2.934 ; -; -2.014 ; mz80k_top:mz80k_top|vga:vga1|y[7] ; mz80k_top:mz80k_top|vga:vga1|y[2] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.081 ; 2.934 ; -; -2.014 ; mz80k_top:mz80k_top|vga:vga1|y[7] ; mz80k_top:mz80k_top|vga:vga1|y[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.081 ; 2.934 ; -; -2.014 ; mz80k_top:mz80k_top|vga:vga1|y[7] ; mz80k_top:mz80k_top|vga:vga1|y[1] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.081 ; 2.934 ; -; -2.014 ; mz80k_top:mz80k_top|vga:vga1|y[7] ; mz80k_top:mz80k_top|vga:vga1|y[3] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.081 ; 2.934 ; -; -2.014 ; mz80k_top:mz80k_top|vga:vga1|y[7] ; mz80k_top:mz80k_top|vga:vga1|y[4] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.081 ; 2.934 ; -; -2.008 ; mz80k_top:mz80k_top|vga:vga1|y[8] ; mz80k_top:mz80k_top|vga:vga1|y[6] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.081 ; 2.928 ; -; -2.008 ; mz80k_top:mz80k_top|vga:vga1|y[8] ; mz80k_top:mz80k_top|vga:vga1|y[9] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.081 ; 2.928 ; -; -2.008 ; mz80k_top:mz80k_top|vga:vga1|y[8] ; mz80k_top:mz80k_top|vga:vga1|y[8] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.081 ; 2.928 ; -; -2.008 ; mz80k_top:mz80k_top|vga:vga1|y[8] ; mz80k_top:mz80k_top|vga:vga1|y[7] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.081 ; 2.928 ; -; -2.008 ; mz80k_top:mz80k_top|vga:vga1|y[8] ; mz80k_top:mz80k_top|vga:vga1|y[5] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.081 ; 2.928 ; -; -2.008 ; mz80k_top:mz80k_top|vga:vga1|y[8] ; mz80k_top:mz80k_top|vga:vga1|y[2] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.081 ; 2.928 ; -; -2.008 ; mz80k_top:mz80k_top|vga:vga1|y[8] ; mz80k_top:mz80k_top|vga:vga1|y[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.081 ; 2.928 ; -; -2.008 ; mz80k_top:mz80k_top|vga:vga1|y[8] ; mz80k_top:mz80k_top|vga:vga1|y[1] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.081 ; 2.928 ; -; -2.008 ; mz80k_top:mz80k_top|vga:vga1|y[8] ; mz80k_top:mz80k_top|vga:vga1|y[3] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.081 ; 2.928 ; -; -2.008 ; mz80k_top:mz80k_top|vga:vga1|y[8] ; mz80k_top:mz80k_top|vga:vga1|y[4] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.081 ; 2.928 ; -; -1.806 ; mz80k_top:mz80k_top|vga:vga1|x[7] ; mz80k_top:mz80k_top|vga:vga1|y[6] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.080 ; 2.727 ; -; -1.806 ; mz80k_top:mz80k_top|vga:vga1|x[7] ; mz80k_top:mz80k_top|vga:vga1|y[9] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.080 ; 2.727 ; -; -1.806 ; mz80k_top:mz80k_top|vga:vga1|x[7] ; mz80k_top:mz80k_top|vga:vga1|y[8] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.080 ; 2.727 ; -; -1.806 ; mz80k_top:mz80k_top|vga:vga1|x[7] ; mz80k_top:mz80k_top|vga:vga1|y[7] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.080 ; 2.727 ; -; -1.806 ; mz80k_top:mz80k_top|vga:vga1|x[7] ; mz80k_top:mz80k_top|vga:vga1|y[5] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.080 ; 2.727 ; -; -1.806 ; mz80k_top:mz80k_top|vga:vga1|x[7] ; mz80k_top:mz80k_top|vga:vga1|y[2] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.080 ; 2.727 ; -; -1.806 ; mz80k_top:mz80k_top|vga:vga1|x[7] ; mz80k_top:mz80k_top|vga:vga1|y[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.080 ; 2.727 ; -; -1.806 ; mz80k_top:mz80k_top|vga:vga1|x[7] ; mz80k_top:mz80k_top|vga:vga1|y[1] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.080 ; 2.727 ; -; -1.806 ; mz80k_top:mz80k_top|vga:vga1|x[7] ; mz80k_top:mz80k_top|vga:vga1|y[3] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.080 ; 2.727 ; -; -1.806 ; mz80k_top:mz80k_top|vga:vga1|x[7] ; mz80k_top:mz80k_top|vga:vga1|y[4] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.080 ; 2.727 ; -; -1.664 ; mz80k_top:mz80k_top|vga:vga1|y[5] ; mz80k_top:mz80k_top|vga:vga1|y[6] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.081 ; 2.584 ; -; -1.664 ; mz80k_top:mz80k_top|vga:vga1|y[5] ; mz80k_top:mz80k_top|vga:vga1|y[9] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.081 ; 2.584 ; -; -1.664 ; mz80k_top:mz80k_top|vga:vga1|y[5] ; mz80k_top:mz80k_top|vga:vga1|y[8] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.081 ; 2.584 ; -; -1.664 ; mz80k_top:mz80k_top|vga:vga1|y[5] ; mz80k_top:mz80k_top|vga:vga1|y[7] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.081 ; 2.584 ; -; -1.664 ; mz80k_top:mz80k_top|vga:vga1|y[5] ; mz80k_top:mz80k_top|vga:vga1|y[5] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.081 ; 2.584 ; -; -1.664 ; mz80k_top:mz80k_top|vga:vga1|y[5] ; mz80k_top:mz80k_top|vga:vga1|y[2] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.081 ; 2.584 ; -; -1.664 ; mz80k_top:mz80k_top|vga:vga1|y[5] ; mz80k_top:mz80k_top|vga:vga1|y[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.081 ; 2.584 ; -; -1.664 ; mz80k_top:mz80k_top|vga:vga1|y[5] ; mz80k_top:mz80k_top|vga:vga1|y[1] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.081 ; 2.584 ; -; -1.664 ; mz80k_top:mz80k_top|vga:vga1|y[5] ; mz80k_top:mz80k_top|vga:vga1|y[3] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.081 ; 2.584 ; -; -1.664 ; mz80k_top:mz80k_top|vga:vga1|y[5] ; mz80k_top:mz80k_top|vga:vga1|y[4] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.081 ; 2.584 ; -; -1.641 ; mz80k_top:mz80k_top|vga:vga1|x[6] ; mz80k_top:mz80k_top|vga:vga1|x[9] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.080 ; 2.562 ; -; -1.641 ; mz80k_top:mz80k_top|vga:vga1|x[6] ; mz80k_top:mz80k_top|vga:vga1|x[5] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.080 ; 2.562 ; -; -1.641 ; mz80k_top:mz80k_top|vga:vga1|x[6] ; mz80k_top:mz80k_top|vga:vga1|x[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.080 ; 2.562 ; -; -1.641 ; mz80k_top:mz80k_top|vga:vga1|x[6] ; mz80k_top:mz80k_top|vga:vga1|x[1] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.080 ; 2.562 ; -; -1.641 ; mz80k_top:mz80k_top|vga:vga1|x[6] ; mz80k_top:mz80k_top|vga:vga1|x[2] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.080 ; 2.562 ; -; -1.641 ; mz80k_top:mz80k_top|vga:vga1|x[6] ; mz80k_top:mz80k_top|vga:vga1|x[3] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.080 ; 2.562 ; -; -1.641 ; mz80k_top:mz80k_top|vga:vga1|x[6] ; mz80k_top:mz80k_top|vga:vga1|x[4] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.080 ; 2.562 ; -; -1.641 ; mz80k_top:mz80k_top|vga:vga1|x[6] ; mz80k_top:mz80k_top|vga:vga1|x[7] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.080 ; 2.562 ; -; -1.641 ; mz80k_top:mz80k_top|vga:vga1|x[6] ; mz80k_top:mz80k_top|vga:vga1|x[6] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.080 ; 2.562 ; -; -1.641 ; mz80k_top:mz80k_top|vga:vga1|x[6] ; mz80k_top:mz80k_top|vga:vga1|x[8] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.080 ; 2.562 ; -; -1.636 ; mz80k_top:mz80k_top|vga:vga1|x[5] ; mz80k_top:mz80k_top|vga:vga1|y[6] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.080 ; 2.557 ; -; -1.636 ; mz80k_top:mz80k_top|vga:vga1|x[5] ; mz80k_top:mz80k_top|vga:vga1|y[9] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.080 ; 2.557 ; -; -1.636 ; mz80k_top:mz80k_top|vga:vga1|x[5] ; mz80k_top:mz80k_top|vga:vga1|y[8] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.080 ; 2.557 ; -; -1.636 ; mz80k_top:mz80k_top|vga:vga1|x[5] ; mz80k_top:mz80k_top|vga:vga1|y[7] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.080 ; 2.557 ; -; -1.636 ; mz80k_top:mz80k_top|vga:vga1|x[5] ; mz80k_top:mz80k_top|vga:vga1|y[5] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.080 ; 2.557 ; -; -1.636 ; mz80k_top:mz80k_top|vga:vga1|x[5] ; mz80k_top:mz80k_top|vga:vga1|y[2] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.080 ; 2.557 ; -; -1.636 ; mz80k_top:mz80k_top|vga:vga1|x[5] ; mz80k_top:mz80k_top|vga:vga1|y[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.080 ; 2.557 ; -; -1.636 ; mz80k_top:mz80k_top|vga:vga1|x[5] ; mz80k_top:mz80k_top|vga:vga1|y[1] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.080 ; 2.557 ; -; -1.636 ; mz80k_top:mz80k_top|vga:vga1|x[5] ; mz80k_top:mz80k_top|vga:vga1|y[3] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.080 ; 2.557 ; -; -1.636 ; mz80k_top:mz80k_top|vga:vga1|x[5] ; mz80k_top:mz80k_top|vga:vga1|y[4] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.080 ; 2.557 ; -; -1.605 ; mz80k_top:mz80k_top|vga:vga1|y[3] ; mz80k_top:mz80k_top|vga:vga1|y[6] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.081 ; 2.525 ; -; -1.605 ; mz80k_top:mz80k_top|vga:vga1|y[3] ; mz80k_top:mz80k_top|vga:vga1|y[9] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.081 ; 2.525 ; -; -1.605 ; mz80k_top:mz80k_top|vga:vga1|y[3] ; mz80k_top:mz80k_top|vga:vga1|y[8] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.081 ; 2.525 ; -; -1.605 ; mz80k_top:mz80k_top|vga:vga1|y[3] ; mz80k_top:mz80k_top|vga:vga1|y[7] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.081 ; 2.525 ; -; -1.605 ; mz80k_top:mz80k_top|vga:vga1|y[3] ; mz80k_top:mz80k_top|vga:vga1|y[5] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.081 ; 2.525 ; -; -1.605 ; mz80k_top:mz80k_top|vga:vga1|y[3] ; mz80k_top:mz80k_top|vga:vga1|y[2] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.081 ; 2.525 ; -; -1.605 ; mz80k_top:mz80k_top|vga:vga1|y[3] ; mz80k_top:mz80k_top|vga:vga1|y[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.081 ; 2.525 ; -; -1.605 ; mz80k_top:mz80k_top|vga:vga1|y[3] ; mz80k_top:mz80k_top|vga:vga1|y[1] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.081 ; 2.525 ; -; -1.605 ; mz80k_top:mz80k_top|vga:vga1|y[3] ; mz80k_top:mz80k_top|vga:vga1|y[3] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.081 ; 2.525 ; -; -1.605 ; mz80k_top:mz80k_top|vga:vga1|y[3] ; mz80k_top:mz80k_top|vga:vga1|y[4] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.081 ; 2.525 ; -; -1.590 ; mz80k_top:mz80k_top|vga:vga1|y[0] ; mz80k_top:mz80k_top|vga:vga1|y[6] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.081 ; 2.510 ; -; -1.590 ; mz80k_top:mz80k_top|vga:vga1|y[0] ; mz80k_top:mz80k_top|vga:vga1|y[9] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.081 ; 2.510 ; -; -1.590 ; mz80k_top:mz80k_top|vga:vga1|y[0] ; mz80k_top:mz80k_top|vga:vga1|y[8] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.081 ; 2.510 ; -; -1.590 ; mz80k_top:mz80k_top|vga:vga1|y[0] ; mz80k_top:mz80k_top|vga:vga1|y[7] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.081 ; 2.510 ; -; -1.590 ; mz80k_top:mz80k_top|vga:vga1|y[0] ; mz80k_top:mz80k_top|vga:vga1|y[5] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.081 ; 2.510 ; -; -1.590 ; mz80k_top:mz80k_top|vga:vga1|y[0] ; mz80k_top:mz80k_top|vga:vga1|y[2] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.081 ; 2.510 ; -; -1.590 ; mz80k_top:mz80k_top|vga:vga1|y[0] ; mz80k_top:mz80k_top|vga:vga1|y[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.081 ; 2.510 ; -; -1.590 ; mz80k_top:mz80k_top|vga:vga1|y[0] ; mz80k_top:mz80k_top|vga:vga1|y[1] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.081 ; 2.510 ; -; -1.590 ; mz80k_top:mz80k_top|vga:vga1|y[0] ; mz80k_top:mz80k_top|vga:vga1|y[3] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.081 ; 2.510 ; -; -1.590 ; mz80k_top:mz80k_top|vga:vga1|y[0] ; mz80k_top:mz80k_top|vga:vga1|y[4] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.081 ; 2.510 ; -+--------+-----------------------------------+-----------------------------------+-----------------------------------------+-----------------------------------------+--------------+------------+------------+ - - -+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Slow 1200mV 85C Model Hold: 'mz80k_top:mz80k_top|clk_count[2]' ; -+--------+------------------------------------------------------------------------------------------------------------------------+-------------------------------------------------------+-------------------------------------------------+----------------------------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+--------+------------------------------------------------------------------------------------------------------------------------+-------------------------------------------------------+-------------------------------------------------+----------------------------------+--------------+------------+------------+ -; -0.877 ; mz80k_top:mz80k_top|ps2:ps2_1|data[7]~reg0 ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl1 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.029 ; 3.444 ; -; -0.877 ; mz80k_top:mz80k_top|ps2:ps2_1|data[6]~reg0 ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl1 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.029 ; 3.444 ; -; -0.843 ; mz80k_top:mz80k_top|ps2:ps2_1|data[2]~reg0 ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl1 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.995 ; 3.444 ; -; -0.843 ; mz80k_top:mz80k_top|ps2:ps2_1|data[4]~reg0 ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl1 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.995 ; 3.444 ; -; -0.843 ; mz80k_top:mz80k_top|ps2:ps2_1|data[5]~reg0 ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl1 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.995 ; 3.444 ; -; -0.836 ; mz80k_top:mz80k_top|ps2:ps2_1|data[0]~reg0 ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl1 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.988 ; 3.444 ; -; -0.655 ; mz80k_top:mz80k_top|ps2:ps2_1|data[7]~reg0 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|iff2 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.019 ; 3.656 ; -; -0.655 ; mz80k_top:mz80k_top|ps2:ps2_1|data[6]~reg0 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|iff2 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.019 ; 3.656 ; -; -0.621 ; mz80k_top:mz80k_top|ps2:ps2_1|data[2]~reg0 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|iff2 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.985 ; 3.656 ; -; -0.621 ; mz80k_top:mz80k_top|ps2:ps2_1|data[4]~reg0 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|iff2 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.985 ; 3.656 ; -; -0.621 ; mz80k_top:mz80k_top|ps2:ps2_1|data[5]~reg0 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|iff2 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.985 ; 3.656 ; -; -0.614 ; mz80k_top:mz80k_top|ps2:ps2_1|data[0]~reg0 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|iff2 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.978 ; 3.656 ; -; -0.420 ; mz80k_top:mz80k_top|clk_count[24] ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl1 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.993 ; 3.865 ; -; -0.385 ; mz80k_top:mz80k_top|ps2:ps2_1|data[7]~reg0 ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl2 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.029 ; 3.936 ; -; -0.385 ; mz80k_top:mz80k_top|ps2:ps2_1|data[6]~reg0 ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl2 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.029 ; 3.936 ; -; -0.351 ; mz80k_top:mz80k_top|ps2:ps2_1|data[2]~reg0 ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl2 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.995 ; 3.936 ; -; -0.351 ; mz80k_top:mz80k_top|ps2:ps2_1|data[4]~reg0 ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl2 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.995 ; 3.936 ; -; -0.351 ; mz80k_top:mz80k_top|ps2:ps2_1|data[5]~reg0 ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl2 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.995 ; 3.936 ; -; -0.344 ; mz80k_top:mz80k_top|ps2:ps2_1|data[0]~reg0 ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl2 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.988 ; 3.936 ; -; -0.337 ; mz80k_top:mz80k_top|ps2:ps2_1|data[3]~reg0 ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl1 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.995 ; 3.950 ; -; -0.302 ; mz80k_top:mz80k_top|ps2:ps2_1|data[1]~reg0 ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl1 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.995 ; 3.985 ; -; -0.276 ; mz80k_top:mz80k_top|ps2:ps2_1|data[7]~reg0 ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl0 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.029 ; 4.045 ; -; -0.276 ; mz80k_top:mz80k_top|ps2:ps2_1|data[6]~reg0 ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl0 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.029 ; 4.045 ; -; -0.242 ; mz80k_top:mz80k_top|ps2:ps2_1|data[2]~reg0 ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl0 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.995 ; 4.045 ; -; -0.242 ; mz80k_top:mz80k_top|ps2:ps2_1|data[4]~reg0 ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl0 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.995 ; 4.045 ; -; -0.242 ; mz80k_top:mz80k_top|ps2:ps2_1|data[5]~reg0 ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl0 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.995 ; 4.045 ; -; -0.235 ; mz80k_top:mz80k_top|ps2:ps2_1|data[0]~reg0 ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl0 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.988 ; 4.045 ; -; -0.198 ; mz80k_top:mz80k_top|clk_count[24] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|iff2 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.983 ; 4.077 ; -; -0.115 ; mz80k_top:mz80k_top|ps2:ps2_1|data[3]~reg0 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|iff2 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.985 ; 4.162 ; -; -0.080 ; mz80k_top:mz80k_top|ps2:ps2_1|data[1]~reg0 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|iff2 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.985 ; 4.197 ; -; -0.046 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[8] ; mz80k_top:mz80k_top|i8253:i8253_1|data[0] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.743 ; 1.929 ; -; -0.004 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[13] ; mz80k_top:mz80k_top|i8253:i8253_1|data[5] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.744 ; 1.972 ; -; 0.072 ; mz80k_top:mz80k_top|clk_count[24] ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl2 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.993 ; 4.357 ; -; 0.082 ; mz80k_top:mz80k_top|ps2:ps2_1|data[7]~reg0 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.018 ; 4.392 ; -; 0.082 ; mz80k_top:mz80k_top|ps2:ps2_1|data[6]~reg0 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.018 ; 4.392 ; -; 0.116 ; mz80k_top:mz80k_top|ps2:ps2_1|data[2]~reg0 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.984 ; 4.392 ; -; 0.116 ; mz80k_top:mz80k_top|ps2:ps2_1|data[4]~reg0 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.984 ; 4.392 ; -; 0.116 ; mz80k_top:mz80k_top|ps2:ps2_1|data[5]~reg0 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.984 ; 4.392 ; -; 0.123 ; mz80k_top:mz80k_top|ps2:ps2_1|data[0]~reg0 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.977 ; 4.392 ; -; 0.155 ; mz80k_top:mz80k_top|ps2:ps2_1|data[3]~reg0 ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl2 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.995 ; 4.442 ; -; 0.157 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[9] ; mz80k_top:mz80k_top|i8253:i8253_1|data[1] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.743 ; 2.132 ; -; 0.157 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[5] ; mz80k_top:mz80k_top|i8253:i8253_1|data[5] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.744 ; 2.133 ; -; 0.174 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[14] ; mz80k_top:mz80k_top|i8253:i8253_1|data[6] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.747 ; 2.153 ; -; 0.181 ; mz80k_top:mz80k_top|ps2:ps2_1|data[7]~reg0 ; mz80k_top:mz80k_top|fz80:z80|reg_f:reg_f|q1[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.029 ; 4.502 ; -; 0.181 ; mz80k_top:mz80k_top|ps2:ps2_1|data[7]~reg0 ; mz80k_top:mz80k_top|fz80:z80|reg_f:reg_f|q0[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.029 ; 4.502 ; -; 0.181 ; mz80k_top:mz80k_top|clk_count[24] ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl0 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.993 ; 4.466 ; -; 0.181 ; mz80k_top:mz80k_top|ps2:ps2_1|data[6]~reg0 ; mz80k_top:mz80k_top|fz80:z80|reg_f:reg_f|q1[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.029 ; 4.502 ; -; 0.181 ; mz80k_top:mz80k_top|ps2:ps2_1|data[6]~reg0 ; mz80k_top:mz80k_top|fz80:z80|reg_f:reg_f|q0[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.029 ; 4.502 ; -; 0.190 ; mz80k_top:mz80k_top|ps2:ps2_1|data[1]~reg0 ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl2 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.995 ; 4.477 ; -; 0.192 ; mz80k_top:mz80k_top|ps2:ps2_1|data[7]~reg0 ; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrh|q[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.033 ; 4.517 ; -; 0.192 ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|out_address_reg_a[1] ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl1 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.993 ; 4.477 ; -; 0.192 ; mz80k_top:mz80k_top|ps2:ps2_1|data[6]~reg0 ; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrh|q[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.033 ; 4.517 ; -; 0.193 ; mz80k_top:mz80k_top|ps2:ps2_1|data[7]~reg0 ; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrh|q[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.033 ; 4.518 ; -; 0.193 ; mz80k_top:mz80k_top|ps2:ps2_1|data[6]~reg0 ; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrh|q[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.033 ; 4.518 ; -; 0.197 ; mz80k_top:mz80k_top|ps2:ps2_1|data[7]~reg0 ; mz80k_top:mz80k_top|fz80:z80|reg_simple:reg_data|q[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.019 ; 4.508 ; -; 0.197 ; mz80k_top:mz80k_top|ps2:ps2_1|data[6]~reg0 ; mz80k_top:mz80k_top|fz80:z80|reg_simple:reg_data|q[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.019 ; 4.508 ; -; 0.198 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[15] ; mz80k_top:mz80k_top|i8253:i8253_1|data[7] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.747 ; 2.177 ; -; 0.210 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[10] ; mz80k_top:mz80k_top|i8253:i8253_1|data[2] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.743 ; 2.185 ; -; 0.213 ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|out_address_reg_a[0] ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl1 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.993 ; 4.498 ; -; 0.215 ; mz80k_top:mz80k_top|ps2:ps2_1|data[2]~reg0 ; mz80k_top:mz80k_top|fz80:z80|reg_f:reg_f|q1[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.995 ; 4.502 ; -; 0.215 ; mz80k_top:mz80k_top|ps2:ps2_1|data[4]~reg0 ; mz80k_top:mz80k_top|fz80:z80|reg_f:reg_f|q1[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.995 ; 4.502 ; -; 0.215 ; mz80k_top:mz80k_top|ps2:ps2_1|data[5]~reg0 ; mz80k_top:mz80k_top|fz80:z80|reg_f:reg_f|q1[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.995 ; 4.502 ; -; 0.215 ; mz80k_top:mz80k_top|ps2:ps2_1|data[2]~reg0 ; mz80k_top:mz80k_top|fz80:z80|reg_f:reg_f|q0[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.995 ; 4.502 ; -; 0.215 ; mz80k_top:mz80k_top|ps2:ps2_1|data[4]~reg0 ; mz80k_top:mz80k_top|fz80:z80|reg_f:reg_f|q0[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.995 ; 4.502 ; -; 0.215 ; mz80k_top:mz80k_top|ps2:ps2_1|data[5]~reg0 ; mz80k_top:mz80k_top|fz80:z80|reg_f:reg_f|q0[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.995 ; 4.502 ; -; 0.216 ; mz80k_top:mz80k_top|ps2:ps2_1|data[7]~reg0 ; mz80k_top:mz80k_top|fz80:z80|sel_af ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.014 ; 4.522 ; -; 0.216 ; mz80k_top:mz80k_top|ps2:ps2_1|data[6]~reg0 ; mz80k_top:mz80k_top|fz80:z80|sel_af ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.014 ; 4.522 ; -; 0.221 ; mz80k_top:mz80k_top|ps2:ps2_1|data[7]~reg0 ; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrh|q[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.033 ; 4.546 ; -; 0.221 ; mz80k_top:mz80k_top|ps2:ps2_1|data[6]~reg0 ; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrh|q[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.033 ; 4.546 ; -; 0.222 ; mz80k_top:mz80k_top|ps2:ps2_1|data[0]~reg0 ; mz80k_top:mz80k_top|fz80:z80|reg_f:reg_f|q1[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.988 ; 4.502 ; -; 0.222 ; mz80k_top:mz80k_top|ps2:ps2_1|data[0]~reg0 ; mz80k_top:mz80k_top|fz80:z80|reg_f:reg_f|q0[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.988 ; 4.502 ; -; 0.222 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[0] ; mz80k_top:mz80k_top|i8253:i8253_1|data[0] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.743 ; 2.197 ; -; 0.226 ; mz80k_top:mz80k_top|ps2:ps2_1|data[2]~reg0 ; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrh|q[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.999 ; 4.517 ; -; 0.226 ; mz80k_top:mz80k_top|ps2:ps2_1|data[4]~reg0 ; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrh|q[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.999 ; 4.517 ; -; 0.226 ; mz80k_top:mz80k_top|ps2:ps2_1|data[5]~reg0 ; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrh|q[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.999 ; 4.517 ; -; 0.227 ; mz80k_top:mz80k_top|ps2:ps2_1|data[2]~reg0 ; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrh|q[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.999 ; 4.518 ; -; 0.227 ; mz80k_top:mz80k_top|ps2:ps2_1|data[4]~reg0 ; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrh|q[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.999 ; 4.518 ; -; 0.227 ; mz80k_top:mz80k_top|ps2:ps2_1|data[5]~reg0 ; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrh|q[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.999 ; 4.518 ; -; 0.227 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[12] ; mz80k_top:mz80k_top|i8253:i8253_1|data[4] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.744 ; 2.203 ; -; 0.231 ; mz80k_top:mz80k_top|ps2:ps2_1|data[2]~reg0 ; mz80k_top:mz80k_top|fz80:z80|reg_simple:reg_data|q[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.985 ; 4.508 ; -; 0.231 ; mz80k_top:mz80k_top|ps2:ps2_1|data[4]~reg0 ; mz80k_top:mz80k_top|fz80:z80|reg_simple:reg_data|q[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.985 ; 4.508 ; -; 0.231 ; mz80k_top:mz80k_top|ps2:ps2_1|data[5]~reg0 ; mz80k_top:mz80k_top|fz80:z80|reg_simple:reg_data|q[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.985 ; 4.508 ; -; 0.233 ; mz80k_top:mz80k_top|ps2:ps2_1|data[0]~reg0 ; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrh|q[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.992 ; 4.517 ; -; 0.234 ; mz80k_top:mz80k_top|ps2:ps2_1|data[0]~reg0 ; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrh|q[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.992 ; 4.518 ; -; 0.238 ; mz80k_top:mz80k_top|ps2:ps2_1|data[0]~reg0 ; mz80k_top:mz80k_top|fz80:z80|reg_simple:reg_data|q[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.978 ; 4.508 ; -; 0.249 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[3] ; mz80k_top:mz80k_top|i8253:i8253_1|data[3] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.743 ; 2.224 ; -; 0.250 ; mz80k_top:mz80k_top|ps2:ps2_1|data[7]~reg0 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.019 ; 4.561 ; -; 0.250 ; mz80k_top:mz80k_top|ps2:ps2_1|data[2]~reg0 ; mz80k_top:mz80k_top|fz80:z80|sel_af ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.980 ; 4.522 ; -; 0.250 ; mz80k_top:mz80k_top|ps2:ps2_1|data[4]~reg0 ; mz80k_top:mz80k_top|fz80:z80|sel_af ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.980 ; 4.522 ; -; 0.250 ; mz80k_top:mz80k_top|ps2:ps2_1|data[5]~reg0 ; mz80k_top:mz80k_top|fz80:z80|sel_af ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.980 ; 4.522 ; -; 0.250 ; mz80k_top:mz80k_top|ps2:ps2_1|data[6]~reg0 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.019 ; 4.561 ; -; 0.251 ; mz80k_top:mz80k_top|ps2:ps2_1|data[7]~reg0 ; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrh|q[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.021 ; 4.564 ; -; 0.251 ; mz80k_top:mz80k_top|ps2:ps2_1|data[6]~reg0 ; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrh|q[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.021 ; 4.564 ; -; 0.255 ; mz80k_top:mz80k_top|ps2:ps2_1|data[2]~reg0 ; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrh|q[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.999 ; 4.546 ; -; 0.255 ; mz80k_top:mz80k_top|ps2:ps2_1|data[4]~reg0 ; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrh|q[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.999 ; 4.546 ; -; 0.255 ; mz80k_top:mz80k_top|ps2:ps2_1|data[5]~reg0 ; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrh|q[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.999 ; 4.546 ; -; 0.257 ; mz80k_top:mz80k_top|ps2:ps2_1|data[0]~reg0 ; mz80k_top:mz80k_top|fz80:z80|sel_af ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.973 ; 4.522 ; -; 0.262 ; mz80k_top:mz80k_top|ps2:ps2_1|data[0]~reg0 ; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrh|q[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.992 ; 4.546 ; -; 0.264 ; mz80k_top:mz80k_top|ps2:ps2_1|data[3]~reg0 ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl0 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.995 ; 4.551 ; -; 0.266 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[11] ; mz80k_top:mz80k_top|i8253:i8253_1|data[3] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.743 ; 2.241 ; -+--------+------------------------------------------------------------------------------------------------------------------------+-------------------------------------------------------+-------------------------------------------------+----------------------------------+--------------+------------+------------+ - - -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Slow 1200mV 85C Model Hold: 'pll|altpll_component|auto_generated|pll1|clk[0]' ; -+--------+---------------------------------------------------------------------+---------------------------------------------------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+--------+---------------------------------------------------------------------+---------------------------------------------------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+ -; -0.412 ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.585 ; 0.746 ; -; -0.400 ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.585 ; 0.758 ; -; -0.394 ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.585 ; 0.764 ; -; -0.392 ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.565 ; 0.746 ; -; -0.374 ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.565 ; 0.764 ; -; -0.373 ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.585 ; 0.785 ; -; -0.106 ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.571 ; 1.038 ; -; -0.029 ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.571 ; 1.115 ; -; 0.249 ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[3] ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.571 ; 1.393 ; -; 0.375 ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[3] ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.571 ; 1.519 ; -; 0.380 ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[4] ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.571 ; 1.524 ; -; 0.384 ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[4] ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.571 ; 1.528 ; -; 0.389 ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[5] ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.571 ; 1.533 ; -; 0.436 ; video_mixer:video_mixer|osd:osd|pixsz[0] ; video_mixer:video_mixer|osd:osd|pixsz[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.098 ; 0.746 ; -; 0.437 ; mist_io:mist_io|ps2_kbd_tx_byte[7] ; mist_io:mist_io|ps2_kbd_tx_byte[7] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.097 ; 0.746 ; -; 0.453 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl9[1] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl9[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.081 ; 0.746 ; -; 0.453 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbld[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbld[7] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.081 ; 0.746 ; -; 0.453 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tblc[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tblc[7] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.081 ; 0.746 ; -; 0.453 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl7[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl7[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.081 ; 0.746 ; -; 0.454 ; video_mixer:video_mixer|scanline ; video_mixer:video_mixer|scanline ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 0.746 ; -; 0.454 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl7[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl7[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 0.746 ; -; 0.454 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl3[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl3[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 0.746 ; -; 0.454 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 0.746 ; -; 0.454 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl4[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl4[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 0.746 ; -; 0.454 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 0.746 ; -; 0.454 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tble[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tble[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 0.746 ; -; 0.454 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl8[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl8[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 0.746 ; -; 0.454 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tblc[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tblc[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 0.746 ; -; 0.454 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl3[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl3[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 0.746 ; -; 0.454 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 0.746 ; -; 0.454 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl0[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl0[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 0.746 ; -; 0.454 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 0.746 ; -; 0.454 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 0.746 ; -; 0.454 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl4[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl4[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 0.746 ; -; 0.454 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl7[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl7[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 0.746 ; -; 0.454 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl3[1] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl3[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 0.746 ; -; 0.454 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl0[1] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl0[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 0.746 ; -; 0.454 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl1[1] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl1[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 0.746 ; -; 0.454 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[1] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 0.746 ; -; 0.454 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl8[1] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl8[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 0.746 ; -; 0.454 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl7[1] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl7[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 0.746 ; -; 0.454 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[1] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 0.746 ; -; 0.454 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[1] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 0.746 ; -; 0.454 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl4[1] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl4[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 0.746 ; -; 0.454 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl1[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl1[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 0.746 ; -; 0.454 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 0.746 ; -; 0.454 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl4[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl4[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 0.746 ; -; 0.454 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 0.746 ; -; 0.454 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 0.746 ; -; 0.454 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl3[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl3[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 0.746 ; -; 0.454 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl9[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl9[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 0.746 ; -; 0.454 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tblc[4] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tblc[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 0.746 ; -; 0.454 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl3[4] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl3[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 0.746 ; -; 0.454 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[4] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 0.746 ; -; 0.454 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[4] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 0.746 ; -; 0.454 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl4[4] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl4[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 0.746 ; -; 0.454 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[4] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 0.746 ; -; 0.454 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl0[4] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl0[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 0.746 ; -; 0.454 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl1[4] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl1[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 0.746 ; -; 0.454 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[5] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 0.746 ; -; 0.455 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl1[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl1[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 0.746 ; -; 0.455 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 0.746 ; -; 0.455 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl0[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl0[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 0.746 ; -; 0.455 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl8[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl8[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 0.746 ; -; 0.455 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tblc[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tblc[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 0.746 ; -; 0.455 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl1[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl1[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 0.746 ; -; 0.455 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl9[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl9[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 0.746 ; -; 0.455 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tblc[1] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tblc[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 0.746 ; -; 0.455 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl0[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl0[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 0.746 ; -; 0.455 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tblc[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tblc[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 0.746 ; -; 0.455 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl8[4] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl8[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 0.746 ; -; 0.455 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl8[5] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl8[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 0.746 ; -; 0.455 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[5] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 0.746 ; -; 0.455 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[5] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 0.746 ; -; 0.455 ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[0] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 0.746 ; -; 0.455 ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[10] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[10] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 0.746 ; -; 0.455 ; mist_io:mist_io|ps2_kbd_data ; mist_io:mist_io|ps2_kbd_data ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 0.746 ; -; 0.455 ; mist_io:mist_io|ps2_kbd_parity ; mist_io:mist_io|ps2_kbd_parity ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 0.746 ; -; 0.455 ; mist_io:mist_io|ps2_kbd_tx_state[3] ; mist_io:mist_io|ps2_kbd_tx_state[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 0.746 ; -; 0.455 ; mist_io:mist_io|ps2_kbd_tx_state[1] ; mist_io:mist_io|ps2_kbd_tx_state[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 0.746 ; -; 0.455 ; mist_io:mist_io|ps2_kbd_tx_state[2] ; mist_io:mist_io|ps2_kbd_tx_state[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 0.746 ; -; 0.455 ; mist_io:mist_io|ps2_kbd_rptr[2] ; mist_io:mist_io|ps2_kbd_rptr[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 0.746 ; -; 0.455 ; mist_io:mist_io|ps2_kbd_rptr[1] ; mist_io:mist_io|ps2_kbd_rptr[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 0.746 ; -; 0.455 ; mist_io:mist_io|ps2_kbd_rptr[0] ; mist_io:mist_io|ps2_kbd_rptr[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 0.746 ; -; 0.455 ; mist_io:mist_io|clk_ps2 ; mist_io:mist_io|clk_ps2 ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 0.746 ; -; 0.466 ; mz80k_top:mz80k_top|clk_count[0] ; mz80k_top:mz80k_top|clk_count[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 0.758 ; -; 0.467 ; mist_io:mist_io|ps2_kbd_tx_state[0] ; mist_io:mist_io|ps2_kbd_tx_state[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 0.758 ; -; 0.487 ; video_mixer:video_mixer|old_hs ; video_mixer:video_mixer|scanline ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 0.779 ; -; 0.503 ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[9] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[8] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 0.795 ; -; 0.509 ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[7] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[6] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 0.801 ; -; 0.510 ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[5] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 0.802 ; -; 0.510 ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[8] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[7] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 0.802 ; -; 0.511 ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[4] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 0.803 ; -; 0.511 ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|clk_data[0] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|clk_data[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 0.802 ; -; 0.513 ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|clk_data[1] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|clk_data[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 0.804 ; -; 0.515 ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[5] ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.571 ; 1.659 ; -; 0.519 ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[0] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[10] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 0.810 ; -; 0.520 ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[6] ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.571 ; 1.664 ; -; 0.524 ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[6] ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.571 ; 1.668 ; -; 0.527 ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[2] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 0.819 ; -+--------+---------------------------------------------------------------------+---------------------------------------------------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Slow 1200mV 85C Model Hold: 'mz80k_top:mz80k_top|CLK_31250' ; -+--------+----------------------------------------------+----------------------------------------------+-------------------------------------------+-------------------------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+--------+----------------------------------------------+----------------------------------------------+-------------------------------------------+-------------------------------+--------------+------------+------------+ -; -0.029 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 2.719 ; 3.183 ; -; 0.367 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|CLK_31250 ; -0.500 ; 2.719 ; 3.079 ; -; 0.763 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[3] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.080 ; 1.055 ; -; 0.764 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[10] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[10] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.080 ; 1.056 ; -; 0.764 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[1] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.080 ; 1.056 ; -; 0.766 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[9] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[9] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.080 ; 1.058 ; -; 0.786 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[0] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.080 ; 1.078 ; -; 0.786 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[2] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.080 ; 1.078 ; -; 0.786 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[6] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.080 ; 1.078 ; -; 0.787 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[12] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[12] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.080 ; 1.079 ; -; 0.787 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[14] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[14] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.080 ; 1.079 ; -; 0.787 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[4] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.080 ; 1.079 ; -; 0.788 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[11] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[11] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.080 ; 1.080 ; -; 0.788 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[13] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[13] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.080 ; 1.080 ; -; 0.788 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[5] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.080 ; 1.080 ; -; 0.789 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[8] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.080 ; 1.081 ; -; 0.790 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[15] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[15] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.080 ; 1.082 ; -; 0.791 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[7] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[7] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.080 ; 1.083 ; -; 1.116 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[2] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.080 ; 1.408 ; -; 1.116 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[4] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.080 ; 1.408 ; -; 1.118 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[9] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[10] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.080 ; 1.410 ; -; 1.124 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[1] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.080 ; 1.416 ; -; 1.127 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[10] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[11] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.080 ; 1.419 ; -; 1.133 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[2] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.080 ; 1.425 ; -; 1.136 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[10] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[12] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.080 ; 1.428 ; -; 1.141 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[6] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.080 ; 1.433 ; -; 1.141 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[13] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[14] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.080 ; 1.433 ; -; 1.141 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[11] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[12] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.080 ; 1.433 ; -; 1.143 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[7] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[8] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.080 ; 1.435 ; -; 1.149 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[3] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.080 ; 1.441 ; -; 1.149 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[7] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.080 ; 1.441 ; -; 1.150 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[12] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[13] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.080 ; 1.442 ; -; 1.150 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[5] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.080 ; 1.442 ; -; 1.150 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[14] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[15] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.080 ; 1.442 ; -; 1.152 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[9] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.080 ; 1.444 ; -; 1.158 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[4] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.080 ; 1.450 ; -; 1.158 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[8] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.080 ; 1.450 ; -; 1.159 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[6] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.080 ; 1.451 ; -; 1.159 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[12] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[14] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.080 ; 1.451 ; -; 1.161 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[10] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.080 ; 1.453 ; -; 1.247 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[3] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.080 ; 1.539 ; -; 1.247 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[5] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.080 ; 1.539 ; -; 1.249 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[9] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[11] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.080 ; 1.541 ; -; 1.256 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[4] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.080 ; 1.548 ; -; 1.256 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[6] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.080 ; 1.548 ; -; 1.258 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[9] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[12] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.080 ; 1.550 ; -; 1.264 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[3] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.080 ; 1.556 ; -; 1.267 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[10] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[13] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.080 ; 1.559 ; -; 1.272 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[7] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.080 ; 1.564 ; -; 1.272 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[13] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[15] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.080 ; 1.564 ; -; 1.272 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[11] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[13] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.080 ; 1.564 ; -; 1.273 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[4] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.080 ; 1.565 ; -; 1.274 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[7] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[9] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.080 ; 1.566 ; -; 1.276 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[10] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[14] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.080 ; 1.568 ; -; 1.281 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[8] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.080 ; 1.573 ; -; 1.281 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[11] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[14] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.080 ; 1.573 ; -; 1.283 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[7] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[10] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.080 ; 1.575 ; -; 1.289 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[5] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.080 ; 1.581 ; -; 1.289 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[9] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.080 ; 1.581 ; -; 1.290 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[7] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.080 ; 1.582 ; -; 1.290 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[12] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[15] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.080 ; 1.582 ; -; 1.292 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[11] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.080 ; 1.584 ; -; 1.298 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[6] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.080 ; 1.590 ; -; 1.298 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[10] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.080 ; 1.590 ; -; 1.299 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[8] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.080 ; 1.591 ; -; 1.301 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[12] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.080 ; 1.593 ; -; 1.387 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[5] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.080 ; 1.679 ; -; 1.387 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[7] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.080 ; 1.679 ; -; 1.389 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[9] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[13] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.080 ; 1.681 ; -; 1.396 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[6] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.080 ; 1.688 ; -; 1.396 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[8] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.080 ; 1.688 ; -; 1.398 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[9] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[14] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.080 ; 1.690 ; -; 1.404 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[5] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.080 ; 1.696 ; -; 1.407 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[10] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[15] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.080 ; 1.699 ; -; 1.412 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[9] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.080 ; 1.704 ; -; 1.412 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[11] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[15] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.080 ; 1.704 ; -; 1.413 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[6] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.080 ; 1.705 ; -; 1.414 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[7] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[11] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.080 ; 1.706 ; -; 1.421 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[10] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.080 ; 1.713 ; -; 1.423 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[7] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[12] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.080 ; 1.715 ; -; 1.429 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[7] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.080 ; 1.721 ; -; 1.429 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[11] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.080 ; 1.721 ; -; 1.430 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[9] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.080 ; 1.722 ; -; 1.432 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[13] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.080 ; 1.724 ; -; 1.438 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[8] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.080 ; 1.730 ; -; 1.438 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[12] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.080 ; 1.730 ; -; 1.439 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[10] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.080 ; 1.731 ; -; 1.441 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[14] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.080 ; 1.733 ; -; 1.527 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[7] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.080 ; 1.819 ; -; 1.527 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[9] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.080 ; 1.819 ; -; 1.529 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[9] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[15] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.080 ; 1.821 ; -; 1.536 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[8] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.080 ; 1.828 ; -; 1.536 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[10] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.080 ; 1.828 ; -; 1.544 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[7] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.080 ; 1.836 ; -; 1.552 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[11] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.080 ; 1.844 ; -; 1.553 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[8] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.080 ; 1.845 ; -; 1.554 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[7] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[13] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.080 ; 1.846 ; -; 1.561 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[12] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.080 ; 1.853 ; -; 1.563 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[7] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[14] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.080 ; 1.855 ; -; 1.569 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[9] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.080 ; 1.861 ; -+--------+----------------------------------------------+----------------------------------------------+-------------------------------------------+-------------------------------+--------------+------------+------------+ - - -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Slow 1200mV 85C Model Hold: 'SPI_SCK' ; -+-------+--------------------------------------------+----------------------------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+-------+--------------------------------------------+----------------------------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ -; 0.449 ; video_mixer:video_mixer|osd:osd|sbuf[0] ; video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated|ram_block1a0~porta_datain_reg0 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.483 ; 1.186 ; -; 0.450 ; video_mixer:video_mixer|osd:osd|bcnt[10] ; video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated|ram_block1a4~porta_address_reg0 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.478 ; 1.182 ; -; 0.450 ; video_mixer:video_mixer|osd:osd|sbuf[1] ; video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated|ram_block1a0~porta_datain_reg0 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.483 ; 1.187 ; -; 0.452 ; video_mixer:video_mixer|osd:osd|bcnt[8] ; video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated|ram_block1a0~porta_address_reg0 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.476 ; 1.182 ; -; 0.453 ; video_mixer:video_mixer|osd:osd|bcnt[3] ; video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated|ram_block1a4~porta_address_reg0 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.478 ; 1.185 ; -; 0.454 ; mist_io:mist_io|ps2_kbd_wptr[2] ; mist_io:mist_io|ps2_kbd_wptr[2] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.080 ; 0.746 ; -; 0.454 ; mist_io:mist_io|ps2_kbd_wptr[1] ; mist_io:mist_io|ps2_kbd_wptr[1] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.080 ; 0.746 ; -; 0.454 ; mist_io:mist_io|ps2_kbd_wptr[0] ; mist_io:mist_io|ps2_kbd_wptr[0] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.080 ; 0.746 ; -; 0.454 ; mist_io:mist_io|bit_cnt[2] ; mist_io:mist_io|bit_cnt[2] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.080 ; 0.746 ; -; 0.454 ; mist_io:mist_io|bit_cnt[1] ; mist_io:mist_io|bit_cnt[1] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.080 ; 0.746 ; -; 0.455 ; video_mixer:video_mixer|osd:osd|osd_enable ; video_mixer:video_mixer|osd:osd|osd_enable ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.079 ; 0.746 ; -; 0.455 ; video_mixer:video_mixer|osd:osd|bcnt[2] ; video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated|ram_block1a0~porta_address_reg0 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.476 ; 1.185 ; -; 0.455 ; mist_io:mist_io|status[0] ; mist_io:mist_io|status[0] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.079 ; 0.746 ; -; 0.462 ; video_mixer:video_mixer|osd:osd|bcnt[7] ; video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated|ram_block1a0~porta_address_reg0 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.476 ; 1.192 ; -; 0.466 ; mist_io:mist_io|bit_cnt[0] ; mist_io:mist_io|bit_cnt[0] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.080 ; 0.758 ; -; 0.472 ; video_mixer:video_mixer|osd:osd|bcnt[1] ; video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated|ram_block1a0~porta_address_reg0 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.476 ; 1.202 ; -; 0.475 ; video_mixer:video_mixer|osd:osd|bcnt[6] ; video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated|ram_block1a4~porta_address_reg0 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.478 ; 1.207 ; -; 0.480 ; video_mixer:video_mixer|osd:osd|bcnt[0] ; video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated|ram_block1a0~porta_address_reg0 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.476 ; 1.210 ; -; 0.487 ; video_mixer:video_mixer|osd:osd|bcnt[5] ; video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated|ram_block1a0~porta_address_reg0 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.476 ; 1.217 ; -; 0.493 ; video_mixer:video_mixer|osd:osd|bcnt[10] ; video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated|ram_block1a0~porta_address_reg0 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.476 ; 1.223 ; -; 0.526 ; mist_io:mist_io|sbuf[0] ; mist_io:mist_io|sbuf[1] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.080 ; 0.818 ; -; 0.528 ; video_mixer:video_mixer|osd:osd|sbuf[5] ; video_mixer:video_mixer|osd:osd|sbuf[6] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.097 ; 0.837 ; -; 0.529 ; mist_io:mist_io|sbuf[4] ; mist_io:mist_io|sbuf[5] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.079 ; 0.820 ; -; 0.535 ; mist_io:mist_io|bit_cnt[0] ; mist_io:mist_io|bit_cnt[1] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.080 ; 0.827 ; -; 0.537 ; mist_io:mist_io|bit_cnt[0] ; mist_io:mist_io|bit_cnt[2] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.080 ; 0.829 ; -; 0.624 ; video_mixer:video_mixer|osd:osd|sbuf[1] ; video_mixer:video_mixer|osd:osd|sbuf[2] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.535 ; 1.371 ; -; 0.696 ; video_mixer:video_mixer|osd:osd|sbuf[2] ; video_mixer:video_mixer|osd:osd|sbuf[3] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.097 ; 1.005 ; -; 0.724 ; video_mixer:video_mixer|osd:osd|bcnt[5] ; video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated|ram_block1a4~porta_address_reg0 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.478 ; 1.456 ; -; 0.724 ; video_mixer:video_mixer|osd:osd|sbuf[3] ; video_mixer:video_mixer|osd:osd|sbuf[4] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.097 ; 1.033 ; -; 0.724 ; mist_io:mist_io|sbuf[1] ; mist_io:mist_io|sbuf[2] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.080 ; 1.016 ; -; 0.726 ; video_mixer:video_mixer|osd:osd|sbuf[1] ; video_mixer:video_mixer|osd:osd|bcnt[10] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.080 ; 1.018 ; -; 0.726 ; mist_io:mist_io|sbuf[5] ; mist_io:mist_io|sbuf[6] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.079 ; 1.017 ; -; 0.727 ; mist_io:mist_io|sbuf[2] ; mist_io:mist_io|status[3] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.080 ; 1.019 ; -; 0.750 ; mist_io:mist_io|sbuf[3] ; mist_io:mist_io|sbuf[4] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.079 ; 1.041 ; -; 0.753 ; video_mixer:video_mixer|osd:osd|bcnt[1] ; video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated|ram_block1a4~porta_address_reg0 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.478 ; 1.485 ; -; 0.759 ; video_mixer:video_mixer|osd:osd|bcnt[8] ; video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated|ram_block1a4~porta_address_reg0 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.478 ; 1.491 ; -; 0.762 ; video_mixer:video_mixer|osd:osd|bcnt[1] ; video_mixer:video_mixer|osd:osd|bcnt[1] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.080 ; 1.054 ; -; 0.763 ; video_mixer:video_mixer|osd:osd|bcnt[9] ; video_mixer:video_mixer|osd:osd|bcnt[9] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.080 ; 1.055 ; -; 0.763 ; video_mixer:video_mixer|osd:osd|bcnt[8] ; video_mixer:video_mixer|osd:osd|bcnt[8] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.080 ; 1.055 ; -; 0.763 ; video_mixer:video_mixer|osd:osd|bcnt[7] ; video_mixer:video_mixer|osd:osd|bcnt[7] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.080 ; 1.055 ; -; 0.763 ; video_mixer:video_mixer|osd:osd|bcnt[6] ; video_mixer:video_mixer|osd:osd|bcnt[6] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.080 ; 1.055 ; -; 0.764 ; video_mixer:video_mixer|osd:osd|bcnt[5] ; video_mixer:video_mixer|osd:osd|bcnt[5] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.080 ; 1.056 ; -; 0.764 ; video_mixer:video_mixer|osd:osd|bcnt[3] ; video_mixer:video_mixer|osd:osd|bcnt[3] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.080 ; 1.056 ; -; 0.765 ; video_mixer:video_mixer|osd:osd|bcnt[10] ; video_mixer:video_mixer|osd:osd|bcnt[10] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.080 ; 1.057 ; -; 0.765 ; mist_io:mist_io|byte_cnt[6] ; mist_io:mist_io|byte_cnt[6] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.080 ; 1.057 ; -; 0.766 ; video_mixer:video_mixer|osd:osd|bcnt[4] ; video_mixer:video_mixer|osd:osd|bcnt[4] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.080 ; 1.058 ; -; 0.766 ; video_mixer:video_mixer|osd:osd|bcnt[2] ; video_mixer:video_mixer|osd:osd|bcnt[2] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.080 ; 1.058 ; -; 0.771 ; video_mixer:video_mixer|osd:osd|cnt[3] ; video_mixer:video_mixer|osd:osd|cnt[3] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.079 ; 1.062 ; -; 0.773 ; mist_io:mist_io|ps2_kbd_wptr[1] ; mist_io:mist_io|ps2_kbd_wptr[2] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.080 ; 1.065 ; -; 0.781 ; mist_io:mist_io|bit_cnt[1] ; mist_io:mist_io|bit_cnt[2] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.080 ; 1.073 ; -; 0.782 ; mist_io:mist_io|ps2_kbd_wptr[0] ; mist_io:mist_io|ps2_kbd_wptr[1] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.080 ; 1.074 ; -; 0.785 ; video_mixer:video_mixer|osd:osd|bcnt[2] ; video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated|ram_block1a4~porta_address_reg0 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.478 ; 1.517 ; -; 0.790 ; video_mixer:video_mixer|osd:osd|bcnt[9] ; video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated|ram_block1a4~porta_address_reg0 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.478 ; 1.522 ; -; 0.798 ; mist_io:mist_io|sbuf[6] ; mist_io:mist_io|ps2_kbd_fifo~31 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.534 ; 1.544 ; -; 0.801 ; video_mixer:video_mixer|osd:osd|bcnt[3] ; video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated|ram_block1a0~porta_address_reg0 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.476 ; 1.531 ; -; 0.803 ; mist_io:mist_io|sbuf[2] ; mist_io:mist_io|ps2_kbd_fifo~27 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.531 ; 1.546 ; -; 0.805 ; video_mixer:video_mixer|osd:osd|bcnt[0] ; video_mixer:video_mixer|osd:osd|bcnt[0] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.080 ; 1.097 ; -; 0.811 ; video_mixer:video_mixer|osd:osd|bcnt[4] ; video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated|ram_block1a4~porta_address_reg0 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.478 ; 1.543 ; -; 0.823 ; mist_io:mist_io|byte_cnt[4] ; mist_io:mist_io|byte_cnt[4] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.080 ; 1.115 ; -; 0.836 ; mist_io:mist_io|byte_cnt[0] ; mist_io:mist_io|byte_cnt[1] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.080 ; 1.128 ; -; 0.846 ; video_mixer:video_mixer|osd:osd|bcnt[0] ; video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated|ram_block1a4~porta_address_reg0 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.478 ; 1.578 ; -; 0.859 ; video_mixer:video_mixer|osd:osd|sbuf[2] ; video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated|ram_block1a0~porta_datain_reg0 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.045 ; 1.158 ; -; 0.860 ; mist_io:mist_io|sbuf[5] ; mist_io:mist_io|ps2_kbd_fifo~30 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.534 ; 1.606 ; -; 0.874 ; mist_io:mist_io|sbuf[0] ; mist_io:mist_io|ps2_kbd_fifo~25 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.531 ; 1.617 ; -; 0.880 ; mist_io:mist_io|sbuf[2] ; mist_io:mist_io|ps2_kbd_fifo~59 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.537 ; 1.629 ; -; 0.884 ; mist_io:mist_io|sbuf[3] ; mist_io:mist_io|ps2_kbd_fifo~60 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.540 ; 1.636 ; -; 0.905 ; video_mixer:video_mixer|osd:osd|sbuf[0] ; video_mixer:video_mixer|osd:osd|sbuf[1] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.080 ; 1.197 ; -; 0.936 ; video_mixer:video_mixer|osd:osd|sbuf[6] ; video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated|ram_block1a4~porta_datain_reg0 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.047 ; 1.237 ; -; 0.962 ; video_mixer:video_mixer|osd:osd|cnt[1] ; video_mixer:video_mixer|osd:osd|cnt[1] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.079 ; 1.253 ; -; 0.966 ; video_mixer:video_mixer|osd:osd|sbuf[0] ; video_mixer:video_mixer|osd:osd|bcnt[9] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.080 ; 1.258 ; -; 0.966 ; video_mixer:video_mixer|osd:osd|cnt[4] ; video_mixer:video_mixer|osd:osd|cnt[4] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.079 ; 1.257 ; -; 0.975 ; video_mixer:video_mixer|osd:osd|cnt[2] ; video_mixer:video_mixer|osd:osd|cnt[2] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.079 ; 1.266 ; -; 0.980 ; video_mixer:video_mixer|osd:osd|sbuf[5] ; video_mixer:video_mixer|osd:osd|cmd[6] ; SPI_SCK ; SPI_SCK ; 0.000 ; -0.355 ; 0.837 ; -; 0.987 ; video_mixer:video_mixer|osd:osd|cnt[0] ; video_mixer:video_mixer|osd:osd|cnt[0] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.079 ; 1.278 ; -; 0.988 ; mist_io:mist_io|byte_cnt[7] ; mist_io:mist_io|byte_cnt[7] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.080 ; 1.280 ; -; 1.000 ; mist_io:mist_io|sbuf[5] ; mist_io:mist_io|ps2_kbd_fifo~6 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.080 ; 1.292 ; -; 1.000 ; mist_io:mist_io|sbuf[5] ; mist_io:mist_io|ps2_kbd_fifo~14 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.080 ; 1.292 ; -; 1.004 ; mist_io:mist_io|sbuf[4] ; mist_io:mist_io|ps2_kbd_fifo~5 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.080 ; 1.296 ; -; 1.004 ; mist_io:mist_io|sbuf[4] ; mist_io:mist_io|ps2_kbd_fifo~13 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.080 ; 1.296 ; -; 1.009 ; mist_io:mist_io|byte_cnt[2] ; mist_io:mist_io|byte_cnt[2] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.080 ; 1.301 ; -; 1.009 ; mist_io:mist_io|byte_cnt[5] ; mist_io:mist_io|byte_cnt[5] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.080 ; 1.301 ; -; 1.013 ; mist_io:mist_io|sbuf[3] ; mist_io:mist_io|ps2_kbd_fifo~4 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.080 ; 1.305 ; -; 1.013 ; mist_io:mist_io|sbuf[3] ; mist_io:mist_io|ps2_kbd_fifo~12 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.080 ; 1.305 ; -; 1.023 ; video_mixer:video_mixer|osd:osd|bcnt[7] ; video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated|ram_block1a4~porta_address_reg0 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.478 ; 1.755 ; -; 1.027 ; video_mixer:video_mixer|osd:osd|bcnt[6] ; video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated|ram_block1a0~porta_address_reg0 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.476 ; 1.757 ; -; 1.027 ; mist_io:mist_io|cmd[0] ; mist_io:mist_io|status[0] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.079 ; 1.318 ; -; 1.057 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|byte_cnt[1] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.080 ; 1.349 ; -; 1.077 ; mist_io:mist_io|sbuf[1] ; mist_io:mist_io|ps2_kbd_fifo~58 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.537 ; 1.826 ; -; 1.088 ; video_mixer:video_mixer|osd:osd|bcnt[4] ; video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated|ram_block1a0~porta_address_reg0 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.476 ; 1.818 ; -; 1.113 ; mist_io:mist_io|sbuf[1] ; mist_io:mist_io|status[2] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.614 ; 1.939 ; -; 1.117 ; video_mixer:video_mixer|osd:osd|bcnt[7] ; video_mixer:video_mixer|osd:osd|bcnt[8] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.080 ; 1.409 ; -; 1.117 ; video_mixer:video_mixer|osd:osd|bcnt[9] ; video_mixer:video_mixer|osd:osd|bcnt[10] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.080 ; 1.409 ; -; 1.117 ; video_mixer:video_mixer|osd:osd|bcnt[1] ; video_mixer:video_mixer|osd:osd|bcnt[2] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.080 ; 1.409 ; -; 1.118 ; video_mixer:video_mixer|osd:osd|bcnt[5] ; video_mixer:video_mixer|osd:osd|bcnt[6] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.080 ; 1.410 ; -; 1.118 ; video_mixer:video_mixer|osd:osd|bcnt[3] ; video_mixer:video_mixer|osd:osd|bcnt[4] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.080 ; 1.410 ; -; 1.119 ; video_mixer:video_mixer|osd:osd|sbuf[3] ; video_mixer:video_mixer|osd:osd|cmd[4] ; SPI_SCK ; SPI_SCK ; 0.000 ; -0.355 ; 0.976 ; -; 1.119 ; mist_io:mist_io|byte_cnt[6] ; mist_io:mist_io|byte_cnt[7] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.080 ; 1.411 ; -; 1.124 ; video_mixer:video_mixer|osd:osd|bcnt[8] ; video_mixer:video_mixer|osd:osd|bcnt[9] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.080 ; 1.416 ; -; 1.124 ; video_mixer:video_mixer|osd:osd|bcnt[6] ; video_mixer:video_mixer|osd:osd|bcnt[7] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.080 ; 1.416 ; -; 1.126 ; video_mixer:video_mixer|osd:osd|cnt[3] ; video_mixer:video_mixer|osd:osd|cnt[4] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.079 ; 1.417 ; -+-------+--------------------------------------------+----------------------------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ - - -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Slow 1200mV 85C Model Hold: 'mz80k_top:mz80k_top|CLK_2M' ; -+-------+----------------------------------------------+----------------------------------------------+----------------------------+----------------------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+-------+----------------------------------------------+----------------------------------------------+----------------------------+----------------------------+--------------+------------+------------+ -; 0.453 ; mz80k_top:mz80k_top|i8253:i8253_1|signal0 ; mz80k_top:mz80k_top|i8253:i8253_1|signal0 ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.081 ; 0.746 ; -; 0.763 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[3] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.055 ; -; 0.763 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[13] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[13] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.055 ; -; 0.763 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[14] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[14] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.055 ; -; 0.766 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[7] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[7] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.058 ; -; 0.766 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[9] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[9] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.058 ; -; 0.786 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[2] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.078 ; -; 0.786 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[6] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.078 ; -; 0.787 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[4] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.079 ; -; 0.787 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[12] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[12] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.079 ; -; 0.788 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[5] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.080 ; -; 0.788 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[1] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.080 ; -; 0.788 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[10] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[10] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.080 ; -; 0.788 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[11] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[11] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.080 ; -; 0.789 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[8] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.081 ; -; 0.790 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[15] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[15] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.082 ; -; 0.810 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[0] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.102 ; -; 1.116 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[13] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[14] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.408 ; -; 1.116 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[4] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.408 ; -; 1.118 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[9] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[10] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.410 ; -; 1.118 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[7] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[8] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.410 ; -; 1.126 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[14] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[15] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.418 ; -; 1.140 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[2] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.432 ; -; 1.141 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[6] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.433 ; -; 1.141 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[11] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[12] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.433 ; -; 1.148 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[1] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.440 ; -; 1.149 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[3] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.441 ; -; 1.149 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[7] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.441 ; -; 1.150 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[12] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[13] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.442 ; -; 1.150 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[5] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.442 ; -; 1.151 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[10] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[11] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.443 ; -; 1.152 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[9] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.444 ; -; 1.157 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[2] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.449 ; -; 1.158 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[4] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.450 ; -; 1.158 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[8] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.450 ; -; 1.159 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[12] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[14] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.451 ; -; 1.159 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[6] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.451 ; -; 1.160 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[10] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[12] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.452 ; -; 1.161 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[10] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.453 ; -; 1.247 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[13] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[15] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.539 ; -; 1.247 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[5] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.539 ; -; 1.249 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[9] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[11] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.541 ; -; 1.249 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[7] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[9] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.541 ; -; 1.256 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[6] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.548 ; -; 1.258 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[9] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[12] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.550 ; -; 1.258 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[7] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[10] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.550 ; -; 1.271 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[3] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.563 ; -; 1.272 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[7] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.564 ; -; 1.272 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[11] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[13] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.564 ; -; 1.280 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[4] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.572 ; -; 1.281 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[8] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.573 ; -; 1.281 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[11] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[14] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.573 ; -; 1.288 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[3] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.580 ; -; 1.289 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[5] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.581 ; -; 1.289 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[9] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.581 ; -; 1.290 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[12] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[15] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.582 ; -; 1.290 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[7] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.582 ; -; 1.291 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[10] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[13] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.583 ; -; 1.292 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[11] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.584 ; -; 1.297 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[4] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.589 ; -; 1.298 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[6] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.590 ; -; 1.298 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[10] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.590 ; -; 1.299 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[8] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.591 ; -; 1.300 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[10] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[14] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.592 ; -; 1.301 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[12] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.593 ; -; 1.387 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[7] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.679 ; -; 1.389 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[9] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[13] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.681 ; -; 1.389 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[7] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[11] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.681 ; -; 1.396 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[8] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.688 ; -; 1.398 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[9] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[14] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.690 ; -; 1.398 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[7] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[12] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.690 ; -; 1.411 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[5] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.703 ; -; 1.412 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[9] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.704 ; -; 1.412 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[11] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[15] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.704 ; -; 1.420 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[6] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.712 ; -; 1.421 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[10] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.713 ; -; 1.428 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[5] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.720 ; -; 1.429 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[7] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.721 ; -; 1.429 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[11] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.721 ; -; 1.430 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[9] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.722 ; -; 1.431 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[10] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[15] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.723 ; -; 1.432 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[13] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.724 ; -; 1.437 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[6] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.729 ; -; 1.438 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[8] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.730 ; -; 1.438 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[12] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.730 ; -; 1.439 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[10] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.731 ; -; 1.441 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[14] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.733 ; -; 1.527 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[9] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.819 ; -; 1.529 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[9] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[15] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.821 ; -; 1.529 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[7] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[13] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.821 ; -; 1.536 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[10] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.828 ; -; 1.538 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[7] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[14] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.830 ; -; 1.551 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[7] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.843 ; -; 1.552 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[11] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.844 ; -; 1.560 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[8] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.852 ; -; 1.561 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[12] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.853 ; -; 1.568 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[7] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.860 ; -; 1.569 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[9] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.861 ; -; 1.569 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[13] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.861 ; -; 1.570 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[11] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.080 ; 1.862 ; -+-------+----------------------------------------------+----------------------------------------------+----------------------------+----------------------------+--------------+------------+------------+ - - -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Slow 1200mV 85C Model Hold: 'mz80k_top:mz80k_top|i8253:i8253_1|signal1' ; -+-------+----------------------------------------------+----------------------------------------------+-------------------------------------------+-------------------------------------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+-------+----------------------------------------------+----------------------------------------------+-------------------------------------------+-------------------------------------------+--------------+------------+------------+ -; 0.675 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[7] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[8] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.523 ; 1.410 ; -; 0.691 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[8] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.523 ; 1.426 ; -; 0.693 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[10] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[12] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.523 ; 1.428 ; -; 0.698 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[11] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[12] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.523 ; 1.433 ; -; 0.745 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[13] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[13] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.098 ; 1.055 ; -; 0.745 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[14] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[14] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.098 ; 1.055 ; -; 0.745 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[12] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[12] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.098 ; 1.055 ; -; 0.748 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[9] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[9] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.098 ; 1.058 ; -; 0.762 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[6] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.080 ; 1.054 ; -; 0.763 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[3] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.080 ; 1.055 ; -; 0.764 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[10] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[10] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.080 ; 1.056 ; -; 0.766 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[7] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[7] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.080 ; 1.058 ; -; 0.771 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[8] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.098 ; 1.081 ; -; 0.786 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.080 ; 1.078 ; -; 0.787 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[4] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.080 ; 1.079 ; -; 0.788 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[1] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.080 ; 1.080 ; -; 0.788 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[5] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.080 ; 1.080 ; -; 0.788 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[11] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[11] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.080 ; 1.080 ; -; 0.790 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[15] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[15] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.080 ; 1.082 ; -; 0.806 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[7] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[9] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.523 ; 1.541 ; -; 0.810 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.080 ; 1.102 ; -; 0.822 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[9] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.523 ; 1.557 ; -; 0.824 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[10] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[13] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.523 ; 1.559 ; -; 0.829 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[11] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[13] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.523 ; 1.564 ; -; 0.833 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[10] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[14] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.523 ; 1.568 ; -; 0.838 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[8] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.523 ; 1.573 ; -; 0.838 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[11] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[14] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.523 ; 1.573 ; -; 0.856 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[8] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.523 ; 1.591 ; -; 0.944 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[9] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[9] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.336 ; 1.512 ; -; 0.949 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[12] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[12] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.336 ; 1.517 ; -; 0.950 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[13] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[13] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.336 ; 1.518 ; -; 0.953 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[8] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.523 ; 1.688 ; -; 0.955 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[7] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[12] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.523 ; 1.690 ; -; 0.969 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[9] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.523 ; 1.704 ; -; 0.971 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[12] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.523 ; 1.706 ; -; 0.987 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[9] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.523 ; 1.722 ; -; 0.995 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[8] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.523 ; 1.730 ; -; 1.080 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; -0.107 ; 1.205 ; -; 1.084 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[9] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.523 ; 1.819 ; -; 1.086 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[7] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[13] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.523 ; 1.821 ; -; 1.095 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[10] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[10] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; -0.107 ; 1.220 ; -; 1.095 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[7] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[14] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.523 ; 1.830 ; -; 1.098 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[13] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[14] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.098 ; 1.408 ; -; 1.102 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[13] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.523 ; 1.837 ; -; 1.108 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[12] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[13] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.098 ; 1.418 ; -; 1.111 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[14] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.523 ; 1.846 ; -; 1.116 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[4] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.080 ; 1.408 ; -; 1.117 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[12] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[14] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.098 ; 1.427 ; -; 1.117 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[8] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.523 ; 1.852 ; -; 1.118 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[12] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.523 ; 1.853 ; -; 1.125 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[7] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.080 ; 1.417 ; -; 1.126 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[9] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.523 ; 1.861 ; -; 1.127 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[10] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[11] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.080 ; 1.419 ; -; 1.134 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[9] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.098 ; 1.444 ; -; 1.134 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[8] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.523 ; 1.869 ; -; 1.136 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[12] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.523 ; 1.871 ; -; 1.140 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.080 ; 1.432 ; -; 1.141 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[15] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[15] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; -0.107 ; 1.266 ; -; 1.141 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[6] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.080 ; 1.433 ; -; 1.142 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[7] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; -0.107 ; 1.267 ; -; 1.143 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[4] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; -0.107 ; 1.268 ; -; 1.146 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[6] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; -0.107 ; 1.271 ; -; 1.148 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[1] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.080 ; 1.440 ; -; 1.149 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[3] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.080 ; 1.441 ; -; 1.150 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[5] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.080 ; 1.442 ; -; 1.157 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.080 ; 1.449 ; -; 1.158 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[4] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.080 ; 1.450 ; -; 1.159 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[6] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.080 ; 1.451 ; -; 1.179 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[8] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.336 ; 1.747 ; -; 1.233 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[12] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.523 ; 1.968 ; -; 1.240 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[9] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[12] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.098 ; 1.550 ; -; 1.247 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[5] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.080 ; 1.539 ; -; 1.248 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[9] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.523 ; 1.983 ; -; 1.249 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[13] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.523 ; 1.984 ; -; 1.256 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[6] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.080 ; 1.548 ; -; 1.258 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[7] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[10] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.080 ; 1.550 ; -; 1.258 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[14] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.523 ; 1.993 ; -; 1.265 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[9] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.523 ; 2.000 ; -; 1.267 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[13] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.523 ; 2.002 ; -; 1.271 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[3] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.080 ; 1.563 ; -; 1.272 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[7] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.080 ; 1.564 ; -; 1.274 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[10] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.080 ; 1.566 ; -; 1.275 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[12] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.523 ; 2.010 ; -; 1.276 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[14] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.523 ; 2.011 ; -; 1.280 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[4] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.080 ; 1.572 ; -; 1.283 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[12] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.098 ; 1.593 ; -; 1.288 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[3] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.080 ; 1.580 ; -; 1.289 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[5] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.080 ; 1.581 ; -; 1.290 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[7] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.080 ; 1.582 ; -; 1.297 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[4] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.080 ; 1.589 ; -; 1.298 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[6] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.080 ; 1.590 ; -; 1.364 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[13] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.523 ; 2.099 ; -; 1.371 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[9] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[13] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.098 ; 1.681 ; -; 1.373 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[14] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.523 ; 2.108 ; -; 1.380 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[9] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[14] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.098 ; 1.690 ; -; 1.387 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[7] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.080 ; 1.679 ; -; 1.389 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[7] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[11] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.080 ; 1.681 ; -; 1.397 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[12] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.523 ; 2.132 ; -; 1.405 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[11] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.080 ; 1.697 ; -; 1.406 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[13] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.523 ; 2.141 ; -+-------+----------------------------------------------+----------------------------------------------+-------------------------------------------+-------------------------------------------+--------------+------------+------------+ - - -+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Slow 1200mV 85C Model Hold: 'mz80k_top:mz80k_top|vga:vga1|counter[0]' ; -+-------+-----------------------------------+-----------------------------------+-----------------------------------------+-----------------------------------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+-------+-----------------------------------+-----------------------------------+-----------------------------------------+-----------------------------------------+--------------+------------+------------+ -; 0.735 ; mz80k_top:mz80k_top|vga:vga1|x[9] ; mz80k_top:mz80k_top|vga:vga1|x[9] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.080 ; 1.027 ; -; 0.762 ; mz80k_top:mz80k_top|vga:vga1|x[1] ; mz80k_top:mz80k_top|vga:vga1|x[1] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.080 ; 1.054 ; -; 0.764 ; mz80k_top:mz80k_top|vga:vga1|x[2] ; mz80k_top:mz80k_top|vga:vga1|x[2] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.080 ; 1.056 ; -; 0.765 ; mz80k_top:mz80k_top|vga:vga1|x[3] ; mz80k_top:mz80k_top|vga:vga1|x[3] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.080 ; 1.057 ; -; 0.767 ; mz80k_top:mz80k_top|vga:vga1|x[4] ; mz80k_top:mz80k_top|vga:vga1|x[4] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.080 ; 1.059 ; -; 0.769 ; mz80k_top:mz80k_top|vga:vga1|y[1] ; mz80k_top:mz80k_top|vga:vga1|y[1] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.081 ; 1.062 ; -; 0.774 ; mz80k_top:mz80k_top|vga:vga1|y[2] ; mz80k_top:mz80k_top|vga:vga1|y[2] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.081 ; 1.067 ; -; 0.780 ; mz80k_top:mz80k_top|vga:vga1|y[3] ; mz80k_top:mz80k_top|vga:vga1|y[3] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.081 ; 1.073 ; -; 0.788 ; mz80k_top:mz80k_top|vga:vga1|x[0] ; mz80k_top:mz80k_top|vga:vga1|x[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.080 ; 1.080 ; -; 0.789 ; mz80k_top:mz80k_top|vga:vga1|y[0] ; mz80k_top:mz80k_top|vga:vga1|y[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.081 ; 1.082 ; -; 0.985 ; mz80k_top:mz80k_top|vga:vga1|x[5] ; mz80k_top:mz80k_top|vga:vga1|x[5] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.080 ; 1.277 ; -; 0.986 ; mz80k_top:mz80k_top|vga:vga1|x[7] ; mz80k_top:mz80k_top|vga:vga1|x[7] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.080 ; 1.278 ; -; 0.988 ; mz80k_top:mz80k_top|vga:vga1|x[6] ; mz80k_top:mz80k_top|vga:vga1|x[6] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.080 ; 1.280 ; -; 0.991 ; mz80k_top:mz80k_top|vga:vga1|y[4] ; mz80k_top:mz80k_top|vga:vga1|y[4] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.081 ; 1.284 ; -; 1.003 ; mz80k_top:mz80k_top|vga:vga1|y[7] ; mz80k_top:mz80k_top|vga:vga1|y[7] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.081 ; 1.296 ; -; 1.020 ; mz80k_top:mz80k_top|vga:vga1|y[5] ; mz80k_top:mz80k_top|vga:vga1|y[5] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.081 ; 1.313 ; -; 1.036 ; mz80k_top:mz80k_top|vga:vga1|y[6] ; mz80k_top:mz80k_top|vga:vga1|y[6] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.081 ; 1.329 ; -; 1.036 ; mz80k_top:mz80k_top|vga:vga1|y[9] ; mz80k_top:mz80k_top|vga:vga1|y[9] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.081 ; 1.329 ; -; 1.117 ; mz80k_top:mz80k_top|vga:vga1|x[1] ; mz80k_top:mz80k_top|vga:vga1|x[2] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.080 ; 1.409 ; -; 1.119 ; mz80k_top:mz80k_top|vga:vga1|x[3] ; mz80k_top:mz80k_top|vga:vga1|x[4] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.080 ; 1.411 ; -; 1.124 ; mz80k_top:mz80k_top|vga:vga1|y[1] ; mz80k_top:mz80k_top|vga:vga1|y[2] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.081 ; 1.417 ; -; 1.125 ; mz80k_top:mz80k_top|vga:vga1|x[2] ; mz80k_top:mz80k_top|vga:vga1|x[3] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.080 ; 1.417 ; -; 1.126 ; mz80k_top:mz80k_top|vga:vga1|x[0] ; mz80k_top:mz80k_top|vga:vga1|x[1] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.080 ; 1.418 ; -; 1.128 ; mz80k_top:mz80k_top|vga:vga1|x[4] ; mz80k_top:mz80k_top|vga:vga1|x[5] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.080 ; 1.420 ; -; 1.133 ; mz80k_top:mz80k_top|vga:vga1|y[0] ; mz80k_top:mz80k_top|vga:vga1|y[1] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.081 ; 1.426 ; -; 1.134 ; mz80k_top:mz80k_top|vga:vga1|y[3] ; mz80k_top:mz80k_top|vga:vga1|y[4] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.081 ; 1.427 ; -; 1.134 ; mz80k_top:mz80k_top|vga:vga1|x[2] ; mz80k_top:mz80k_top|vga:vga1|x[4] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.080 ; 1.426 ; -; 1.135 ; mz80k_top:mz80k_top|vga:vga1|y[2] ; mz80k_top:mz80k_top|vga:vga1|y[3] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.081 ; 1.428 ; -; 1.135 ; mz80k_top:mz80k_top|vga:vga1|x[0] ; mz80k_top:mz80k_top|vga:vga1|x[2] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.080 ; 1.427 ; -; 1.137 ; mz80k_top:mz80k_top|vga:vga1|x[4] ; mz80k_top:mz80k_top|vga:vga1|x[6] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.080 ; 1.429 ; -; 1.142 ; mz80k_top:mz80k_top|vga:vga1|y[0] ; mz80k_top:mz80k_top|vga:vga1|y[2] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.081 ; 1.435 ; -; 1.144 ; mz80k_top:mz80k_top|vga:vga1|y[2] ; mz80k_top:mz80k_top|vga:vga1|y[4] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.081 ; 1.437 ; -; 1.237 ; mz80k_top:mz80k_top|vga:vga1|y[8] ; mz80k_top:mz80k_top|vga:vga1|y[8] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.081 ; 1.530 ; -; 1.240 ; mz80k_top:mz80k_top|vga:vga1|x[8] ; mz80k_top:mz80k_top|vga:vga1|x[8] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.080 ; 1.532 ; -; 1.248 ; mz80k_top:mz80k_top|vga:vga1|x[1] ; mz80k_top:mz80k_top|vga:vga1|x[3] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.080 ; 1.540 ; -; 1.250 ; mz80k_top:mz80k_top|vga:vga1|x[3] ; mz80k_top:mz80k_top|vga:vga1|x[5] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.080 ; 1.542 ; -; 1.255 ; mz80k_top:mz80k_top|vga:vga1|y[1] ; mz80k_top:mz80k_top|vga:vga1|y[3] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.081 ; 1.548 ; -; 1.257 ; mz80k_top:mz80k_top|vga:vga1|x[1] ; mz80k_top:mz80k_top|vga:vga1|x[4] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.080 ; 1.549 ; -; 1.259 ; mz80k_top:mz80k_top|vga:vga1|x[3] ; mz80k_top:mz80k_top|vga:vga1|x[6] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.080 ; 1.551 ; -; 1.264 ; mz80k_top:mz80k_top|vga:vga1|y[1] ; mz80k_top:mz80k_top|vga:vga1|y[4] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.081 ; 1.557 ; -; 1.265 ; mz80k_top:mz80k_top|vga:vga1|y[3] ; mz80k_top:mz80k_top|vga:vga1|y[5] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.081 ; 1.558 ; -; 1.265 ; mz80k_top:mz80k_top|vga:vga1|x[2] ; mz80k_top:mz80k_top|vga:vga1|x[5] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.080 ; 1.557 ; -; 1.266 ; mz80k_top:mz80k_top|vga:vga1|x[0] ; mz80k_top:mz80k_top|vga:vga1|x[3] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.080 ; 1.558 ; -; 1.268 ; mz80k_top:mz80k_top|vga:vga1|x[4] ; mz80k_top:mz80k_top|vga:vga1|x[7] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.080 ; 1.560 ; -; 1.273 ; mz80k_top:mz80k_top|vga:vga1|y[0] ; mz80k_top:mz80k_top|vga:vga1|y[3] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.081 ; 1.566 ; -; 1.274 ; mz80k_top:mz80k_top|vga:vga1|y[3] ; mz80k_top:mz80k_top|vga:vga1|y[6] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.081 ; 1.567 ; -; 1.274 ; mz80k_top:mz80k_top|vga:vga1|x[2] ; mz80k_top:mz80k_top|vga:vga1|x[6] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.080 ; 1.566 ; -; 1.275 ; mz80k_top:mz80k_top|vga:vga1|x[0] ; mz80k_top:mz80k_top|vga:vga1|x[4] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.080 ; 1.567 ; -; 1.275 ; mz80k_top:mz80k_top|vga:vga1|y[2] ; mz80k_top:mz80k_top|vga:vga1|y[5] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.081 ; 1.568 ; -; 1.277 ; mz80k_top:mz80k_top|vga:vga1|x[4] ; mz80k_top:mz80k_top|vga:vga1|x[8] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.080 ; 1.569 ; -; 1.282 ; mz80k_top:mz80k_top|vga:vga1|y[0] ; mz80k_top:mz80k_top|vga:vga1|y[4] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.081 ; 1.575 ; -; 1.284 ; mz80k_top:mz80k_top|vga:vga1|y[2] ; mz80k_top:mz80k_top|vga:vga1|y[6] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.081 ; 1.577 ; -; 1.334 ; mz80k_top:mz80k_top|vga:vga1|y[4] ; mz80k_top:mz80k_top|vga:vga1|y[5] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.081 ; 1.627 ; -; 1.335 ; mz80k_top:mz80k_top|vga:vga1|x[6] ; mz80k_top:mz80k_top|vga:vga1|x[7] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.080 ; 1.627 ; -; 1.340 ; mz80k_top:mz80k_top|vga:vga1|x[5] ; mz80k_top:mz80k_top|vga:vga1|x[6] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.080 ; 1.632 ; -; 1.340 ; mz80k_top:mz80k_top|vga:vga1|x[7] ; mz80k_top:mz80k_top|vga:vga1|x[8] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.080 ; 1.632 ; -; 1.358 ; mz80k_top:mz80k_top|vga:vga1|y[7] ; mz80k_top:mz80k_top|vga:vga1|y[8] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.081 ; 1.651 ; -; 1.358 ; mz80k_top:mz80k_top|vga:vga1|x[6] ; mz80k_top:mz80k_top|vga:vga1|x[8] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.080 ; 1.650 ; -; 1.361 ; mz80k_top:mz80k_top|vga:vga1|y[4] ; mz80k_top:mz80k_top|vga:vga1|y[6] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.081 ; 1.654 ; -; 1.375 ; mz80k_top:mz80k_top|vga:vga1|y[5] ; mz80k_top:mz80k_top|vga:vga1|y[6] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.081 ; 1.668 ; -; 1.388 ; mz80k_top:mz80k_top|vga:vga1|x[1] ; mz80k_top:mz80k_top|vga:vga1|x[5] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.080 ; 1.680 ; -; 1.390 ; mz80k_top:mz80k_top|vga:vga1|x[3] ; mz80k_top:mz80k_top|vga:vga1|x[7] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.080 ; 1.682 ; -; 1.391 ; mz80k_top:mz80k_top|vga:vga1|y[6] ; mz80k_top:mz80k_top|vga:vga1|y[7] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.081 ; 1.684 ; -; 1.395 ; mz80k_top:mz80k_top|vga:vga1|y[1] ; mz80k_top:mz80k_top|vga:vga1|y[5] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.081 ; 1.688 ; -; 1.397 ; mz80k_top:mz80k_top|vga:vga1|x[1] ; mz80k_top:mz80k_top|vga:vga1|x[6] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.080 ; 1.689 ; -; 1.399 ; mz80k_top:mz80k_top|vga:vga1|x[3] ; mz80k_top:mz80k_top|vga:vga1|x[8] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.080 ; 1.691 ; -; 1.404 ; mz80k_top:mz80k_top|vga:vga1|y[1] ; mz80k_top:mz80k_top|vga:vga1|y[6] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.081 ; 1.697 ; -; 1.405 ; mz80k_top:mz80k_top|vga:vga1|x[2] ; mz80k_top:mz80k_top|vga:vga1|x[7] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.080 ; 1.697 ; -; 1.405 ; mz80k_top:mz80k_top|vga:vga1|y[3] ; mz80k_top:mz80k_top|vga:vga1|y[7] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.081 ; 1.698 ; -; 1.406 ; mz80k_top:mz80k_top|vga:vga1|y[6] ; mz80k_top:mz80k_top|vga:vga1|y[8] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.081 ; 1.699 ; -; 1.406 ; mz80k_top:mz80k_top|vga:vga1|x[0] ; mz80k_top:mz80k_top|vga:vga1|x[5] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.080 ; 1.698 ; -; 1.408 ; mz80k_top:mz80k_top|vga:vga1|x[4] ; mz80k_top:mz80k_top|vga:vga1|x[9] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.080 ; 1.700 ; -; 1.413 ; mz80k_top:mz80k_top|vga:vga1|y[0] ; mz80k_top:mz80k_top|vga:vga1|y[5] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.081 ; 1.706 ; -; 1.414 ; mz80k_top:mz80k_top|vga:vga1|x[2] ; mz80k_top:mz80k_top|vga:vga1|x[8] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.080 ; 1.706 ; -; 1.414 ; mz80k_top:mz80k_top|vga:vga1|y[3] ; mz80k_top:mz80k_top|vga:vga1|y[8] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.081 ; 1.707 ; -; 1.415 ; mz80k_top:mz80k_top|vga:vga1|x[0] ; mz80k_top:mz80k_top|vga:vga1|x[6] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.080 ; 1.707 ; -; 1.415 ; mz80k_top:mz80k_top|vga:vga1|y[2] ; mz80k_top:mz80k_top|vga:vga1|y[7] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.081 ; 1.708 ; -; 1.422 ; mz80k_top:mz80k_top|vga:vga1|y[0] ; mz80k_top:mz80k_top|vga:vga1|y[6] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.081 ; 1.715 ; -; 1.424 ; mz80k_top:mz80k_top|vga:vga1|y[2] ; mz80k_top:mz80k_top|vga:vga1|y[8] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.081 ; 1.717 ; -; 1.426 ; mz80k_top:mz80k_top|vga:vga1|x[5] ; mz80k_top:mz80k_top|vga:vga1|x[7] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.080 ; 1.718 ; -; 1.433 ; mz80k_top:mz80k_top|vga:vga1|x[7] ; mz80k_top:mz80k_top|vga:vga1|x[9] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.080 ; 1.725 ; -; 1.452 ; mz80k_top:mz80k_top|vga:vga1|y[7] ; mz80k_top:mz80k_top|vga:vga1|y[9] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.081 ; 1.745 ; -; 1.466 ; mz80k_top:mz80k_top|vga:vga1|y[5] ; mz80k_top:mz80k_top|vga:vga1|y[7] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.081 ; 1.759 ; -; 1.474 ; mz80k_top:mz80k_top|vga:vga1|y[4] ; mz80k_top:mz80k_top|vga:vga1|y[7] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.081 ; 1.767 ; -; 1.475 ; mz80k_top:mz80k_top|vga:vga1|x[6] ; mz80k_top:mz80k_top|vga:vga1|x[9] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.080 ; 1.767 ; -; 1.480 ; mz80k_top:mz80k_top|vga:vga1|x[5] ; mz80k_top:mz80k_top|vga:vga1|x[8] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.080 ; 1.772 ; -; 1.501 ; mz80k_top:mz80k_top|vga:vga1|x[9] ; mz80k_top:mz80k_top|vga:vga1|x[5] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.080 ; 1.793 ; -; 1.501 ; mz80k_top:mz80k_top|vga:vga1|x[9] ; mz80k_top:mz80k_top|vga:vga1|x[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.080 ; 1.793 ; -; 1.501 ; mz80k_top:mz80k_top|vga:vga1|x[9] ; mz80k_top:mz80k_top|vga:vga1|x[1] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.080 ; 1.793 ; -; 1.501 ; mz80k_top:mz80k_top|vga:vga1|x[9] ; mz80k_top:mz80k_top|vga:vga1|x[2] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.080 ; 1.793 ; -; 1.501 ; mz80k_top:mz80k_top|vga:vga1|x[9] ; mz80k_top:mz80k_top|vga:vga1|x[3] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.080 ; 1.793 ; -; 1.501 ; mz80k_top:mz80k_top|vga:vga1|x[9] ; mz80k_top:mz80k_top|vga:vga1|x[4] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.080 ; 1.793 ; -; 1.501 ; mz80k_top:mz80k_top|vga:vga1|x[9] ; mz80k_top:mz80k_top|vga:vga1|x[7] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.080 ; 1.793 ; -; 1.501 ; mz80k_top:mz80k_top|vga:vga1|x[9] ; mz80k_top:mz80k_top|vga:vga1|x[6] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.080 ; 1.793 ; -; 1.501 ; mz80k_top:mz80k_top|vga:vga1|x[9] ; mz80k_top:mz80k_top|vga:vga1|x[8] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.080 ; 1.793 ; -; 1.501 ; mz80k_top:mz80k_top|vga:vga1|y[4] ; mz80k_top:mz80k_top|vga:vga1|y[8] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.081 ; 1.794 ; -; 1.515 ; mz80k_top:mz80k_top|vga:vga1|y[5] ; mz80k_top:mz80k_top|vga:vga1|y[8] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.081 ; 1.808 ; -; 1.528 ; mz80k_top:mz80k_top|vga:vga1|x[1] ; mz80k_top:mz80k_top|vga:vga1|x[7] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.080 ; 1.820 ; -; 1.530 ; mz80k_top:mz80k_top|vga:vga1|x[3] ; mz80k_top:mz80k_top|vga:vga1|x[9] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.080 ; 1.822 ; -; 1.531 ; mz80k_top:mz80k_top|vga:vga1|y[6] ; mz80k_top:mz80k_top|vga:vga1|y[9] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.081 ; 1.824 ; -+-------+-----------------------------------+-----------------------------------+-----------------------------------------+-----------------------------------------+--------------+------------+------------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Slow 1200mV 85C Model Recovery: 'mz80k_top:mz80k_top|clk_count[2]' ; -+--------+--------------+---------------------------------------------+-------------------------------------------------+----------------------------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+--------+--------------+---------------------------------------------+-------------------------------------------------+----------------------------------+--------------+------------+------------+ -; -2.223 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl2 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.759 ; 5.904 ; -; -2.223 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl1 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.759 ; 5.904 ; -; -2.223 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|mode1[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.759 ; 5.904 ; -; -2.223 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.759 ; 5.904 ; -; -2.223 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[12] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.755 ; 5.900 ; -; -2.223 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.753 ; 5.898 ; -; -2.223 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[12] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.753 ; 5.898 ; -; -2.223 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|mode0[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.756 ; 5.901 ; -; -2.223 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl0 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.759 ; 5.904 ; -; -2.223 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.754 ; 5.899 ; -; -2.223 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[12] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.754 ; 5.899 ; -; -2.223 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|read_hl0 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.756 ; 5.901 ; -; -2.223 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.753 ; 5.898 ; -; -2.223 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[8] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.753 ; 5.898 ; -; -2.223 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[8] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.754 ; 5.899 ; -; -2.223 ; reset_cnt[0] ; mz80k_top:mz80k_top|key_no[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.756 ; 5.901 ; -; -2.223 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|mode1[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.759 ; 5.904 ; -; -2.223 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[14] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.755 ; 5.900 ; -; -2.223 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[6] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.753 ; 5.898 ; -; -2.223 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[14] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.753 ; 5.898 ; -; -2.223 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|mode0[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.756 ; 5.901 ; -; -2.223 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|mode0[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.756 ; 5.901 ; -; -2.223 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|mode0[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.756 ; 5.901 ; -; -2.223 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[6] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.754 ; 5.899 ; -; -2.223 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[14] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.754 ; 5.899 ; -; -2.223 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[13] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.755 ; 5.900 ; -; -2.223 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.753 ; 5.898 ; -; -2.223 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[13] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.753 ; 5.898 ; -; -2.223 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.754 ; 5.899 ; -; -2.223 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[13] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.754 ; 5.899 ; -; -2.223 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[15] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.755 ; 5.900 ; -; -2.223 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[7] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.753 ; 5.898 ; -; -2.223 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[15] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.753 ; 5.898 ; -; -2.223 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[7] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.754 ; 5.899 ; -; -2.223 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[15] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.754 ; 5.899 ; -; -2.223 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[10] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.755 ; 5.900 ; -; -2.223 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.753 ; 5.898 ; -; -2.223 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[10] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.753 ; 5.898 ; -; -2.223 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.754 ; 5.899 ; -; -2.223 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[10] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.754 ; 5.899 ; -; -2.223 ; reset_cnt[0] ; mz80k_top:mz80k_top|key_no[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.756 ; 5.901 ; -; -2.223 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[9] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.755 ; 5.900 ; -; -2.223 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.753 ; 5.898 ; -; -2.223 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[9] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.753 ; 5.898 ; -; -2.223 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.754 ; 5.899 ; -; -2.223 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[9] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.754 ; 5.899 ; -; -2.223 ; reset_cnt[0] ; mz80k_top:mz80k_top|key_no[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.756 ; 5.901 ; -; -2.223 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[11] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.755 ; 5.900 ; -; -2.223 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.753 ; 5.898 ; -; -2.223 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[11] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.753 ; 5.898 ; -; -2.223 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.754 ; 5.899 ; -; -2.223 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[11] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.754 ; 5.899 ; -; -2.223 ; reset_cnt[0] ; mz80k_top:mz80k_top|key_no[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.756 ; 5.901 ; -; -2.222 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|read_hl2 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.756 ; 5.900 ; -; -2.222 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|read_hl1 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.756 ; 5.900 ; -; -2.220 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl2 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.759 ; 5.901 ; -; -2.220 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl1 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.759 ; 5.901 ; -; -2.220 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|mode1[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.759 ; 5.901 ; -; -2.220 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.759 ; 5.901 ; -; -2.220 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[12] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.755 ; 5.897 ; -; -2.220 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.753 ; 5.895 ; -; -2.220 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[12] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.753 ; 5.895 ; -; -2.220 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|mode0[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.756 ; 5.898 ; -; -2.220 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl0 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.759 ; 5.901 ; -; -2.220 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.754 ; 5.896 ; -; -2.220 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[12] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.754 ; 5.896 ; -; -2.220 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|read_hl0 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.756 ; 5.898 ; -; -2.220 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.753 ; 5.895 ; -; -2.220 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[8] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.753 ; 5.895 ; -; -2.220 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[8] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.754 ; 5.896 ; -; -2.220 ; reset_cnt[2] ; mz80k_top:mz80k_top|key_no[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.756 ; 5.898 ; -; -2.220 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|mode1[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.759 ; 5.901 ; -; -2.220 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[14] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.755 ; 5.897 ; -; -2.220 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[6] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.753 ; 5.895 ; -; -2.220 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[14] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.753 ; 5.895 ; -; -2.220 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|mode0[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.756 ; 5.898 ; -; -2.220 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|mode0[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.756 ; 5.898 ; -; -2.220 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|mode0[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.756 ; 5.898 ; -; -2.220 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[6] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.754 ; 5.896 ; -; -2.220 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[14] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.754 ; 5.896 ; -; -2.220 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[13] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.755 ; 5.897 ; -; -2.220 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.753 ; 5.895 ; -; -2.220 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[13] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.753 ; 5.895 ; -; -2.220 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.754 ; 5.896 ; -; -2.220 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[13] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.754 ; 5.896 ; -; -2.220 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[15] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.755 ; 5.897 ; -; -2.220 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[7] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.753 ; 5.895 ; -; -2.220 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[15] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.753 ; 5.895 ; -; -2.220 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[7] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.754 ; 5.896 ; -; -2.220 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[15] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.754 ; 5.896 ; -; -2.220 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[10] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.755 ; 5.897 ; -; -2.220 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.753 ; 5.895 ; -; -2.220 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[10] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.753 ; 5.895 ; -; -2.220 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.754 ; 5.896 ; -; -2.220 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[10] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.754 ; 5.896 ; -; -2.220 ; reset_cnt[2] ; mz80k_top:mz80k_top|key_no[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.756 ; 5.898 ; -; -2.220 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[9] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.755 ; 5.897 ; -; -2.220 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.753 ; 5.895 ; -; -2.220 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[9] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.753 ; 5.895 ; -; -2.220 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.754 ; 5.896 ; -+--------+--------------+---------------------------------------------+-------------------------------------------------+----------------------------------+--------------+------------+------------+ - - -+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Slow 1200mV 85C Model Recovery: 'pll|altpll_component|auto_generated|pll1|clk[0]' ; -+--------+--------------+---------------------------------------------------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+--------+--------------+---------------------------------------------------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+ -; 14.024 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl0[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.082 ; 5.894 ; -; 14.024 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl9[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.073 ; 5.903 ; -; 14.024 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl3[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.082 ; 5.894 ; -; 14.024 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl0[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.082 ; 5.894 ; -; 14.024 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl1[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.082 ; 5.894 ; -; 14.024 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl7[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.082 ; 5.894 ; -; 14.024 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl1[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.082 ; 5.894 ; -; 14.024 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.082 ; 5.894 ; -; 14.024 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl7[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.073 ; 5.903 ; -; 14.024 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl3[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.082 ; 5.894 ; -; 14.024 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.082 ; 5.894 ; -; 14.024 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl0[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.082 ; 5.894 ; -; 14.025 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl1[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.080 ; 5.895 ; -; 14.025 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl3[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.080 ; 5.895 ; -; 14.025 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl4[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.080 ; 5.895 ; -; 14.025 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl0[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.082 ; 5.893 ; -; 14.025 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.080 ; 5.895 ; -; 14.025 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl8[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.082 ; 5.893 ; -; 14.025 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.080 ; 5.895 ; -; 14.025 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl1[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.080 ; 5.895 ; -; 14.025 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.080 ; 5.895 ; -; 14.025 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl4[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.080 ; 5.895 ; -; 14.025 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.081 ; 5.894 ; -; 14.025 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl8[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.081 ; 5.894 ; -; 14.025 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.080 ; 5.895 ; -; 14.025 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl4[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.081 ; 5.894 ; -; 14.025 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl3[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.080 ; 5.895 ; -; 14.025 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl1[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.080 ; 5.895 ; -; 14.025 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl8[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.082 ; 5.893 ; -; 14.025 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.080 ; 5.895 ; -; 14.025 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|dten ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.082 ; 5.893 ; -; 14.025 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.082 ; 5.893 ; -; 14.025 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.081 ; 5.894 ; -; 14.025 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.081 ; 5.894 ; -; 14.025 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.081 ; 5.894 ; -; 14.025 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.081 ; 5.894 ; -; 14.025 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[6] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.081 ; 5.894 ; -; 14.025 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[7] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.081 ; 5.894 ; -; 14.025 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[8] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.081 ; 5.894 ; -; 14.025 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.081 ; 5.894 ; -; 14.025 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[10] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.082 ; 5.893 ; -; 14.025 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[9] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.081 ; 5.894 ; -; 14.027 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl0[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.082 ; 5.891 ; -; 14.027 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl9[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.073 ; 5.900 ; -; 14.027 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl3[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.082 ; 5.891 ; -; 14.027 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl0[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.082 ; 5.891 ; -; 14.027 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl1[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.082 ; 5.891 ; -; 14.027 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl7[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.082 ; 5.891 ; -; 14.027 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl1[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.082 ; 5.891 ; -; 14.027 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.082 ; 5.891 ; -; 14.027 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl7[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.073 ; 5.900 ; -; 14.027 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl3[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.082 ; 5.891 ; -; 14.027 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.082 ; 5.891 ; -; 14.027 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl0[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.082 ; 5.891 ; -; 14.028 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl1[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.080 ; 5.892 ; -; 14.028 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl3[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.080 ; 5.892 ; -; 14.028 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl4[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.080 ; 5.892 ; -; 14.028 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl0[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.082 ; 5.890 ; -; 14.028 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.080 ; 5.892 ; -; 14.028 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl8[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.082 ; 5.890 ; -; 14.028 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.080 ; 5.892 ; -; 14.028 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl1[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.080 ; 5.892 ; -; 14.028 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.080 ; 5.892 ; -; 14.028 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl4[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.080 ; 5.892 ; -; 14.028 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.081 ; 5.891 ; -; 14.028 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl8[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.081 ; 5.891 ; -; 14.028 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.080 ; 5.892 ; -; 14.028 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl4[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.081 ; 5.891 ; -; 14.028 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl3[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.080 ; 5.892 ; -; 14.028 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl1[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.080 ; 5.892 ; -; 14.028 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl8[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.082 ; 5.890 ; -; 14.028 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.080 ; 5.892 ; -; 14.028 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|dten ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.082 ; 5.890 ; -; 14.028 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.082 ; 5.890 ; -; 14.028 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.081 ; 5.891 ; -; 14.028 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.081 ; 5.891 ; -; 14.028 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.081 ; 5.891 ; -; 14.028 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.081 ; 5.891 ; -; 14.028 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[6] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.081 ; 5.891 ; -; 14.028 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[7] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.081 ; 5.891 ; -; 14.028 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[8] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.081 ; 5.891 ; -; 14.028 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.081 ; 5.891 ; -; 14.028 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[10] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.082 ; 5.890 ; -; 14.028 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[9] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.081 ; 5.891 ; -; 14.037 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl7[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.083 ; 5.880 ; -; 14.037 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.083 ; 5.880 ; -; 14.037 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl8[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.084 ; 5.879 ; -; 14.037 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl3[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.083 ; 5.880 ; -; 14.037 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.083 ; 5.880 ; -; 14.037 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.084 ; 5.879 ; -; 14.037 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl4[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.083 ; 5.880 ; -; 14.037 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.083 ; 5.880 ; -; 14.037 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.084 ; 5.879 ; -; 14.037 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl9[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.084 ; 5.879 ; -; 14.037 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.083 ; 5.880 ; -; 14.038 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.083 ; 5.879 ; -; 14.038 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl9[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.084 ; 5.878 ; -; 14.038 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl7[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.082 ; 5.880 ; -; 14.038 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl0[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.084 ; 5.878 ; -; 14.038 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl8[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.083 ; 5.879 ; -+--------+--------------+---------------------------------------------------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+ - - -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Slow 1200mV 85C Model Removal: 'mz80k_top:mz80k_top|clk_count[2]' ; -+-------+--------------+---------------------------------------------+-------------------------------------------------+----------------------------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+-------+--------------+---------------------------------------------+-------------------------------------------------+----------------------------------+--------------+------------+------------+ -; 0.336 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[8] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.459 ; 5.087 ; -; 0.336 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.459 ; 5.087 ; -; 0.336 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|mode1[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.469 ; 5.097 ; -; 0.336 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|mode1[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.469 ; 5.097 ; -; 0.337 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|mode2[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.464 ; 5.093 ; -; 0.337 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.465 ; 5.094 ; -; 0.337 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|mode2[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.464 ; 5.093 ; -; 0.337 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[7] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.469 ; 5.098 ; -; 0.337 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.469 ; 5.098 ; -; 0.337 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.463 ; 5.092 ; -; 0.338 ; reset_cnt[7] ; mz80k_top:mz80k_top|speaker_enable ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.456 ; 5.086 ; -; 0.338 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[6] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.454 ; 5.084 ; -; 0.338 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.457 ; 5.087 ; -; 0.338 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.456 ; 5.086 ; -; 0.352 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.468 ; 5.112 ; -; 0.352 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|mode0[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.465 ; 5.109 ; -; 0.352 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.463 ; 5.107 ; -; 0.352 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[12] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.463 ; 5.107 ; -; 0.352 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[8] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.463 ; 5.107 ; -; 0.352 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|mode0[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.465 ; 5.109 ; -; 0.352 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|mode0[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.465 ; 5.109 ; -; 0.352 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|mode0[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.465 ; 5.109 ; -; 0.352 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[6] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.463 ; 5.107 ; -; 0.352 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[14] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.463 ; 5.107 ; -; 0.352 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.463 ; 5.107 ; -; 0.352 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[13] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.463 ; 5.107 ; -; 0.352 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[7] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.463 ; 5.107 ; -; 0.352 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[15] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.463 ; 5.107 ; -; 0.352 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.463 ; 5.107 ; -; 0.352 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[10] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.463 ; 5.107 ; -; 0.352 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.463 ; 5.107 ; -; 0.352 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[9] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.463 ; 5.107 ; -; 0.352 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.463 ; 5.107 ; -; 0.352 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[11] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.463 ; 5.107 ; -; 0.353 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl2 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.467 ; 5.112 ; -; 0.353 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|read_hl2 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.464 ; 5.109 ; -; 0.353 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl1 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.467 ; 5.112 ; -; 0.353 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|read_hl1 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.464 ; 5.109 ; -; 0.353 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|mode1[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.467 ; 5.112 ; -; 0.353 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[12] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.463 ; 5.108 ; -; 0.353 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.462 ; 5.107 ; -; 0.353 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[12] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.462 ; 5.107 ; -; 0.353 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl0 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.467 ; 5.112 ; -; 0.353 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|read_hl0 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.464 ; 5.109 ; -; 0.353 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.462 ; 5.107 ; -; 0.353 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[8] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.462 ; 5.107 ; -; 0.353 ; reset_cnt[7] ; mz80k_top:mz80k_top|key_no[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.464 ; 5.109 ; -; 0.353 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|mode1[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.467 ; 5.112 ; -; 0.353 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[14] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.463 ; 5.108 ; -; 0.353 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[6] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.462 ; 5.107 ; -; 0.353 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[14] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.462 ; 5.107 ; -; 0.353 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[13] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.463 ; 5.108 ; -; 0.353 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.462 ; 5.107 ; -; 0.353 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[13] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.462 ; 5.107 ; -; 0.353 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[15] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.463 ; 5.108 ; -; 0.353 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[7] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.462 ; 5.107 ; -; 0.353 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[15] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.462 ; 5.107 ; -; 0.353 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[10] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.463 ; 5.108 ; -; 0.353 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.462 ; 5.107 ; -; 0.353 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[10] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.462 ; 5.107 ; -; 0.353 ; reset_cnt[7] ; mz80k_top:mz80k_top|key_no[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.464 ; 5.109 ; -; 0.353 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[9] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.463 ; 5.108 ; -; 0.353 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.462 ; 5.107 ; -; 0.353 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[9] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.462 ; 5.107 ; -; 0.353 ; reset_cnt[7] ; mz80k_top:mz80k_top|key_no[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.464 ; 5.109 ; -; 0.353 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[11] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.463 ; 5.108 ; -; 0.353 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.462 ; 5.107 ; -; 0.353 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[11] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.462 ; 5.107 ; -; 0.353 ; reset_cnt[7] ; mz80k_top:mz80k_top|key_no[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.464 ; 5.109 ; -; 0.370 ; reset_cnt[3] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[8] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.459 ; 5.121 ; -; 0.370 ; reset_cnt[3] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.459 ; 5.121 ; -; 0.370 ; reset_cnt[3] ; mz80k_top:mz80k_top|i8253:i8253_1|mode1[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.469 ; 5.131 ; -; 0.370 ; reset_cnt[3] ; mz80k_top:mz80k_top|i8253:i8253_1|mode1[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.469 ; 5.131 ; -; 0.371 ; reset_cnt[3] ; mz80k_top:mz80k_top|i8253:i8253_1|mode2[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.464 ; 5.127 ; -; 0.371 ; reset_cnt[3] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.465 ; 5.128 ; -; 0.371 ; reset_cnt[3] ; mz80k_top:mz80k_top|i8253:i8253_1|mode2[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.464 ; 5.127 ; -; 0.371 ; reset_cnt[3] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[7] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.469 ; 5.132 ; -; 0.371 ; reset_cnt[3] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.469 ; 5.132 ; -; 0.371 ; reset_cnt[3] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.463 ; 5.126 ; -; 0.372 ; reset_cnt[3] ; mz80k_top:mz80k_top|speaker_enable ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.456 ; 5.120 ; -; 0.372 ; reset_cnt[3] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[6] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.454 ; 5.118 ; -; 0.372 ; reset_cnt[3] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.457 ; 5.121 ; -; 0.372 ; reset_cnt[3] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.456 ; 5.120 ; -; 0.386 ; reset_cnt[3] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.468 ; 5.146 ; -; 0.386 ; reset_cnt[3] ; mz80k_top:mz80k_top|i8253:i8253_1|mode0[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.465 ; 5.143 ; -; 0.386 ; reset_cnt[3] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.463 ; 5.141 ; -; 0.386 ; reset_cnt[3] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[12] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.463 ; 5.141 ; -; 0.386 ; reset_cnt[3] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[8] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.463 ; 5.141 ; -; 0.386 ; reset_cnt[3] ; mz80k_top:mz80k_top|i8253:i8253_1|mode0[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.465 ; 5.143 ; -; 0.386 ; reset_cnt[3] ; mz80k_top:mz80k_top|i8253:i8253_1|mode0[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.465 ; 5.143 ; -; 0.386 ; reset_cnt[3] ; mz80k_top:mz80k_top|i8253:i8253_1|mode0[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.465 ; 5.143 ; -; 0.386 ; reset_cnt[3] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[6] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.463 ; 5.141 ; -; 0.386 ; reset_cnt[3] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[14] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.463 ; 5.141 ; -; 0.386 ; reset_cnt[3] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.463 ; 5.141 ; -; 0.386 ; reset_cnt[3] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[13] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.463 ; 5.141 ; -; 0.386 ; reset_cnt[3] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[7] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.463 ; 5.141 ; -; 0.386 ; reset_cnt[3] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[15] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.463 ; 5.141 ; -; 0.386 ; reset_cnt[3] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.463 ; 5.141 ; -; 0.386 ; reset_cnt[3] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[10] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.463 ; 5.141 ; -; 0.386 ; reset_cnt[3] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 4.463 ; 5.141 ; -+-------+--------------+---------------------------------------------+-------------------------------------------------+----------------------------------+--------------+------------+------------+ - - -+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Slow 1200mV 85C Model Removal: 'pll|altpll_component|auto_generated|pll1|clk[0]' ; -+-------+--------------+---------------------------------------------------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+-------+--------------+---------------------------------------------------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+ -; 4.796 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl8[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.076 ; 5.084 ; -; 4.796 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl7[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.078 ; 5.086 ; -; 4.796 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.076 ; 5.084 ; -; 4.796 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.076 ; 5.084 ; -; 4.796 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl9[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.076 ; 5.084 ; -; 4.796 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.078 ; 5.086 ; -; 4.796 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.078 ; 5.086 ; -; 4.796 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl4[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.078 ; 5.086 ; -; 4.797 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl7[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.077 ; 5.086 ; -; 4.797 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.077 ; 5.086 ; -; 4.797 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.076 ; 5.085 ; -; 4.797 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl3[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.077 ; 5.086 ; -; 4.797 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl9[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.075 ; 5.084 ; -; 4.797 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.077 ; 5.086 ; -; 4.797 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl4[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.077 ; 5.086 ; -; 4.797 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl0[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.075 ; 5.084 ; -; 4.797 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.077 ; 5.086 ; -; 4.797 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl8[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.076 ; 5.085 ; -; 4.797 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_e0 ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.075 ; 5.084 ; -; 4.797 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.076 ; 5.085 ; -; 4.797 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.077 ; 5.086 ; -; 4.797 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_f0 ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.075 ; 5.084 ; -; 4.811 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl3[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 5.103 ; -; 4.811 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl4[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 5.103 ; -; 4.811 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 5.103 ; -; 4.811 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 5.103 ; -; 4.811 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 5.103 ; -; 4.811 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl4[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 5.103 ; -; 4.811 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl9[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.088 ; 5.111 ; -; 4.811 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 5.103 ; -; 4.811 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl3[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 5.103 ; -; 4.811 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl7[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.088 ; 5.111 ; -; 4.811 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl1[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 5.103 ; -; 4.811 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 5.102 ; -; 4.811 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 5.102 ; -; 4.811 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 5.102 ; -; 4.811 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 5.102 ; -; 4.811 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[6] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 5.102 ; -; 4.811 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[7] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 5.102 ; -; 4.811 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[8] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 5.102 ; -; 4.811 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 5.102 ; -; 4.811 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[9] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 5.102 ; -; 4.812 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl0[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.078 ; 5.102 ; -; 4.812 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl3[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.078 ; 5.102 ; -; 4.812 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl0[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.078 ; 5.102 ; -; 4.812 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl1[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.078 ; 5.102 ; -; 4.812 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 5.103 ; -; 4.812 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl8[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 5.103 ; -; 4.812 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl7[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.078 ; 5.102 ; -; 4.812 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl1[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.078 ; 5.102 ; -; 4.812 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl4[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 5.103 ; -; 4.812 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.078 ; 5.102 ; -; 4.812 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl3[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.078 ; 5.102 ; -; 4.812 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.078 ; 5.102 ; -; 4.813 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl1[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 5.104 ; -; 4.813 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl0[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.077 ; 5.102 ; -; 4.813 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl8[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.077 ; 5.102 ; -; 4.813 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl1[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 5.104 ; -; 4.813 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl0[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.078 ; 5.103 ; -; 4.813 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl8[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.077 ; 5.102 ; -; 4.813 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 5.104 ; -; 4.813 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|dten ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.077 ; 5.102 ; -; 4.813 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.077 ; 5.102 ; -; 4.813 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[10] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.077 ; 5.102 ; -; 4.830 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl8[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.076 ; 5.118 ; -; 4.830 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl7[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.078 ; 5.120 ; -; 4.830 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.076 ; 5.118 ; -; 4.830 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.076 ; 5.118 ; -; 4.830 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl9[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.076 ; 5.118 ; -; 4.830 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.078 ; 5.120 ; -; 4.830 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.078 ; 5.120 ; -; 4.830 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl4[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.078 ; 5.120 ; -; 4.831 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl7[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.077 ; 5.120 ; -; 4.831 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.077 ; 5.120 ; -; 4.831 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.076 ; 5.119 ; -; 4.831 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl3[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.077 ; 5.120 ; -; 4.831 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl9[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.075 ; 5.118 ; -; 4.831 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.077 ; 5.120 ; -; 4.831 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl4[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.077 ; 5.120 ; -; 4.831 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl0[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.075 ; 5.118 ; -; 4.831 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.077 ; 5.120 ; -; 4.831 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl8[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.076 ; 5.119 ; -; 4.831 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_e0 ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.075 ; 5.118 ; -; 4.831 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.076 ; 5.119 ; -; 4.831 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.077 ; 5.120 ; -; 4.831 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_f0 ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.075 ; 5.118 ; -; 4.845 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl3[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 5.137 ; -; 4.845 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl4[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 5.137 ; -; 4.845 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 5.137 ; -; 4.845 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 5.137 ; -; 4.845 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 5.137 ; -; 4.845 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl4[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 5.137 ; -; 4.845 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl9[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.088 ; 5.145 ; -; 4.845 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 5.137 ; -; 4.845 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl3[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 5.137 ; -; 4.845 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl7[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.088 ; 5.145 ; -; 4.845 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl1[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.080 ; 5.137 ; -; 4.845 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 5.136 ; -; 4.845 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 5.136 ; -; 4.845 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 5.136 ; -+-------+--------------+---------------------------------------------------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Slow 1200mV 85C Model Minimum Pulse Width: 'SPI_SCK' ; -+--------+--------------+----------------+------------+---------+------------+----------------------------------------------------------------------------------------------------------------------------+ -; Slack ; Actual Width ; Required Width ; Type ; Clock ; Clock Edge ; Target ; -+--------+--------------+----------------+------------+---------+------------+----------------------------------------------------------------------------------------------------------------------------+ -; -3.201 ; 1.000 ; 4.201 ; Min Period ; SPI_SCK ; Rise ; video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated|ram_block1a0~porta_address_reg0 ; -; -3.201 ; 1.000 ; 4.201 ; Min Period ; SPI_SCK ; Rise ; video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated|ram_block1a0~porta_datain_reg0 ; -; -3.201 ; 1.000 ; 4.201 ; Min Period ; SPI_SCK ; Rise ; video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated|ram_block1a0~porta_we_reg ; -; -3.201 ; 1.000 ; 4.201 ; Min Period ; SPI_SCK ; Rise ; video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated|ram_block1a4~porta_address_reg0 ; -; -3.201 ; 1.000 ; 4.201 ; Min Period ; SPI_SCK ; Rise ; video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated|ram_block1a4~porta_datain_reg0 ; -; -3.201 ; 1.000 ; 4.201 ; Min Period ; SPI_SCK ; Rise ; video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated|ram_block1a4~porta_we_reg ; -; -3.000 ; 1.000 ; 4.000 ; Port Rate ; SPI_SCK ; Rise ; SPI_SCK ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|bit_cnt[0] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|bit_cnt[1] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|bit_cnt[2] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|but_sw[1] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|but_sw[4] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|but_sw[5] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|byte_cnt[0] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|byte_cnt[1] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|byte_cnt[2] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|byte_cnt[3] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|byte_cnt[4] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|byte_cnt[5] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|byte_cnt[6] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|byte_cnt[7] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|cmd[0] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|cmd[1] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|cmd[2] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|cmd[3] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|cmd[4] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|cmd[5] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|cmd[6] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|cmd[7] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~0 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~1 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~10 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~11 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~12 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~13 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~14 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~15 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~16 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~17 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~18 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~19 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~2 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~20 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~21 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~22 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~23 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~24 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~25 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~26 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~27 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~28 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~29 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~3 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~30 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~31 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~32 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~33 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~34 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~35 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~36 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~37 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~38 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~39 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~4 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~40 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~41 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~42 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~43 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~44 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~45 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~46 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~47 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~48 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~49 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~5 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~50 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~51 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~52 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~53 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~54 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~55 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~56 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~57 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~58 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~59 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~6 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~60 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~61 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~62 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~63 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~7 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~8 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~9 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_wptr[0] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_wptr[1] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_wptr[2] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|sbuf[0] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|sbuf[1] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|sbuf[2] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|sbuf[3] ; -+--------+--------------+----------------+------------+---------+------------+----------------------------------------------------------------------------------------------------------------------------+ - - -+----------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Slow 1200mV 85C Model Minimum Pulse Width: 'mz80k_top:mz80k_top|clk_count[2]' ; -+--------+--------------+----------------+------------+----------------------------------+------------+----------------------------------------------------+ -; Slack ; Actual Width ; Required Width ; Type ; Clock ; Clock Edge ; Target ; -+--------+--------------+----------------+------------+----------------------------------+------------+----------------------------------------------------+ -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|q_asu_zero ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrh|q[0] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrh|q[1] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrh|q[2] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrh|q[3] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrh|q[4] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrh|q[5] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrh|q[6] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrh|q[7] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrl|q[0] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrl|q[1] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrl|q[2] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrl|q[3] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrl|q[4] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrl|q[5] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrl|q[6] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrl|q[7] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_sph|q[0] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_sph|q[1] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_sph|q[2] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_sph|q[3] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_sph|q[4] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_sph|q[5] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_sph|q[6] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_sph|q[7] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_spl|q[0] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_spl|q[1] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_spl|q[2] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_spl|q[3] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_spl|q[4] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_spl|q[5] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_spl|q[6] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_spl|q[7] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[0] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[1] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[2] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[3] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[4] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[5] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[6] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[7] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q1[0] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q1[1] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q1[2] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q1[3] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q1[4] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q1[5] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q1[6] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q1[7] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_b|q0[0] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_b|q0[1] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_b|q0[2] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_b|q0[3] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_b|q0[4] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_b|q0[5] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_b|q0[6] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_b|q0[7] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_b|q1[0] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_b|q1[1] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_b|q1[2] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_b|q1[3] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_b|q1[4] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_b|q1[5] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_b|q1[6] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_b|q1[7] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q0[0] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q0[1] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q0[2] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q0[3] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q0[4] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q0[5] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q0[6] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q0[7] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q1[0] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q1[1] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q1[2] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q1[3] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q1[4] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q1[5] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q1[6] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q1[7] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d|q0[0] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d|q0[1] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d|q0[2] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d|q0[3] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d|q0[4] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d|q0[5] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d|q0[6] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d|q0[7] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d|q1[0] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d|q1[1] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d|q1[2] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d|q1[3] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d|q1[4] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d|q1[5] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d|q1[6] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d|q1[7] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q0[0] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q0[1] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q0[2] ; -+--------+--------------+----------------+------------+----------------------------------+------------+----------------------------------------------------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Slow 1200mV 85C Model Minimum Pulse Width: 'mz80k_top:mz80k_top|vga:vga1|counter[0]' ; -+--------+--------------+----------------+------------------+-----------------------------------------+------------+--------------------------------------------+ -; Slack ; Actual Width ; Required Width ; Type ; Clock ; Clock Edge ; Target ; -+--------+--------------+----------------+------------------+-----------------------------------------+------------+--------------------------------------------+ -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|x[0] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|x[1] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|x[2] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|x[3] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|x[4] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|x[5] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|x[6] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|x[7] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|x[8] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|x[9] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|y[0] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|y[1] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|y[2] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|y[3] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|y[4] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|y[5] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|y[6] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|y[7] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|y[8] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|y[9] ; -; 0.263 ; 0.483 ; 0.220 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|x[0] ; -; 0.263 ; 0.483 ; 0.220 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|x[1] ; -; 0.263 ; 0.483 ; 0.220 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|x[2] ; -; 0.263 ; 0.483 ; 0.220 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|x[3] ; -; 0.263 ; 0.483 ; 0.220 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|x[4] ; -; 0.263 ; 0.483 ; 0.220 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|x[5] ; -; 0.263 ; 0.483 ; 0.220 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|x[6] ; -; 0.263 ; 0.483 ; 0.220 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|x[7] ; -; 0.263 ; 0.483 ; 0.220 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|x[8] ; -; 0.263 ; 0.483 ; 0.220 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|x[9] ; -; 0.264 ; 0.484 ; 0.220 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|y[0] ; -; 0.264 ; 0.484 ; 0.220 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|y[1] ; -; 0.264 ; 0.484 ; 0.220 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|y[2] ; -; 0.264 ; 0.484 ; 0.220 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|y[3] ; -; 0.264 ; 0.484 ; 0.220 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|y[4] ; -; 0.264 ; 0.484 ; 0.220 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|y[5] ; -; 0.264 ; 0.484 ; 0.220 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|y[6] ; -; 0.264 ; 0.484 ; 0.220 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|y[7] ; -; 0.264 ; 0.484 ; 0.220 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|y[8] ; -; 0.264 ; 0.484 ; 0.220 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|y[9] ; -; 0.327 ; 0.515 ; 0.188 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|x[0] ; -; 0.327 ; 0.515 ; 0.188 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|x[1] ; -; 0.327 ; 0.515 ; 0.188 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|x[2] ; -; 0.327 ; 0.515 ; 0.188 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|x[3] ; -; 0.327 ; 0.515 ; 0.188 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|x[4] ; -; 0.327 ; 0.515 ; 0.188 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|x[5] ; -; 0.327 ; 0.515 ; 0.188 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|x[6] ; -; 0.327 ; 0.515 ; 0.188 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|x[7] ; -; 0.327 ; 0.515 ; 0.188 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|x[8] ; -; 0.327 ; 0.515 ; 0.188 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|x[9] ; -; 0.328 ; 0.516 ; 0.188 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|y[0] ; -; 0.328 ; 0.516 ; 0.188 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|y[1] ; -; 0.328 ; 0.516 ; 0.188 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|y[2] ; -; 0.328 ; 0.516 ; 0.188 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|y[3] ; -; 0.328 ; 0.516 ; 0.188 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|y[4] ; -; 0.328 ; 0.516 ; 0.188 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|y[5] ; -; 0.328 ; 0.516 ; 0.188 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|y[6] ; -; 0.328 ; 0.516 ; 0.188 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|y[7] ; -; 0.328 ; 0.516 ; 0.188 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|y[8] ; -; 0.328 ; 0.516 ; 0.188 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|y[9] ; -; 0.463 ; 0.463 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|counter[0]~clkctrl|inclk[0] ; -; 0.463 ; 0.463 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|counter[0]~clkctrl|outclk ; -; 0.466 ; 0.466 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|x[0]|clk ; -; 0.466 ; 0.466 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|x[1]|clk ; -; 0.466 ; 0.466 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|x[2]|clk ; -; 0.466 ; 0.466 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|x[3]|clk ; -; 0.466 ; 0.466 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|x[4]|clk ; -; 0.466 ; 0.466 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|x[5]|clk ; -; 0.466 ; 0.466 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|x[6]|clk ; -; 0.466 ; 0.466 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|x[7]|clk ; -; 0.466 ; 0.466 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|x[8]|clk ; -; 0.466 ; 0.466 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|x[9]|clk ; -; 0.467 ; 0.467 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|y[0]|clk ; -; 0.467 ; 0.467 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|y[1]|clk ; -; 0.467 ; 0.467 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|y[2]|clk ; -; 0.467 ; 0.467 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|y[3]|clk ; -; 0.467 ; 0.467 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|y[4]|clk ; -; 0.467 ; 0.467 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|y[5]|clk ; -; 0.467 ; 0.467 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|y[6]|clk ; -; 0.467 ; 0.467 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|y[7]|clk ; -; 0.467 ; 0.467 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|y[8]|clk ; -; 0.467 ; 0.467 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|y[9]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|counter[0]|q ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|counter[0]|q ; -; 0.531 ; 0.531 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|x[0]|clk ; -; 0.531 ; 0.531 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|x[1]|clk ; -; 0.531 ; 0.531 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|x[2]|clk ; -; 0.531 ; 0.531 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|x[3]|clk ; -; 0.531 ; 0.531 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|x[4]|clk ; -; 0.531 ; 0.531 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|x[5]|clk ; -; 0.531 ; 0.531 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|x[6]|clk ; -; 0.531 ; 0.531 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|x[7]|clk ; -; 0.531 ; 0.531 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|x[8]|clk ; -; 0.531 ; 0.531 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|x[9]|clk ; -; 0.532 ; 0.532 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|y[0]|clk ; -; 0.532 ; 0.532 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|y[1]|clk ; -; 0.532 ; 0.532 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|y[2]|clk ; -; 0.532 ; 0.532 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|y[3]|clk ; -; 0.532 ; 0.532 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|y[4]|clk ; -; 0.532 ; 0.532 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|y[5]|clk ; -+--------+--------------+----------------+------------------+-----------------------------------------+------------+--------------------------------------------+ - - -+----------------------------------------------------------------------------------------------------------------------------------------------------+ -; Slow 1200mV 85C Model Minimum Pulse Width: 'mz80k_top:mz80k_top|CLK_2M' ; -+--------+--------------+----------------+------------------+----------------------------+------------+----------------------------------------------+ -; Slack ; Actual Width ; Required Width ; Type ; Clock ; Clock Edge ; Target ; -+--------+--------------+----------------+------------------+----------------------------+------------+----------------------------------------------+ -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[0] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[10] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[11] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[12] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[13] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[14] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[15] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[1] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[2] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[3] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[4] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[5] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[6] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[7] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[8] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[9] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|signal0 ; -; 0.193 ; 0.413 ; 0.220 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[0] ; -; 0.193 ; 0.413 ; 0.220 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[10] ; -; 0.193 ; 0.413 ; 0.220 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[11] ; -; 0.193 ; 0.413 ; 0.220 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[12] ; -; 0.193 ; 0.413 ; 0.220 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[13] ; -; 0.193 ; 0.413 ; 0.220 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[14] ; -; 0.193 ; 0.413 ; 0.220 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[15] ; -; 0.193 ; 0.413 ; 0.220 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[1] ; -; 0.193 ; 0.413 ; 0.220 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[2] ; -; 0.193 ; 0.413 ; 0.220 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[3] ; -; 0.193 ; 0.413 ; 0.220 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[4] ; -; 0.193 ; 0.413 ; 0.220 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[5] ; -; 0.193 ; 0.413 ; 0.220 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[6] ; -; 0.193 ; 0.413 ; 0.220 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[7] ; -; 0.193 ; 0.413 ; 0.220 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[8] ; -; 0.193 ; 0.413 ; 0.220 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[9] ; -; 0.193 ; 0.413 ; 0.220 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|signal0 ; -; 0.396 ; 0.584 ; 0.188 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|signal0 ; -; 0.397 ; 0.585 ; 0.188 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[0] ; -; 0.397 ; 0.585 ; 0.188 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[10] ; -; 0.397 ; 0.585 ; 0.188 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[11] ; -; 0.397 ; 0.585 ; 0.188 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[12] ; -; 0.397 ; 0.585 ; 0.188 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[13] ; -; 0.397 ; 0.585 ; 0.188 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[14] ; -; 0.397 ; 0.585 ; 0.188 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[15] ; -; 0.397 ; 0.585 ; 0.188 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[1] ; -; 0.397 ; 0.585 ; 0.188 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[2] ; -; 0.397 ; 0.585 ; 0.188 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[3] ; -; 0.397 ; 0.585 ; 0.188 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[4] ; -; 0.397 ; 0.585 ; 0.188 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[5] ; -; 0.397 ; 0.585 ; 0.188 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[6] ; -; 0.397 ; 0.585 ; 0.188 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[7] ; -; 0.397 ; 0.585 ; 0.188 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[8] ; -; 0.397 ; 0.585 ; 0.188 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[9] ; -; 0.462 ; 0.462 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|count0[0]|clk ; -; 0.462 ; 0.462 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|count0[10]|clk ; -; 0.462 ; 0.462 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|count0[11]|clk ; -; 0.462 ; 0.462 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|count0[12]|clk ; -; 0.462 ; 0.462 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|count0[13]|clk ; -; 0.462 ; 0.462 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|count0[14]|clk ; -; 0.462 ; 0.462 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|count0[15]|clk ; -; 0.462 ; 0.462 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|count0[1]|clk ; -; 0.462 ; 0.462 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|count0[2]|clk ; -; 0.462 ; 0.462 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|count0[3]|clk ; -; 0.462 ; 0.462 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|count0[4]|clk ; -; 0.462 ; 0.462 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|count0[5]|clk ; -; 0.462 ; 0.462 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|count0[6]|clk ; -; 0.462 ; 0.462 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|count0[7]|clk ; -; 0.462 ; 0.462 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|count0[8]|clk ; -; 0.462 ; 0.462 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|count0[9]|clk ; -; 0.462 ; 0.462 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|signal0|clk ; -; 0.468 ; 0.468 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|CLK_2M~clkctrl|inclk[0] ; -; 0.468 ; 0.468 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|CLK_2M~clkctrl|outclk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|CLK_2M|q ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|CLK_2M|q ; -; 0.531 ; 0.531 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|CLK_2M~clkctrl|inclk[0] ; -; 0.531 ; 0.531 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|CLK_2M~clkctrl|outclk ; -; 0.536 ; 0.536 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|signal0|clk ; -; 0.537 ; 0.537 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|count0[0]|clk ; -; 0.537 ; 0.537 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|count0[10]|clk ; -; 0.537 ; 0.537 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|count0[11]|clk ; -; 0.537 ; 0.537 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|count0[12]|clk ; -; 0.537 ; 0.537 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|count0[13]|clk ; -; 0.537 ; 0.537 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|count0[14]|clk ; -; 0.537 ; 0.537 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|count0[15]|clk ; -; 0.537 ; 0.537 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|count0[1]|clk ; -; 0.537 ; 0.537 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|count0[2]|clk ; -; 0.537 ; 0.537 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|count0[3]|clk ; -; 0.537 ; 0.537 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|count0[4]|clk ; -; 0.537 ; 0.537 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|count0[5]|clk ; -; 0.537 ; 0.537 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|count0[6]|clk ; -; 0.537 ; 0.537 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|count0[7]|clk ; -; 0.537 ; 0.537 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|count0[8]|clk ; -; 0.537 ; 0.537 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|count0[9]|clk ; -+--------+--------------+----------------+------------------+----------------------------+------------+----------------------------------------------+ - - -+-------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Slow 1200mV 85C Model Minimum Pulse Width: 'mz80k_top:mz80k_top|CLK_31250' ; -+--------+--------------+----------------+------------------+-------------------------------+------------+----------------------------------------------+ -; Slack ; Actual Width ; Required Width ; Type ; Clock ; Clock Edge ; Target ; -+--------+--------------+----------------+------------------+-------------------------------+------------+----------------------------------------------+ -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[0] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[10] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[11] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[12] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[13] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[14] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[15] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[1] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[2] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[3] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[4] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[5] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[6] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[7] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[8] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[9] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; -; 0.259 ; 0.479 ; 0.220 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[0] ; -; 0.259 ; 0.479 ; 0.220 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[10] ; -; 0.259 ; 0.479 ; 0.220 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[11] ; -; 0.259 ; 0.479 ; 0.220 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[12] ; -; 0.259 ; 0.479 ; 0.220 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[13] ; -; 0.259 ; 0.479 ; 0.220 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[14] ; -; 0.259 ; 0.479 ; 0.220 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[15] ; -; 0.259 ; 0.479 ; 0.220 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[1] ; -; 0.259 ; 0.479 ; 0.220 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[2] ; -; 0.259 ; 0.479 ; 0.220 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[3] ; -; 0.259 ; 0.479 ; 0.220 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[4] ; -; 0.259 ; 0.479 ; 0.220 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[5] ; -; 0.259 ; 0.479 ; 0.220 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[6] ; -; 0.259 ; 0.479 ; 0.220 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[7] ; -; 0.259 ; 0.479 ; 0.220 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[8] ; -; 0.259 ; 0.479 ; 0.220 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[9] ; -; 0.260 ; 0.480 ; 0.220 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; -; 0.332 ; 0.520 ; 0.188 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; -; 0.333 ; 0.521 ; 0.188 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[0] ; -; 0.333 ; 0.521 ; 0.188 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[10] ; -; 0.333 ; 0.521 ; 0.188 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[11] ; -; 0.333 ; 0.521 ; 0.188 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[12] ; -; 0.333 ; 0.521 ; 0.188 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[13] ; -; 0.333 ; 0.521 ; 0.188 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[14] ; -; 0.333 ; 0.521 ; 0.188 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[15] ; -; 0.333 ; 0.521 ; 0.188 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[1] ; -; 0.333 ; 0.521 ; 0.188 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[2] ; -; 0.333 ; 0.521 ; 0.188 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[3] ; -; 0.333 ; 0.521 ; 0.188 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[4] ; -; 0.333 ; 0.521 ; 0.188 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[5] ; -; 0.333 ; 0.521 ; 0.188 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[6] ; -; 0.333 ; 0.521 ; 0.188 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[7] ; -; 0.333 ; 0.521 ; 0.188 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[8] ; -; 0.333 ; 0.521 ; 0.188 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[9] ; -; 0.468 ; 0.468 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|CLK_31250~clkctrl|inclk[0] ; -; 0.468 ; 0.468 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|CLK_31250~clkctrl|outclk ; -; 0.471 ; 0.471 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|signal1|clk ; -; 0.472 ; 0.472 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|count1[0]|clk ; -; 0.472 ; 0.472 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|count1[10]|clk ; -; 0.472 ; 0.472 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|count1[11]|clk ; -; 0.472 ; 0.472 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|count1[12]|clk ; -; 0.472 ; 0.472 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|count1[13]|clk ; -; 0.472 ; 0.472 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|count1[14]|clk ; -; 0.472 ; 0.472 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|count1[15]|clk ; -; 0.472 ; 0.472 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|count1[1]|clk ; -; 0.472 ; 0.472 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|count1[2]|clk ; -; 0.472 ; 0.472 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|count1[3]|clk ; -; 0.472 ; 0.472 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|count1[4]|clk ; -; 0.472 ; 0.472 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|count1[5]|clk ; -; 0.472 ; 0.472 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|count1[6]|clk ; -; 0.472 ; 0.472 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|count1[7]|clk ; -; 0.472 ; 0.472 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|count1[8]|clk ; -; 0.472 ; 0.472 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|count1[9]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|CLK_31250|q ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|CLK_31250|q ; -; 0.527 ; 0.527 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|count1[0]|clk ; -; 0.527 ; 0.527 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|count1[10]|clk ; -; 0.527 ; 0.527 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|count1[11]|clk ; -; 0.527 ; 0.527 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|count1[12]|clk ; -; 0.527 ; 0.527 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|count1[13]|clk ; -; 0.527 ; 0.527 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|count1[14]|clk ; -; 0.527 ; 0.527 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|count1[15]|clk ; -; 0.527 ; 0.527 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|count1[1]|clk ; -; 0.527 ; 0.527 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|count1[2]|clk ; -; 0.527 ; 0.527 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|count1[3]|clk ; -; 0.527 ; 0.527 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|count1[4]|clk ; -; 0.527 ; 0.527 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|count1[5]|clk ; -; 0.527 ; 0.527 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|count1[6]|clk ; -; 0.527 ; 0.527 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|count1[7]|clk ; -; 0.527 ; 0.527 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|count1[8]|clk ; -; 0.527 ; 0.527 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|count1[9]|clk ; -; 0.528 ; 0.528 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|signal1|clk ; -; 0.531 ; 0.531 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|CLK_31250~clkctrl|inclk[0] ; -; 0.531 ; 0.531 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|CLK_31250~clkctrl|outclk ; -+--------+--------------+----------------+------------------+-------------------------------+------------+----------------------------------------------+ - - -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Slow 1200mV 85C Model Minimum Pulse Width: 'mz80k_top:mz80k_top|i8253:i8253_1|signal1' ; -+--------+--------------+----------------+------------------+-------------------------------------------+------------+----------------------------------------------+ -; Slack ; Actual Width ; Required Width ; Type ; Clock ; Clock Edge ; Target ; -+--------+--------------+----------------+------------------+-------------------------------------------+------------+----------------------------------------------+ -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[10] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[11] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[12] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[13] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[14] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[15] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[1] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[3] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[4] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[5] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[6] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[7] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[8] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[9] ; -; 0.125 ; 0.345 ; 0.220 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[12] ; -; 0.125 ; 0.345 ; 0.220 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[13] ; -; 0.125 ; 0.345 ; 0.220 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[14] ; -; 0.125 ; 0.345 ; 0.220 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[8] ; -; 0.125 ; 0.345 ; 0.220 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[9] ; -; 0.151 ; 0.371 ; 0.220 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; -; 0.151 ; 0.371 ; 0.220 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[10] ; -; 0.151 ; 0.371 ; 0.220 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[11] ; -; 0.151 ; 0.371 ; 0.220 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[15] ; -; 0.151 ; 0.371 ; 0.220 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[1] ; -; 0.151 ; 0.371 ; 0.220 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; -; 0.151 ; 0.371 ; 0.220 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[3] ; -; 0.151 ; 0.371 ; 0.220 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[4] ; -; 0.151 ; 0.371 ; 0.220 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[5] ; -; 0.151 ; 0.371 ; 0.220 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[6] ; -; 0.151 ; 0.371 ; 0.220 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[7] ; -; 0.394 ; 0.394 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|count2[12]|clk ; -; 0.394 ; 0.394 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|count2[13]|clk ; -; 0.394 ; 0.394 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|count2[14]|clk ; -; 0.394 ; 0.394 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|count2[8]|clk ; -; 0.394 ; 0.394 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|count2[9]|clk ; -; 0.420 ; 0.420 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|count2[0]|clk ; -; 0.420 ; 0.420 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|count2[10]|clk ; -; 0.420 ; 0.420 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|count2[11]|clk ; -; 0.420 ; 0.420 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|count2[15]|clk ; -; 0.420 ; 0.420 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|count2[1]|clk ; -; 0.420 ; 0.420 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|count2[2]|clk ; -; 0.420 ; 0.420 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|count2[3]|clk ; -; 0.420 ; 0.420 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|count2[4]|clk ; -; 0.420 ; 0.420 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|count2[5]|clk ; -; 0.420 ; 0.420 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|count2[6]|clk ; -; 0.420 ; 0.420 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|count2[7]|clk ; -; 0.426 ; 0.426 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|signal1~clkctrl|inclk[0] ; -; 0.426 ; 0.426 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|signal1~clkctrl|outclk ; -; 0.438 ; 0.626 ; 0.188 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; -; 0.438 ; 0.626 ; 0.188 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[10] ; -; 0.438 ; 0.626 ; 0.188 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[11] ; -; 0.438 ; 0.626 ; 0.188 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[15] ; -; 0.438 ; 0.626 ; 0.188 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[1] ; -; 0.438 ; 0.626 ; 0.188 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; -; 0.438 ; 0.626 ; 0.188 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[3] ; -; 0.438 ; 0.626 ; 0.188 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[4] ; -; 0.438 ; 0.626 ; 0.188 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[5] ; -; 0.438 ; 0.626 ; 0.188 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[6] ; -; 0.438 ; 0.626 ; 0.188 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[7] ; -; 0.462 ; 0.650 ; 0.188 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[12] ; -; 0.462 ; 0.650 ; 0.188 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[13] ; -; 0.462 ; 0.650 ; 0.188 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[14] ; -; 0.462 ; 0.650 ; 0.188 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[8] ; -; 0.462 ; 0.650 ; 0.188 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[9] ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|signal1|q ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|signal1|q ; -; 0.572 ; 0.572 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|signal1~clkctrl|inclk[0] ; -; 0.572 ; 0.572 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|signal1~clkctrl|outclk ; -; 0.578 ; 0.578 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|count2[0]|clk ; -; 0.578 ; 0.578 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|count2[10]|clk ; -; 0.578 ; 0.578 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|count2[11]|clk ; -; 0.578 ; 0.578 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|count2[15]|clk ; -; 0.578 ; 0.578 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|count2[1]|clk ; -; 0.578 ; 0.578 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|count2[2]|clk ; -; 0.578 ; 0.578 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|count2[3]|clk ; -; 0.578 ; 0.578 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|count2[4]|clk ; -; 0.578 ; 0.578 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|count2[5]|clk ; -; 0.578 ; 0.578 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|count2[6]|clk ; -; 0.578 ; 0.578 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|count2[7]|clk ; -; 0.602 ; 0.602 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|count2[12]|clk ; -; 0.602 ; 0.602 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|count2[13]|clk ; -; 0.602 ; 0.602 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|count2[14]|clk ; -; 0.602 ; 0.602 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|count2[8]|clk ; -; 0.602 ; 0.602 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|count2[9]|clk ; -+--------+--------------+----------------+------------------+-------------------------------------------+------------+----------------------------------------------+ - - -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Slow 1200mV 85C Model Minimum Pulse Width: 'pll|altpll_component|auto_generated|pll1|clk[0]' ; -+-------+--------------+----------------+------------------+-------------------------------------------------+------------+------------------------------------------------------------------------------------------------------------------------+ -; Slack ; Actual Width ; Required Width ; Type ; Clock ; Clock Edge ; Target ; -+-------+--------------+----------------+------------------+-------------------------------------------------+------------+------------------------------------------------------------------------------------------------------------------------+ -; 9.666 ; 9.886 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; mz80k_top:mz80k_top|ps2:ps2_1|data[6]~reg0 ; -; 9.666 ; 9.886 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; mz80k_top:mz80k_top|ps2:ps2_1|data[7]~reg0 ; -; 9.667 ; 9.887 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; mist_io:mist_io|ps2_kbd_tx_byte[7] ; -; 9.668 ; 9.888 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|address_reg_a[0] ; -; 9.668 ; 9.888 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|address_reg_a[1] ; -; 9.676 ; 9.896 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|out_address_reg_a[0] ; -; 9.676 ; 9.896 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|out_address_reg_a[1] ; -; 9.676 ; 9.896 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; mz80k_top:mz80k_top|ps2:ps2_1|data[0]~reg0 ; -; 9.676 ; 9.896 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; mz80k_top:mz80k_top|ps2:ps2_1|data[1]~reg0 ; -; 9.676 ; 9.896 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; mz80k_top:mz80k_top|ps2:ps2_1|data[2]~reg0 ; -; 9.676 ; 9.896 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; mz80k_top:mz80k_top|ps2:ps2_1|data[3]~reg0 ; -; 9.676 ; 9.896 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; mz80k_top:mz80k_top|ps2:ps2_1|data[4]~reg0 ; -; 9.676 ; 9.896 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; mz80k_top:mz80k_top|ps2:ps2_1|data[5]~reg0 ; -; 9.679 ; 9.899 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; sigma_delta_dac:sigma_delta_dac|SigmaLatch[0] ; -; 9.679 ; 9.899 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; sigma_delta_dac:sigma_delta_dac|SigmaLatch[1] ; -; 9.679 ; 9.899 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; sigma_delta_dac:sigma_delta_dac|SigmaLatch[2] ; -; 9.684 ; 9.904 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; mz80k_top:mz80k_top|clk_count[18] ; -; 9.684 ; 9.904 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; mz80k_top:mz80k_top|clk_count[19] ; -; 9.684 ; 9.904 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; mz80k_top:mz80k_top|clk_count[20] ; -; 9.684 ; 9.904 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; mz80k_top:mz80k_top|clk_count[21] ; -; 9.684 ; 9.904 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; mz80k_top:mz80k_top|clk_count[22] ; -; 9.684 ; 9.904 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; mz80k_top:mz80k_top|clk_count[23] ; -; 9.684 ; 9.904 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; mz80k_top:mz80k_top|clk_count[24] ; -; 9.687 ; 9.907 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; mist_io:mist_io|old_clk ; -; 9.687 ; 9.907 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; mist_io:mist_io|ps2_kbd_r_inc ; -; 9.687 ; 9.907 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; mist_io:mist_io|ps2_kbd_rptr[0] ; -; 9.687 ; 9.907 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; mist_io:mist_io|ps2_kbd_rptr[1] ; -; 9.687 ; 9.907 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; mist_io:mist_io|ps2_kbd_rptr[2] ; -; 9.687 ; 9.907 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; video_mixer:video_mixer|old_hs ; -; 9.687 ; 9.907 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; video_mixer:video_mixer|old_vs ; -; 9.687 ; 9.907 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; video_mixer:video_mixer|osd:osd|h_cnt[0] ; -; 9.687 ; 9.907 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; video_mixer:video_mixer|osd:osd|h_cnt[1] ; -; 9.687 ; 9.907 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; video_mixer:video_mixer|osd:osd|h_cnt[2] ; -; 9.687 ; 9.907 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; video_mixer:video_mixer|osd:osd|h_cnt[3] ; -; 9.687 ; 9.907 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; video_mixer:video_mixer|osd:osd|h_cnt[4] ; -; 9.687 ; 9.907 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; video_mixer:video_mixer|osd:osd|h_cnt[5] ; -; 9.687 ; 9.907 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; video_mixer:video_mixer|osd:osd|h_cnt[6] ; -; 9.687 ; 9.907 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; video_mixer:video_mixer|osd:osd|h_cnt[7] ; -; 9.687 ; 9.907 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; video_mixer:video_mixer|osd:osd|h_cnt[8] ; -; 9.687 ; 9.907 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; video_mixer:video_mixer|osd:osd|h_cnt[9] ; -; 9.687 ; 9.907 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; video_mixer:video_mixer|osd:osd|hsD ; -; 9.687 ; 9.907 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; video_mixer:video_mixer|osd:osd|hsD2 ; -; 9.687 ; 9.907 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; video_mixer:video_mixer|osd:osd|hs_low[2] ; -; 9.687 ; 9.907 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; video_mixer:video_mixer|osd:osd|hs_low[5] ; -; 9.687 ; 9.907 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; video_mixer:video_mixer|osd:osd|hs_low[6] ; -; 9.687 ; 9.907 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; video_mixer:video_mixer|osd:osd|hs_low[8] ; -; 9.687 ; 9.907 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; video_mixer:video_mixer|osd:osd|hs_low[9] ; -; 9.687 ; 9.907 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; video_mixer:video_mixer|osd:osd|v_cnt[0] ; -; 9.687 ; 9.907 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; video_mixer:video_mixer|osd:osd|v_cnt[1] ; -; 9.687 ; 9.907 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; video_mixer:video_mixer|osd:osd|v_cnt[2] ; -; 9.687 ; 9.907 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; video_mixer:video_mixer|osd:osd|v_cnt[3] ; -; 9.687 ; 9.907 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; video_mixer:video_mixer|osd:osd|v_cnt[4] ; -; 9.687 ; 9.907 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; video_mixer:video_mixer|osd:osd|v_cnt[5] ; -; 9.687 ; 9.907 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; video_mixer:video_mixer|osd:osd|v_cnt[6] ; -; 9.687 ; 9.907 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; video_mixer:video_mixer|osd:osd|v_cnt[7] ; -; 9.687 ; 9.907 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; video_mixer:video_mixer|osd:osd|v_cnt[8] ; -; 9.687 ; 9.907 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; video_mixer:video_mixer|osd:osd|v_cnt[9] ; -; 9.687 ; 9.907 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; video_mixer:video_mixer|osd:osd|vs_low[0] ; -; 9.687 ; 9.907 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; video_mixer:video_mixer|osd:osd|vs_low[6] ; -; 9.687 ; 9.907 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; video_mixer:video_mixer|osd:osd|vs_low[8] ; -; 9.687 ; 9.907 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; video_mixer:video_mixer|osd:osd|vs_low[9] ; -; 9.687 ; 9.907 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; video_mixer:video_mixer|scanline ; -; 9.688 ; 9.908 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; video_mixer:video_mixer|osd:osd|hs_high[0] ; -; 9.688 ; 9.908 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; video_mixer:video_mixer|osd:osd|hs_high[1] ; -; 9.688 ; 9.908 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; video_mixer:video_mixer|osd:osd|hs_high[2] ; -; 9.688 ; 9.908 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; video_mixer:video_mixer|osd:osd|hs_high[3] ; -; 9.688 ; 9.908 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; video_mixer:video_mixer|osd:osd|hs_high[4] ; -; 9.688 ; 9.908 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; video_mixer:video_mixer|osd:osd|hs_high[5] ; -; 9.688 ; 9.908 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; video_mixer:video_mixer|osd:osd|hs_high[6] ; -; 9.688 ; 9.908 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; video_mixer:video_mixer|osd:osd|hs_high[7] ; -; 9.688 ; 9.908 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; video_mixer:video_mixer|osd:osd|hs_high[8] ; -; 9.688 ; 9.908 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; video_mixer:video_mixer|osd:osd|hs_high[9] ; -; 9.688 ; 9.908 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; video_mixer:video_mixer|osd:osd|hs_low[0] ; -; 9.688 ; 9.908 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; video_mixer:video_mixer|osd:osd|hs_low[1] ; -; 9.688 ; 9.908 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; video_mixer:video_mixer|osd:osd|hs_low[3] ; -; 9.688 ; 9.908 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; video_mixer:video_mixer|osd:osd|hs_low[4] ; -; 9.688 ; 9.908 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; video_mixer:video_mixer|osd:osd|hs_low[7] ; -; 9.688 ; 9.908 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; video_mixer:video_mixer|osd:osd|vsD ; -; 9.688 ; 9.908 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; video_mixer:video_mixer|osd:osd|vsD2 ; -; 9.688 ; 9.908 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; video_mixer:video_mixer|osd:osd|vs_high[0] ; -; 9.688 ; 9.908 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; video_mixer:video_mixer|osd:osd|vs_high[1] ; -; 9.688 ; 9.908 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; video_mixer:video_mixer|osd:osd|vs_high[2] ; -; 9.688 ; 9.908 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; video_mixer:video_mixer|osd:osd|vs_high[3] ; -; 9.688 ; 9.908 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; video_mixer:video_mixer|osd:osd|vs_high[4] ; -; 9.688 ; 9.908 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; video_mixer:video_mixer|osd:osd|vs_high[5] ; -; 9.688 ; 9.908 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; video_mixer:video_mixer|osd:osd|vs_high[6] ; -; 9.688 ; 9.908 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; video_mixer:video_mixer|osd:osd|vs_high[7] ; -; 9.688 ; 9.908 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; video_mixer:video_mixer|osd:osd|vs_high[8] ; -; 9.688 ; 9.908 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; video_mixer:video_mixer|osd:osd|vs_high[9] ; -; 9.688 ; 9.908 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; video_mixer:video_mixer|osd:osd|vs_low[1] ; -; 9.688 ; 9.908 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; video_mixer:video_mixer|osd:osd|vs_low[2] ; -; 9.688 ; 9.908 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; video_mixer:video_mixer|osd:osd|vs_low[3] ; -; 9.688 ; 9.908 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; video_mixer:video_mixer|osd:osd|vs_low[4] ; -; 9.688 ; 9.908 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; video_mixer:video_mixer|osd:osd|vs_low[5] ; -; 9.688 ; 9.908 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; video_mixer:video_mixer|osd:osd|vs_low[7] ; -; 9.689 ; 9.909 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; mist_io:mist_io|ps2_kbd_data ; -; 9.689 ; 9.909 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; mist_io:mist_io|ps2_kbd_parity ; -; 9.689 ; 9.909 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; mist_io:mist_io|ps2_kbd_tx_byte[0] ; -; 9.689 ; 9.909 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; mist_io:mist_io|ps2_kbd_tx_byte[1] ; -; 9.689 ; 9.909 ; 0.220 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; mist_io:mist_io|ps2_kbd_tx_byte[2] ; -+-------+--------------+----------------+------------------+-------------------------------------------------+------------+------------------------------------------------------------------------------------------------------------------------+ - - -+-----------------------------------------------------------------------------------------------------------------------------------------------+ -; Slow 1200mV 85C Model Minimum Pulse Width: 'CLOCK_27' ; -+--------+--------------+----------------+------------------+----------+------------+-----------------------------------------------------------+ -; Slack ; Actual Width ; Required Width ; Type ; Clock ; Clock Edge ; Target ; -+--------+--------------+----------------+------------------+----------+------------+-----------------------------------------------------------+ -; 18.366 ; 18.366 ; 0.000 ; Low Pulse Width ; CLOCK_27 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; 18.366 ; 18.366 ; 0.000 ; Low Pulse Width ; CLOCK_27 ; Rise ; pll|altpll_component|auto_generated|pll1|observablevcoout ; -; 18.400 ; 18.400 ; 0.000 ; Low Pulse Width ; CLOCK_27 ; Rise ; CLOCK_27~input|o ; -; 18.438 ; 18.438 ; 0.000 ; Low Pulse Width ; CLOCK_27 ; Rise ; pll|altpll_component|auto_generated|pll1|inclk[0] ; -; 18.518 ; 18.518 ; 0.000 ; High Pulse Width ; CLOCK_27 ; Rise ; CLOCK_27~input|i ; -; 18.519 ; 18.519 ; 0.000 ; Low Pulse Width ; CLOCK_27 ; Rise ; CLOCK_27~input|i ; -; 18.598 ; 18.598 ; 0.000 ; High Pulse Width ; CLOCK_27 ; Rise ; pll|altpll_component|auto_generated|pll1|inclk[0] ; -; 18.637 ; 18.637 ; 0.000 ; High Pulse Width ; CLOCK_27 ; Rise ; CLOCK_27~input|o ; -; 18.668 ; 18.668 ; 0.000 ; High Pulse Width ; CLOCK_27 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; 18.668 ; 18.668 ; 0.000 ; High Pulse Width ; CLOCK_27 ; Rise ; pll|altpll_component|auto_generated|pll1|observablevcoout ; -; 33.037 ; 37.037 ; 4.000 ; Port Rate ; CLOCK_27 ; Rise ; CLOCK_27 ; -+--------+--------------+----------------+------------------+----------+------------+-----------------------------------------------------------+ - - -+------------------------------------------------------------------------+ -; Setup Times ; -+------------+------------+-------+-------+------------+-----------------+ -; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; -+------------+------------+-------+-------+------------+-----------------+ -; CONF_DATA0 ; SPI_SCK ; 8.631 ; 9.443 ; Rise ; SPI_SCK ; -; SPI_DI ; SPI_SCK ; 0.881 ; 1.099 ; Rise ; SPI_SCK ; -; SPI_SS3 ; SPI_SCK ; 1.457 ; 1.755 ; Rise ; SPI_SCK ; -; CONF_DATA0 ; SPI_SCK ; 3.224 ; 3.568 ; Fall ; SPI_SCK ; -+------------+------------+-------+-------+------------+-----------------+ - - -+--------------------------------------------------------------------------+ -; Hold Times ; -+------------+------------+--------+--------+------------+-----------------+ -; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; -+------------+------------+--------+--------+------------+-----------------+ -; CONF_DATA0 ; SPI_SCK ; -3.528 ; -3.840 ; Rise ; SPI_SCK ; -; SPI_DI ; SPI_SCK ; 1.107 ; 0.877 ; Rise ; SPI_SCK ; -; SPI_SS3 ; SPI_SCK ; 0.303 ; 0.109 ; Rise ; SPI_SCK ; -; CONF_DATA0 ; SPI_SCK ; -2.813 ; -3.144 ; Fall ; SPI_SCK ; -+------------+------------+--------+--------+------------+-----------------+ - - -+--------------------------------------------------------------------------------------------------------------------------------------+ -; Clock to Output Times ; -+-----------+-----------------------------------------+--------+--------+------------+-------------------------------------------------+ -; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; -+-----------+-----------------------------------------+--------+--------+------------+-------------------------------------------------+ -; VGA_B[*] ; SPI_SCK ; 34.268 ; 33.540 ; Rise ; SPI_SCK ; -; VGA_B[0] ; SPI_SCK ; 31.329 ; 30.803 ; Rise ; SPI_SCK ; -; VGA_B[1] ; SPI_SCK ; 30.929 ; 30.507 ; Rise ; SPI_SCK ; -; VGA_B[2] ; SPI_SCK ; 34.268 ; 33.540 ; Rise ; SPI_SCK ; -; VGA_B[3] ; SPI_SCK ; 30.937 ; 30.664 ; Rise ; SPI_SCK ; -; VGA_B[4] ; SPI_SCK ; 30.202 ; 29.837 ; Rise ; SPI_SCK ; -; VGA_B[5] ; SPI_SCK ; 29.989 ; 29.601 ; Rise ; SPI_SCK ; -; VGA_G[*] ; SPI_SCK ; 27.056 ; 26.681 ; Rise ; SPI_SCK ; -; VGA_G[0] ; SPI_SCK ; 27.056 ; 26.681 ; Rise ; SPI_SCK ; -; VGA_G[1] ; SPI_SCK ; 26.248 ; 25.894 ; Rise ; SPI_SCK ; -; VGA_G[2] ; SPI_SCK ; 25.481 ; 25.029 ; Rise ; SPI_SCK ; -; VGA_G[3] ; SPI_SCK ; 25.697 ; 25.334 ; Rise ; SPI_SCK ; -; VGA_G[4] ; SPI_SCK ; 23.797 ; 23.679 ; Rise ; SPI_SCK ; -; VGA_G[5] ; SPI_SCK ; 19.694 ; 19.279 ; Rise ; SPI_SCK ; -; VGA_R[*] ; SPI_SCK ; 33.635 ; 33.318 ; Rise ; SPI_SCK ; -; VGA_R[0] ; SPI_SCK ; 33.327 ; 32.816 ; Rise ; SPI_SCK ; -; VGA_R[1] ; SPI_SCK ; 33.497 ; 33.318 ; Rise ; SPI_SCK ; -; VGA_R[2] ; SPI_SCK ; 33.635 ; 33.137 ; Rise ; SPI_SCK ; -; VGA_R[3] ; SPI_SCK ; 30.759 ; 30.424 ; Rise ; SPI_SCK ; -; VGA_R[4] ; SPI_SCK ; 32.993 ; 32.539 ; Rise ; SPI_SCK ; -; VGA_R[5] ; SPI_SCK ; 33.062 ; 32.429 ; Rise ; SPI_SCK ; -; SPI_DO ; SPI_SCK ; 10.938 ; 10.412 ; Fall ; SPI_SCK ; -; VGA_B[*] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 39.212 ; 38.484 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_B[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 36.273 ; 35.747 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_B[1] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 35.873 ; 35.451 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_B[2] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 39.212 ; 38.484 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_B[3] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 35.881 ; 35.608 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_B[4] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 35.146 ; 34.781 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_B[5] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 34.933 ; 34.545 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_G[*] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 31.897 ; 31.522 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_G[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 31.897 ; 31.522 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_G[1] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 31.089 ; 30.735 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_G[2] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 30.322 ; 29.870 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_G[3] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 30.538 ; 30.175 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_G[4] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 28.638 ; 28.520 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_G[5] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 24.535 ; 24.120 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_HS ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 13.098 ; 12.449 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_R[*] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 37.808 ; 37.491 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_R[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 37.500 ; 36.989 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_R[1] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 37.670 ; 37.491 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_R[2] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 37.808 ; 37.310 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_R[3] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 34.878 ; 34.560 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_R[4] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 36.964 ; 36.712 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_R[5] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 37.235 ; 36.400 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; AUDIO_L ; CLOCK_27 ; 8.127 ; 7.343 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; AUDIO_R ; CLOCK_27 ; 8.043 ; 7.233 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_B[*] ; CLOCK_27 ; 44.306 ; 43.578 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_B[0] ; CLOCK_27 ; 41.367 ; 40.841 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_B[1] ; CLOCK_27 ; 40.967 ; 40.545 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_B[2] ; CLOCK_27 ; 44.306 ; 43.578 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_B[3] ; CLOCK_27 ; 40.975 ; 40.702 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_B[4] ; CLOCK_27 ; 40.240 ; 39.875 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_B[5] ; CLOCK_27 ; 40.027 ; 39.639 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_G[*] ; CLOCK_27 ; 37.094 ; 36.719 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_G[0] ; CLOCK_27 ; 37.094 ; 36.719 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_G[1] ; CLOCK_27 ; 36.286 ; 35.932 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_G[2] ; CLOCK_27 ; 35.519 ; 35.067 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_G[3] ; CLOCK_27 ; 35.735 ; 35.372 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_G[4] ; CLOCK_27 ; 33.835 ; 33.717 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_G[5] ; CLOCK_27 ; 29.732 ; 29.317 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_R[*] ; CLOCK_27 ; 43.673 ; 43.356 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_R[0] ; CLOCK_27 ; 43.365 ; 42.854 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_R[1] ; CLOCK_27 ; 43.535 ; 43.356 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_R[2] ; CLOCK_27 ; 43.673 ; 43.175 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_R[3] ; CLOCK_27 ; 40.797 ; 40.462 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_R[4] ; CLOCK_27 ; 43.031 ; 42.577 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_R[5] ; CLOCK_27 ; 43.100 ; 42.467 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -+-----------+-----------------------------------------+--------+--------+------------+-------------------------------------------------+ - - -+--------------------------------------------------------------------------------------------------------------------------------------+ -; Minimum Clock to Output Times ; -+-----------+-----------------------------------------+--------+--------+------------+-------------------------------------------------+ -; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; -+-----------+-----------------------------------------+--------+--------+------------+-------------------------------------------------+ -; VGA_B[*] ; SPI_SCK ; 9.095 ; 8.750 ; Rise ; SPI_SCK ; -; VGA_B[0] ; SPI_SCK ; 10.115 ; 9.687 ; Rise ; SPI_SCK ; -; VGA_B[1] ; SPI_SCK ; 10.430 ; 10.049 ; Rise ; SPI_SCK ; -; VGA_B[2] ; SPI_SCK ; 9.095 ; 8.750 ; Rise ; SPI_SCK ; -; VGA_B[3] ; SPI_SCK ; 9.596 ; 9.342 ; Rise ; SPI_SCK ; -; VGA_B[4] ; SPI_SCK ; 9.833 ; 9.584 ; Rise ; SPI_SCK ; -; VGA_B[5] ; SPI_SCK ; 10.408 ; 10.173 ; Rise ; SPI_SCK ; -; VGA_G[*] ; SPI_SCK ; 9.577 ; 9.271 ; Rise ; SPI_SCK ; -; VGA_G[0] ; SPI_SCK ; 9.779 ; 9.392 ; Rise ; SPI_SCK ; -; VGA_G[1] ; SPI_SCK ; 9.806 ; 9.438 ; Rise ; SPI_SCK ; -; VGA_G[2] ; SPI_SCK ; 9.577 ; 9.271 ; Rise ; SPI_SCK ; -; VGA_G[3] ; SPI_SCK ; 10.226 ; 9.887 ; Rise ; SPI_SCK ; -; VGA_G[4] ; SPI_SCK ; 9.793 ; 9.456 ; Rise ; SPI_SCK ; -; VGA_G[5] ; SPI_SCK ; 10.546 ; 10.109 ; Rise ; SPI_SCK ; -; VGA_R[*] ; SPI_SCK ; 10.088 ; 9.754 ; Rise ; SPI_SCK ; -; VGA_R[0] ; SPI_SCK ; 10.202 ; 9.809 ; Rise ; SPI_SCK ; -; VGA_R[1] ; SPI_SCK ; 10.970 ; 10.675 ; Rise ; SPI_SCK ; -; VGA_R[2] ; SPI_SCK ; 10.500 ; 10.104 ; Rise ; SPI_SCK ; -; VGA_R[3] ; SPI_SCK ; 10.088 ; 9.754 ; Rise ; SPI_SCK ; -; VGA_R[4] ; SPI_SCK ; 10.343 ; 10.006 ; Rise ; SPI_SCK ; -; VGA_R[5] ; SPI_SCK ; 11.105 ; 10.681 ; Rise ; SPI_SCK ; -; SPI_DO ; SPI_SCK ; 10.705 ; 10.182 ; Fall ; SPI_SCK ; -; VGA_B[*] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 13.090 ; 12.730 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_B[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 15.853 ; 15.448 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_B[1] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 14.371 ; 13.990 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_B[2] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 15.164 ; 14.788 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_B[3] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 13.090 ; 12.730 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_B[4] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 13.848 ; 13.275 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_B[5] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 14.425 ; 13.867 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_G[*] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 11.627 ; 11.388 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_G[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 12.047 ; 11.739 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_G[1] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 12.578 ; 12.157 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_G[2] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 11.627 ; 11.388 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_G[3] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 12.547 ; 12.170 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_G[4] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 12.498 ; 11.879 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_G[5] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 13.253 ; 12.553 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_HS ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 10.245 ; 9.606 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_R[*] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 13.181 ; 12.831 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_R[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 16.850 ; 16.372 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_R[1] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 15.183 ; 14.902 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_R[2] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 17.246 ; 16.823 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_R[3] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 13.181 ; 12.831 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_R[4] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 13.737 ; 13.091 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_R[5] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 14.497 ; 13.764 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; AUDIO_L ; CLOCK_27 ; 7.510 ; 6.739 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; AUDIO_R ; CLOCK_27 ; 7.428 ; 6.632 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_B[*] ; CLOCK_27 ; 9.265 ; 8.934 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_B[0] ; CLOCK_27 ; 12.665 ; 12.260 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_B[1] ; CLOCK_27 ; 11.558 ; 11.177 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_B[2] ; CLOCK_27 ; 11.976 ; 11.600 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_B[3] ; CLOCK_27 ; 10.442 ; 10.082 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_B[4] ; CLOCK_27 ; 9.265 ; 8.934 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_B[5] ; CLOCK_27 ; 9.842 ; 9.526 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_G[*] ; CLOCK_27 ; 9.451 ; 8.955 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_G[0] ; CLOCK_27 ; 10.574 ; 9.979 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_G[1] ; CLOCK_27 ; 11.107 ; 10.372 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_G[2] ; CLOCK_27 ; 10.159 ; 9.607 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_G[3] ; CLOCK_27 ; 11.278 ; 10.898 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_G[4] ; CLOCK_27 ; 9.451 ; 8.955 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_G[5] ; CLOCK_27 ; 10.206 ; 9.631 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_R[*] ; CLOCK_27 ; 9.154 ; 8.750 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_R[0] ; CLOCK_27 ; 13.500 ; 13.022 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_R[1] ; CLOCK_27 ; 12.377 ; 12.109 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_R[2] ; CLOCK_27 ; 13.896 ; 13.473 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_R[3] ; CLOCK_27 ; 10.533 ; 10.183 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_R[4] ; CLOCK_27 ; 9.154 ; 8.750 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_R[5] ; CLOCK_27 ; 9.914 ; 9.423 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -+-----------+-----------------------------------------+--------+--------+------------+-------------------------------------------------+ - - -+----------------------------------------------------------+ -; Propagation Delay ; -+------------+-------------+-------+-------+-------+-------+ -; Input Port ; Output Port ; RR ; RF ; FR ; FF ; -+------------+-------------+-------+-------+-------+-------+ -; CONF_DATA0 ; SPI_DO ; 7.966 ; 7.966 ; 8.270 ; 8.030 ; -+------------+-------------+-------+-------+-------+-------+ - - -+----------------------------------------------------------+ -; Minimum Propagation Delay ; -+------------+-------------+-------+-------+-------+-------+ -; Input Port ; Output Port ; RR ; RF ; FR ; FF ; -+------------+-------------+-------+-------+-------+-------+ -; CONF_DATA0 ; SPI_DO ; 7.272 ; 7.301 ; 7.563 ; 7.563 ; -+------------+-------------+-------+-------+-------+-------+ - - ----------------------------------------------- -; Slow 1200mV 85C Model Metastability Report ; ----------------------------------------------- -No synchronizer chains to report. - - -+---------------------------------------------------------------------------------------+ -; Slow 1200mV 0C Model Fmax Summary ; -+------------+-----------------+-------------------------------------------------+------+ -; Fmax ; Restricted Fmax ; Clock Name ; Note ; -+------------+-----------------+-------------------------------------------------+------+ -; 29.21 MHz ; 29.21 MHz ; mz80k_top:mz80k_top|clk_count[2] ; ; -; 35.53 MHz ; 35.53 MHz ; pll|altpll_component|auto_generated|pll1|clk[0] ; ; -; 108.32 MHz ; 108.32 MHz ; SPI_SCK ; ; -; 176.3 MHz ; 176.3 MHz ; mz80k_top:mz80k_top|CLK_31250 ; ; -; 192.72 MHz ; 192.72 MHz ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; ; -; 199.28 MHz ; 199.28 MHz ; mz80k_top:mz80k_top|CLK_2M ; ; -; 355.75 MHz ; 355.75 MHz ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; ; -+------------+-----------------+-------------------------------------------------+------+ -This panel reports FMAX for every clock in the design, regardless of the user-specified clock periods. FMAX is only computed for paths where the source and destination registers or ports are driven by the same clock. Paths of different clocks, including generated clocks, are ignored. For paths between a clock and its inversion, FMAX is computed as if the rising and falling edges are scaled along with FMAX, such that the duty cycle (in terms of a percentage) is maintained. Altera recommends that you always use clock constraints and other slack reports for sign-off analysis. - - -+---------------------------------------------------------------------------+ -; Slow 1200mV 0C Model Setup Summary ; -+-------------------------------------------------+---------+---------------+ -; Clock ; Slack ; End Point TNS ; -+-------------------------------------------------+---------+---------------+ -; mz80k_top:mz80k_top|clk_count[2] ; -33.231 ; -8921.813 ; -; pll|altpll_component|auto_generated|pll1|clk[0] ; -30.351 ; -3422.841 ; -; mz80k_top:mz80k_top|CLK_2M ; -6.888 ; -112.587 ; -; SPI_SCK ; -6.568 ; -550.906 ; -; mz80k_top:mz80k_top|CLK_31250 ; -6.055 ; -101.552 ; -; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; -4.189 ; -65.009 ; -; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -1.811 ; -32.260 ; -+-------------------------------------------------+---------+---------------+ - - -+--------------------------------------------------------------------------+ -; Slow 1200mV 0C Model Hold Summary ; -+-------------------------------------------------+--------+---------------+ -; Clock ; Slack ; End Point TNS ; -+-------------------------------------------------+--------+---------------+ -; mz80k_top:mz80k_top|clk_count[2] ; -0.741 ; -2.003 ; -; pll|altpll_component|auto_generated|pll1|clk[0] ; -0.535 ; -1.806 ; -; mz80k_top:mz80k_top|CLK_31250 ; 0.024 ; 0.000 ; -; SPI_SCK ; 0.402 ; 0.000 ; -; mz80k_top:mz80k_top|CLK_2M ; 0.403 ; 0.000 ; -; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.615 ; 0.000 ; -; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.658 ; 0.000 ; -+-------------------------------------------------+--------+---------------+ - - -+--------------------------------------------------------------------------+ -; Slow 1200mV 0C Model Recovery Summary ; -+-------------------------------------------------+--------+---------------+ -; Clock ; Slack ; End Point TNS ; -+-------------------------------------------------+--------+---------------+ -; mz80k_top:mz80k_top|clk_count[2] ; -2.150 ; -148.220 ; -; pll|altpll_component|auto_generated|pll1|clk[0] ; 14.497 ; 0.000 ; -+-------------------------------------------------+--------+---------------+ - - -+-------------------------------------------------------------------------+ -; Slow 1200mV 0C Model Removal Summary ; -+-------------------------------------------------+-------+---------------+ -; Clock ; Slack ; End Point TNS ; -+-------------------------------------------------+-------+---------------+ -; mz80k_top:mz80k_top|clk_count[2] ; 0.319 ; 0.000 ; -; pll|altpll_component|auto_generated|pll1|clk[0] ; 4.316 ; 0.000 ; -+-------------------------------------------------+-------+---------------+ - - -+--------------------------------------------------------------------------+ -; Slow 1200mV 0C Model Minimum Pulse Width Summary ; -+-------------------------------------------------+--------+---------------+ -; Clock ; Slack ; End Point TNS ; -+-------------------------------------------------+--------+---------------+ -; SPI_SCK ; -3.201 ; -217.003 ; -; mz80k_top:mz80k_top|clk_count[2] ; -1.487 ; -486.596 ; -; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -1.487 ; -29.740 ; -; mz80k_top:mz80k_top|CLK_2M ; -1.487 ; -25.279 ; -; mz80k_top:mz80k_top|CLK_31250 ; -1.487 ; -25.279 ; -; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; -1.487 ; -23.792 ; -; pll|altpll_component|auto_generated|pll1|clk[0] ; 9.638 ; 0.000 ; -; CLOCK_27 ; 18.351 ; 0.000 ; -+-------------------------------------------------+--------+---------------+ - - -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Slow 1200mV 0C Model Setup: 'mz80k_top:mz80k_top|clk_count[2]' ; -+---------+-----------------------------------------------------------------------------------------------------------------+---------------------------------------------------+-------------------------------------------------+----------------------------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+---------+-----------------------------------------------------------------------------------------------------------------+---------------------------------------------------+-------------------------------------------------+----------------------------------+--------------+------------+------------+ -; -33.231 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.357 ; 34.590 ; -; -33.129 ; mz80k_top:mz80k_top|fz80:z80|sel_af ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.361 ; 34.492 ; -; -33.111 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[3] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; -0.073 ; 34.040 ; -; -33.089 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[6] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.357 ; 34.448 ; -; -33.088 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.357 ; 34.447 ; -; -33.009 ; mz80k_top:mz80k_top|fz80:z80|sel_af ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[3] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; -0.069 ; 33.942 ; -; -32.987 ; mz80k_top:mz80k_top|fz80:z80|sel_af ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[6] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.361 ; 34.350 ; -; -32.986 ; mz80k_top:mz80k_top|fz80:z80|sel_af ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.361 ; 34.349 ; -; -32.976 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[3] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.347 ; 34.325 ; -; -32.883 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[4] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.357 ; 34.242 ; -; -32.870 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[2] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.347 ; 34.219 ; -; -32.856 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[3] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[3] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; -0.083 ; 33.775 ; -; -32.840 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[4] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.356 ; 34.198 ; -; -32.834 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[3] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[6] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.347 ; 34.183 ; -; -32.833 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[3] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.347 ; 34.182 ; -; -32.802 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q0[5] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.360 ; 34.164 ; -; -32.800 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[2] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.335 ; 34.137 ; -; -32.788 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[5] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.373 ; 34.163 ; -; -32.781 ; mz80k_top:mz80k_top|fz80:z80|sel_af ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[4] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.361 ; 34.144 ; -; -32.750 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[2] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[3] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; -0.083 ; 33.669 ; -; -32.746 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[0] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; -0.073 ; 33.675 ; -; -32.728 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[2] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[6] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.347 ; 34.077 ; -; -32.727 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[2] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.347 ; 34.076 ; -; -32.720 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[4] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[3] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; -0.074 ; 33.648 ; -; -32.698 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[4] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[6] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.356 ; 34.056 ; -; -32.698 ; mz80k_top:mz80k_top|fz80:z80|sel_af ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[2] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.339 ; 34.039 ; -; -32.697 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[4] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.356 ; 34.055 ; -; -32.690 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[0] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.347 ; 34.039 ; -; -32.684 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[5] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[3] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; -0.073 ; 33.613 ; -; -32.682 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q0[5] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[3] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; -0.070 ; 33.614 ; -; -32.660 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q0[5] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[6] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.360 ; 34.022 ; -; -32.659 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q0[5] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.360 ; 34.021 ; -; -32.647 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[1] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.347 ; 33.996 ; -; -32.646 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[5] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[6] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.373 ; 34.021 ; -; -32.645 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[5] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.373 ; 34.020 ; -; -32.644 ; mz80k_top:mz80k_top|fz80:z80|sel_af ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[0] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; -0.069 ; 33.577 ; -; -32.633 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; mz80k_top:mz80k_top|fz80:z80|reg_pcl:reg_pcl|q[1] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; -0.070 ; 33.565 ; -; -32.628 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[3] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[4] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.347 ; 33.977 ; -; -32.622 ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[2] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; -0.065 ; 33.559 ; -; -32.613 ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a22 ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.506 ; 36.042 ; -; -32.599 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q0[0] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.370 ; 33.971 ; -; -32.580 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; mz80k_top:mz80k_top|fz80:z80|reg_pcl:reg_pcl|q[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; -0.073 ; 33.509 ; -; -32.570 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[0] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[3] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; -0.083 ; 33.489 ; -; -32.561 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[3] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[2] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.309 ; 33.872 ; -; -32.558 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q0[2] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.356 ; 33.916 ; -; -32.557 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q0[3] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.370 ; 33.929 ; -; -32.549 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q0[7] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.370 ; 33.921 ; -; -32.548 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[0] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[6] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.347 ; 33.897 ; -; -32.547 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[0] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.347 ; 33.896 ; -; -32.531 ; mz80k_top:mz80k_top|fz80:z80|sel_af ; mz80k_top:mz80k_top|fz80:z80|reg_pcl:reg_pcl|q[1] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; -0.066 ; 33.467 ; -; -32.527 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[1] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[3] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; -0.083 ; 33.446 ; -; -32.522 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q0[7] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.357 ; 33.881 ; -; -32.522 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[2] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[4] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.347 ; 33.871 ; -; -32.505 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[1] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[6] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.347 ; 33.854 ; -; -32.504 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[1] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.347 ; 33.853 ; -; -32.502 ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[2] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[3] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; -0.495 ; 33.009 ; -; -32.499 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[3] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.357 ; 33.858 ; -; -32.495 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q0[0] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[3] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; -0.076 ; 33.421 ; -; -32.493 ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a22 ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.076 ; 35.492 ; -; -32.492 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; mz80k_top:mz80k_top|fz80:z80|reg_pcl:reg_pcl|q[0] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; -0.070 ; 33.424 ; -; -32.492 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[4] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[4] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.356 ; 33.850 ; -; -32.491 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[3] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[0] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; -0.083 ; 33.410 ; -; -32.489 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q0[5] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.370 ; 33.861 ; -; -32.480 ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[2] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[6] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; -0.065 ; 33.417 ; -; -32.479 ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[2] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; -0.065 ; 33.416 ; -; -32.478 ; mz80k_top:mz80k_top|fz80:z80|sel_af ; mz80k_top:mz80k_top|fz80:z80|reg_pcl:reg_pcl|q[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; -0.069 ; 33.411 ; -; -32.471 ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a22 ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[6] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.506 ; 35.900 ; -; -32.470 ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a22 ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[7] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.506 ; 35.899 ; -; -32.457 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q0[0] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[6] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.370 ; 33.829 ; -; -32.456 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q0[0] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.370 ; 33.828 ; -; -32.455 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[2] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[2] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.309 ; 33.766 ; -; -32.454 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q0[5] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[4] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.360 ; 33.816 ; -; -32.453 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q0[3] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[3] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; -0.076 ; 33.379 ; -; -32.451 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q1[5] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.373 ; 33.826 ; -; -32.446 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d|q0[7] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.370 ; 33.818 ; -; -32.445 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q0[7] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[3] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; -0.076 ; 33.371 ; -; -32.440 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[5] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[4] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.373 ; 33.815 ; -; -32.438 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q0[2] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[3] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; -0.074 ; 33.366 ; -; -32.416 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q0[2] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[6] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.356 ; 33.774 ; -; -32.415 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q0[2] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.356 ; 33.773 ; -; -32.415 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q0[3] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[6] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.370 ; 33.787 ; -; -32.414 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q0[3] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.370 ; 33.786 ; -; -32.409 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[4] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[2] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.334 ; 33.745 ; -; -32.407 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q0[7] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[6] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.370 ; 33.779 ; -; -32.406 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q0[7] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.370 ; 33.778 ; -; -32.402 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q0[7] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[3] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; -0.073 ; 33.331 ; -; -32.394 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[3] ; mz80k_top:mz80k_top|fz80:z80|reg_pcl:reg_pcl|q[1] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; -0.096 ; 33.300 ; -; -32.390 ; mz80k_top:mz80k_top|fz80:z80|sel_af ; mz80k_top:mz80k_top|fz80:z80|reg_pcl:reg_pcl|q[0] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; -0.066 ; 33.326 ; -; -32.390 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d|q1[7] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.370 ; 33.762 ; -; -32.389 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[5] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[2] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.319 ; 33.710 ; -; -32.385 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q0[5] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[3] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; -0.076 ; 33.311 ; -; -32.385 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[2] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[0] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; -0.083 ; 33.304 ; -; -32.380 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q0[7] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[6] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.357 ; 33.739 ; -; -32.379 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[3] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[3] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; -0.073 ; 33.308 ; -; -32.379 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q0[7] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.357 ; 33.738 ; -; -32.371 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q0[5] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[2] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.338 ; 33.711 ; -; -32.371 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[6] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.373 ; 33.746 ; -; -32.360 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[1] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.362 ; 33.724 ; -; -32.357 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[3] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[6] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.357 ; 33.716 ; -; -32.356 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[3] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.357 ; 33.715 ; -+---------+-----------------------------------------------------------------------------------------------------------------+---------------------------------------------------+-------------------------------------------------+----------------------------------+--------------+------------+------------+ - - -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Slow 1200mV 0C Model Setup: 'pll|altpll_component|auto_generated|pll1|clk[0]' ; -+---------+----------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------------+----------------------------------+-------------------------------------------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+---------+----------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------------+----------------------------------+-------------------------------------------------+--------------+------------+------------+ -; -30.351 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a15~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -3.638 ; 26.693 ; -; -30.307 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|ram_block1a4~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -3.622 ; 26.665 ; -; -30.249 ; mz80k_top:mz80k_top|fz80:z80|sel_af ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a15~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -3.634 ; 26.595 ; -; -30.205 ; mz80k_top:mz80k_top|fz80:z80|sel_af ; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|ram_block1a4~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -3.618 ; 26.567 ; -; -30.096 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[3] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a15~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -3.648 ; 26.428 ; -; -30.052 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[3] ; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|ram_block1a4~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -3.632 ; 26.400 ; -; -30.019 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a7~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -3.628 ; 26.371 ; -; -29.990 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a23~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -3.628 ; 26.342 ; -; -29.990 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[2] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a15~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -3.648 ; 26.322 ; -; -29.960 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[4] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a15~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -3.639 ; 26.301 ; -; -29.946 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[2] ; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|ram_block1a4~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -3.632 ; 26.294 ; -; -29.924 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[5] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a15~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -3.638 ; 26.266 ; -; -29.922 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q0[5] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a15~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -3.635 ; 26.267 ; -; -29.917 ; mz80k_top:mz80k_top|fz80:z80|sel_af ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a7~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -3.624 ; 26.273 ; -; -29.916 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[4] ; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|ram_block1a4~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -3.623 ; 26.273 ; -; -29.889 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a25~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -3.641 ; 26.228 ; -; -29.888 ; mz80k_top:mz80k_top|fz80:z80|sel_af ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a23~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -3.624 ; 26.244 ; -; -29.880 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[5] ; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|ram_block1a4~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -3.622 ; 26.238 ; -; -29.878 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q0[5] ; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|ram_block1a4~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -3.619 ; 26.239 ; -; -29.824 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a17~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -3.631 ; 26.173 ; -; -29.810 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[0] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a15~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -3.648 ; 26.142 ; -; -29.787 ; mz80k_top:mz80k_top|fz80:z80|sel_af ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a25~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -3.637 ; 26.130 ; -; -29.767 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[1] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a15~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -3.648 ; 26.099 ; -; -29.766 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[0] ; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|ram_block1a4~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -3.632 ; 26.114 ; -; -29.764 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[3] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a7~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -3.638 ; 26.106 ; -; -29.756 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a29~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -3.642 ; 26.094 ; -; -29.742 ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[2] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a15~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.060 ; 25.662 ; -; -29.741 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a13~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -3.651 ; 26.070 ; -; -29.738 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a5~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -3.647 ; 26.071 ; -; -29.735 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q0[0] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a15~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -3.641 ; 26.074 ; -; -29.735 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[3] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a23~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -3.638 ; 26.077 ; -; -29.723 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[1] ; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|ram_block1a4~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -3.632 ; 26.071 ; -; -29.722 ; mz80k_top:mz80k_top|fz80:z80|sel_af ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a17~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -3.627 ; 26.075 ; -; -29.709 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a21~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -3.648 ; 26.041 ; -; -29.698 ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[2] ; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|ram_block1a4~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -4.044 ; 25.634 ; -; -29.693 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q0[3] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a15~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -3.641 ; 26.032 ; -; -29.691 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q0[0] ; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|ram_block1a4~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -3.625 ; 26.046 ; -; -29.685 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q0[7] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a15~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -3.641 ; 26.024 ; -; -29.678 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q0[2] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a15~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -3.639 ; 26.019 ; -; -29.662 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a1~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -3.639 ; 26.003 ; -; -29.658 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[2] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a7~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -3.638 ; 26.000 ; -; -29.654 ; mz80k_top:mz80k_top|fz80:z80|sel_af ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a29~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -3.638 ; 25.996 ; -; -29.649 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q0[3] ; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|ram_block1a4~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -3.625 ; 26.004 ; -; -29.642 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a31~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -3.630 ; 25.992 ; -; -29.642 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q0[7] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a15~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -3.638 ; 25.984 ; -; -29.641 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q0[7] ; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|ram_block1a4~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -3.625 ; 25.996 ; -; -29.639 ; mz80k_top:mz80k_top|fz80:z80|sel_af ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a13~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -3.647 ; 25.972 ; -; -29.636 ; mz80k_top:mz80k_top|fz80:z80|sel_af ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a5~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -3.643 ; 25.973 ; -; -29.634 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q0[2] ; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|ram_block1a4~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -3.623 ; 25.991 ; -; -29.634 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[3] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a25~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -3.651 ; 25.963 ; -; -29.629 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[2] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a23~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -3.638 ; 25.971 ; -; -29.628 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[4] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a7~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -3.629 ; 25.979 ; -; -29.625 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q0[5] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a15~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -3.641 ; 25.964 ; -; -29.619 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[3] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a15~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -3.638 ; 25.961 ; -; -29.611 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|ram_block1a0~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -3.632 ; 25.959 ; -; -29.607 ; mz80k_top:mz80k_top|fz80:z80|sel_af ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a21~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -3.644 ; 25.943 ; -; -29.599 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[4] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a23~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -3.629 ; 25.950 ; -; -29.598 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q0[7] ; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|ram_block1a4~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -3.622 ; 25.956 ; -; -29.592 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[5] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a7~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -3.628 ; 25.944 ; -; -29.590 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q0[5] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a7~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -3.625 ; 25.945 ; -; -29.587 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q1[5] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a15~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -3.638 ; 25.929 ; -; -29.582 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d|q0[7] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a15~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -3.641 ; 25.921 ; -; -29.581 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q0[5] ; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|ram_block1a4~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -3.625 ; 25.936 ; -; -29.577 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a16~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -3.633 ; 25.924 ; -; -29.575 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[3] ; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|ram_block1a4~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -3.622 ; 25.933 ; -; -29.573 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a8~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -3.640 ; 25.913 ; -; -29.569 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[3] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a17~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -3.641 ; 25.908 ; -; -29.563 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[5] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a23~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -3.628 ; 25.915 ; -; -29.561 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q0[5] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a23~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -3.625 ; 25.916 ; -; -29.560 ; mz80k_top:mz80k_top|fz80:z80|sel_af ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a1~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -3.635 ; 25.905 ; -; -29.550 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a9~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -3.638 ; 25.892 ; -; -29.543 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q1[5] ; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|ram_block1a4~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -3.622 ; 25.901 ; -; -29.540 ; mz80k_top:mz80k_top|fz80:z80|sel_af ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a31~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -3.626 ; 25.894 ; -; -29.538 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d|q0[7] ; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|ram_block1a4~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -3.625 ; 25.893 ; -; -29.528 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[2] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a25~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -3.651 ; 25.857 ; -; -29.526 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d|q1[7] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a15~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -3.641 ; 25.865 ; -; -29.509 ; mz80k_top:mz80k_top|fz80:z80|sel_af ; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|ram_block1a0~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -3.628 ; 25.861 ; -; -29.507 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[6] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a15~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -3.638 ; 25.849 ; -; -29.501 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[3] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a29~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -3.652 ; 25.829 ; -; -29.498 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[4] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a25~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -3.642 ; 25.836 ; -; -29.486 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[3] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a13~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -3.661 ; 25.805 ; -; -29.483 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[3] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a5~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -3.657 ; 25.806 ; -; -29.482 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d|q1[7] ; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|ram_block1a4~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -3.625 ; 25.837 ; -; -29.478 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[0] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a7~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -3.638 ; 25.820 ; -; -29.475 ; mz80k_top:mz80k_top|fz80:z80|sel_af ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a16~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -3.629 ; 25.826 ; -; -29.471 ; mz80k_top:mz80k_top|fz80:z80|sel_af ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a8~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -3.636 ; 25.815 ; -; -29.463 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[6] ; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|ram_block1a4~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -3.622 ; 25.821 ; -; -29.463 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[2] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a17~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -3.641 ; 25.802 ; -; -29.462 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[5] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a25~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -3.641 ; 25.801 ; -; -29.460 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q0[5] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a25~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -3.638 ; 25.802 ; -; -29.456 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[1] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a15~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -3.638 ; 25.798 ; -; -29.454 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[3] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a21~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -3.658 ; 25.776 ; -; -29.451 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a24~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -3.628 ; 25.803 ; -; -29.449 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[0] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a23~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -3.638 ; 25.791 ; -; -29.448 ; mz80k_top:mz80k_top|fz80:z80|sel_af ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a9~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -3.634 ; 25.794 ; -; -29.435 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[1] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a7~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -3.638 ; 25.777 ; -; -29.433 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[4] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a17~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -3.632 ; 25.781 ; -; -29.428 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a12~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -3.639 ; 25.769 ; -; -29.412 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a30~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -3.644 ; 25.748 ; -; -29.412 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[1] ; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|ram_block1a4~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -3.622 ; 25.770 ; -+---------+----------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------------+----------------------------------+-------------------------------------------------+--------------+------------+------------+ - - -+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Slow 1200mV 0C Model Setup: 'mz80k_top:mz80k_top|CLK_2M' ; -+--------+-------------------------------------------+----------------------------------------------+----------------------------------+----------------------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+--------+-------------------------------------------+----------------------------------------------+----------------------------------+----------------------------+--------------+------------+------------+ -; -6.888 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.658 ; 6.232 ; -; -6.888 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[0] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.658 ; 6.232 ; -; -6.888 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[1] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.658 ; 6.232 ; -; -6.888 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[2] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.658 ; 6.232 ; -; -6.888 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[3] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.658 ; 6.232 ; -; -6.888 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[4] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.658 ; 6.232 ; -; -6.888 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[6] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.658 ; 6.232 ; -; -6.888 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.658 ; 6.232 ; -; -6.888 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[8] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.658 ; 6.232 ; -; -6.888 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[9] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.658 ; 6.232 ; -; -6.888 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[10] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.658 ; 6.232 ; -; -6.888 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[11] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.658 ; 6.232 ; -; -6.888 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[12] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.658 ; 6.232 ; -; -6.888 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[13] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.658 ; 6.232 ; -; -6.888 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[14] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.658 ; 6.232 ; -; -6.888 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[15] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.658 ; 6.232 ; -; -5.562 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.660 ; 4.904 ; -; -5.562 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[0] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.660 ; 4.904 ; -; -5.562 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[1] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.660 ; 4.904 ; -; -5.562 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[2] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.660 ; 4.904 ; -; -5.562 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[3] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.660 ; 4.904 ; -; -5.562 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[4] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.660 ; 4.904 ; -; -5.562 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[6] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.660 ; 4.904 ; -; -5.562 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.660 ; 4.904 ; -; -5.562 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[8] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.660 ; 4.904 ; -; -5.562 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[9] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.660 ; 4.904 ; -; -5.562 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[10] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.660 ; 4.904 ; -; -5.562 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[11] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.660 ; 4.904 ; -; -5.562 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[12] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.660 ; 4.904 ; -; -5.562 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[13] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.660 ; 4.904 ; -; -5.562 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[14] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.660 ; 4.904 ; -; -5.562 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[15] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.660 ; 4.904 ; -; -5.419 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.660 ; 4.761 ; -; -5.419 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[0] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.660 ; 4.761 ; -; -5.419 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[1] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.660 ; 4.761 ; -; -5.419 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[2] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.660 ; 4.761 ; -; -5.419 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[3] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.660 ; 4.761 ; -; -5.419 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[4] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.660 ; 4.761 ; -; -5.419 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[6] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.660 ; 4.761 ; -; -5.419 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.660 ; 4.761 ; -; -5.419 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[8] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.660 ; 4.761 ; -; -5.419 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[9] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.660 ; 4.761 ; -; -5.419 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[10] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.660 ; 4.761 ; -; -5.419 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[11] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.660 ; 4.761 ; -; -5.419 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[12] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.660 ; 4.761 ; -; -5.419 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[13] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.660 ; 4.761 ; -; -5.419 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[14] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.660 ; 4.761 ; -; -5.419 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[15] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.660 ; 4.761 ; -; -5.394 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.660 ; 4.736 ; -; -5.394 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[0] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.660 ; 4.736 ; -; -5.394 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[1] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.660 ; 4.736 ; -; -5.394 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[2] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.660 ; 4.736 ; -; -5.394 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[3] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.660 ; 4.736 ; -; -5.394 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[4] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.660 ; 4.736 ; -; -5.394 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[6] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.660 ; 4.736 ; -; -5.394 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.660 ; 4.736 ; -; -5.394 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[8] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.660 ; 4.736 ; -; -5.394 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[9] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.660 ; 4.736 ; -; -5.394 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[10] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.660 ; 4.736 ; -; -5.394 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[11] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.660 ; 4.736 ; -; -5.394 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[12] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.660 ; 4.736 ; -; -5.394 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[13] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.660 ; 4.736 ; -; -5.394 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[14] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.660 ; 4.736 ; -; -5.394 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[15] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.660 ; 4.736 ; -; -5.176 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.660 ; 4.518 ; -; -5.176 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[0] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.660 ; 4.518 ; -; -5.176 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[1] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.660 ; 4.518 ; -; -5.176 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[2] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.660 ; 4.518 ; -; -5.176 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[3] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.660 ; 4.518 ; -; -5.176 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[4] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.660 ; 4.518 ; -; -5.176 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[6] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.660 ; 4.518 ; -; -5.176 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.660 ; 4.518 ; -; -5.176 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[8] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.660 ; 4.518 ; -; -5.176 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[9] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.660 ; 4.518 ; -; -5.176 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[10] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.660 ; 4.518 ; -; -5.176 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[11] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.660 ; 4.518 ; -; -5.176 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[12] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.660 ; 4.518 ; -; -5.176 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[13] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.660 ; 4.518 ; -; -5.176 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[14] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.660 ; 4.518 ; -; -5.176 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[15] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.660 ; 4.518 ; -; -5.142 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.660 ; 4.484 ; -; -5.142 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[0] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.660 ; 4.484 ; -; -5.142 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[1] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.660 ; 4.484 ; -; -5.142 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[2] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.660 ; 4.484 ; -; -5.142 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[3] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.660 ; 4.484 ; -; -5.142 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[4] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.660 ; 4.484 ; -; -5.142 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[6] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.660 ; 4.484 ; -; -5.142 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.660 ; 4.484 ; -; -5.142 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[8] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.660 ; 4.484 ; -; -5.142 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[9] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.660 ; 4.484 ; -; -5.142 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[10] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.660 ; 4.484 ; -; -5.142 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[11] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.660 ; 4.484 ; -; -5.142 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[12] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.660 ; 4.484 ; -; -5.142 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[13] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.660 ; 4.484 ; -; -5.142 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[14] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.660 ; 4.484 ; -; -5.142 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[15] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.660 ; 4.484 ; -; -5.059 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.660 ; 4.401 ; -; -5.059 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[0] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.660 ; 4.401 ; -; -5.059 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[1] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.660 ; 4.401 ; -; -5.059 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[2] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -1.660 ; 4.401 ; -+--------+-------------------------------------------+----------------------------------------------+----------------------------------+----------------------------+--------------+------------+------------+ - - -+----------------------------------------------------------------------------------------------------------------------------------------------+ -; Slow 1200mV 0C Model Setup: 'SPI_SCK' ; -+--------+-----------------------------+---------------------------------+--------------+-------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+--------+-----------------------------+---------------------------------+--------------+-------------+--------------+------------+------------+ -; -6.568 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~15 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.080 ; 7.490 ; -; -6.568 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~14 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.080 ; 7.490 ; -; -6.568 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~13 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.080 ; 7.490 ; -; -6.568 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~12 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.080 ; 7.490 ; -; -6.568 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~11 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.080 ; 7.490 ; -; -6.568 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~10 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.080 ; 7.490 ; -; -6.568 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~9 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.080 ; 7.490 ; -; -6.568 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~8 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.080 ; 7.490 ; -; -6.337 ; mist_io:mist_io|byte_cnt[3] ; mist_io:mist_io|ps2_kbd_fifo~15 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.080 ; 7.259 ; -; -6.337 ; mist_io:mist_io|byte_cnt[3] ; mist_io:mist_io|ps2_kbd_fifo~14 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.080 ; 7.259 ; -; -6.337 ; mist_io:mist_io|byte_cnt[3] ; mist_io:mist_io|ps2_kbd_fifo~13 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.080 ; 7.259 ; -; -6.337 ; mist_io:mist_io|byte_cnt[3] ; mist_io:mist_io|ps2_kbd_fifo~12 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.080 ; 7.259 ; -; -6.337 ; mist_io:mist_io|byte_cnt[3] ; mist_io:mist_io|ps2_kbd_fifo~11 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.080 ; 7.259 ; -; -6.337 ; mist_io:mist_io|byte_cnt[3] ; mist_io:mist_io|ps2_kbd_fifo~10 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.080 ; 7.259 ; -; -6.337 ; mist_io:mist_io|byte_cnt[3] ; mist_io:mist_io|ps2_kbd_fifo~9 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.080 ; 7.259 ; -; -6.337 ; mist_io:mist_io|byte_cnt[3] ; mist_io:mist_io|ps2_kbd_fifo~8 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.080 ; 7.259 ; -; -6.176 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~62 ; SPI_SCK ; SPI_SCK ; 1.000 ; 0.344 ; 7.522 ; -; -6.176 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~61 ; SPI_SCK ; SPI_SCK ; 1.000 ; 0.344 ; 7.522 ; -; -6.107 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~38 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.062 ; 7.047 ; -; -6.107 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~37 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.062 ; 7.047 ; -; -6.083 ; mist_io:mist_io|byte_cnt[4] ; mist_io:mist_io|ps2_kbd_fifo~15 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.080 ; 7.005 ; -; -6.083 ; mist_io:mist_io|byte_cnt[4] ; mist_io:mist_io|ps2_kbd_fifo~14 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.080 ; 7.005 ; -; -6.083 ; mist_io:mist_io|byte_cnt[4] ; mist_io:mist_io|ps2_kbd_fifo~13 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.080 ; 7.005 ; -; -6.083 ; mist_io:mist_io|byte_cnt[4] ; mist_io:mist_io|ps2_kbd_fifo~12 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.080 ; 7.005 ; -; -6.083 ; mist_io:mist_io|byte_cnt[4] ; mist_io:mist_io|ps2_kbd_fifo~11 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.080 ; 7.005 ; -; -6.083 ; mist_io:mist_io|byte_cnt[4] ; mist_io:mist_io|ps2_kbd_fifo~10 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.080 ; 7.005 ; -; -6.083 ; mist_io:mist_io|byte_cnt[4] ; mist_io:mist_io|ps2_kbd_fifo~9 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.080 ; 7.005 ; -; -6.083 ; mist_io:mist_io|byte_cnt[4] ; mist_io:mist_io|ps2_kbd_fifo~8 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.080 ; 7.005 ; -; -6.023 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~47 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.061 ; 6.964 ; -; -6.023 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~46 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.061 ; 6.964 ; -; -6.023 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~45 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.061 ; 6.964 ; -; -6.023 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~44 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.061 ; 6.964 ; -; -6.023 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~43 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.061 ; 6.964 ; -; -6.023 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~42 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.061 ; 6.964 ; -; -6.023 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~41 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.061 ; 6.964 ; -; -6.023 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~40 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.061 ; 6.964 ; -; -5.945 ; mist_io:mist_io|byte_cnt[3] ; mist_io:mist_io|ps2_kbd_fifo~62 ; SPI_SCK ; SPI_SCK ; 1.000 ; 0.344 ; 7.291 ; -; -5.945 ; mist_io:mist_io|byte_cnt[3] ; mist_io:mist_io|ps2_kbd_fifo~61 ; SPI_SCK ; SPI_SCK ; 1.000 ; 0.344 ; 7.291 ; -; -5.930 ; mist_io:mist_io|byte_cnt[5] ; mist_io:mist_io|ps2_kbd_fifo~15 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.080 ; 6.852 ; -; -5.930 ; mist_io:mist_io|byte_cnt[5] ; mist_io:mist_io|ps2_kbd_fifo~14 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.080 ; 6.852 ; -; -5.930 ; mist_io:mist_io|byte_cnt[5] ; mist_io:mist_io|ps2_kbd_fifo~13 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.080 ; 6.852 ; -; -5.930 ; mist_io:mist_io|byte_cnt[5] ; mist_io:mist_io|ps2_kbd_fifo~12 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.080 ; 6.852 ; -; -5.930 ; mist_io:mist_io|byte_cnt[5] ; mist_io:mist_io|ps2_kbd_fifo~11 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.080 ; 6.852 ; -; -5.930 ; mist_io:mist_io|byte_cnt[5] ; mist_io:mist_io|ps2_kbd_fifo~10 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.080 ; 6.852 ; -; -5.930 ; mist_io:mist_io|byte_cnt[5] ; mist_io:mist_io|ps2_kbd_fifo~9 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.080 ; 6.852 ; -; -5.930 ; mist_io:mist_io|byte_cnt[5] ; mist_io:mist_io|ps2_kbd_fifo~8 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.080 ; 6.852 ; -; -5.913 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~31 ; SPI_SCK ; SPI_SCK ; 1.000 ; 0.334 ; 7.249 ; -; -5.913 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~30 ; SPI_SCK ; SPI_SCK ; 1.000 ; 0.334 ; 7.249 ; -; -5.913 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~29 ; SPI_SCK ; SPI_SCK ; 1.000 ; 0.334 ; 7.249 ; -; -5.913 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~28 ; SPI_SCK ; SPI_SCK ; 1.000 ; 0.334 ; 7.249 ; -; -5.913 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~27 ; SPI_SCK ; SPI_SCK ; 1.000 ; 0.334 ; 7.249 ; -; -5.913 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~26 ; SPI_SCK ; SPI_SCK ; 1.000 ; 0.334 ; 7.249 ; -; -5.913 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~25 ; SPI_SCK ; SPI_SCK ; 1.000 ; 0.334 ; 7.249 ; -; -5.913 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~24 ; SPI_SCK ; SPI_SCK ; 1.000 ; 0.334 ; 7.249 ; -; -5.876 ; mist_io:mist_io|byte_cnt[3] ; mist_io:mist_io|ps2_kbd_fifo~38 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.062 ; 6.816 ; -; -5.876 ; mist_io:mist_io|byte_cnt[3] ; mist_io:mist_io|ps2_kbd_fifo~37 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.062 ; 6.816 ; -; -5.816 ; mist_io:mist_io|byte_cnt[0] ; mist_io:mist_io|status[4] ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.076 ; 6.742 ; -; -5.808 ; mist_io:mist_io|byte_cnt[2] ; mist_io:mist_io|status[4] ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.076 ; 6.734 ; -; -5.792 ; mist_io:mist_io|byte_cnt[3] ; mist_io:mist_io|ps2_kbd_fifo~47 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.061 ; 6.733 ; -; -5.792 ; mist_io:mist_io|byte_cnt[3] ; mist_io:mist_io|ps2_kbd_fifo~46 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.061 ; 6.733 ; -; -5.792 ; mist_io:mist_io|byte_cnt[3] ; mist_io:mist_io|ps2_kbd_fifo~45 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.061 ; 6.733 ; -; -5.792 ; mist_io:mist_io|byte_cnt[3] ; mist_io:mist_io|ps2_kbd_fifo~44 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.061 ; 6.733 ; -; -5.792 ; mist_io:mist_io|byte_cnt[3] ; mist_io:mist_io|ps2_kbd_fifo~43 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.061 ; 6.733 ; -; -5.792 ; mist_io:mist_io|byte_cnt[3] ; mist_io:mist_io|ps2_kbd_fifo~42 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.061 ; 6.733 ; -; -5.792 ; mist_io:mist_io|byte_cnt[3] ; mist_io:mist_io|ps2_kbd_fifo~41 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.061 ; 6.733 ; -; -5.792 ; mist_io:mist_io|byte_cnt[3] ; mist_io:mist_io|ps2_kbd_fifo~40 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.061 ; 6.733 ; -; -5.784 ; mist_io:mist_io|byte_cnt[0] ; mist_io:mist_io|status[3] ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.077 ; 6.709 ; -; -5.776 ; mist_io:mist_io|byte_cnt[2] ; mist_io:mist_io|status[3] ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.077 ; 6.701 ; -; -5.770 ; mist_io:mist_io|byte_cnt[0] ; mist_io:mist_io|ps2_kbd_fifo~15 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.080 ; 6.692 ; -; -5.770 ; mist_io:mist_io|byte_cnt[0] ; mist_io:mist_io|ps2_kbd_fifo~14 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.080 ; 6.692 ; -; -5.770 ; mist_io:mist_io|byte_cnt[0] ; mist_io:mist_io|ps2_kbd_fifo~13 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.080 ; 6.692 ; -; -5.770 ; mist_io:mist_io|byte_cnt[0] ; mist_io:mist_io|ps2_kbd_fifo~12 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.080 ; 6.692 ; -; -5.770 ; mist_io:mist_io|byte_cnt[0] ; mist_io:mist_io|ps2_kbd_fifo~11 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.080 ; 6.692 ; -; -5.770 ; mist_io:mist_io|byte_cnt[0] ; mist_io:mist_io|ps2_kbd_fifo~10 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.080 ; 6.692 ; -; -5.770 ; mist_io:mist_io|byte_cnt[0] ; mist_io:mist_io|ps2_kbd_fifo~9 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.080 ; 6.692 ; -; -5.770 ; mist_io:mist_io|byte_cnt[0] ; mist_io:mist_io|ps2_kbd_fifo~8 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.080 ; 6.692 ; -; -5.769 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~23 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.078 ; 6.693 ; -; -5.769 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~22 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.078 ; 6.693 ; -; -5.769 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~21 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.078 ; 6.693 ; -; -5.769 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~20 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.078 ; 6.693 ; -; -5.769 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~19 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.078 ; 6.693 ; -; -5.769 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~18 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.078 ; 6.693 ; -; -5.769 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~17 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.078 ; 6.693 ; -; -5.769 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~16 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.078 ; 6.693 ; -; -5.755 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~55 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.062 ; 6.695 ; -; -5.755 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~54 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.062 ; 6.695 ; -; -5.755 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~53 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.062 ; 6.695 ; -; -5.755 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~52 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.062 ; 6.695 ; -; -5.755 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~51 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.062 ; 6.695 ; -; -5.755 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~50 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.062 ; 6.695 ; -; -5.755 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~49 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.062 ; 6.695 ; -; -5.755 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~48 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.062 ; 6.695 ; -; -5.755 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|status[4] ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.076 ; 6.681 ; -; -5.734 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~7 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.080 ; 6.656 ; -; -5.734 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~6 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.080 ; 6.656 ; -; -5.734 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~5 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.080 ; 6.656 ; -; -5.734 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~4 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.080 ; 6.656 ; -; -5.734 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~3 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.080 ; 6.656 ; -; -5.734 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~2 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.080 ; 6.656 ; -; -5.734 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~1 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.080 ; 6.656 ; -+--------+-----------------------------+---------------------------------+--------------+-------------+--------------+------------+------------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Slow 1200mV 0C Model Setup: 'mz80k_top:mz80k_top|CLK_31250' ; -+--------+-------------------------------------------+----------------------------------------------+----------------------------------+-------------------------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+--------+-------------------------------------------+----------------------------------------------+----------------------------------+-------------------------------+--------------+------------+------------+ -; -6.055 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.862 ; 5.195 ; -; -6.055 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[0] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.862 ; 5.195 ; -; -6.055 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[1] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.862 ; 5.195 ; -; -6.055 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[2] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.862 ; 5.195 ; -; -6.055 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[3] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.862 ; 5.195 ; -; -6.055 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[4] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.862 ; 5.195 ; -; -6.055 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.862 ; 5.195 ; -; -6.055 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[6] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.862 ; 5.195 ; -; -6.055 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[8] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.862 ; 5.195 ; -; -6.055 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[9] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.862 ; 5.195 ; -; -6.055 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[10] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.862 ; 5.195 ; -; -6.055 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[11] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.862 ; 5.195 ; -; -6.055 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[12] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.862 ; 5.195 ; -; -6.055 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[13] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.862 ; 5.195 ; -; -6.055 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[14] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.862 ; 5.195 ; -; -6.055 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[15] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.862 ; 5.195 ; -; -6.050 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.874 ; 5.178 ; -; -6.050 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[0] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.874 ; 5.178 ; -; -6.050 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[1] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.874 ; 5.178 ; -; -6.050 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[2] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.874 ; 5.178 ; -; -6.050 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[3] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.874 ; 5.178 ; -; -6.050 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[4] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.874 ; 5.178 ; -; -6.050 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.874 ; 5.178 ; -; -6.050 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[6] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.874 ; 5.178 ; -; -6.050 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[8] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.874 ; 5.178 ; -; -6.050 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[9] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.874 ; 5.178 ; -; -6.050 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[10] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.874 ; 5.178 ; -; -6.050 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[11] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.874 ; 5.178 ; -; -6.050 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[12] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.874 ; 5.178 ; -; -6.050 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[13] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.874 ; 5.178 ; -; -6.050 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[14] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.874 ; 5.178 ; -; -6.050 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[15] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.874 ; 5.178 ; -; -6.032 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.860 ; 5.174 ; -; -6.032 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[0] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.860 ; 5.174 ; -; -6.032 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[1] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.860 ; 5.174 ; -; -6.032 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[2] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.860 ; 5.174 ; -; -6.032 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[3] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.860 ; 5.174 ; -; -6.032 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[4] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.860 ; 5.174 ; -; -6.032 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.860 ; 5.174 ; -; -6.032 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[6] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.860 ; 5.174 ; -; -6.032 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[8] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.860 ; 5.174 ; -; -6.032 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[9] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.860 ; 5.174 ; -; -6.032 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[10] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.860 ; 5.174 ; -; -6.032 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[11] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.860 ; 5.174 ; -; -6.032 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[12] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.860 ; 5.174 ; -; -6.032 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[13] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.860 ; 5.174 ; -; -6.032 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[14] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.860 ; 5.174 ; -; -6.032 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[15] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.860 ; 5.174 ; -; -5.949 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.865 ; 5.086 ; -; -5.949 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[0] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.865 ; 5.086 ; -; -5.949 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[1] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.865 ; 5.086 ; -; -5.949 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[2] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.865 ; 5.086 ; -; -5.949 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[3] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.865 ; 5.086 ; -; -5.949 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[4] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.865 ; 5.086 ; -; -5.949 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.865 ; 5.086 ; -; -5.949 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[6] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.865 ; 5.086 ; -; -5.949 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[8] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.865 ; 5.086 ; -; -5.949 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[9] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.865 ; 5.086 ; -; -5.949 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[10] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.865 ; 5.086 ; -; -5.949 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[11] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.865 ; 5.086 ; -; -5.949 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[12] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.865 ; 5.086 ; -; -5.949 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[13] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.865 ; 5.086 ; -; -5.949 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[14] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.865 ; 5.086 ; -; -5.949 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[15] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.865 ; 5.086 ; -; -5.859 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.862 ; 4.999 ; -; -5.859 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[0] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.862 ; 4.999 ; -; -5.859 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[1] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.862 ; 4.999 ; -; -5.859 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[2] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.862 ; 4.999 ; -; -5.859 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[3] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.862 ; 4.999 ; -; -5.859 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[4] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.862 ; 4.999 ; -; -5.859 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.862 ; 4.999 ; -; -5.859 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[6] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.862 ; 4.999 ; -; -5.859 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[8] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.862 ; 4.999 ; -; -5.859 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[9] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.862 ; 4.999 ; -; -5.859 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[10] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.862 ; 4.999 ; -; -5.859 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[11] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.862 ; 4.999 ; -; -5.859 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[12] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.862 ; 4.999 ; -; -5.859 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[13] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.862 ; 4.999 ; -; -5.859 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[14] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.862 ; 4.999 ; -; -5.859 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[15] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.862 ; 4.999 ; -; -5.794 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.873 ; 4.923 ; -; -5.794 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[0] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.873 ; 4.923 ; -; -5.794 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[1] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.873 ; 4.923 ; -; -5.794 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[2] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.873 ; 4.923 ; -; -5.794 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[3] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.873 ; 4.923 ; -; -5.794 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[4] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.873 ; 4.923 ; -; -5.794 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.873 ; 4.923 ; -; -5.794 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[6] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.873 ; 4.923 ; -; -5.794 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[8] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.873 ; 4.923 ; -; -5.794 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[9] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.873 ; 4.923 ; -; -5.794 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[10] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.873 ; 4.923 ; -; -5.794 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[11] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.873 ; 4.923 ; -; -5.794 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[12] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.873 ; 4.923 ; -; -5.794 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[13] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.873 ; 4.923 ; -; -5.794 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[14] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.873 ; 4.923 ; -; -5.794 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[15] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.873 ; 4.923 ; -; -5.749 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.870 ; 4.881 ; -; -5.749 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[0] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.870 ; 4.881 ; -; -5.749 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[1] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.870 ; 4.881 ; -; -5.749 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[2] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -1.870 ; 4.881 ; -+--------+-------------------------------------------+----------------------------------------------+----------------------------------+-------------------------------+--------------+------------+------------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Slow 1200mV 0C Model Setup: 'mz80k_top:mz80k_top|i8253:i8253_1|signal1' ; -+--------+----------------------------------------------+----------------------------------------------+-------------------------------------------+-------------------------------------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+--------+----------------------------------------------+----------------------------------------------+-------------------------------------------+-------------------------------------------+--------------+------------+------------+ -; -4.189 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.071 ; 5.120 ; -; -4.189 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[1] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.071 ; 5.120 ; -; -4.189 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.071 ; 5.120 ; -; -4.189 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[3] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.071 ; 5.120 ; -; -4.189 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[4] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.071 ; 5.120 ; -; -4.189 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[5] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.071 ; 5.120 ; -; -4.189 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[6] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.071 ; 5.120 ; -; -4.189 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[7] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.071 ; 5.120 ; -; -4.189 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[10] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.071 ; 5.120 ; -; -4.189 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[11] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.071 ; 5.120 ; -; -4.189 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[15] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.071 ; 5.120 ; -; -4.143 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.483 ; 4.662 ; -; -4.143 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[1] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.483 ; 4.662 ; -; -4.143 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.483 ; 4.662 ; -; -4.143 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[3] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.483 ; 4.662 ; -; -4.143 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[4] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.483 ; 4.662 ; -; -4.143 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.483 ; 4.662 ; -; -4.143 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[6] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.483 ; 4.662 ; -; -4.143 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.483 ; 4.662 ; -; -4.143 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[10] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.483 ; 4.662 ; -; -4.143 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[11] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.483 ; 4.662 ; -; -4.143 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[15] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.483 ; 4.662 ; -; -4.096 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.483 ; 4.615 ; -; -4.096 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[1] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.483 ; 4.615 ; -; -4.096 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.483 ; 4.615 ; -; -4.096 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[3] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.483 ; 4.615 ; -; -4.096 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[4] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.483 ; 4.615 ; -; -4.096 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.483 ; 4.615 ; -; -4.096 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[6] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.483 ; 4.615 ; -; -4.096 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.483 ; 4.615 ; -; -4.096 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[10] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.483 ; 4.615 ; -; -4.096 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[11] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.483 ; 4.615 ; -; -4.096 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[15] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.483 ; 4.615 ; -; -4.015 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.071 ; 4.946 ; -; -4.015 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[1] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.071 ; 4.946 ; -; -4.015 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.071 ; 4.946 ; -; -4.015 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[3] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.071 ; 4.946 ; -; -4.015 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[4] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.071 ; 4.946 ; -; -4.015 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[5] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.071 ; 4.946 ; -; -4.015 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[6] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.071 ; 4.946 ; -; -4.015 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[7] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.071 ; 4.946 ; -; -4.015 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[10] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.071 ; 4.946 ; -; -4.015 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[11] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.071 ; 4.946 ; -; -4.015 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[15] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.071 ; 4.946 ; -; -4.005 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.483 ; 4.524 ; -; -4.005 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[1] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.483 ; 4.524 ; -; -4.005 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.483 ; 4.524 ; -; -4.005 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[3] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.483 ; 4.524 ; -; -4.005 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[4] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.483 ; 4.524 ; -; -4.005 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.483 ; 4.524 ; -; -4.005 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[6] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.483 ; 4.524 ; -; -4.005 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.483 ; 4.524 ; -; -4.005 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[10] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.483 ; 4.524 ; -; -4.005 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[11] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.483 ; 4.524 ; -; -4.005 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[15] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.483 ; 4.524 ; -; -3.971 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.483 ; 4.490 ; -; -3.971 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[1] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.483 ; 4.490 ; -; -3.971 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.483 ; 4.490 ; -; -3.971 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[3] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.483 ; 4.490 ; -; -3.971 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[4] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.483 ; 4.490 ; -; -3.971 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.483 ; 4.490 ; -; -3.971 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[6] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.483 ; 4.490 ; -; -3.971 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.483 ; 4.490 ; -; -3.971 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[10] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.483 ; 4.490 ; -; -3.971 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[11] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.483 ; 4.490 ; -; -3.971 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[15] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.483 ; 4.490 ; -; -3.947 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.491 ; 4.458 ; -; -3.947 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[1] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.491 ; 4.458 ; -; -3.947 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.491 ; 4.458 ; -; -3.947 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[3] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.491 ; 4.458 ; -; -3.947 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[4] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.491 ; 4.458 ; -; -3.947 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[5] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.491 ; 4.458 ; -; -3.947 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[6] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.491 ; 4.458 ; -; -3.947 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[7] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.491 ; 4.458 ; -; -3.947 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[10] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.491 ; 4.458 ; -; -3.947 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[11] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.491 ; 4.458 ; -; -3.947 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[15] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.491 ; 4.458 ; -; -3.931 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[12] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.491 ; 4.442 ; -; -3.931 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[12] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[1] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.491 ; 4.442 ; -; -3.931 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[12] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.491 ; 4.442 ; -; -3.931 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[12] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[3] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.491 ; 4.442 ; -; -3.931 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[12] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[4] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.491 ; 4.442 ; -; -3.931 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[12] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[5] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.491 ; 4.442 ; -; -3.931 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[12] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[6] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.491 ; 4.442 ; -; -3.931 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[12] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[7] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.491 ; 4.442 ; -; -3.931 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[12] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[10] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.491 ; 4.442 ; -; -3.931 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[12] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[11] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.491 ; 4.442 ; -; -3.931 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[12] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[15] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.491 ; 4.442 ; -; -3.888 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.483 ; 4.407 ; -; -3.888 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[1] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.483 ; 4.407 ; -; -3.888 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.483 ; 4.407 ; -; -3.888 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[3] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.483 ; 4.407 ; -; -3.888 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[4] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.483 ; 4.407 ; -; -3.888 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.483 ; 4.407 ; -; -3.888 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[6] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.483 ; 4.407 ; -; -3.888 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.483 ; 4.407 ; -; -3.888 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[10] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.483 ; 4.407 ; -; -3.888 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[11] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.483 ; 4.407 ; -; -3.888 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[15] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.483 ; 4.407 ; -; -3.880 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.483 ; 4.399 ; -+--------+----------------------------------------------+----------------------------------------------+-------------------------------------------+-------------------------------------------+--------------+------------+------------+ - - -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Slow 1200mV 0C Model Setup: 'mz80k_top:mz80k_top|vga:vga1|counter[0]' ; -+--------+-----------------------------------+-----------------------------------+-----------------------------------------+-----------------------------------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+--------+-----------------------------------+-----------------------------------+-----------------------------------------+-----------------------------------------+--------------+------------+------------+ -; -1.811 ; mz80k_top:mz80k_top|vga:vga1|x[6] ; mz80k_top:mz80k_top|vga:vga1|y[6] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.071 ; 2.742 ; -; -1.811 ; mz80k_top:mz80k_top|vga:vga1|x[6] ; mz80k_top:mz80k_top|vga:vga1|y[9] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.071 ; 2.742 ; -; -1.811 ; mz80k_top:mz80k_top|vga:vga1|x[6] ; mz80k_top:mz80k_top|vga:vga1|y[8] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.071 ; 2.742 ; -; -1.811 ; mz80k_top:mz80k_top|vga:vga1|x[6] ; mz80k_top:mz80k_top|vga:vga1|y[7] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.071 ; 2.742 ; -; -1.811 ; mz80k_top:mz80k_top|vga:vga1|x[6] ; mz80k_top:mz80k_top|vga:vga1|y[5] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.071 ; 2.742 ; -; -1.811 ; mz80k_top:mz80k_top|vga:vga1|x[6] ; mz80k_top:mz80k_top|vga:vga1|y[2] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.071 ; 2.742 ; -; -1.811 ; mz80k_top:mz80k_top|vga:vga1|x[6] ; mz80k_top:mz80k_top|vga:vga1|y[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.071 ; 2.742 ; -; -1.811 ; mz80k_top:mz80k_top|vga:vga1|x[6] ; mz80k_top:mz80k_top|vga:vga1|y[1] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.071 ; 2.742 ; -; -1.811 ; mz80k_top:mz80k_top|vga:vga1|x[6] ; mz80k_top:mz80k_top|vga:vga1|y[3] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.071 ; 2.742 ; -; -1.811 ; mz80k_top:mz80k_top|vga:vga1|x[6] ; mz80k_top:mz80k_top|vga:vga1|y[4] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.071 ; 2.742 ; -; -1.792 ; mz80k_top:mz80k_top|vga:vga1|y[4] ; mz80k_top:mz80k_top|vga:vga1|y[6] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.072 ; 2.722 ; -; -1.792 ; mz80k_top:mz80k_top|vga:vga1|y[4] ; mz80k_top:mz80k_top|vga:vga1|y[9] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.072 ; 2.722 ; -; -1.792 ; mz80k_top:mz80k_top|vga:vga1|y[4] ; mz80k_top:mz80k_top|vga:vga1|y[8] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.072 ; 2.722 ; -; -1.792 ; mz80k_top:mz80k_top|vga:vga1|y[4] ; mz80k_top:mz80k_top|vga:vga1|y[7] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.072 ; 2.722 ; -; -1.792 ; mz80k_top:mz80k_top|vga:vga1|y[4] ; mz80k_top:mz80k_top|vga:vga1|y[5] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.072 ; 2.722 ; -; -1.792 ; mz80k_top:mz80k_top|vga:vga1|y[4] ; mz80k_top:mz80k_top|vga:vga1|y[2] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.072 ; 2.722 ; -; -1.792 ; mz80k_top:mz80k_top|vga:vga1|y[4] ; mz80k_top:mz80k_top|vga:vga1|y[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.072 ; 2.722 ; -; -1.792 ; mz80k_top:mz80k_top|vga:vga1|y[4] ; mz80k_top:mz80k_top|vga:vga1|y[1] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.072 ; 2.722 ; -; -1.792 ; mz80k_top:mz80k_top|vga:vga1|y[4] ; mz80k_top:mz80k_top|vga:vga1|y[3] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.072 ; 2.722 ; -; -1.792 ; mz80k_top:mz80k_top|vga:vga1|y[4] ; mz80k_top:mz80k_top|vga:vga1|y[4] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.072 ; 2.722 ; -; -1.791 ; mz80k_top:mz80k_top|vga:vga1|y[7] ; mz80k_top:mz80k_top|vga:vga1|y[6] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.072 ; 2.721 ; -; -1.791 ; mz80k_top:mz80k_top|vga:vga1|y[7] ; mz80k_top:mz80k_top|vga:vga1|y[9] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.072 ; 2.721 ; -; -1.791 ; mz80k_top:mz80k_top|vga:vga1|y[7] ; mz80k_top:mz80k_top|vga:vga1|y[8] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.072 ; 2.721 ; -; -1.791 ; mz80k_top:mz80k_top|vga:vga1|y[7] ; mz80k_top:mz80k_top|vga:vga1|y[7] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.072 ; 2.721 ; -; -1.791 ; mz80k_top:mz80k_top|vga:vga1|y[7] ; mz80k_top:mz80k_top|vga:vga1|y[5] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.072 ; 2.721 ; -; -1.791 ; mz80k_top:mz80k_top|vga:vga1|y[7] ; mz80k_top:mz80k_top|vga:vga1|y[2] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.072 ; 2.721 ; -; -1.791 ; mz80k_top:mz80k_top|vga:vga1|y[7] ; mz80k_top:mz80k_top|vga:vga1|y[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.072 ; 2.721 ; -; -1.791 ; mz80k_top:mz80k_top|vga:vga1|y[7] ; mz80k_top:mz80k_top|vga:vga1|y[1] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.072 ; 2.721 ; -; -1.791 ; mz80k_top:mz80k_top|vga:vga1|y[7] ; mz80k_top:mz80k_top|vga:vga1|y[3] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.072 ; 2.721 ; -; -1.791 ; mz80k_top:mz80k_top|vga:vga1|y[7] ; mz80k_top:mz80k_top|vga:vga1|y[4] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.072 ; 2.721 ; -; -1.776 ; mz80k_top:mz80k_top|vga:vga1|y[8] ; mz80k_top:mz80k_top|vga:vga1|y[6] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.072 ; 2.706 ; -; -1.776 ; mz80k_top:mz80k_top|vga:vga1|y[8] ; mz80k_top:mz80k_top|vga:vga1|y[9] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.072 ; 2.706 ; -; -1.776 ; mz80k_top:mz80k_top|vga:vga1|y[8] ; mz80k_top:mz80k_top|vga:vga1|y[8] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.072 ; 2.706 ; -; -1.776 ; mz80k_top:mz80k_top|vga:vga1|y[8] ; mz80k_top:mz80k_top|vga:vga1|y[7] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.072 ; 2.706 ; -; -1.776 ; mz80k_top:mz80k_top|vga:vga1|y[8] ; mz80k_top:mz80k_top|vga:vga1|y[5] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.072 ; 2.706 ; -; -1.776 ; mz80k_top:mz80k_top|vga:vga1|y[8] ; mz80k_top:mz80k_top|vga:vga1|y[2] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.072 ; 2.706 ; -; -1.776 ; mz80k_top:mz80k_top|vga:vga1|y[8] ; mz80k_top:mz80k_top|vga:vga1|y[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.072 ; 2.706 ; -; -1.776 ; mz80k_top:mz80k_top|vga:vga1|y[8] ; mz80k_top:mz80k_top|vga:vga1|y[1] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.072 ; 2.706 ; -; -1.776 ; mz80k_top:mz80k_top|vga:vga1|y[8] ; mz80k_top:mz80k_top|vga:vga1|y[3] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.072 ; 2.706 ; -; -1.776 ; mz80k_top:mz80k_top|vga:vga1|y[8] ; mz80k_top:mz80k_top|vga:vga1|y[4] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.072 ; 2.706 ; -; -1.615 ; mz80k_top:mz80k_top|vga:vga1|x[7] ; mz80k_top:mz80k_top|vga:vga1|y[6] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.071 ; 2.546 ; -; -1.615 ; mz80k_top:mz80k_top|vga:vga1|x[7] ; mz80k_top:mz80k_top|vga:vga1|y[9] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.071 ; 2.546 ; -; -1.615 ; mz80k_top:mz80k_top|vga:vga1|x[7] ; mz80k_top:mz80k_top|vga:vga1|y[8] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.071 ; 2.546 ; -; -1.615 ; mz80k_top:mz80k_top|vga:vga1|x[7] ; mz80k_top:mz80k_top|vga:vga1|y[7] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.071 ; 2.546 ; -; -1.615 ; mz80k_top:mz80k_top|vga:vga1|x[7] ; mz80k_top:mz80k_top|vga:vga1|y[5] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.071 ; 2.546 ; -; -1.615 ; mz80k_top:mz80k_top|vga:vga1|x[7] ; mz80k_top:mz80k_top|vga:vga1|y[2] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.071 ; 2.546 ; -; -1.615 ; mz80k_top:mz80k_top|vga:vga1|x[7] ; mz80k_top:mz80k_top|vga:vga1|y[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.071 ; 2.546 ; -; -1.615 ; mz80k_top:mz80k_top|vga:vga1|x[7] ; mz80k_top:mz80k_top|vga:vga1|y[1] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.071 ; 2.546 ; -; -1.615 ; mz80k_top:mz80k_top|vga:vga1|x[7] ; mz80k_top:mz80k_top|vga:vga1|y[3] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.071 ; 2.546 ; -; -1.615 ; mz80k_top:mz80k_top|vga:vga1|x[7] ; mz80k_top:mz80k_top|vga:vga1|y[4] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.071 ; 2.546 ; -; -1.475 ; mz80k_top:mz80k_top|vga:vga1|y[5] ; mz80k_top:mz80k_top|vga:vga1|y[6] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.072 ; 2.405 ; -; -1.475 ; mz80k_top:mz80k_top|vga:vga1|y[5] ; mz80k_top:mz80k_top|vga:vga1|y[9] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.072 ; 2.405 ; -; -1.475 ; mz80k_top:mz80k_top|vga:vga1|y[5] ; mz80k_top:mz80k_top|vga:vga1|y[8] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.072 ; 2.405 ; -; -1.475 ; mz80k_top:mz80k_top|vga:vga1|y[5] ; mz80k_top:mz80k_top|vga:vga1|y[7] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.072 ; 2.405 ; -; -1.475 ; mz80k_top:mz80k_top|vga:vga1|y[5] ; mz80k_top:mz80k_top|vga:vga1|y[5] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.072 ; 2.405 ; -; -1.475 ; mz80k_top:mz80k_top|vga:vga1|y[5] ; mz80k_top:mz80k_top|vga:vga1|y[2] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.072 ; 2.405 ; -; -1.475 ; mz80k_top:mz80k_top|vga:vga1|y[5] ; mz80k_top:mz80k_top|vga:vga1|y[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.072 ; 2.405 ; -; -1.475 ; mz80k_top:mz80k_top|vga:vga1|y[5] ; mz80k_top:mz80k_top|vga:vga1|y[1] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.072 ; 2.405 ; -; -1.475 ; mz80k_top:mz80k_top|vga:vga1|y[5] ; mz80k_top:mz80k_top|vga:vga1|y[3] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.072 ; 2.405 ; -; -1.475 ; mz80k_top:mz80k_top|vga:vga1|y[5] ; mz80k_top:mz80k_top|vga:vga1|y[4] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.072 ; 2.405 ; -; -1.457 ; mz80k_top:mz80k_top|vga:vga1|x[5] ; mz80k_top:mz80k_top|vga:vga1|y[6] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.071 ; 2.388 ; -; -1.457 ; mz80k_top:mz80k_top|vga:vga1|x[5] ; mz80k_top:mz80k_top|vga:vga1|y[9] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.071 ; 2.388 ; -; -1.457 ; mz80k_top:mz80k_top|vga:vga1|x[5] ; mz80k_top:mz80k_top|vga:vga1|y[8] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.071 ; 2.388 ; -; -1.457 ; mz80k_top:mz80k_top|vga:vga1|x[5] ; mz80k_top:mz80k_top|vga:vga1|y[7] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.071 ; 2.388 ; -; -1.457 ; mz80k_top:mz80k_top|vga:vga1|x[5] ; mz80k_top:mz80k_top|vga:vga1|y[5] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.071 ; 2.388 ; -; -1.457 ; mz80k_top:mz80k_top|vga:vga1|x[5] ; mz80k_top:mz80k_top|vga:vga1|y[2] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.071 ; 2.388 ; -; -1.457 ; mz80k_top:mz80k_top|vga:vga1|x[5] ; mz80k_top:mz80k_top|vga:vga1|y[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.071 ; 2.388 ; -; -1.457 ; mz80k_top:mz80k_top|vga:vga1|x[5] ; mz80k_top:mz80k_top|vga:vga1|y[1] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.071 ; 2.388 ; -; -1.457 ; mz80k_top:mz80k_top|vga:vga1|x[5] ; mz80k_top:mz80k_top|vga:vga1|y[3] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.071 ; 2.388 ; -; -1.457 ; mz80k_top:mz80k_top|vga:vga1|x[5] ; mz80k_top:mz80k_top|vga:vga1|y[4] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.071 ; 2.388 ; -; -1.415 ; mz80k_top:mz80k_top|vga:vga1|x[6] ; mz80k_top:mz80k_top|vga:vga1|x[9] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.071 ; 2.346 ; -; -1.415 ; mz80k_top:mz80k_top|vga:vga1|x[6] ; mz80k_top:mz80k_top|vga:vga1|x[5] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.071 ; 2.346 ; -; -1.415 ; mz80k_top:mz80k_top|vga:vga1|x[6] ; mz80k_top:mz80k_top|vga:vga1|x[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.071 ; 2.346 ; -; -1.415 ; mz80k_top:mz80k_top|vga:vga1|x[6] ; mz80k_top:mz80k_top|vga:vga1|x[1] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.071 ; 2.346 ; -; -1.415 ; mz80k_top:mz80k_top|vga:vga1|x[6] ; mz80k_top:mz80k_top|vga:vga1|x[2] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.071 ; 2.346 ; -; -1.415 ; mz80k_top:mz80k_top|vga:vga1|x[6] ; mz80k_top:mz80k_top|vga:vga1|x[3] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.071 ; 2.346 ; -; -1.415 ; mz80k_top:mz80k_top|vga:vga1|x[6] ; mz80k_top:mz80k_top|vga:vga1|x[4] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.071 ; 2.346 ; -; -1.415 ; mz80k_top:mz80k_top|vga:vga1|x[6] ; mz80k_top:mz80k_top|vga:vga1|x[7] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.071 ; 2.346 ; -; -1.415 ; mz80k_top:mz80k_top|vga:vga1|x[6] ; mz80k_top:mz80k_top|vga:vga1|x[6] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.071 ; 2.346 ; -; -1.415 ; mz80k_top:mz80k_top|vga:vga1|x[6] ; mz80k_top:mz80k_top|vga:vga1|x[8] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.071 ; 2.346 ; -; -1.384 ; mz80k_top:mz80k_top|vga:vga1|y[3] ; mz80k_top:mz80k_top|vga:vga1|y[6] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.072 ; 2.314 ; -; -1.384 ; mz80k_top:mz80k_top|vga:vga1|y[3] ; mz80k_top:mz80k_top|vga:vga1|y[9] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.072 ; 2.314 ; -; -1.384 ; mz80k_top:mz80k_top|vga:vga1|y[3] ; mz80k_top:mz80k_top|vga:vga1|y[8] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.072 ; 2.314 ; -; -1.384 ; mz80k_top:mz80k_top|vga:vga1|y[3] ; mz80k_top:mz80k_top|vga:vga1|y[7] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.072 ; 2.314 ; -; -1.384 ; mz80k_top:mz80k_top|vga:vga1|y[3] ; mz80k_top:mz80k_top|vga:vga1|y[5] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.072 ; 2.314 ; -; -1.384 ; mz80k_top:mz80k_top|vga:vga1|y[3] ; mz80k_top:mz80k_top|vga:vga1|y[2] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.072 ; 2.314 ; -; -1.384 ; mz80k_top:mz80k_top|vga:vga1|y[3] ; mz80k_top:mz80k_top|vga:vga1|y[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.072 ; 2.314 ; -; -1.384 ; mz80k_top:mz80k_top|vga:vga1|y[3] ; mz80k_top:mz80k_top|vga:vga1|y[1] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.072 ; 2.314 ; -; -1.384 ; mz80k_top:mz80k_top|vga:vga1|y[3] ; mz80k_top:mz80k_top|vga:vga1|y[3] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.072 ; 2.314 ; -; -1.384 ; mz80k_top:mz80k_top|vga:vga1|y[3] ; mz80k_top:mz80k_top|vga:vga1|y[4] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.072 ; 2.314 ; -; -1.376 ; mz80k_top:mz80k_top|vga:vga1|x[8] ; mz80k_top:mz80k_top|vga:vga1|y[6] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.071 ; 2.307 ; -; -1.376 ; mz80k_top:mz80k_top|vga:vga1|x[8] ; mz80k_top:mz80k_top|vga:vga1|y[9] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.071 ; 2.307 ; -; -1.376 ; mz80k_top:mz80k_top|vga:vga1|x[8] ; mz80k_top:mz80k_top|vga:vga1|y[8] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.071 ; 2.307 ; -; -1.376 ; mz80k_top:mz80k_top|vga:vga1|x[8] ; mz80k_top:mz80k_top|vga:vga1|y[7] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.071 ; 2.307 ; -; -1.376 ; mz80k_top:mz80k_top|vga:vga1|x[8] ; mz80k_top:mz80k_top|vga:vga1|y[5] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.071 ; 2.307 ; -; -1.376 ; mz80k_top:mz80k_top|vga:vga1|x[8] ; mz80k_top:mz80k_top|vga:vga1|y[2] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.071 ; 2.307 ; -; -1.376 ; mz80k_top:mz80k_top|vga:vga1|x[8] ; mz80k_top:mz80k_top|vga:vga1|y[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.071 ; 2.307 ; -; -1.376 ; mz80k_top:mz80k_top|vga:vga1|x[8] ; mz80k_top:mz80k_top|vga:vga1|y[1] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.071 ; 2.307 ; -; -1.376 ; mz80k_top:mz80k_top|vga:vga1|x[8] ; mz80k_top:mz80k_top|vga:vga1|y[3] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.071 ; 2.307 ; -; -1.376 ; mz80k_top:mz80k_top|vga:vga1|x[8] ; mz80k_top:mz80k_top|vga:vga1|y[4] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.071 ; 2.307 ; -+--------+-----------------------------------+-----------------------------------+-----------------------------------------+-----------------------------------------+--------------+------------+------------+ - - -+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Slow 1200mV 0C Model Hold: 'mz80k_top:mz80k_top|clk_count[2]' ; -+--------+------------------------------------------------------------------------------------------------------------------------+-------------------------------------------------------+-------------------------------------------------+----------------------------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+--------+------------------------------------------------------------------------------------------------------------------------+-------------------------------------------------------+-------------------------------------------------+----------------------------------+--------------+------------+------------+ -; -0.741 ; mz80k_top:mz80k_top|ps2:ps2_1|data[7]~reg0 ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl1 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.580 ; 3.114 ; -; -0.741 ; mz80k_top:mz80k_top|ps2:ps2_1|data[6]~reg0 ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl1 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.580 ; 3.114 ; -; -0.705 ; mz80k_top:mz80k_top|ps2:ps2_1|data[2]~reg0 ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl1 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.544 ; 3.114 ; -; -0.705 ; mz80k_top:mz80k_top|ps2:ps2_1|data[4]~reg0 ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl1 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.544 ; 3.114 ; -; -0.705 ; mz80k_top:mz80k_top|ps2:ps2_1|data[5]~reg0 ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl1 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.544 ; 3.114 ; -; -0.698 ; mz80k_top:mz80k_top|ps2:ps2_1|data[0]~reg0 ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl1 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.537 ; 3.114 ; -; -0.561 ; mz80k_top:mz80k_top|ps2:ps2_1|data[7]~reg0 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|iff2 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.572 ; 3.286 ; -; -0.561 ; mz80k_top:mz80k_top|ps2:ps2_1|data[6]~reg0 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|iff2 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.572 ; 3.286 ; -; -0.525 ; mz80k_top:mz80k_top|ps2:ps2_1|data[2]~reg0 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|iff2 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.536 ; 3.286 ; -; -0.525 ; mz80k_top:mz80k_top|ps2:ps2_1|data[4]~reg0 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|iff2 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.536 ; 3.286 ; -; -0.525 ; mz80k_top:mz80k_top|ps2:ps2_1|data[5]~reg0 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|iff2 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.536 ; 3.286 ; -; -0.518 ; mz80k_top:mz80k_top|ps2:ps2_1|data[0]~reg0 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|iff2 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.529 ; 3.286 ; -; -0.321 ; mz80k_top:mz80k_top|clk_count[24] ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl1 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.540 ; 3.494 ; -; -0.303 ; mz80k_top:mz80k_top|ps2:ps2_1|data[7]~reg0 ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl2 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.580 ; 3.552 ; -; -0.303 ; mz80k_top:mz80k_top|ps2:ps2_1|data[6]~reg0 ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl2 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.580 ; 3.552 ; -; -0.267 ; mz80k_top:mz80k_top|ps2:ps2_1|data[2]~reg0 ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl2 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.544 ; 3.552 ; -; -0.267 ; mz80k_top:mz80k_top|ps2:ps2_1|data[4]~reg0 ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl2 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.544 ; 3.552 ; -; -0.267 ; mz80k_top:mz80k_top|ps2:ps2_1|data[5]~reg0 ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl2 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.544 ; 3.552 ; -; -0.260 ; mz80k_top:mz80k_top|ps2:ps2_1|data[0]~reg0 ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl2 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.537 ; 3.552 ; -; -0.250 ; mz80k_top:mz80k_top|ps2:ps2_1|data[3]~reg0 ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl1 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.544 ; 3.569 ; -; -0.220 ; mz80k_top:mz80k_top|ps2:ps2_1|data[1]~reg0 ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl1 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.544 ; 3.599 ; -; -0.176 ; mz80k_top:mz80k_top|ps2:ps2_1|data[7]~reg0 ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl0 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.580 ; 3.679 ; -; -0.176 ; mz80k_top:mz80k_top|ps2:ps2_1|data[6]~reg0 ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl0 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.580 ; 3.679 ; -; -0.141 ; mz80k_top:mz80k_top|clk_count[24] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|iff2 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.532 ; 3.666 ; -; -0.140 ; mz80k_top:mz80k_top|ps2:ps2_1|data[2]~reg0 ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl0 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.544 ; 3.679 ; -; -0.140 ; mz80k_top:mz80k_top|ps2:ps2_1|data[4]~reg0 ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl0 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.544 ; 3.679 ; -; -0.140 ; mz80k_top:mz80k_top|ps2:ps2_1|data[5]~reg0 ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl0 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.544 ; 3.679 ; -; -0.135 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[8] ; mz80k_top:mz80k_top|i8253:i8253_1|data[0] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.658 ; 1.738 ; -; -0.133 ; mz80k_top:mz80k_top|ps2:ps2_1|data[0]~reg0 ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl0 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.537 ; 3.679 ; -; -0.087 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[13] ; mz80k_top:mz80k_top|i8253:i8253_1|data[5] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.659 ; 1.787 ; -; -0.070 ; mz80k_top:mz80k_top|ps2:ps2_1|data[3]~reg0 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|iff2 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.536 ; 3.741 ; -; -0.040 ; mz80k_top:mz80k_top|ps2:ps2_1|data[1]~reg0 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|iff2 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.536 ; 3.771 ; -; 0.037 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[14] ; mz80k_top:mz80k_top|i8253:i8253_1|data[6] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.662 ; 1.914 ; -; 0.040 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[9] ; mz80k_top:mz80k_top|i8253:i8253_1|data[1] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.658 ; 1.913 ; -; 0.041 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[5] ; mz80k_top:mz80k_top|i8253:i8253_1|data[5] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.659 ; 1.915 ; -; 0.060 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[15] ; mz80k_top:mz80k_top|i8253:i8253_1|data[7] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.662 ; 1.937 ; -; 0.083 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[12] ; mz80k_top:mz80k_top|i8253:i8253_1|data[4] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.659 ; 1.957 ; -; 0.085 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[10] ; mz80k_top:mz80k_top|i8253:i8253_1|data[2] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.658 ; 1.958 ; -; 0.101 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[0] ; mz80k_top:mz80k_top|i8253:i8253_1|data[0] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.658 ; 1.974 ; -; 0.112 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[3] ; mz80k_top:mz80k_top|i8253:i8253_1|data[3] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.658 ; 1.985 ; -; 0.117 ; mz80k_top:mz80k_top|ps2:ps2_1|data[7]~reg0 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.570 ; 3.962 ; -; 0.117 ; mz80k_top:mz80k_top|clk_count[24] ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl2 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.540 ; 3.932 ; -; 0.117 ; mz80k_top:mz80k_top|ps2:ps2_1|data[6]~reg0 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.570 ; 3.962 ; -; 0.131 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[6] ; mz80k_top:mz80k_top|i8253:i8253_1|data[6] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.662 ; 2.008 ; -; 0.147 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[1] ; mz80k_top:mz80k_top|i8253:i8253_1|data[1] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.658 ; 2.020 ; -; 0.147 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[11] ; mz80k_top:mz80k_top|i8253:i8253_1|data[3] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.658 ; 2.020 ; -; 0.153 ; mz80k_top:mz80k_top|ps2:ps2_1|data[2]~reg0 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.534 ; 3.962 ; -; 0.153 ; mz80k_top:mz80k_top|ps2:ps2_1|data[4]~reg0 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.534 ; 3.962 ; -; 0.153 ; mz80k_top:mz80k_top|ps2:ps2_1|data[5]~reg0 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.534 ; 3.962 ; -; 0.160 ; mz80k_top:mz80k_top|ps2:ps2_1|data[0]~reg0 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.527 ; 3.962 ; -; 0.168 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[4] ; mz80k_top:mz80k_top|i8253:i8253_1|data[4] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.659 ; 2.042 ; -; 0.188 ; mz80k_top:mz80k_top|ps2:ps2_1|data[3]~reg0 ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl2 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.544 ; 4.007 ; -; 0.200 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[7] ; mz80k_top:mz80k_top|i8253:i8253_1|data[7] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.662 ; 2.077 ; -; 0.208 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[9] ; mz80k_top:mz80k_top|i8253:i8253_1|data[1] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.865 ; 2.288 ; -; 0.218 ; mz80k_top:mz80k_top|ps2:ps2_1|data[1]~reg0 ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl2 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.544 ; 4.037 ; -; 0.233 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[12] ; mz80k_top:mz80k_top|i8253:i8253_1|data[4] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.866 ; 2.314 ; -; 0.233 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[2] ; mz80k_top:mz80k_top|i8253:i8253_1|data[2] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.658 ; 2.106 ; -; 0.240 ; mz80k_top:mz80k_top|ps2:ps2_1|data[7]~reg0 ; mz80k_top:mz80k_top|fz80:z80|sel_af ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.566 ; 4.081 ; -; 0.240 ; mz80k_top:mz80k_top|ps2:ps2_1|data[6]~reg0 ; mz80k_top:mz80k_top|fz80:z80|sel_af ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.566 ; 4.081 ; -; 0.244 ; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|q_a[5] ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl1 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.677 ; 4.196 ; -; 0.244 ; mz80k_top:mz80k_top|clk_count[24] ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl0 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.540 ; 4.059 ; -; 0.250 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[4] ; mz80k_top:mz80k_top|i8253:i8253_1|data[4] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.866 ; 2.331 ; -; 0.266 ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|out_address_reg_a[1] ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl1 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.542 ; 4.083 ; -; 0.268 ; mz80k_top:mz80k_top|ps2:ps2_1|data[7]~reg0 ; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrh|q[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.584 ; 4.127 ; -; 0.268 ; mz80k_top:mz80k_top|ps2:ps2_1|data[6]~reg0 ; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrh|q[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.584 ; 4.127 ; -; 0.269 ; mz80k_top:mz80k_top|ps2:ps2_1|data[7]~reg0 ; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrh|q[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.584 ; 4.128 ; -; 0.269 ; mz80k_top:mz80k_top|ps2:ps2_1|data[6]~reg0 ; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrh|q[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.584 ; 4.128 ; -; 0.272 ; mz80k_top:mz80k_top|ps2:ps2_1|data[7]~reg0 ; mz80k_top:mz80k_top|fz80:z80|reg_f:reg_f|q1[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.580 ; 4.127 ; -; 0.272 ; mz80k_top:mz80k_top|ps2:ps2_1|data[7]~reg0 ; mz80k_top:mz80k_top|fz80:z80|reg_f:reg_f|q0[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.580 ; 4.127 ; -; 0.272 ; mz80k_top:mz80k_top|ps2:ps2_1|data[6]~reg0 ; mz80k_top:mz80k_top|fz80:z80|reg_f:reg_f|q1[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.580 ; 4.127 ; -; 0.272 ; mz80k_top:mz80k_top|ps2:ps2_1|data[6]~reg0 ; mz80k_top:mz80k_top|fz80:z80|reg_f:reg_f|q0[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.580 ; 4.127 ; -; 0.276 ; mz80k_top:mz80k_top|ps2:ps2_1|data[2]~reg0 ; mz80k_top:mz80k_top|fz80:z80|sel_af ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.530 ; 4.081 ; -; 0.276 ; mz80k_top:mz80k_top|ps2:ps2_1|data[4]~reg0 ; mz80k_top:mz80k_top|fz80:z80|sel_af ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.530 ; 4.081 ; -; 0.276 ; mz80k_top:mz80k_top|ps2:ps2_1|data[5]~reg0 ; mz80k_top:mz80k_top|fz80:z80|sel_af ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.530 ; 4.081 ; -; 0.278 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[0] ; mz80k_top:mz80k_top|i8253:i8253_1|data[0] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.865 ; 2.358 ; -; 0.279 ; mz80k_top:mz80k_top|ps2:ps2_1|data[7]~reg0 ; mz80k_top:mz80k_top|fz80:z80|reg_simple:reg_data|q[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.571 ; 4.125 ; -; 0.279 ; mz80k_top:mz80k_top|ps2:ps2_1|data[6]~reg0 ; mz80k_top:mz80k_top|fz80:z80|reg_simple:reg_data|q[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.571 ; 4.125 ; -; 0.283 ; mz80k_top:mz80k_top|ps2:ps2_1|data[0]~reg0 ; mz80k_top:mz80k_top|fz80:z80|sel_af ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.523 ; 4.081 ; -; 0.287 ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|out_address_reg_a[0] ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl1 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.542 ; 4.104 ; -; 0.290 ; mz80k_top:mz80k_top|ps2:ps2_1|data[7]~reg0 ; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrh|q[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.584 ; 4.149 ; -; 0.290 ; mz80k_top:mz80k_top|ps2:ps2_1|data[6]~reg0 ; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrh|q[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.584 ; 4.149 ; -; 0.293 ; mz80k_top:mz80k_top|clk_count[19] ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl1 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.540 ; 4.108 ; -; 0.301 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[5] ; mz80k_top:mz80k_top|i8253:i8253_1|data[5] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.866 ; 2.382 ; -; 0.304 ; mz80k_top:mz80k_top|ps2:ps2_1|data[2]~reg0 ; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrh|q[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.548 ; 4.127 ; -; 0.304 ; mz80k_top:mz80k_top|ps2:ps2_1|data[4]~reg0 ; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrh|q[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.548 ; 4.127 ; -; 0.304 ; mz80k_top:mz80k_top|ps2:ps2_1|data[5]~reg0 ; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrh|q[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.548 ; 4.127 ; -; 0.305 ; mz80k_top:mz80k_top|ps2:ps2_1|data[2]~reg0 ; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrh|q[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.548 ; 4.128 ; -; 0.305 ; mz80k_top:mz80k_top|ps2:ps2_1|data[4]~reg0 ; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrh|q[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.548 ; 4.128 ; -; 0.305 ; mz80k_top:mz80k_top|ps2:ps2_1|data[5]~reg0 ; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrh|q[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.548 ; 4.128 ; -; 0.306 ; mz80k_top:mz80k_top|ps2:ps2_1|data[7]~reg0 ; mz80k_top:mz80k_top|i8253:i8253_1|read_hl0 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.577 ; 4.158 ; -; 0.306 ; mz80k_top:mz80k_top|ps2:ps2_1|data[6]~reg0 ; mz80k_top:mz80k_top|i8253:i8253_1|read_hl0 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.577 ; 4.158 ; -; 0.308 ; mz80k_top:mz80k_top|ps2:ps2_1|data[2]~reg0 ; mz80k_top:mz80k_top|fz80:z80|reg_f:reg_f|q1[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.544 ; 4.127 ; -; 0.308 ; mz80k_top:mz80k_top|ps2:ps2_1|data[4]~reg0 ; mz80k_top:mz80k_top|fz80:z80|reg_f:reg_f|q1[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.544 ; 4.127 ; -; 0.308 ; mz80k_top:mz80k_top|ps2:ps2_1|data[5]~reg0 ; mz80k_top:mz80k_top|fz80:z80|reg_f:reg_f|q1[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.544 ; 4.127 ; -; 0.308 ; mz80k_top:mz80k_top|ps2:ps2_1|data[2]~reg0 ; mz80k_top:mz80k_top|fz80:z80|reg_f:reg_f|q0[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.544 ; 4.127 ; -; 0.308 ; mz80k_top:mz80k_top|ps2:ps2_1|data[4]~reg0 ; mz80k_top:mz80k_top|fz80:z80|reg_f:reg_f|q0[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.544 ; 4.127 ; -; 0.308 ; mz80k_top:mz80k_top|ps2:ps2_1|data[5]~reg0 ; mz80k_top:mz80k_top|fz80:z80|reg_f:reg_f|q0[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.544 ; 4.127 ; -; 0.311 ; mz80k_top:mz80k_top|ps2:ps2_1|data[0]~reg0 ; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrh|q[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.541 ; 4.127 ; -; 0.312 ; mz80k_top:mz80k_top|ps2:ps2_1|data[0]~reg0 ; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrh|q[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.541 ; 4.128 ; -; 0.315 ; mz80k_top:mz80k_top|ps2:ps2_1|data[3]~reg0 ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl0 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.544 ; 4.134 ; -+--------+------------------------------------------------------------------------------------------------------------------------+-------------------------------------------------------+-------------------------------------------------+----------------------------------+--------------+------------+------------+ - - -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Slow 1200mV 0C Model Hold: 'pll|altpll_component|auto_generated|pll1|clk[0]' ; -+--------+---------------------------------------------------------------------+---------------------------------------------------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+--------+---------------------------------------------------------------------+---------------------------------------------------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+ -; -0.535 ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.669 ; 0.669 ; -; -0.520 ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.669 ; 0.684 ; -; -0.520 ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.654 ; 0.669 ; -; -0.511 ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.669 ; 0.693 ; -; -0.499 ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.669 ; 0.705 ; -; -0.496 ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.654 ; 0.693 ; -; -0.231 ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.655 ; 0.959 ; -; -0.187 ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.655 ; 1.003 ; -; 0.091 ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[3] ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.655 ; 1.281 ; -; 0.173 ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[3] ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.655 ; 1.363 ; -; 0.188 ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[4] ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.655 ; 1.378 ; -; 0.198 ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[4] ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.655 ; 1.388 ; -; 0.213 ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[5] ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.655 ; 1.403 ; -; 0.295 ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[5] ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.655 ; 1.485 ; -; 0.310 ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[6] ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.655 ; 1.500 ; -; 0.320 ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[6] ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.655 ; 1.510 ; -; 0.335 ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[7] ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.655 ; 1.525 ; -; 0.386 ; mist_io:mist_io|ps2_kbd_tx_byte[7] ; mist_io:mist_io|ps2_kbd_tx_byte[7] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.088 ; 0.669 ; -; 0.389 ; video_mixer:video_mixer|osd:osd|pixsz[0] ; video_mixer:video_mixer|osd:osd|pixsz[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.085 ; 0.669 ; -; 0.402 ; video_mixer:video_mixer|scanline ; video_mixer:video_mixer|scanline ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.072 ; 0.669 ; -; 0.402 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl1[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl1[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.072 ; 0.669 ; -; 0.402 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl3[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl3[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.072 ; 0.669 ; -; 0.402 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl4[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl4[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.072 ; 0.669 ; -; 0.402 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl0[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl0[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.072 ; 0.669 ; -; 0.402 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.072 ; 0.669 ; -; 0.402 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tble[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tble[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.072 ; 0.669 ; -; 0.402 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl8[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl8[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.072 ; 0.669 ; -; 0.402 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tblc[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tblc[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.072 ; 0.669 ; -; 0.402 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl8[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl8[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.072 ; 0.669 ; -; 0.402 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tblc[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tblc[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.072 ; 0.669 ; -; 0.402 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.072 ; 0.669 ; -; 0.402 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl1[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl1[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.072 ; 0.669 ; -; 0.402 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl0[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl0[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.072 ; 0.669 ; -; 0.402 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl9[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl9[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.072 ; 0.669 ; -; 0.402 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.072 ; 0.669 ; -; 0.402 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl4[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl4[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.072 ; 0.669 ; -; 0.402 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl7[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl7[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.072 ; 0.669 ; -; 0.402 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl9[1] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl9[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.072 ; 0.669 ; -; 0.402 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl3[1] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl3[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.072 ; 0.669 ; -; 0.402 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl0[1] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl0[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.072 ; 0.669 ; -; 0.402 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl1[1] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl1[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.072 ; 0.669 ; -; 0.402 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[1] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.072 ; 0.669 ; -; 0.402 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tblc[1] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tblc[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.072 ; 0.669 ; -; 0.402 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl8[1] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl8[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.072 ; 0.669 ; -; 0.402 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl7[1] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl7[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.072 ; 0.669 ; -; 0.402 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[1] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.072 ; 0.669 ; -; 0.402 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[1] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.072 ; 0.669 ; -; 0.402 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbld[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbld[7] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.072 ; 0.669 ; -; 0.402 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tblc[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tblc[7] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.072 ; 0.669 ; -; 0.402 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl0[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl0[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.072 ; 0.669 ; -; 0.402 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl4[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl4[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.072 ; 0.669 ; -; 0.402 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.072 ; 0.669 ; -; 0.402 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.072 ; 0.669 ; -; 0.402 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl3[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl3[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.072 ; 0.669 ; -; 0.402 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl7[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl7[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.072 ; 0.669 ; -; 0.402 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tblc[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tblc[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.072 ; 0.669 ; -; 0.402 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl9[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl9[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.072 ; 0.669 ; -; 0.402 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tblc[4] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tblc[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.072 ; 0.669 ; -; 0.402 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl3[4] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl3[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.072 ; 0.669 ; -; 0.402 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[4] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.072 ; 0.669 ; -; 0.402 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[4] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.072 ; 0.669 ; -; 0.402 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl4[4] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl4[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.072 ; 0.669 ; -; 0.402 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[4] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.072 ; 0.669 ; -; 0.402 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl1[4] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl1[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.072 ; 0.669 ; -; 0.402 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl8[5] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl8[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.072 ; 0.669 ; -; 0.402 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[5] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.072 ; 0.669 ; -; 0.402 ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[0] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.072 ; 0.669 ; -; 0.402 ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[10] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[10] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.072 ; 0.669 ; -; 0.402 ; mist_io:mist_io|ps2_kbd_tx_state[3] ; mist_io:mist_io|ps2_kbd_tx_state[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.072 ; 0.669 ; -; 0.402 ; mist_io:mist_io|ps2_kbd_tx_state[1] ; mist_io:mist_io|ps2_kbd_tx_state[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.072 ; 0.669 ; -; 0.402 ; mist_io:mist_io|ps2_kbd_tx_state[2] ; mist_io:mist_io|ps2_kbd_tx_state[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.072 ; 0.669 ; -; 0.402 ; mist_io:mist_io|ps2_kbd_rptr[1] ; mist_io:mist_io|ps2_kbd_rptr[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.072 ; 0.669 ; -; 0.402 ; mist_io:mist_io|ps2_kbd_rptr[0] ; mist_io:mist_io|ps2_kbd_rptr[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.072 ; 0.669 ; -; 0.403 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl7[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl7[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 0.669 ; -; 0.403 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 0.669 ; -; 0.403 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 0.669 ; -; 0.403 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl3[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl3[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 0.669 ; -; 0.403 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 0.669 ; -; 0.403 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl4[1] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl4[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 0.669 ; -; 0.403 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl1[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl1[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 0.669 ; -; 0.403 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 0.669 ; -; 0.403 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl8[4] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl8[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 0.669 ; -; 0.403 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl0[4] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl0[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 0.669 ; -; 0.403 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[5] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 0.669 ; -; 0.403 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[5] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 0.669 ; -; 0.403 ; mist_io:mist_io|ps2_kbd_data ; mist_io:mist_io|ps2_kbd_data ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 0.669 ; -; 0.403 ; mist_io:mist_io|ps2_kbd_parity ; mist_io:mist_io|ps2_kbd_parity ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 0.669 ; -; 0.403 ; mist_io:mist_io|ps2_kbd_rptr[2] ; mist_io:mist_io|ps2_kbd_rptr[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 0.669 ; -; 0.405 ; mist_io:mist_io|clk_ps2 ; mist_io:mist_io|clk_ps2 ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.069 ; 0.669 ; -; 0.417 ; mist_io:mist_io|ps2_kbd_tx_state[0] ; mist_io:mist_io|ps2_kbd_tx_state[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.072 ; 0.684 ; -; 0.417 ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[7] ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.655 ; 1.607 ; -; 0.418 ; mz80k_top:mz80k_top|clk_count[0] ; mz80k_top:mz80k_top|clk_count[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 0.684 ; -; 0.432 ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[8] ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.655 ; 1.622 ; -; 0.442 ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[8] ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.655 ; 1.632 ; -; 0.450 ; video_mixer:video_mixer|old_hs ; video_mixer:video_mixer|scanline ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.072 ; 0.717 ; -; 0.457 ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[9] ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.655 ; 1.647 ; -; 0.472 ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[9] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[8] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.072 ; 0.739 ; -; 0.476 ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[0] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[10] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.072 ; 0.743 ; -; 0.478 ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[7] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[6] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.072 ; 0.745 ; -; 0.479 ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[5] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.072 ; 0.746 ; -+--------+---------------------------------------------------------------------+---------------------------------------------------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+ - - -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Slow 1200mV 0C Model Hold: 'mz80k_top:mz80k_top|CLK_31250' ; -+-------+----------------------------------------------+----------------------------------------------+-------------------------------------------+-------------------------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+-------+----------------------------------------------+----------------------------------------------+-------------------------------------------+-------------------------------+--------------+------------+------------+ -; 0.024 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 2.459 ; 2.938 ; -; 0.328 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|CLK_31250 ; -0.500 ; 2.459 ; 2.742 ; -; 0.710 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[3] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.070 ; 0.975 ; -; 0.712 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[10] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[10] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.070 ; 0.977 ; -; 0.712 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[1] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.070 ; 0.977 ; -; 0.715 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[9] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[9] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.070 ; 0.980 ; -; 0.730 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[6] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.070 ; 0.995 ; -; 0.732 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[11] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[11] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.070 ; 0.997 ; -; 0.732 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[13] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[13] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.070 ; 0.997 ; -; 0.732 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[14] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[14] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.070 ; 0.997 ; -; 0.732 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[2] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.070 ; 0.997 ; -; 0.732 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[5] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.070 ; 0.997 ; -; 0.733 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[8] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.070 ; 0.998 ; -; 0.733 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[12] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[12] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.070 ; 0.998 ; -; 0.733 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[15] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[15] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.070 ; 0.998 ; -; 0.733 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[4] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.070 ; 0.998 ; -; 0.736 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[7] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[7] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.070 ; 1.001 ; -; 0.736 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[0] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.070 ; 1.001 ; -; 1.028 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[1] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.070 ; 1.293 ; -; 1.030 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[4] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.070 ; 1.295 ; -; 1.032 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[10] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[11] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.070 ; 1.297 ; -; 1.033 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[2] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.070 ; 1.298 ; -; 1.036 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[9] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[10] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.070 ; 1.301 ; -; 1.046 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[2] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.070 ; 1.311 ; -; 1.049 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[10] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[12] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.070 ; 1.314 ; -; 1.051 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[7] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.070 ; 1.316 ; -; 1.052 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[3] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.070 ; 1.317 ; -; 1.052 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[6] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.070 ; 1.317 ; -; 1.052 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[13] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[14] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.070 ; 1.317 ; -; 1.052 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[11] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[12] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.070 ; 1.317 ; -; 1.053 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[12] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[13] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.070 ; 1.318 ; -; 1.053 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[5] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.070 ; 1.318 ; -; 1.053 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[14] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[15] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.070 ; 1.318 ; -; 1.054 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[9] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.070 ; 1.319 ; -; 1.057 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[7] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[8] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.070 ; 1.322 ; -; 1.066 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[8] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.070 ; 1.331 ; -; 1.069 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[4] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.070 ; 1.334 ; -; 1.070 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[10] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.070 ; 1.335 ; -; 1.070 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[6] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.070 ; 1.335 ; -; 1.070 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[12] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[14] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.070 ; 1.335 ; -; 1.122 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[5] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.070 ; 1.387 ; -; 1.127 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[3] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.070 ; 1.392 ; -; 1.130 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[9] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[11] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.070 ; 1.395 ; -; 1.145 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[13] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[15] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.070 ; 1.410 ; -; 1.146 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[11] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[13] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.070 ; 1.411 ; -; 1.146 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[7] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.070 ; 1.411 ; -; 1.150 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[3] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.070 ; 1.415 ; -; 1.152 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[7] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[9] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.070 ; 1.417 ; -; 1.152 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[6] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.070 ; 1.417 ; -; 1.154 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[10] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[13] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.070 ; 1.419 ; -; 1.155 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[4] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.070 ; 1.420 ; -; 1.158 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[9] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[12] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.070 ; 1.423 ; -; 1.168 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[4] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.070 ; 1.433 ; -; 1.171 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[10] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[14] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.070 ; 1.436 ; -; 1.173 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[9] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.070 ; 1.438 ; -; 1.174 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[8] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.070 ; 1.439 ; -; 1.174 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[5] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.070 ; 1.439 ; -; 1.174 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[11] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[14] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.070 ; 1.439 ; -; 1.175 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[12] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[15] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.070 ; 1.440 ; -; 1.175 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[7] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.070 ; 1.440 ; -; 1.176 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[11] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.070 ; 1.441 ; -; 1.179 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[7] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[10] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.070 ; 1.444 ; -; 1.188 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[10] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.070 ; 1.453 ; -; 1.191 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[6] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.070 ; 1.456 ; -; 1.192 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[12] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.070 ; 1.457 ; -; 1.192 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[8] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.070 ; 1.457 ; -; 1.244 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[7] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.070 ; 1.509 ; -; 1.249 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[5] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.070 ; 1.514 ; -; 1.252 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[9] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[13] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.070 ; 1.517 ; -; 1.268 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[11] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[15] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.070 ; 1.533 ; -; 1.268 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[9] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.070 ; 1.533 ; -; 1.272 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[5] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.070 ; 1.537 ; -; 1.274 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[7] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[11] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.070 ; 1.539 ; -; 1.274 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[8] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.070 ; 1.539 ; -; 1.276 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[10] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[15] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.070 ; 1.541 ; -; 1.277 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[6] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.070 ; 1.542 ; -; 1.280 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[9] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[14] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.070 ; 1.545 ; -; 1.290 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[6] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.070 ; 1.555 ; -; 1.295 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[11] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.070 ; 1.560 ; -; 1.296 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[10] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.070 ; 1.561 ; -; 1.296 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[7] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.070 ; 1.561 ; -; 1.297 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[9] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.070 ; 1.562 ; -; 1.298 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[13] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.070 ; 1.563 ; -; 1.301 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[7] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[12] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.070 ; 1.566 ; -; 1.310 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[12] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.070 ; 1.575 ; -; 1.313 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[8] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.070 ; 1.578 ; -; 1.314 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[14] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.070 ; 1.579 ; -; 1.314 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[10] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.070 ; 1.579 ; -; 1.366 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[9] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.070 ; 1.631 ; -; 1.371 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[7] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.070 ; 1.636 ; -; 1.374 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[9] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[15] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.070 ; 1.639 ; -; 1.390 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[11] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.070 ; 1.655 ; -; 1.394 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[7] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.070 ; 1.659 ; -; 1.396 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[7] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[13] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.070 ; 1.661 ; -; 1.396 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[10] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.070 ; 1.661 ; -; 1.399 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[8] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.070 ; 1.664 ; -; 1.412 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[8] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.070 ; 1.677 ; -; 1.417 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[13] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.070 ; 1.682 ; -; 1.418 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[12] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.070 ; 1.683 ; -; 1.418 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[9] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.070 ; 1.683 ; -+-------+----------------------------------------------+----------------------------------------------+-------------------------------------------+-------------------------------+--------------+------------+------------+ - - -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Slow 1200mV 0C Model Hold: 'SPI_SCK' ; -+-------+--------------------------------------------+----------------------------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+-------+--------------------------------------------+----------------------------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ -; 0.402 ; mist_io:mist_io|ps2_kbd_wptr[2] ; mist_io:mist_io|ps2_kbd_wptr[2] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.072 ; 0.669 ; -; 0.402 ; mist_io:mist_io|ps2_kbd_wptr[1] ; mist_io:mist_io|ps2_kbd_wptr[1] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.072 ; 0.669 ; -; 0.402 ; mist_io:mist_io|ps2_kbd_wptr[0] ; mist_io:mist_io|ps2_kbd_wptr[0] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.072 ; 0.669 ; -; 0.402 ; mist_io:mist_io|bit_cnt[2] ; mist_io:mist_io|bit_cnt[2] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.072 ; 0.669 ; -; 0.402 ; mist_io:mist_io|bit_cnt[1] ; mist_io:mist_io|bit_cnt[1] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.072 ; 0.669 ; -; 0.403 ; video_mixer:video_mixer|osd:osd|osd_enable ; video_mixer:video_mixer|osd:osd|osd_enable ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.071 ; 0.669 ; -; 0.403 ; mist_io:mist_io|status[0] ; mist_io:mist_io|status[0] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.071 ; 0.669 ; -; 0.417 ; mist_io:mist_io|bit_cnt[0] ; mist_io:mist_io|bit_cnt[0] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.072 ; 0.684 ; -; 0.421 ; video_mixer:video_mixer|osd:osd|bcnt[10] ; video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated|ram_block1a4~porta_address_reg0 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.423 ; 1.074 ; -; 0.425 ; video_mixer:video_mixer|osd:osd|bcnt[3] ; video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated|ram_block1a4~porta_address_reg0 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.423 ; 1.078 ; -; 0.425 ; video_mixer:video_mixer|osd:osd|sbuf[0] ; video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated|ram_block1a0~porta_datain_reg0 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.426 ; 1.081 ; -; 0.426 ; video_mixer:video_mixer|osd:osd|bcnt[2] ; video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated|ram_block1a0~porta_address_reg0 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.420 ; 1.076 ; -; 0.426 ; video_mixer:video_mixer|osd:osd|bcnt[8] ; video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated|ram_block1a0~porta_address_reg0 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.420 ; 1.076 ; -; 0.427 ; video_mixer:video_mixer|osd:osd|sbuf[1] ; video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated|ram_block1a0~porta_datain_reg0 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.426 ; 1.083 ; -; 0.432 ; video_mixer:video_mixer|osd:osd|bcnt[7] ; video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated|ram_block1a0~porta_address_reg0 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.420 ; 1.082 ; -; 0.441 ; video_mixer:video_mixer|osd:osd|bcnt[1] ; video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated|ram_block1a0~porta_address_reg0 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.420 ; 1.091 ; -; 0.442 ; video_mixer:video_mixer|osd:osd|bcnt[6] ; video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated|ram_block1a4~porta_address_reg0 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.423 ; 1.095 ; -; 0.452 ; video_mixer:video_mixer|osd:osd|bcnt[0] ; video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated|ram_block1a0~porta_address_reg0 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.420 ; 1.102 ; -; 0.455 ; video_mixer:video_mixer|osd:osd|bcnt[5] ; video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated|ram_block1a0~porta_address_reg0 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.420 ; 1.105 ; -; 0.459 ; video_mixer:video_mixer|osd:osd|bcnt[10] ; video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated|ram_block1a0~porta_address_reg0 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.420 ; 1.109 ; -; 0.490 ; video_mixer:video_mixer|osd:osd|sbuf[5] ; video_mixer:video_mixer|osd:osd|sbuf[6] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.089 ; 0.774 ; -; 0.491 ; mist_io:mist_io|bit_cnt[0] ; mist_io:mist_io|bit_cnt[2] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.072 ; 0.758 ; -; 0.493 ; mist_io:mist_io|sbuf[4] ; mist_io:mist_io|sbuf[5] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.071 ; 0.759 ; -; 0.493 ; mist_io:mist_io|sbuf[0] ; mist_io:mist_io|sbuf[1] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.071 ; 0.759 ; -; 0.494 ; mist_io:mist_io|bit_cnt[0] ; mist_io:mist_io|bit_cnt[1] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.072 ; 0.761 ; -; 0.529 ; video_mixer:video_mixer|osd:osd|sbuf[1] ; video_mixer:video_mixer|osd:osd|sbuf[2] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.504 ; 1.228 ; -; 0.615 ; video_mixer:video_mixer|osd:osd|sbuf[2] ; video_mixer:video_mixer|osd:osd|sbuf[3] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.089 ; 0.899 ; -; 0.663 ; video_mixer:video_mixer|osd:osd|bcnt[5] ; video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated|ram_block1a4~porta_address_reg0 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.423 ; 1.316 ; -; 0.668 ; video_mixer:video_mixer|osd:osd|sbuf[3] ; video_mixer:video_mixer|osd:osd|sbuf[4] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.089 ; 0.952 ; -; 0.668 ; mist_io:mist_io|sbuf[1] ; mist_io:mist_io|sbuf[2] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.071 ; 0.934 ; -; 0.669 ; video_mixer:video_mixer|osd:osd|sbuf[1] ; video_mixer:video_mixer|osd:osd|bcnt[10] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.072 ; 0.936 ; -; 0.669 ; mist_io:mist_io|sbuf[2] ; mist_io:mist_io|status[3] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.071 ; 0.935 ; -; 0.669 ; mist_io:mist_io|sbuf[5] ; mist_io:mist_io|sbuf[6] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.071 ; 0.935 ; -; 0.689 ; video_mixer:video_mixer|osd:osd|bcnt[1] ; video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated|ram_block1a4~porta_address_reg0 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.423 ; 1.342 ; -; 0.692 ; mist_io:mist_io|sbuf[3] ; mist_io:mist_io|sbuf[4] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.071 ; 0.958 ; -; 0.694 ; mist_io:mist_io|sbuf[6] ; mist_io:mist_io|ps2_kbd_fifo~31 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.502 ; 1.391 ; -; 0.696 ; video_mixer:video_mixer|osd:osd|bcnt[8] ; video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated|ram_block1a4~porta_address_reg0 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.423 ; 1.349 ; -; 0.704 ; mist_io:mist_io|sbuf[2] ; mist_io:mist_io|ps2_kbd_fifo~27 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.499 ; 1.398 ; -; 0.707 ; video_mixer:video_mixer|osd:osd|bcnt[1] ; video_mixer:video_mixer|osd:osd|bcnt[1] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.072 ; 0.974 ; -; 0.708 ; video_mixer:video_mixer|osd:osd|bcnt[9] ; video_mixer:video_mixer|osd:osd|bcnt[9] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.072 ; 0.975 ; -; 0.708 ; video_mixer:video_mixer|osd:osd|bcnt[8] ; video_mixer:video_mixer|osd:osd|bcnt[8] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.072 ; 0.975 ; -; 0.709 ; video_mixer:video_mixer|osd:osd|bcnt[10] ; video_mixer:video_mixer|osd:osd|bcnt[10] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.072 ; 0.976 ; -; 0.709 ; video_mixer:video_mixer|osd:osd|bcnt[7] ; video_mixer:video_mixer|osd:osd|bcnt[7] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.072 ; 0.976 ; -; 0.709 ; video_mixer:video_mixer|osd:osd|bcnt[6] ; video_mixer:video_mixer|osd:osd|bcnt[6] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.072 ; 0.976 ; -; 0.710 ; video_mixer:video_mixer|osd:osd|bcnt[5] ; video_mixer:video_mixer|osd:osd|bcnt[5] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.072 ; 0.977 ; -; 0.710 ; video_mixer:video_mixer|osd:osd|bcnt[3] ; video_mixer:video_mixer|osd:osd|bcnt[3] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.072 ; 0.977 ; -; 0.711 ; mist_io:mist_io|byte_cnt[6] ; mist_io:mist_io|byte_cnt[6] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.071 ; 0.977 ; -; 0.713 ; video_mixer:video_mixer|osd:osd|bcnt[4] ; video_mixer:video_mixer|osd:osd|bcnt[4] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.072 ; 0.980 ; -; 0.713 ; video_mixer:video_mixer|osd:osd|bcnt[2] ; video_mixer:video_mixer|osd:osd|bcnt[2] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.072 ; 0.980 ; -; 0.716 ; video_mixer:video_mixer|osd:osd|cnt[3] ; video_mixer:video_mixer|osd:osd|cnt[3] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.071 ; 0.982 ; -; 0.717 ; mist_io:mist_io|ps2_kbd_wptr[1] ; mist_io:mist_io|ps2_kbd_wptr[2] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.072 ; 0.984 ; -; 0.720 ; video_mixer:video_mixer|osd:osd|bcnt[2] ; video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated|ram_block1a4~porta_address_reg0 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.423 ; 1.373 ; -; 0.726 ; video_mixer:video_mixer|osd:osd|bcnt[9] ; video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated|ram_block1a4~porta_address_reg0 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.423 ; 1.379 ; -; 0.726 ; mist_io:mist_io|bit_cnt[1] ; mist_io:mist_io|bit_cnt[2] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.072 ; 0.993 ; -; 0.727 ; mist_io:mist_io|ps2_kbd_wptr[0] ; mist_io:mist_io|ps2_kbd_wptr[1] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.072 ; 0.994 ; -; 0.736 ; video_mixer:video_mixer|osd:osd|bcnt[3] ; video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated|ram_block1a0~porta_address_reg0 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.420 ; 1.386 ; -; 0.747 ; video_mixer:video_mixer|osd:osd|bcnt[4] ; video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated|ram_block1a4~porta_address_reg0 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.423 ; 1.400 ; -; 0.751 ; video_mixer:video_mixer|osd:osd|bcnt[0] ; video_mixer:video_mixer|osd:osd|bcnt[0] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.072 ; 1.018 ; -; 0.753 ; mist_io:mist_io|sbuf[5] ; mist_io:mist_io|ps2_kbd_fifo~30 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.502 ; 1.450 ; -; 0.768 ; mist_io:mist_io|byte_cnt[4] ; mist_io:mist_io|byte_cnt[4] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.071 ; 1.034 ; -; 0.769 ; mist_io:mist_io|sbuf[0] ; mist_io:mist_io|ps2_kbd_fifo~25 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.499 ; 1.463 ; -; 0.775 ; video_mixer:video_mixer|osd:osd|bcnt[0] ; video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated|ram_block1a4~porta_address_reg0 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.423 ; 1.428 ; -; 0.783 ; mist_io:mist_io|sbuf[3] ; mist_io:mist_io|ps2_kbd_fifo~60 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.506 ; 1.484 ; -; 0.787 ; mist_io:mist_io|sbuf[2] ; mist_io:mist_io|ps2_kbd_fifo~59 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.503 ; 1.485 ; -; 0.789 ; mist_io:mist_io|byte_cnt[0] ; mist_io:mist_io|byte_cnt[1] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.071 ; 1.055 ; -; 0.824 ; video_mixer:video_mixer|osd:osd|sbuf[0] ; video_mixer:video_mixer|osd:osd|sbuf[1] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.072 ; 1.091 ; -; 0.836 ; video_mixer:video_mixer|osd:osd|sbuf[2] ; video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated|ram_block1a0~porta_datain_reg0 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.011 ; 1.077 ; -; 0.873 ; video_mixer:video_mixer|osd:osd|cnt[4] ; video_mixer:video_mixer|osd:osd|cnt[4] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.071 ; 1.139 ; -; 0.878 ; video_mixer:video_mixer|osd:osd|cnt[2] ; video_mixer:video_mixer|osd:osd|cnt[2] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.071 ; 1.144 ; -; 0.880 ; video_mixer:video_mixer|osd:osd|sbuf[6] ; video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated|ram_block1a4~porta_datain_reg0 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.014 ; 1.124 ; -; 0.888 ; video_mixer:video_mixer|osd:osd|cnt[1] ; video_mixer:video_mixer|osd:osd|cnt[1] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.071 ; 1.154 ; -; 0.889 ; video_mixer:video_mixer|osd:osd|sbuf[0] ; video_mixer:video_mixer|osd:osd|bcnt[9] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.072 ; 1.156 ; -; 0.892 ; mist_io:mist_io|byte_cnt[7] ; mist_io:mist_io|byte_cnt[7] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.071 ; 1.158 ; -; 0.893 ; video_mixer:video_mixer|osd:osd|cnt[0] ; video_mixer:video_mixer|osd:osd|cnt[0] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.071 ; 1.159 ; -; 0.909 ; mist_io:mist_io|byte_cnt[2] ; mist_io:mist_io|byte_cnt[2] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.071 ; 1.175 ; -; 0.911 ; mist_io:mist_io|byte_cnt[5] ; mist_io:mist_io|byte_cnt[5] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.071 ; 1.177 ; -; 0.920 ; video_mixer:video_mixer|osd:osd|sbuf[5] ; video_mixer:video_mixer|osd:osd|cmd[6] ; SPI_SCK ; SPI_SCK ; 0.000 ; -0.340 ; 0.775 ; -; 0.923 ; mist_io:mist_io|sbuf[5] ; mist_io:mist_io|ps2_kbd_fifo~6 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.072 ; 1.190 ; -; 0.924 ; mist_io:mist_io|sbuf[4] ; mist_io:mist_io|ps2_kbd_fifo~5 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.072 ; 1.191 ; -; 0.924 ; mist_io:mist_io|sbuf[5] ; mist_io:mist_io|ps2_kbd_fifo~14 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.072 ; 1.191 ; -; 0.926 ; mist_io:mist_io|sbuf[4] ; mist_io:mist_io|ps2_kbd_fifo~13 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.072 ; 1.193 ; -; 0.929 ; video_mixer:video_mixer|osd:osd|bcnt[7] ; video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated|ram_block1a4~porta_address_reg0 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.423 ; 1.582 ; -; 0.932 ; mist_io:mist_io|sbuf[3] ; mist_io:mist_io|ps2_kbd_fifo~4 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.072 ; 1.199 ; -; 0.932 ; mist_io:mist_io|sbuf[3] ; mist_io:mist_io|ps2_kbd_fifo~12 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.072 ; 1.199 ; -; 0.937 ; video_mixer:video_mixer|osd:osd|bcnt[6] ; video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated|ram_block1a0~porta_address_reg0 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.420 ; 1.587 ; -; 0.944 ; mist_io:mist_io|cmd[0] ; mist_io:mist_io|status[0] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.071 ; 1.210 ; -; 0.949 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|byte_cnt[1] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.071 ; 1.215 ; -; 0.960 ; mist_io:mist_io|sbuf[1] ; mist_io:mist_io|status[2] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.579 ; 1.734 ; -; 0.964 ; mist_io:mist_io|sbuf[1] ; mist_io:mist_io|ps2_kbd_fifo~58 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.503 ; 1.662 ; -; 0.995 ; video_mixer:video_mixer|osd:osd|bcnt[4] ; video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated|ram_block1a0~porta_address_reg0 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.420 ; 1.645 ; -; 1.027 ; video_mixer:video_mixer|osd:osd|bcnt[8] ; video_mixer:video_mixer|osd:osd|bcnt[9] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.072 ; 1.294 ; -; 1.028 ; video_mixer:video_mixer|osd:osd|bcnt[6] ; video_mixer:video_mixer|osd:osd|bcnt[7] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.072 ; 1.295 ; -; 1.029 ; video_mixer:video_mixer|osd:osd|bcnt[1] ; video_mixer:video_mixer|osd:osd|bcnt[2] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.072 ; 1.296 ; -; 1.030 ; video_mixer:video_mixer|osd:osd|bcnt[4] ; video_mixer:video_mixer|osd:osd|bcnt[5] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.072 ; 1.297 ; -; 1.030 ; video_mixer:video_mixer|osd:osd|bcnt[2] ; video_mixer:video_mixer|osd:osd|bcnt[3] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.072 ; 1.297 ; -; 1.032 ; video_mixer:video_mixer|osd:osd|bcnt[9] ; video_mixer:video_mixer|osd:osd|bcnt[10] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.072 ; 1.299 ; -; 1.033 ; video_mixer:video_mixer|osd:osd|bcnt[7] ; video_mixer:video_mixer|osd:osd|bcnt[8] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.072 ; 1.300 ; -; 1.034 ; video_mixer:video_mixer|osd:osd|bcnt[5] ; video_mixer:video_mixer|osd:osd|bcnt[6] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.072 ; 1.301 ; -; 1.034 ; video_mixer:video_mixer|osd:osd|bcnt[3] ; video_mixer:video_mixer|osd:osd|bcnt[4] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.072 ; 1.301 ; -; 1.035 ; mist_io:mist_io|byte_cnt[6] ; mist_io:mist_io|byte_cnt[7] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.071 ; 1.301 ; -+-------+--------------------------------------------+----------------------------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ - - -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Slow 1200mV 0C Model Hold: 'mz80k_top:mz80k_top|CLK_2M' ; -+-------+----------------------------------------------+----------------------------------------------+----------------------------+----------------------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+-------+----------------------------------------------+----------------------------------------------+----------------------------+----------------------------+--------------+------------+------------+ -; 0.403 ; mz80k_top:mz80k_top|i8253:i8253_1|signal0 ; mz80k_top:mz80k_top|i8253:i8253_1|signal0 ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.071 ; 0.669 ; -; 0.708 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[3] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 0.975 ; -; 0.708 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[13] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[13] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 0.975 ; -; 0.708 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[14] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[14] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 0.975 ; -; 0.713 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[7] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[7] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 0.980 ; -; 0.713 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[9] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[9] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 0.980 ; -; 0.728 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[6] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 0.995 ; -; 0.730 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[5] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 0.997 ; -; 0.730 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[2] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 0.997 ; -; 0.730 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[11] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[11] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 0.997 ; -; 0.731 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[4] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 0.998 ; -; 0.731 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[8] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 0.998 ; -; 0.731 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[12] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[12] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 0.998 ; -; 0.731 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[15] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[15] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 0.998 ; -; 0.732 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[1] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 0.999 ; -; 0.732 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[10] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[10] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 0.999 ; -; 0.755 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[0] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 1.022 ; -; 1.028 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[13] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[14] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 1.295 ; -; 1.028 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[4] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 1.295 ; -; 1.029 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[14] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[15] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 1.296 ; -; 1.034 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[7] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[8] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 1.301 ; -; 1.034 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[9] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[10] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 1.301 ; -; 1.048 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[1] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 1.315 ; -; 1.049 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[7] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 1.316 ; -; 1.050 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[3] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 1.317 ; -; 1.050 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[6] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 1.317 ; -; 1.050 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[11] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[12] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 1.317 ; -; 1.051 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[12] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[13] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 1.318 ; -; 1.051 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[5] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 1.318 ; -; 1.052 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[9] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 1.319 ; -; 1.052 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[10] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[11] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 1.319 ; -; 1.053 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[2] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 1.320 ; -; 1.064 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[8] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 1.331 ; -; 1.065 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[2] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 1.332 ; -; 1.067 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[4] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 1.334 ; -; 1.068 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[12] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[14] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 1.335 ; -; 1.068 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[10] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 1.335 ; -; 1.068 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[6] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 1.335 ; -; 1.069 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[10] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[12] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 1.336 ; -; 1.120 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[5] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 1.387 ; -; 1.121 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[13] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[15] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 1.388 ; -; 1.128 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[7] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[9] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 1.395 ; -; 1.128 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[9] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[11] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 1.395 ; -; 1.144 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[11] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[13] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 1.411 ; -; 1.144 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[7] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 1.411 ; -; 1.147 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[3] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 1.414 ; -; 1.150 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[6] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 1.417 ; -; 1.156 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[7] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[10] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 1.423 ; -; 1.156 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[9] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[12] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 1.423 ; -; 1.170 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[3] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 1.437 ; -; 1.171 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[9] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 1.438 ; -; 1.172 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[8] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 1.439 ; -; 1.172 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[11] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[14] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 1.439 ; -; 1.172 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[5] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 1.439 ; -; 1.173 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[12] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[15] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 1.440 ; -; 1.173 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[7] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 1.440 ; -; 1.174 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[11] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 1.441 ; -; 1.174 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[10] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[13] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 1.441 ; -; 1.175 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[4] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 1.442 ; -; 1.186 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[10] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 1.453 ; -; 1.187 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[4] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 1.454 ; -; 1.189 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[6] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 1.456 ; -; 1.190 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[12] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 1.457 ; -; 1.190 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[8] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 1.457 ; -; 1.191 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[10] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[14] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 1.458 ; -; 1.242 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[7] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 1.509 ; -; 1.250 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[7] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[11] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 1.517 ; -; 1.250 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[9] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[13] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 1.517 ; -; 1.266 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[11] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[15] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 1.533 ; -; 1.266 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[9] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 1.533 ; -; 1.269 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[5] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 1.536 ; -; 1.272 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[8] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 1.539 ; -; 1.278 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[7] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[12] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 1.545 ; -; 1.278 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[9] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[14] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 1.545 ; -; 1.292 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[5] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 1.559 ; -; 1.293 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[11] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 1.560 ; -; 1.294 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[10] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 1.561 ; -; 1.294 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[7] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 1.561 ; -; 1.295 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[9] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 1.562 ; -; 1.296 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[13] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 1.563 ; -; 1.296 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[10] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[15] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 1.563 ; -; 1.297 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[6] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 1.564 ; -; 1.308 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[12] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 1.575 ; -; 1.309 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[6] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 1.576 ; -; 1.311 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[8] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 1.578 ; -; 1.312 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[14] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 1.579 ; -; 1.312 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[10] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 1.579 ; -; 1.364 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[9] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 1.631 ; -; 1.372 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[7] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[13] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 1.639 ; -; 1.372 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[9] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[15] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 1.639 ; -; 1.388 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[11] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 1.655 ; -; 1.391 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[7] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 1.658 ; -; 1.394 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[10] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 1.661 ; -; 1.400 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[7] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[14] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 1.667 ; -; 1.414 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[7] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 1.681 ; -; 1.415 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[13] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 1.682 ; -; 1.416 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[12] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 1.683 ; -; 1.416 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[9] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 1.683 ; -; 1.417 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[11] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 1.684 ; -; 1.418 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[15] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.072 ; 1.685 ; -+-------+----------------------------------------------+----------------------------------------------+----------------------------+----------------------------+--------------+------------+------------+ - - -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Slow 1200mV 0C Model Hold: 'mz80k_top:mz80k_top|i8253:i8253_1|signal1' ; -+-------+----------------------------------------------+----------------------------------------------+-------------------------------------------+-------------------------------------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+-------+----------------------------------------------+----------------------------------------------+-------------------------------------------+-------------------------------------------+--------------+------------+------------+ -; 0.615 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[7] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[8] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.491 ; 1.301 ; -; 0.624 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[8] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.491 ; 1.310 ; -; 0.628 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[10] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[12] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.491 ; 1.314 ; -; 0.631 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[11] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[12] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.491 ; 1.317 ; -; 0.692 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[13] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[13] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.088 ; 0.975 ; -; 0.692 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[14] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[14] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.088 ; 0.975 ; -; 0.693 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[12] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[12] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.088 ; 0.976 ; -; 0.697 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[9] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[9] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.088 ; 0.980 ; -; 0.708 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[6] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.071 ; 0.974 ; -; 0.709 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[3] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.071 ; 0.975 ; -; 0.709 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[7] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[9] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.491 ; 1.395 ; -; 0.711 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[10] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[10] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.071 ; 0.977 ; -; 0.714 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[7] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[7] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.071 ; 0.980 ; -; 0.715 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[8] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.088 ; 0.998 ; -; 0.725 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[11] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[13] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.491 ; 1.411 ; -; 0.731 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.071 ; 0.997 ; -; 0.731 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[5] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.071 ; 0.997 ; -; 0.731 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[11] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[11] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.071 ; 0.997 ; -; 0.731 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[9] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.491 ; 1.417 ; -; 0.732 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[4] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.071 ; 0.998 ; -; 0.732 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[15] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[15] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.071 ; 0.998 ; -; 0.733 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[1] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.071 ; 0.999 ; -; 0.733 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[10] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[13] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.491 ; 1.419 ; -; 0.750 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[10] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[14] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.491 ; 1.436 ; -; 0.753 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[8] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.491 ; 1.439 ; -; 0.753 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[11] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[14] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.491 ; 1.439 ; -; 0.756 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.071 ; 1.022 ; -; 0.771 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[8] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.491 ; 1.457 ; -; 0.847 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[9] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.491 ; 1.533 ; -; 0.853 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[8] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.491 ; 1.539 ; -; 0.857 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[9] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[9] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.299 ; 1.371 ; -; 0.859 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[12] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[12] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.299 ; 1.373 ; -; 0.859 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[7] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[12] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.491 ; 1.545 ; -; 0.862 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[13] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[13] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.299 ; 1.376 ; -; 0.868 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[12] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.491 ; 1.554 ; -; 0.876 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[9] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.491 ; 1.562 ; -; 0.892 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[8] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.491 ; 1.578 ; -; 0.945 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[9] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.491 ; 1.631 ; -; 0.953 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[7] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[13] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.491 ; 1.639 ; -; 0.975 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[13] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.491 ; 1.661 ; -; 0.981 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[7] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[14] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.491 ; 1.667 ; -; 0.990 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[14] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.491 ; 1.676 ; -; 0.997 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[12] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.491 ; 1.683 ; -; 0.997 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[9] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.491 ; 1.683 ; -; 1.000 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[8] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.491 ; 1.686 ; -; 1.012 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[13] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[14] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.088 ; 1.295 ; -; 1.012 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[8] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.491 ; 1.698 ; -; 1.013 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[12] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[13] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.088 ; 1.296 ; -; 1.015 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[12] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.491 ; 1.701 ; -; 1.028 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; -0.121 ; 1.122 ; -; 1.029 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[4] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.071 ; 1.295 ; -; 1.029 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[7] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.071 ; 1.295 ; -; 1.030 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[12] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[14] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.088 ; 1.313 ; -; 1.031 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[10] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[11] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.071 ; 1.297 ; -; 1.036 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[9] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.088 ; 1.319 ; -; 1.041 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[10] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[10] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; -0.121 ; 1.135 ; -; 1.049 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[1] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.071 ; 1.315 ; -; 1.051 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[6] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.071 ; 1.317 ; -; 1.051 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[3] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.071 ; 1.317 ; -; 1.052 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[5] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.071 ; 1.318 ; -; 1.054 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.071 ; 1.320 ; -; 1.063 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[8] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.299 ; 1.577 ; -; 1.064 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[4] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; -0.121 ; 1.158 ; -; 1.064 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[7] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; -0.121 ; 1.158 ; -; 1.066 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[15] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[15] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; -0.121 ; 1.160 ; -; 1.066 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.071 ; 1.332 ; -; 1.068 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[6] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; -0.121 ; 1.162 ; -; 1.068 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[4] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.071 ; 1.334 ; -; 1.069 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[6] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.071 ; 1.335 ; -; 1.091 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[13] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.491 ; 1.777 ; -; 1.094 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[9] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.491 ; 1.780 ; -; 1.097 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[12] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.491 ; 1.783 ; -; 1.117 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[9] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.491 ; 1.803 ; -; 1.119 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[14] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.491 ; 1.805 ; -; 1.120 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[13] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.491 ; 1.806 ; -; 1.121 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[5] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.071 ; 1.387 ; -; 1.136 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[12] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.491 ; 1.822 ; -; 1.137 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[14] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.491 ; 1.823 ; -; 1.140 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[9] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[12] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.088 ; 1.423 ; -; 1.145 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[7] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.071 ; 1.411 ; -; 1.148 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[3] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.071 ; 1.414 ; -; 1.151 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[6] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.071 ; 1.417 ; -; 1.157 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[7] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[10] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.071 ; 1.423 ; -; 1.166 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[10] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.071 ; 1.432 ; -; 1.171 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[3] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.071 ; 1.437 ; -; 1.173 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[5] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.071 ; 1.439 ; -; 1.174 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[12] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.088 ; 1.457 ; -; 1.174 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[7] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.071 ; 1.440 ; -; 1.176 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[4] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.071 ; 1.442 ; -; 1.188 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[4] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.071 ; 1.454 ; -; 1.189 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[13] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.491 ; 1.875 ; -; 1.190 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[6] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.071 ; 1.456 ; -; 1.219 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[14] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.491 ; 1.905 ; -; 1.234 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[9] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[13] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.088 ; 1.517 ; -; 1.241 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[13] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.491 ; 1.927 ; -; 1.243 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[7] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.071 ; 1.509 ; -; 1.244 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[12] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.491 ; 1.930 ; -; 1.251 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[7] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[11] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.071 ; 1.517 ; -; 1.256 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[12] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.491 ; 1.942 ; -; 1.258 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[14] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.491 ; 1.944 ; -+-------+----------------------------------------------+----------------------------------------------+-------------------------------------------+-------------------------------------------+--------------+------------+------------+ - - -+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Slow 1200mV 0C Model Hold: 'mz80k_top:mz80k_top|vga:vga1|counter[0]' ; -+-------+-----------------------------------+-----------------------------------+-----------------------------------------+-----------------------------------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+-------+-----------------------------------+-----------------------------------+-----------------------------------------+-----------------------------------------+--------------+------------+------------+ -; 0.658 ; mz80k_top:mz80k_top|vga:vga1|x[9] ; mz80k_top:mz80k_top|vga:vga1|x[9] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.071 ; 0.924 ; -; 0.708 ; mz80k_top:mz80k_top|vga:vga1|x[1] ; mz80k_top:mz80k_top|vga:vga1|x[1] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.071 ; 0.974 ; -; 0.710 ; mz80k_top:mz80k_top|vga:vga1|x[2] ; mz80k_top:mz80k_top|vga:vga1|x[2] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.071 ; 0.976 ; -; 0.711 ; mz80k_top:mz80k_top|vga:vga1|x[3] ; mz80k_top:mz80k_top|vga:vga1|x[3] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.071 ; 0.977 ; -; 0.714 ; mz80k_top:mz80k_top|vga:vga1|x[4] ; mz80k_top:mz80k_top|vga:vga1|x[4] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.071 ; 0.980 ; -; 0.716 ; mz80k_top:mz80k_top|vga:vga1|y[1] ; mz80k_top:mz80k_top|vga:vga1|y[1] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.072 ; 0.983 ; -; 0.721 ; mz80k_top:mz80k_top|vga:vga1|y[2] ; mz80k_top:mz80k_top|vga:vga1|y[2] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.072 ; 0.988 ; -; 0.726 ; mz80k_top:mz80k_top|vga:vga1|y[3] ; mz80k_top:mz80k_top|vga:vga1|y[3] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.072 ; 0.993 ; -; 0.737 ; mz80k_top:mz80k_top|vga:vga1|x[0] ; mz80k_top:mz80k_top|vga:vga1|x[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.071 ; 1.003 ; -; 0.740 ; mz80k_top:mz80k_top|vga:vga1|y[0] ; mz80k_top:mz80k_top|vga:vga1|y[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.072 ; 1.007 ; -; 0.892 ; mz80k_top:mz80k_top|vga:vga1|x[6] ; mz80k_top:mz80k_top|vga:vga1|x[6] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.071 ; 1.158 ; -; 0.895 ; mz80k_top:mz80k_top|vga:vga1|y[4] ; mz80k_top:mz80k_top|vga:vga1|y[4] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.072 ; 1.162 ; -; 0.898 ; mz80k_top:mz80k_top|vga:vga1|x[7] ; mz80k_top:mz80k_top|vga:vga1|x[7] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.071 ; 1.164 ; -; 0.903 ; mz80k_top:mz80k_top|vga:vga1|x[5] ; mz80k_top:mz80k_top|vga:vga1|x[5] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.071 ; 1.169 ; -; 0.926 ; mz80k_top:mz80k_top|vga:vga1|y[7] ; mz80k_top:mz80k_top|vga:vga1|y[7] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.072 ; 1.193 ; -; 0.938 ; mz80k_top:mz80k_top|vga:vga1|y[5] ; mz80k_top:mz80k_top|vga:vga1|y[5] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.072 ; 1.205 ; -; 0.945 ; mz80k_top:mz80k_top|vga:vga1|y[6] ; mz80k_top:mz80k_top|vga:vga1|y[6] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.072 ; 1.212 ; -; 0.956 ; mz80k_top:mz80k_top|vga:vga1|y[9] ; mz80k_top:mz80k_top|vga:vga1|y[9] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.072 ; 1.223 ; -; 1.029 ; mz80k_top:mz80k_top|vga:vga1|x[2] ; mz80k_top:mz80k_top|vga:vga1|x[3] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.071 ; 1.295 ; -; 1.030 ; mz80k_top:mz80k_top|vga:vga1|x[0] ; mz80k_top:mz80k_top|vga:vga1|x[1] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.071 ; 1.296 ; -; 1.030 ; mz80k_top:mz80k_top|vga:vga1|x[1] ; mz80k_top:mz80k_top|vga:vga1|x[2] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.071 ; 1.296 ; -; 1.031 ; mz80k_top:mz80k_top|vga:vga1|x[4] ; mz80k_top:mz80k_top|vga:vga1|x[5] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.071 ; 1.297 ; -; 1.035 ; mz80k_top:mz80k_top|vga:vga1|x[3] ; mz80k_top:mz80k_top|vga:vga1|x[4] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.071 ; 1.301 ; -; 1.038 ; mz80k_top:mz80k_top|vga:vga1|y[1] ; mz80k_top:mz80k_top|vga:vga1|y[2] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.072 ; 1.305 ; -; 1.038 ; mz80k_top:mz80k_top|vga:vga1|y[0] ; mz80k_top:mz80k_top|vga:vga1|y[1] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.072 ; 1.305 ; -; 1.040 ; mz80k_top:mz80k_top|vga:vga1|y[2] ; mz80k_top:mz80k_top|vga:vga1|y[3] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.072 ; 1.307 ; -; 1.044 ; mz80k_top:mz80k_top|vga:vga1|x[2] ; mz80k_top:mz80k_top|vga:vga1|x[4] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.071 ; 1.310 ; -; 1.047 ; mz80k_top:mz80k_top|vga:vga1|x[0] ; mz80k_top:mz80k_top|vga:vga1|x[2] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.071 ; 1.313 ; -; 1.048 ; mz80k_top:mz80k_top|vga:vga1|x[4] ; mz80k_top:mz80k_top|vga:vga1|x[6] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.071 ; 1.314 ; -; 1.050 ; mz80k_top:mz80k_top|vga:vga1|y[3] ; mz80k_top:mz80k_top|vga:vga1|y[4] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.072 ; 1.317 ; -; 1.053 ; mz80k_top:mz80k_top|vga:vga1|y[0] ; mz80k_top:mz80k_top|vga:vga1|y[2] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.072 ; 1.320 ; -; 1.055 ; mz80k_top:mz80k_top|vga:vga1|y[2] ; mz80k_top:mz80k_top|vga:vga1|y[4] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.072 ; 1.322 ; -; 1.100 ; mz80k_top:mz80k_top|vga:vga1|y[8] ; mz80k_top:mz80k_top|vga:vga1|y[8] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.072 ; 1.367 ; -; 1.100 ; mz80k_top:mz80k_top|vga:vga1|x[8] ; mz80k_top:mz80k_top|vga:vga1|x[8] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.071 ; 1.366 ; -; 1.123 ; mz80k_top:mz80k_top|vga:vga1|x[1] ; mz80k_top:mz80k_top|vga:vga1|x[3] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.071 ; 1.389 ; -; 1.129 ; mz80k_top:mz80k_top|vga:vga1|x[3] ; mz80k_top:mz80k_top|vga:vga1|x[5] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.071 ; 1.395 ; -; 1.136 ; mz80k_top:mz80k_top|vga:vga1|y[1] ; mz80k_top:mz80k_top|vga:vga1|y[3] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.072 ; 1.403 ; -; 1.149 ; mz80k_top:mz80k_top|vga:vga1|y[3] ; mz80k_top:mz80k_top|vga:vga1|y[5] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.072 ; 1.416 ; -; 1.151 ; mz80k_top:mz80k_top|vga:vga1|x[2] ; mz80k_top:mz80k_top|vga:vga1|x[5] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.071 ; 1.417 ; -; 1.152 ; mz80k_top:mz80k_top|vga:vga1|x[1] ; mz80k_top:mz80k_top|vga:vga1|x[4] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.071 ; 1.418 ; -; 1.152 ; mz80k_top:mz80k_top|vga:vga1|x[0] ; mz80k_top:mz80k_top|vga:vga1|x[3] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.071 ; 1.418 ; -; 1.153 ; mz80k_top:mz80k_top|vga:vga1|x[4] ; mz80k_top:mz80k_top|vga:vga1|x[7] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.071 ; 1.419 ; -; 1.157 ; mz80k_top:mz80k_top|vga:vga1|x[3] ; mz80k_top:mz80k_top|vga:vga1|x[6] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.071 ; 1.423 ; -; 1.160 ; mz80k_top:mz80k_top|vga:vga1|y[1] ; mz80k_top:mz80k_top|vga:vga1|y[4] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.072 ; 1.427 ; -; 1.160 ; mz80k_top:mz80k_top|vga:vga1|y[0] ; mz80k_top:mz80k_top|vga:vga1|y[3] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.072 ; 1.427 ; -; 1.162 ; mz80k_top:mz80k_top|vga:vga1|y[2] ; mz80k_top:mz80k_top|vga:vga1|y[5] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.072 ; 1.429 ; -; 1.166 ; mz80k_top:mz80k_top|vga:vga1|x[2] ; mz80k_top:mz80k_top|vga:vga1|x[6] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.071 ; 1.432 ; -; 1.169 ; mz80k_top:mz80k_top|vga:vga1|x[0] ; mz80k_top:mz80k_top|vga:vga1|x[4] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.071 ; 1.435 ; -; 1.170 ; mz80k_top:mz80k_top|vga:vga1|x[4] ; mz80k_top:mz80k_top|vga:vga1|x[8] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.071 ; 1.436 ; -; 1.172 ; mz80k_top:mz80k_top|vga:vga1|y[3] ; mz80k_top:mz80k_top|vga:vga1|y[6] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.072 ; 1.439 ; -; 1.175 ; mz80k_top:mz80k_top|vga:vga1|y[0] ; mz80k_top:mz80k_top|vga:vga1|y[4] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.072 ; 1.442 ; -; 1.177 ; mz80k_top:mz80k_top|vga:vga1|y[2] ; mz80k_top:mz80k_top|vga:vga1|y[6] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.072 ; 1.444 ; -; 1.196 ; mz80k_top:mz80k_top|vga:vga1|x[6] ; mz80k_top:mz80k_top|vga:vga1|x[7] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.071 ; 1.462 ; -; 1.199 ; mz80k_top:mz80k_top|vga:vga1|y[4] ; mz80k_top:mz80k_top|vga:vga1|y[5] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.072 ; 1.466 ; -; 1.241 ; mz80k_top:mz80k_top|vga:vga1|y[6] ; mz80k_top:mz80k_top|vga:vga1|y[7] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.072 ; 1.508 ; -; 1.245 ; mz80k_top:mz80k_top|vga:vga1|x[1] ; mz80k_top:mz80k_top|vga:vga1|x[5] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.071 ; 1.511 ; -; 1.249 ; mz80k_top:mz80k_top|vga:vga1|x[5] ; mz80k_top:mz80k_top|vga:vga1|x[6] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.071 ; 1.515 ; -; 1.251 ; mz80k_top:mz80k_top|vga:vga1|x[3] ; mz80k_top:mz80k_top|vga:vga1|x[7] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.071 ; 1.517 ; -; 1.258 ; mz80k_top:mz80k_top|vga:vga1|y[1] ; mz80k_top:mz80k_top|vga:vga1|y[5] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.072 ; 1.525 ; -; 1.260 ; mz80k_top:mz80k_top|vga:vga1|x[7] ; mz80k_top:mz80k_top|vga:vga1|x[8] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.071 ; 1.526 ; -; 1.267 ; mz80k_top:mz80k_top|vga:vga1|y[4] ; mz80k_top:mz80k_top|vga:vga1|y[6] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.072 ; 1.534 ; -; 1.268 ; mz80k_top:mz80k_top|vga:vga1|x[6] ; mz80k_top:mz80k_top|vga:vga1|x[8] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.071 ; 1.534 ; -; 1.271 ; mz80k_top:mz80k_top|vga:vga1|y[3] ; mz80k_top:mz80k_top|vga:vga1|y[7] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.072 ; 1.538 ; -; 1.272 ; mz80k_top:mz80k_top|vga:vga1|y[7] ; mz80k_top:mz80k_top|vga:vga1|y[8] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.072 ; 1.539 ; -; 1.273 ; mz80k_top:mz80k_top|vga:vga1|x[2] ; mz80k_top:mz80k_top|vga:vga1|x[7] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.071 ; 1.539 ; -; 1.274 ; mz80k_top:mz80k_top|vga:vga1|x[1] ; mz80k_top:mz80k_top|vga:vga1|x[6] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.071 ; 1.540 ; -; 1.274 ; mz80k_top:mz80k_top|vga:vga1|x[0] ; mz80k_top:mz80k_top|vga:vga1|x[5] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.071 ; 1.540 ; -; 1.275 ; mz80k_top:mz80k_top|vga:vga1|x[4] ; mz80k_top:mz80k_top|vga:vga1|x[9] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.071 ; 1.541 ; -; 1.278 ; mz80k_top:mz80k_top|vga:vga1|x[5] ; mz80k_top:mz80k_top|vga:vga1|x[7] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.071 ; 1.544 ; -; 1.279 ; mz80k_top:mz80k_top|vga:vga1|x[3] ; mz80k_top:mz80k_top|vga:vga1|x[8] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.071 ; 1.545 ; -; 1.282 ; mz80k_top:mz80k_top|vga:vga1|y[5] ; mz80k_top:mz80k_top|vga:vga1|y[6] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.072 ; 1.549 ; -; 1.282 ; mz80k_top:mz80k_top|vga:vga1|y[1] ; mz80k_top:mz80k_top|vga:vga1|y[6] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.072 ; 1.549 ; -; 1.282 ; mz80k_top:mz80k_top|vga:vga1|y[0] ; mz80k_top:mz80k_top|vga:vga1|y[5] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.072 ; 1.549 ; -; 1.284 ; mz80k_top:mz80k_top|vga:vga1|y[2] ; mz80k_top:mz80k_top|vga:vga1|y[7] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.072 ; 1.551 ; -; 1.285 ; mz80k_top:mz80k_top|vga:vga1|x[7] ; mz80k_top:mz80k_top|vga:vga1|x[9] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.071 ; 1.551 ; -; 1.288 ; mz80k_top:mz80k_top|vga:vga1|x[2] ; mz80k_top:mz80k_top|vga:vga1|x[8] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.071 ; 1.554 ; -; 1.291 ; mz80k_top:mz80k_top|vga:vga1|x[0] ; mz80k_top:mz80k_top|vga:vga1|x[6] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.071 ; 1.557 ; -; 1.294 ; mz80k_top:mz80k_top|vga:vga1|y[3] ; mz80k_top:mz80k_top|vga:vga1|y[8] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.072 ; 1.561 ; -; 1.297 ; mz80k_top:mz80k_top|vga:vga1|y[0] ; mz80k_top:mz80k_top|vga:vga1|y[6] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.072 ; 1.564 ; -; 1.299 ; mz80k_top:mz80k_top|vga:vga1|y[2] ; mz80k_top:mz80k_top|vga:vga1|y[8] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.072 ; 1.566 ; -; 1.301 ; mz80k_top:mz80k_top|vga:vga1|y[7] ; mz80k_top:mz80k_top|vga:vga1|y[9] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.072 ; 1.568 ; -; 1.313 ; mz80k_top:mz80k_top|vga:vga1|y[5] ; mz80k_top:mz80k_top|vga:vga1|y[7] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.072 ; 1.580 ; -; 1.313 ; mz80k_top:mz80k_top|vga:vga1|y[6] ; mz80k_top:mz80k_top|vga:vga1|y[8] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.072 ; 1.580 ; -; 1.318 ; mz80k_top:mz80k_top|vga:vga1|x[6] ; mz80k_top:mz80k_top|vga:vga1|x[9] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.071 ; 1.584 ; -; 1.321 ; mz80k_top:mz80k_top|vga:vga1|y[4] ; mz80k_top:mz80k_top|vga:vga1|y[7] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.072 ; 1.588 ; -; 1.363 ; mz80k_top:mz80k_top|vga:vga1|y[6] ; mz80k_top:mz80k_top|vga:vga1|y[9] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.072 ; 1.630 ; -; 1.367 ; mz80k_top:mz80k_top|vga:vga1|x[1] ; mz80k_top:mz80k_top|vga:vga1|x[7] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.071 ; 1.633 ; -; 1.371 ; mz80k_top:mz80k_top|vga:vga1|x[5] ; mz80k_top:mz80k_top|vga:vga1|x[8] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.071 ; 1.637 ; -; 1.373 ; mz80k_top:mz80k_top|vga:vga1|x[3] ; mz80k_top:mz80k_top|vga:vga1|x[9] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.071 ; 1.639 ; -; 1.380 ; mz80k_top:mz80k_top|vga:vga1|y[1] ; mz80k_top:mz80k_top|vga:vga1|y[7] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.072 ; 1.647 ; -; 1.389 ; mz80k_top:mz80k_top|vga:vga1|y[4] ; mz80k_top:mz80k_top|vga:vga1|y[8] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.072 ; 1.656 ; -; 1.393 ; mz80k_top:mz80k_top|vga:vga1|y[3] ; mz80k_top:mz80k_top|vga:vga1|y[9] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.072 ; 1.660 ; -; 1.395 ; mz80k_top:mz80k_top|vga:vga1|x[2] ; mz80k_top:mz80k_top|vga:vga1|x[9] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.071 ; 1.661 ; -; 1.396 ; mz80k_top:mz80k_top|vga:vga1|x[1] ; mz80k_top:mz80k_top|vga:vga1|x[8] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.071 ; 1.662 ; -; 1.396 ; mz80k_top:mz80k_top|vga:vga1|y[8] ; mz80k_top:mz80k_top|vga:vga1|y[9] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.072 ; 1.663 ; -; 1.396 ; mz80k_top:mz80k_top|vga:vga1|x[0] ; mz80k_top:mz80k_top|vga:vga1|x[7] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.071 ; 1.662 ; -; 1.400 ; mz80k_top:mz80k_top|vga:vga1|x[5] ; mz80k_top:mz80k_top|vga:vga1|x[9] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.071 ; 1.666 ; -; 1.404 ; mz80k_top:mz80k_top|vga:vga1|x[8] ; mz80k_top:mz80k_top|vga:vga1|x[9] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.071 ; 1.670 ; -; 1.404 ; mz80k_top:mz80k_top|vga:vga1|y[5] ; mz80k_top:mz80k_top|vga:vga1|y[8] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.072 ; 1.671 ; -; 1.404 ; mz80k_top:mz80k_top|vga:vga1|y[1] ; mz80k_top:mz80k_top|vga:vga1|y[8] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.072 ; 1.671 ; -+-------+-----------------------------------+-----------------------------------+-----------------------------------------+-----------------------------------------+--------------+------------+------------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Slow 1200mV 0C Model Recovery: 'mz80k_top:mz80k_top|clk_count[2]' ; -+--------+--------------+---------------------------------------------+-------------------------------------------------+----------------------------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+--------+--------------+---------------------------------------------+-------------------------------------------------+----------------------------------+--------------+------------+------------+ -; -2.150 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl2 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.367 ; 5.440 ; -; -2.150 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|read_hl2 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.363 ; 5.436 ; -; -2.150 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl1 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.367 ; 5.440 ; -; -2.150 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|read_hl1 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.363 ; 5.436 ; -; -2.150 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|mode1[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.367 ; 5.440 ; -; -2.150 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.367 ; 5.440 ; -; -2.150 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.361 ; 5.434 ; -; -2.150 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[12] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.361 ; 5.434 ; -; -2.150 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|mode0[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.364 ; 5.437 ; -; -2.150 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl0 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.367 ; 5.440 ; -; -2.150 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.362 ; 5.435 ; -; -2.150 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[12] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.362 ; 5.435 ; -; -2.150 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|read_hl0 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.364 ; 5.437 ; -; -2.150 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.361 ; 5.434 ; -; -2.150 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[8] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.361 ; 5.434 ; -; -2.150 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[8] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.362 ; 5.435 ; -; -2.150 ; reset_cnt[0] ; mz80k_top:mz80k_top|key_no[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.364 ; 5.437 ; -; -2.150 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|mode1[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.367 ; 5.440 ; -; -2.150 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[6] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.361 ; 5.434 ; -; -2.150 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[14] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.361 ; 5.434 ; -; -2.150 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|mode0[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.364 ; 5.437 ; -; -2.150 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|mode0[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.364 ; 5.437 ; -; -2.150 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|mode0[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.364 ; 5.437 ; -; -2.150 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[6] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.362 ; 5.435 ; -; -2.150 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[14] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.362 ; 5.435 ; -; -2.150 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.361 ; 5.434 ; -; -2.150 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[13] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.361 ; 5.434 ; -; -2.150 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.362 ; 5.435 ; -; -2.150 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[13] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.362 ; 5.435 ; -; -2.150 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[7] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.361 ; 5.434 ; -; -2.150 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[15] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.361 ; 5.434 ; -; -2.150 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[7] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.362 ; 5.435 ; -; -2.150 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[15] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.362 ; 5.435 ; -; -2.150 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.361 ; 5.434 ; -; -2.150 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[10] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.361 ; 5.434 ; -; -2.150 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.362 ; 5.435 ; -; -2.150 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[10] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.362 ; 5.435 ; -; -2.150 ; reset_cnt[0] ; mz80k_top:mz80k_top|key_no[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.364 ; 5.437 ; -; -2.150 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.361 ; 5.434 ; -; -2.150 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[9] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.361 ; 5.434 ; -; -2.150 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.362 ; 5.435 ; -; -2.150 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[9] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.362 ; 5.435 ; -; -2.150 ; reset_cnt[0] ; mz80k_top:mz80k_top|key_no[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.364 ; 5.437 ; -; -2.150 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.361 ; 5.434 ; -; -2.150 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[11] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.361 ; 5.434 ; -; -2.150 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.362 ; 5.435 ; -; -2.150 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[11] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.362 ; 5.435 ; -; -2.150 ; reset_cnt[0] ; mz80k_top:mz80k_top|key_no[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.364 ; 5.437 ; -; -2.149 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[12] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.363 ; 5.435 ; -; -2.149 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[14] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.363 ; 5.435 ; -; -2.149 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[13] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.363 ; 5.435 ; -; -2.149 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[15] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.363 ; 5.435 ; -; -2.149 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[10] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.363 ; 5.435 ; -; -2.149 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[9] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.363 ; 5.435 ; -; -2.149 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[11] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.363 ; 5.435 ; -; -2.147 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl2 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.367 ; 5.437 ; -; -2.147 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|read_hl2 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.363 ; 5.433 ; -; -2.147 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl1 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.367 ; 5.437 ; -; -2.147 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|read_hl1 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.363 ; 5.433 ; -; -2.147 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|mode1[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.367 ; 5.437 ; -; -2.147 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.367 ; 5.437 ; -; -2.147 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.361 ; 5.431 ; -; -2.147 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[12] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.361 ; 5.431 ; -; -2.147 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|mode0[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.364 ; 5.434 ; -; -2.147 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl0 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.367 ; 5.437 ; -; -2.147 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.362 ; 5.432 ; -; -2.147 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[12] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.362 ; 5.432 ; -; -2.147 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|read_hl0 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.364 ; 5.434 ; -; -2.147 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.361 ; 5.431 ; -; -2.147 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[8] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.361 ; 5.431 ; -; -2.147 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[8] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.362 ; 5.432 ; -; -2.147 ; reset_cnt[2] ; mz80k_top:mz80k_top|key_no[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.364 ; 5.434 ; -; -2.147 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|mode1[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.367 ; 5.437 ; -; -2.147 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[6] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.361 ; 5.431 ; -; -2.147 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[14] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.361 ; 5.431 ; -; -2.147 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|mode0[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.364 ; 5.434 ; -; -2.147 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|mode0[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.364 ; 5.434 ; -; -2.147 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|mode0[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.364 ; 5.434 ; -; -2.147 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[6] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.362 ; 5.432 ; -; -2.147 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[14] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.362 ; 5.432 ; -; -2.147 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.361 ; 5.431 ; -; -2.147 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[13] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.361 ; 5.431 ; -; -2.147 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.362 ; 5.432 ; -; -2.147 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[13] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.362 ; 5.432 ; -; -2.147 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[7] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.361 ; 5.431 ; -; -2.147 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[15] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.361 ; 5.431 ; -; -2.147 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[7] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.362 ; 5.432 ; -; -2.147 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[15] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.362 ; 5.432 ; -; -2.147 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.361 ; 5.431 ; -; -2.147 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[10] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.361 ; 5.431 ; -; -2.147 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.362 ; 5.432 ; -; -2.147 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[10] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.362 ; 5.432 ; -; -2.147 ; reset_cnt[2] ; mz80k_top:mz80k_top|key_no[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.364 ; 5.434 ; -; -2.147 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.361 ; 5.431 ; -; -2.147 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[9] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.361 ; 5.431 ; -; -2.147 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.362 ; 5.432 ; -; -2.147 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[9] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.362 ; 5.432 ; -; -2.147 ; reset_cnt[2] ; mz80k_top:mz80k_top|key_no[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.364 ; 5.434 ; -; -2.147 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.361 ; 5.431 ; -; -2.147 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[11] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 3.361 ; 5.431 ; -+--------+--------------+---------------------------------------------+-------------------------------------------------+----------------------------------+--------------+------------+------------+ - - -+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Slow 1200mV 0C Model Recovery: 'pll|altpll_component|auto_generated|pll1|clk[0]' ; -+--------+--------------+---------------------------------------------------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+--------+--------------+---------------------------------------------------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+ -; 14.497 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl0[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.074 ; 5.430 ; -; 14.497 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl9[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.065 ; 5.439 ; -; 14.497 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl3[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.074 ; 5.430 ; -; 14.497 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl0[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.074 ; 5.430 ; -; 14.497 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl1[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.074 ; 5.430 ; -; 14.497 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl7[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.074 ; 5.430 ; -; 14.497 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.074 ; 5.430 ; -; 14.497 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl7[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.065 ; 5.439 ; -; 14.497 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl3[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.074 ; 5.430 ; -; 14.497 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.074 ; 5.430 ; -; 14.498 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl1[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.072 ; 5.431 ; -; 14.498 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl3[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.072 ; 5.431 ; -; 14.498 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl4[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.072 ; 5.431 ; -; 14.498 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl0[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.074 ; 5.429 ; -; 14.498 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.072 ; 5.431 ; -; 14.498 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl8[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.074 ; 5.429 ; -; 14.498 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.072 ; 5.431 ; -; 14.498 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl1[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.072 ; 5.431 ; -; 14.498 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.072 ; 5.431 ; -; 14.498 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl4[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.072 ; 5.431 ; -; 14.498 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.073 ; 5.430 ; -; 14.498 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl8[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.073 ; 5.430 ; -; 14.498 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.072 ; 5.431 ; -; 14.498 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl1[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.073 ; 5.430 ; -; 14.498 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl4[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.073 ; 5.430 ; -; 14.498 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl3[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.072 ; 5.431 ; -; 14.498 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl0[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.073 ; 5.430 ; -; 14.498 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl1[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.072 ; 5.431 ; -; 14.498 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl8[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.074 ; 5.429 ; -; 14.498 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.072 ; 5.431 ; -; 14.498 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|dten ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.074 ; 5.429 ; -; 14.498 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.074 ; 5.429 ; -; 14.498 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.073 ; 5.430 ; -; 14.498 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.073 ; 5.430 ; -; 14.498 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.073 ; 5.430 ; -; 14.498 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.073 ; 5.430 ; -; 14.498 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[6] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.073 ; 5.430 ; -; 14.498 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[7] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.073 ; 5.430 ; -; 14.498 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[8] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.073 ; 5.430 ; -; 14.498 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.073 ; 5.430 ; -; 14.498 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[10] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.074 ; 5.429 ; -; 14.498 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[9] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.073 ; 5.430 ; -; 14.500 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl0[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.074 ; 5.427 ; -; 14.500 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl9[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.065 ; 5.436 ; -; 14.500 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl3[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.074 ; 5.427 ; -; 14.500 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl0[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.074 ; 5.427 ; -; 14.500 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl1[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.074 ; 5.427 ; -; 14.500 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl7[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.074 ; 5.427 ; -; 14.500 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.074 ; 5.427 ; -; 14.500 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl7[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.065 ; 5.436 ; -; 14.500 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl3[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.074 ; 5.427 ; -; 14.500 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.074 ; 5.427 ; -; 14.501 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl1[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.072 ; 5.428 ; -; 14.501 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl3[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.072 ; 5.428 ; -; 14.501 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl4[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.072 ; 5.428 ; -; 14.501 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl0[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.074 ; 5.426 ; -; 14.501 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.072 ; 5.428 ; -; 14.501 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl8[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.074 ; 5.426 ; -; 14.501 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.072 ; 5.428 ; -; 14.501 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl1[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.072 ; 5.428 ; -; 14.501 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.072 ; 5.428 ; -; 14.501 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl4[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.072 ; 5.428 ; -; 14.501 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.073 ; 5.427 ; -; 14.501 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl8[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.073 ; 5.427 ; -; 14.501 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.072 ; 5.428 ; -; 14.501 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl1[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.073 ; 5.427 ; -; 14.501 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl4[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.073 ; 5.427 ; -; 14.501 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl3[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.072 ; 5.428 ; -; 14.501 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl0[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.073 ; 5.427 ; -; 14.501 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl1[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.072 ; 5.428 ; -; 14.501 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl8[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.074 ; 5.426 ; -; 14.501 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.072 ; 5.428 ; -; 14.501 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|dten ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.074 ; 5.426 ; -; 14.501 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.074 ; 5.426 ; -; 14.501 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.073 ; 5.427 ; -; 14.501 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.073 ; 5.427 ; -; 14.501 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.073 ; 5.427 ; -; 14.501 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.073 ; 5.427 ; -; 14.501 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[6] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.073 ; 5.427 ; -; 14.501 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[7] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.073 ; 5.427 ; -; 14.501 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[8] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.073 ; 5.427 ; -; 14.501 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.073 ; 5.427 ; -; 14.501 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[10] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.074 ; 5.426 ; -; 14.501 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[9] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.073 ; 5.427 ; -; 14.506 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl8[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.076 ; 5.419 ; -; 14.506 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl9[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.076 ; 5.419 ; -; 14.506 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl7[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.074 ; 5.421 ; -; 14.506 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.076 ; 5.419 ; -; 14.506 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl0[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.076 ; 5.419 ; -; 14.506 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.076 ; 5.419 ; -; 14.506 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl9[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.076 ; 5.419 ; -; 14.506 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.074 ; 5.421 ; -; 14.506 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.074 ; 5.421 ; -; 14.506 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl4[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.074 ; 5.421 ; -; 14.506 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_e0 ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.076 ; 5.419 ; -; 14.506 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_f0 ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.076 ; 5.419 ; -; 14.507 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl7[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.074 ; 5.420 ; -; 14.507 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.074 ; 5.420 ; -; 14.507 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.075 ; 5.419 ; -; 14.507 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl3[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.074 ; 5.420 ; -+--------+--------------+---------------------------------------------------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+ - - -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Slow 1200mV 0C Model Removal: 'mz80k_top:mz80k_top|clk_count[2]' ; -+-------+--------------+---------------------------------------------+-------------------------------------------------+----------------------------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+-------+--------------+---------------------------------------------+-------------------------------------------------+----------------------------------+--------------+------------+------------+ -; 0.319 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[8] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.988 ; 4.582 ; -; 0.319 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.988 ; 4.582 ; -; 0.321 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|mode2[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.992 ; 4.588 ; -; 0.321 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.993 ; 4.589 ; -; 0.321 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|mode2[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.992 ; 4.588 ; -; 0.321 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|mode1[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.997 ; 4.593 ; -; 0.321 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|mode1[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.997 ; 4.593 ; -; 0.321 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[7] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.997 ; 4.593 ; -; 0.321 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.997 ; 4.593 ; -; 0.322 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[6] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.983 ; 4.580 ; -; 0.322 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.990 ; 4.587 ; -; 0.323 ; reset_cnt[7] ; mz80k_top:mz80k_top|speaker_enable ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.985 ; 4.583 ; -; 0.323 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.985 ; 4.583 ; -; 0.323 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.985 ; 4.583 ; -; 0.334 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl2 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.995 ; 4.604 ; -; 0.334 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|read_hl2 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.992 ; 4.601 ; -; 0.334 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl1 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.995 ; 4.604 ; -; 0.334 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|read_hl1 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.992 ; 4.601 ; -; 0.334 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|mode1[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.995 ; 4.604 ; -; 0.334 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.996 ; 4.605 ; -; 0.334 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[12] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.991 ; 4.600 ; -; 0.334 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|mode0[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.993 ; 4.602 ; -; 0.334 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl0 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.995 ; 4.604 ; -; 0.334 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|mode1[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.995 ; 4.604 ; -; 0.334 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[14] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.991 ; 4.600 ; -; 0.334 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|mode0[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.993 ; 4.602 ; -; 0.334 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|mode0[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.993 ; 4.602 ; -; 0.334 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|mode0[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.993 ; 4.602 ; -; 0.334 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[13] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.991 ; 4.600 ; -; 0.334 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[15] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.991 ; 4.600 ; -; 0.334 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[10] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.991 ; 4.600 ; -; 0.334 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[9] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.991 ; 4.600 ; -; 0.334 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[11] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.991 ; 4.600 ; -; 0.335 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.989 ; 4.599 ; -; 0.335 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[12] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.989 ; 4.599 ; -; 0.335 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.990 ; 4.600 ; -; 0.335 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[12] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.990 ; 4.600 ; -; 0.335 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|read_hl0 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.992 ; 4.602 ; -; 0.335 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.989 ; 4.599 ; -; 0.335 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[8] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.989 ; 4.599 ; -; 0.335 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[8] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.990 ; 4.600 ; -; 0.335 ; reset_cnt[7] ; mz80k_top:mz80k_top|key_no[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.992 ; 4.602 ; -; 0.335 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[6] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.989 ; 4.599 ; -; 0.335 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[14] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.989 ; 4.599 ; -; 0.335 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[6] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.990 ; 4.600 ; -; 0.335 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[14] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.990 ; 4.600 ; -; 0.335 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.989 ; 4.599 ; -; 0.335 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[13] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.989 ; 4.599 ; -; 0.335 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.990 ; 4.600 ; -; 0.335 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[13] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.990 ; 4.600 ; -; 0.335 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[7] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.989 ; 4.599 ; -; 0.335 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[15] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.989 ; 4.599 ; -; 0.335 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[7] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.990 ; 4.600 ; -; 0.335 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[15] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.990 ; 4.600 ; -; 0.335 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.989 ; 4.599 ; -; 0.335 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[10] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.989 ; 4.599 ; -; 0.335 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.990 ; 4.600 ; -; 0.335 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[10] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.990 ; 4.600 ; -; 0.335 ; reset_cnt[7] ; mz80k_top:mz80k_top|key_no[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.992 ; 4.602 ; -; 0.335 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.989 ; 4.599 ; -; 0.335 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[9] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.989 ; 4.599 ; -; 0.335 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.990 ; 4.600 ; -; 0.335 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[9] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.990 ; 4.600 ; -; 0.335 ; reset_cnt[7] ; mz80k_top:mz80k_top|key_no[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.992 ; 4.602 ; -; 0.335 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.989 ; 4.599 ; -; 0.335 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[11] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.989 ; 4.599 ; -; 0.335 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.990 ; 4.600 ; -; 0.335 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[11] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.990 ; 4.600 ; -; 0.335 ; reset_cnt[7] ; mz80k_top:mz80k_top|key_no[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.992 ; 4.602 ; -; 0.349 ; reset_cnt[3] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[8] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.988 ; 4.612 ; -; 0.349 ; reset_cnt[3] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.988 ; 4.612 ; -; 0.351 ; reset_cnt[3] ; mz80k_top:mz80k_top|i8253:i8253_1|mode2[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.992 ; 4.618 ; -; 0.351 ; reset_cnt[3] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.993 ; 4.619 ; -; 0.351 ; reset_cnt[3] ; mz80k_top:mz80k_top|i8253:i8253_1|mode2[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.992 ; 4.618 ; -; 0.351 ; reset_cnt[3] ; mz80k_top:mz80k_top|i8253:i8253_1|mode1[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.997 ; 4.623 ; -; 0.351 ; reset_cnt[3] ; mz80k_top:mz80k_top|i8253:i8253_1|mode1[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.997 ; 4.623 ; -; 0.351 ; reset_cnt[3] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[7] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.997 ; 4.623 ; -; 0.351 ; reset_cnt[3] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.997 ; 4.623 ; -; 0.352 ; reset_cnt[3] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[6] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.983 ; 4.610 ; -; 0.352 ; reset_cnt[3] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.990 ; 4.617 ; -; 0.353 ; reset_cnt[3] ; mz80k_top:mz80k_top|speaker_enable ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.985 ; 4.613 ; -; 0.353 ; reset_cnt[3] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.985 ; 4.613 ; -; 0.353 ; reset_cnt[3] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.985 ; 4.613 ; -; 0.364 ; reset_cnt[3] ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl2 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.995 ; 4.634 ; -; 0.364 ; reset_cnt[3] ; mz80k_top:mz80k_top|i8253:i8253_1|read_hl2 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.992 ; 4.631 ; -; 0.364 ; reset_cnt[3] ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl1 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.995 ; 4.634 ; -; 0.364 ; reset_cnt[3] ; mz80k_top:mz80k_top|i8253:i8253_1|read_hl1 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.992 ; 4.631 ; -; 0.364 ; reset_cnt[3] ; mz80k_top:mz80k_top|i8253:i8253_1|mode1[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.995 ; 4.634 ; -; 0.364 ; reset_cnt[3] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.996 ; 4.635 ; -; 0.364 ; reset_cnt[3] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[12] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.991 ; 4.630 ; -; 0.364 ; reset_cnt[3] ; mz80k_top:mz80k_top|i8253:i8253_1|mode0[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.993 ; 4.632 ; -; 0.364 ; reset_cnt[3] ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl0 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.995 ; 4.634 ; -; 0.364 ; reset_cnt[3] ; mz80k_top:mz80k_top|i8253:i8253_1|mode1[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.995 ; 4.634 ; -; 0.364 ; reset_cnt[3] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[14] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.991 ; 4.630 ; -; 0.364 ; reset_cnt[3] ; mz80k_top:mz80k_top|i8253:i8253_1|mode0[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.993 ; 4.632 ; -; 0.364 ; reset_cnt[3] ; mz80k_top:mz80k_top|i8253:i8253_1|mode0[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.993 ; 4.632 ; -; 0.364 ; reset_cnt[3] ; mz80k_top:mz80k_top|i8253:i8253_1|mode0[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.993 ; 4.632 ; -; 0.364 ; reset_cnt[3] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[13] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.991 ; 4.630 ; -; 0.364 ; reset_cnt[3] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[15] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.991 ; 4.630 ; -; 0.364 ; reset_cnt[3] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[10] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 3.991 ; 4.630 ; -+-------+--------------+---------------------------------------------+-------------------------------------------------+----------------------------------+--------------+------------+------------+ - - -+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Slow 1200mV 0C Model Removal: 'pll|altpll_component|auto_generated|pll1|clk[0]' ; -+-------+--------------+---------------------------------------------------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+-------+--------------+---------------------------------------------------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+ -; 4.316 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl9[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.068 ; 4.579 ; -; 4.316 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl0[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.068 ; 4.579 ; -; 4.316 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_e0 ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.068 ; 4.579 ; -; 4.316 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_f0 ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.068 ; 4.579 ; -; 4.317 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl7[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.070 ; 4.582 ; -; 4.317 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.070 ; 4.582 ; -; 4.317 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.070 ; 4.582 ; -; 4.317 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl4[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.070 ; 4.582 ; -; 4.318 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl7[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.069 ; 4.582 ; -; 4.318 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.069 ; 4.582 ; -; 4.318 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.068 ; 4.581 ; -; 4.318 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl8[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.068 ; 4.581 ; -; 4.318 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl3[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.069 ; 4.582 ; -; 4.318 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.069 ; 4.582 ; -; 4.318 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.068 ; 4.581 ; -; 4.318 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl4[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.069 ; 4.582 ; -; 4.318 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.069 ; 4.582 ; -; 4.318 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.068 ; 4.581 ; -; 4.318 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl9[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.068 ; 4.581 ; -; 4.318 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl8[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.068 ; 4.581 ; -; 4.318 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.068 ; 4.581 ; -; 4.318 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.069 ; 4.582 ; -; 4.329 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl1[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.072 ; 4.596 ; -; 4.329 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl1[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.072 ; 4.596 ; -; 4.329 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.072 ; 4.596 ; -; 4.329 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 4.595 ; -; 4.329 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 4.595 ; -; 4.329 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 4.595 ; -; 4.329 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 4.595 ; -; 4.329 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[6] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 4.595 ; -; 4.329 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[7] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 4.595 ; -; 4.329 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[8] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 4.595 ; -; 4.329 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 4.595 ; -; 4.329 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[9] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 4.595 ; -; 4.330 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl3[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.072 ; 4.597 ; -; 4.330 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl4[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.072 ; 4.597 ; -; 4.330 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl0[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.070 ; 4.595 ; -; 4.330 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.072 ; 4.597 ; -; 4.330 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl8[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.070 ; 4.595 ; -; 4.330 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.072 ; 4.597 ; -; 4.330 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl0[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.070 ; 4.595 ; -; 4.330 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.072 ; 4.597 ; -; 4.330 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl4[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.072 ; 4.597 ; -; 4.330 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl9[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 4.604 ; -; 4.330 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl3[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.070 ; 4.595 ; -; 4.330 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl0[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.070 ; 4.595 ; -; 4.330 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl1[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.070 ; 4.595 ; -; 4.330 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 4.596 ; -; 4.330 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl8[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 4.596 ; -; 4.330 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl7[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.070 ; 4.595 ; -; 4.330 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.072 ; 4.597 ; -; 4.330 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl1[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.070 ; 4.595 ; -; 4.330 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl4[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 4.596 ; -; 4.330 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.070 ; 4.595 ; -; 4.330 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl3[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.072 ; 4.597 ; -; 4.330 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl7[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.079 ; 4.604 ; -; 4.330 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl3[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.070 ; 4.595 ; -; 4.330 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.070 ; 4.595 ; -; 4.330 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl0[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.070 ; 4.595 ; -; 4.330 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl1[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.072 ; 4.597 ; -; 4.330 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl8[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.070 ; 4.595 ; -; 4.330 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|dten ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.070 ; 4.595 ; -; 4.330 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.070 ; 4.595 ; -; 4.330 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[10] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.070 ; 4.595 ; -; 4.346 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl9[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.068 ; 4.609 ; -; 4.346 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl0[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.068 ; 4.609 ; -; 4.346 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_e0 ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.068 ; 4.609 ; -; 4.346 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_f0 ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.068 ; 4.609 ; -; 4.347 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl7[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.070 ; 4.612 ; -; 4.347 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.070 ; 4.612 ; -; 4.347 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.070 ; 4.612 ; -; 4.347 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl4[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.070 ; 4.612 ; -; 4.348 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl7[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.069 ; 4.612 ; -; 4.348 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.069 ; 4.612 ; -; 4.348 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.068 ; 4.611 ; -; 4.348 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl8[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.068 ; 4.611 ; -; 4.348 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl3[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.069 ; 4.612 ; -; 4.348 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.069 ; 4.612 ; -; 4.348 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.068 ; 4.611 ; -; 4.348 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl4[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.069 ; 4.612 ; -; 4.348 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.069 ; 4.612 ; -; 4.348 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.068 ; 4.611 ; -; 4.348 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl9[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.068 ; 4.611 ; -; 4.348 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl8[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.068 ; 4.611 ; -; 4.348 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.068 ; 4.611 ; -; 4.348 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.069 ; 4.612 ; -; 4.359 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl1[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.072 ; 4.626 ; -; 4.359 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl1[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.072 ; 4.626 ; -; 4.359 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.072 ; 4.626 ; -; 4.359 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 4.625 ; -; 4.359 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 4.625 ; -; 4.359 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 4.625 ; -; 4.359 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 4.625 ; -; 4.359 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[6] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 4.625 ; -; 4.359 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[7] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 4.625 ; -; 4.359 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[8] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 4.625 ; -; 4.359 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 4.625 ; -; 4.359 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[9] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.071 ; 4.625 ; -; 4.360 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl3[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.072 ; 4.627 ; -; 4.360 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl4[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.072 ; 4.627 ; -+-------+--------------+---------------------------------------------------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Slow 1200mV 0C Model Minimum Pulse Width: 'SPI_SCK' ; -+--------+--------------+----------------+------------+---------+------------+----------------------------------------------------------------------------------------------------------------------------+ -; Slack ; Actual Width ; Required Width ; Type ; Clock ; Clock Edge ; Target ; -+--------+--------------+----------------+------------+---------+------------+----------------------------------------------------------------------------------------------------------------------------+ -; -3.201 ; 1.000 ; 4.201 ; Min Period ; SPI_SCK ; Rise ; video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated|ram_block1a0~porta_address_reg0 ; -; -3.201 ; 1.000 ; 4.201 ; Min Period ; SPI_SCK ; Rise ; video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated|ram_block1a0~porta_datain_reg0 ; -; -3.201 ; 1.000 ; 4.201 ; Min Period ; SPI_SCK ; Rise ; video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated|ram_block1a0~porta_we_reg ; -; -3.201 ; 1.000 ; 4.201 ; Min Period ; SPI_SCK ; Rise ; video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated|ram_block1a4~porta_address_reg0 ; -; -3.201 ; 1.000 ; 4.201 ; Min Period ; SPI_SCK ; Rise ; video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated|ram_block1a4~porta_datain_reg0 ; -; -3.201 ; 1.000 ; 4.201 ; Min Period ; SPI_SCK ; Rise ; video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated|ram_block1a4~porta_we_reg ; -; -3.000 ; 1.000 ; 4.000 ; Port Rate ; SPI_SCK ; Rise ; SPI_SCK ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|bit_cnt[0] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|bit_cnt[1] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|bit_cnt[2] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|but_sw[1] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|but_sw[4] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|but_sw[5] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|byte_cnt[0] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|byte_cnt[1] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|byte_cnt[2] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|byte_cnt[3] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|byte_cnt[4] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|byte_cnt[5] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|byte_cnt[6] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|byte_cnt[7] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|cmd[0] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|cmd[1] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|cmd[2] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|cmd[3] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|cmd[4] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|cmd[5] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|cmd[6] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|cmd[7] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~0 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~1 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~10 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~11 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~12 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~13 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~14 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~15 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~16 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~17 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~18 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~19 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~2 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~20 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~21 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~22 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~23 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~24 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~25 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~26 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~27 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~28 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~29 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~3 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~30 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~31 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~32 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~33 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~34 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~35 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~36 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~37 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~38 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~39 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~4 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~40 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~41 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~42 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~43 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~44 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~45 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~46 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~47 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~48 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~49 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~5 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~50 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~51 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~52 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~53 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~54 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~55 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~56 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~57 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~58 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~59 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~6 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~60 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~61 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~62 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~63 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~7 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~8 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~9 ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_wptr[0] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_wptr[1] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_wptr[2] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|sbuf[0] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|sbuf[1] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|sbuf[2] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|sbuf[3] ; -+--------+--------------+----------------+------------+---------+------------+----------------------------------------------------------------------------------------------------------------------------+ - - -+----------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Slow 1200mV 0C Model Minimum Pulse Width: 'mz80k_top:mz80k_top|clk_count[2]' ; -+--------+--------------+----------------+------------+----------------------------------+------------+----------------------------------------------------+ -; Slack ; Actual Width ; Required Width ; Type ; Clock ; Clock Edge ; Target ; -+--------+--------------+----------------+------------+----------------------------------+------------+----------------------------------------------------+ -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|q_asu_zero ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrh|q[0] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrh|q[1] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrh|q[2] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrh|q[3] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrh|q[4] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrh|q[5] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrh|q[6] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrh|q[7] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrl|q[0] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrl|q[1] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrl|q[2] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrl|q[3] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrl|q[4] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrl|q[5] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrl|q[6] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrl|q[7] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_sph|q[0] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_sph|q[1] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_sph|q[2] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_sph|q[3] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_sph|q[4] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_sph|q[5] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_sph|q[6] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_sph|q[7] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_spl|q[0] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_spl|q[1] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_spl|q[2] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_spl|q[3] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_spl|q[4] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_spl|q[5] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_spl|q[6] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_spl|q[7] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[0] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[1] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[2] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[3] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[4] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[5] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[6] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[7] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q1[0] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q1[1] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q1[2] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q1[3] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q1[4] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q1[5] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q1[6] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q1[7] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_b|q0[0] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_b|q0[1] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_b|q0[2] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_b|q0[3] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_b|q0[4] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_b|q0[5] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_b|q0[6] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_b|q0[7] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_b|q1[0] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_b|q1[1] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_b|q1[2] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_b|q1[3] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_b|q1[4] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_b|q1[5] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_b|q1[6] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_b|q1[7] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q0[0] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q0[1] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q0[2] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q0[3] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q0[4] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q0[5] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q0[6] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q0[7] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q1[0] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q1[1] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q1[2] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q1[3] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q1[4] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q1[5] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q1[6] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q1[7] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d|q0[0] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d|q0[1] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d|q0[2] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d|q0[3] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d|q0[4] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d|q0[5] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d|q0[6] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d|q0[7] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d|q1[0] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d|q1[1] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d|q1[2] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d|q1[3] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d|q1[4] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d|q1[5] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d|q1[6] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d|q1[7] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q0[0] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q0[1] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q0[2] ; -+--------+--------------+----------------+------------+----------------------------------+------------+----------------------------------------------------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Slow 1200mV 0C Model Minimum Pulse Width: 'mz80k_top:mz80k_top|vga:vga1|counter[0]' ; -+--------+--------------+----------------+------------------+-----------------------------------------+------------+--------------------------------------------+ -; Slack ; Actual Width ; Required Width ; Type ; Clock ; Clock Edge ; Target ; -+--------+--------------+----------------+------------------+-----------------------------------------+------------+--------------------------------------------+ -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|x[0] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|x[1] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|x[2] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|x[3] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|x[4] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|x[5] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|x[6] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|x[7] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|x[8] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|x[9] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|y[0] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|y[1] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|y[2] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|y[3] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|y[4] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|y[5] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|y[6] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|y[7] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|y[8] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|y[9] ; -; 0.248 ; 0.464 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|x[0] ; -; 0.248 ; 0.464 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|x[1] ; -; 0.248 ; 0.464 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|x[2] ; -; 0.248 ; 0.464 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|x[3] ; -; 0.248 ; 0.464 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|x[4] ; -; 0.248 ; 0.464 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|x[5] ; -; 0.248 ; 0.464 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|x[6] ; -; 0.248 ; 0.464 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|x[7] ; -; 0.248 ; 0.464 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|x[8] ; -; 0.248 ; 0.464 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|x[9] ; -; 0.248 ; 0.464 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|y[0] ; -; 0.248 ; 0.464 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|y[1] ; -; 0.248 ; 0.464 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|y[2] ; -; 0.248 ; 0.464 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|y[3] ; -; 0.248 ; 0.464 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|y[4] ; -; 0.248 ; 0.464 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|y[5] ; -; 0.248 ; 0.464 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|y[6] ; -; 0.248 ; 0.464 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|y[7] ; -; 0.248 ; 0.464 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|y[8] ; -; 0.248 ; 0.464 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|y[9] ; -; 0.350 ; 0.534 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|x[0] ; -; 0.350 ; 0.534 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|x[1] ; -; 0.350 ; 0.534 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|x[2] ; -; 0.350 ; 0.534 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|x[3] ; -; 0.350 ; 0.534 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|x[4] ; -; 0.350 ; 0.534 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|x[5] ; -; 0.350 ; 0.534 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|x[6] ; -; 0.350 ; 0.534 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|x[7] ; -; 0.350 ; 0.534 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|x[8] ; -; 0.350 ; 0.534 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|x[9] ; -; 0.350 ; 0.534 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|y[0] ; -; 0.350 ; 0.534 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|y[1] ; -; 0.350 ; 0.534 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|y[2] ; -; 0.350 ; 0.534 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|y[3] ; -; 0.350 ; 0.534 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|y[4] ; -; 0.350 ; 0.534 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|y[5] ; -; 0.350 ; 0.534 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|y[6] ; -; 0.350 ; 0.534 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|y[7] ; -; 0.350 ; 0.534 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|y[8] ; -; 0.350 ; 0.534 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|y[9] ; -; 0.482 ; 0.482 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|counter[0]~clkctrl|inclk[0] ; -; 0.482 ; 0.482 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|counter[0]~clkctrl|outclk ; -; 0.482 ; 0.482 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|x[0]|clk ; -; 0.482 ; 0.482 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|x[1]|clk ; -; 0.482 ; 0.482 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|x[2]|clk ; -; 0.482 ; 0.482 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|x[3]|clk ; -; 0.482 ; 0.482 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|x[4]|clk ; -; 0.482 ; 0.482 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|x[5]|clk ; -; 0.482 ; 0.482 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|x[6]|clk ; -; 0.482 ; 0.482 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|x[7]|clk ; -; 0.482 ; 0.482 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|x[8]|clk ; -; 0.482 ; 0.482 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|x[9]|clk ; -; 0.482 ; 0.482 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|y[0]|clk ; -; 0.482 ; 0.482 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|y[1]|clk ; -; 0.482 ; 0.482 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|y[2]|clk ; -; 0.482 ; 0.482 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|y[3]|clk ; -; 0.482 ; 0.482 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|y[4]|clk ; -; 0.482 ; 0.482 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|y[5]|clk ; -; 0.482 ; 0.482 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|y[6]|clk ; -; 0.482 ; 0.482 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|y[7]|clk ; -; 0.482 ; 0.482 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|y[8]|clk ; -; 0.482 ; 0.482 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|y[9]|clk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|counter[0]|q ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|counter[0]|q ; -; 0.517 ; 0.517 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|counter[0]~clkctrl|inclk[0] ; -; 0.517 ; 0.517 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|counter[0]~clkctrl|outclk ; -; 0.517 ; 0.517 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|x[0]|clk ; -; 0.517 ; 0.517 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|x[1]|clk ; -; 0.517 ; 0.517 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|x[2]|clk ; -; 0.517 ; 0.517 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|x[3]|clk ; -; 0.517 ; 0.517 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|x[4]|clk ; -; 0.517 ; 0.517 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|x[5]|clk ; -; 0.517 ; 0.517 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|x[6]|clk ; -; 0.517 ; 0.517 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|x[7]|clk ; -; 0.517 ; 0.517 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|x[8]|clk ; -; 0.517 ; 0.517 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|x[9]|clk ; -; 0.517 ; 0.517 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|y[0]|clk ; -; 0.517 ; 0.517 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|y[1]|clk ; -; 0.517 ; 0.517 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|y[2]|clk ; -; 0.517 ; 0.517 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|y[3]|clk ; -+--------+--------------+----------------+------------------+-----------------------------------------+------------+--------------------------------------------+ - - -+----------------------------------------------------------------------------------------------------------------------------------------------------+ -; Slow 1200mV 0C Model Minimum Pulse Width: 'mz80k_top:mz80k_top|CLK_2M' ; -+--------+--------------+----------------+------------------+----------------------------+------------+----------------------------------------------+ -; Slack ; Actual Width ; Required Width ; Type ; Clock ; Clock Edge ; Target ; -+--------+--------------+----------------+------------------+----------------------------+------------+----------------------------------------------+ -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[0] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[10] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[11] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[12] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[13] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[14] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[15] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[1] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[2] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[3] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[4] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[5] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[6] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[7] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[8] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[9] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|signal0 ; -; 0.153 ; 0.369 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[0] ; -; 0.153 ; 0.369 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[10] ; -; 0.153 ; 0.369 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[11] ; -; 0.153 ; 0.369 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[12] ; -; 0.153 ; 0.369 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[13] ; -; 0.153 ; 0.369 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[14] ; -; 0.153 ; 0.369 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[15] ; -; 0.153 ; 0.369 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[1] ; -; 0.153 ; 0.369 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[2] ; -; 0.153 ; 0.369 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[3] ; -; 0.153 ; 0.369 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[4] ; -; 0.153 ; 0.369 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[5] ; -; 0.153 ; 0.369 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[6] ; -; 0.153 ; 0.369 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[7] ; -; 0.153 ; 0.369 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[8] ; -; 0.153 ; 0.369 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[9] ; -; 0.154 ; 0.370 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|signal0 ; -; 0.423 ; 0.423 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|count0[0]|clk ; -; 0.423 ; 0.423 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|count0[10]|clk ; -; 0.423 ; 0.423 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|count0[11]|clk ; -; 0.423 ; 0.423 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|count0[12]|clk ; -; 0.423 ; 0.423 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|count0[13]|clk ; -; 0.423 ; 0.423 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|count0[14]|clk ; -; 0.423 ; 0.423 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|count0[15]|clk ; -; 0.423 ; 0.423 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|count0[1]|clk ; -; 0.423 ; 0.423 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|count0[2]|clk ; -; 0.423 ; 0.423 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|count0[3]|clk ; -; 0.423 ; 0.423 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|count0[4]|clk ; -; 0.423 ; 0.423 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|count0[5]|clk ; -; 0.423 ; 0.423 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|count0[6]|clk ; -; 0.423 ; 0.423 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|count0[7]|clk ; -; 0.423 ; 0.423 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|count0[8]|clk ; -; 0.423 ; 0.423 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|count0[9]|clk ; -; 0.424 ; 0.424 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|signal0|clk ; -; 0.425 ; 0.425 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|CLK_2M~clkctrl|inclk[0] ; -; 0.425 ; 0.425 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|CLK_2M~clkctrl|outclk ; -; 0.440 ; 0.624 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[0] ; -; 0.440 ; 0.624 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[10] ; -; 0.440 ; 0.624 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[11] ; -; 0.440 ; 0.624 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[12] ; -; 0.440 ; 0.624 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[13] ; -; 0.440 ; 0.624 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[14] ; -; 0.440 ; 0.624 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[15] ; -; 0.440 ; 0.624 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[1] ; -; 0.440 ; 0.624 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[2] ; -; 0.440 ; 0.624 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[3] ; -; 0.440 ; 0.624 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[4] ; -; 0.440 ; 0.624 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[5] ; -; 0.440 ; 0.624 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[6] ; -; 0.440 ; 0.624 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[7] ; -; 0.440 ; 0.624 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[8] ; -; 0.440 ; 0.624 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[9] ; -; 0.441 ; 0.625 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|signal0 ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|CLK_2M|q ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|CLK_2M|q ; -; 0.572 ; 0.572 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|CLK_2M~clkctrl|inclk[0] ; -; 0.572 ; 0.572 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|CLK_2M~clkctrl|outclk ; -; 0.573 ; 0.573 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|count0[0]|clk ; -; 0.573 ; 0.573 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|count0[10]|clk ; -; 0.573 ; 0.573 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|count0[11]|clk ; -; 0.573 ; 0.573 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|count0[12]|clk ; -; 0.573 ; 0.573 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|count0[13]|clk ; -; 0.573 ; 0.573 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|count0[14]|clk ; -; 0.573 ; 0.573 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|count0[15]|clk ; -; 0.573 ; 0.573 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|count0[1]|clk ; -; 0.573 ; 0.573 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|count0[2]|clk ; -; 0.573 ; 0.573 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|count0[3]|clk ; -; 0.573 ; 0.573 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|count0[4]|clk ; -; 0.573 ; 0.573 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|count0[5]|clk ; -; 0.573 ; 0.573 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|count0[6]|clk ; -; 0.573 ; 0.573 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|count0[7]|clk ; -; 0.573 ; 0.573 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|count0[8]|clk ; -; 0.573 ; 0.573 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|count0[9]|clk ; -; 0.574 ; 0.574 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|signal0|clk ; -+--------+--------------+----------------+------------------+----------------------------+------------+----------------------------------------------+ - - -+-------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Slow 1200mV 0C Model Minimum Pulse Width: 'mz80k_top:mz80k_top|CLK_31250' ; -+--------+--------------+----------------+------------------+-------------------------------+------------+----------------------------------------------+ -; Slack ; Actual Width ; Required Width ; Type ; Clock ; Clock Edge ; Target ; -+--------+--------------+----------------+------------------+-------------------------------+------------+----------------------------------------------+ -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[0] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[10] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[11] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[12] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[13] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[14] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[15] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[1] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[2] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[3] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[4] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[5] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[6] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[7] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[8] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[9] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; -; 0.247 ; 0.463 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[0] ; -; 0.247 ; 0.463 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[10] ; -; 0.247 ; 0.463 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[11] ; -; 0.247 ; 0.463 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[12] ; -; 0.247 ; 0.463 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[13] ; -; 0.247 ; 0.463 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[14] ; -; 0.247 ; 0.463 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[15] ; -; 0.247 ; 0.463 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[1] ; -; 0.247 ; 0.463 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[2] ; -; 0.247 ; 0.463 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[3] ; -; 0.247 ; 0.463 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[4] ; -; 0.247 ; 0.463 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[5] ; -; 0.247 ; 0.463 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[6] ; -; 0.247 ; 0.463 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[7] ; -; 0.247 ; 0.463 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[8] ; -; 0.247 ; 0.463 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[9] ; -; 0.247 ; 0.463 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; -; 0.351 ; 0.535 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; -; 0.352 ; 0.536 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[0] ; -; 0.352 ; 0.536 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[10] ; -; 0.352 ; 0.536 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[11] ; -; 0.352 ; 0.536 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[12] ; -; 0.352 ; 0.536 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[13] ; -; 0.352 ; 0.536 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[14] ; -; 0.352 ; 0.536 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[15] ; -; 0.352 ; 0.536 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[1] ; -; 0.352 ; 0.536 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[2] ; -; 0.352 ; 0.536 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[3] ; -; 0.352 ; 0.536 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[4] ; -; 0.352 ; 0.536 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[5] ; -; 0.352 ; 0.536 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[6] ; -; 0.352 ; 0.536 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[7] ; -; 0.352 ; 0.536 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[8] ; -; 0.352 ; 0.536 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[9] ; -; 0.483 ; 0.483 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|count1[0]|clk ; -; 0.483 ; 0.483 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|count1[10]|clk ; -; 0.483 ; 0.483 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|count1[11]|clk ; -; 0.483 ; 0.483 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|count1[12]|clk ; -; 0.483 ; 0.483 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|count1[13]|clk ; -; 0.483 ; 0.483 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|count1[14]|clk ; -; 0.483 ; 0.483 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|count1[15]|clk ; -; 0.483 ; 0.483 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|count1[1]|clk ; -; 0.483 ; 0.483 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|count1[2]|clk ; -; 0.483 ; 0.483 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|count1[3]|clk ; -; 0.483 ; 0.483 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|count1[4]|clk ; -; 0.483 ; 0.483 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|count1[5]|clk ; -; 0.483 ; 0.483 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|count1[6]|clk ; -; 0.483 ; 0.483 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|count1[7]|clk ; -; 0.483 ; 0.483 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|count1[8]|clk ; -; 0.483 ; 0.483 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|count1[9]|clk ; -; 0.483 ; 0.483 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|signal1|clk ; -; 0.485 ; 0.485 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|CLK_31250~clkctrl|inclk[0] ; -; 0.485 ; 0.485 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|CLK_31250~clkctrl|outclk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|CLK_31250|q ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|CLK_31250|q ; -; 0.514 ; 0.514 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|CLK_31250~clkctrl|inclk[0] ; -; 0.514 ; 0.514 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|CLK_31250~clkctrl|outclk ; -; 0.515 ; 0.515 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|count1[0]|clk ; -; 0.515 ; 0.515 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|count1[10]|clk ; -; 0.515 ; 0.515 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|count1[11]|clk ; -; 0.515 ; 0.515 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|count1[12]|clk ; -; 0.515 ; 0.515 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|count1[13]|clk ; -; 0.515 ; 0.515 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|count1[14]|clk ; -; 0.515 ; 0.515 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|count1[15]|clk ; -; 0.515 ; 0.515 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|count1[1]|clk ; -; 0.515 ; 0.515 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|count1[2]|clk ; -; 0.515 ; 0.515 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|count1[3]|clk ; -; 0.515 ; 0.515 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|count1[4]|clk ; -; 0.515 ; 0.515 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|count1[5]|clk ; -; 0.515 ; 0.515 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|count1[6]|clk ; -; 0.515 ; 0.515 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|count1[7]|clk ; -; 0.515 ; 0.515 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|count1[8]|clk ; -; 0.515 ; 0.515 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|count1[9]|clk ; -; 0.516 ; 0.516 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|signal1|clk ; -+--------+--------------+----------------+------------------+-------------------------------+------------+----------------------------------------------+ - - -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Slow 1200mV 0C Model Minimum Pulse Width: 'mz80k_top:mz80k_top|i8253:i8253_1|signal1' ; -+--------+--------------+----------------+------------------+-------------------------------------------+------------+----------------------------------------------+ -; Slack ; Actual Width ; Required Width ; Type ; Clock ; Clock Edge ; Target ; -+--------+--------------+----------------+------------------+-------------------------------------------+------------+----------------------------------------------+ -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[10] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[11] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[12] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[13] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[14] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[15] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[1] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[3] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[4] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[5] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[6] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[7] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[8] ; -; -1.487 ; 1.000 ; 2.487 ; Min Period ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[9] ; -; 0.019 ; 0.235 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[12] ; -; 0.019 ; 0.235 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[13] ; -; 0.019 ; 0.235 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[14] ; -; 0.019 ; 0.235 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[8] ; -; 0.019 ; 0.235 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[9] ; -; 0.074 ; 0.290 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; -; 0.074 ; 0.290 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[10] ; -; 0.074 ; 0.290 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[11] ; -; 0.074 ; 0.290 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[15] ; -; 0.074 ; 0.290 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[1] ; -; 0.074 ; 0.290 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; -; 0.074 ; 0.290 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[3] ; -; 0.074 ; 0.290 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[4] ; -; 0.074 ; 0.290 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[5] ; -; 0.074 ; 0.290 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[6] ; -; 0.074 ; 0.290 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[7] ; -; 0.289 ; 0.289 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|count2[12]|clk ; -; 0.289 ; 0.289 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|count2[13]|clk ; -; 0.289 ; 0.289 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|count2[14]|clk ; -; 0.289 ; 0.289 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|count2[8]|clk ; -; 0.289 ; 0.289 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|count2[9]|clk ; -; 0.344 ; 0.344 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|count2[0]|clk ; -; 0.344 ; 0.344 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|count2[10]|clk ; -; 0.344 ; 0.344 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|count2[11]|clk ; -; 0.344 ; 0.344 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|count2[15]|clk ; -; 0.344 ; 0.344 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|count2[1]|clk ; -; 0.344 ; 0.344 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|count2[2]|clk ; -; 0.344 ; 0.344 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|count2[3]|clk ; -; 0.344 ; 0.344 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|count2[4]|clk ; -; 0.344 ; 0.344 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|count2[5]|clk ; -; 0.344 ; 0.344 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|count2[6]|clk ; -; 0.344 ; 0.344 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|count2[7]|clk ; -; 0.344 ; 0.344 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|signal1~clkctrl|inclk[0] ; -; 0.344 ; 0.344 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|signal1~clkctrl|outclk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|signal1|q ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|signal1|q ; -; 0.517 ; 0.701 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; -; 0.517 ; 0.701 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[10] ; -; 0.517 ; 0.701 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[11] ; -; 0.517 ; 0.701 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[15] ; -; 0.517 ; 0.701 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[1] ; -; 0.517 ; 0.701 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; -; 0.517 ; 0.701 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[3] ; -; 0.517 ; 0.701 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[4] ; -; 0.517 ; 0.701 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[5] ; -; 0.517 ; 0.701 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[6] ; -; 0.517 ; 0.701 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[7] ; -; 0.570 ; 0.754 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[12] ; -; 0.570 ; 0.754 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[13] ; -; 0.570 ; 0.754 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[14] ; -; 0.570 ; 0.754 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[8] ; -; 0.570 ; 0.754 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[9] ; -; 0.650 ; 0.650 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|count2[0]|clk ; -; 0.650 ; 0.650 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|count2[10]|clk ; -; 0.650 ; 0.650 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|count2[11]|clk ; -; 0.650 ; 0.650 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|count2[15]|clk ; -; 0.650 ; 0.650 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|count2[1]|clk ; -; 0.650 ; 0.650 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|count2[2]|clk ; -; 0.650 ; 0.650 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|count2[3]|clk ; -; 0.650 ; 0.650 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|count2[4]|clk ; -; 0.650 ; 0.650 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|count2[5]|clk ; -; 0.650 ; 0.650 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|count2[6]|clk ; -; 0.650 ; 0.650 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|count2[7]|clk ; -; 0.650 ; 0.650 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|signal1~clkctrl|inclk[0] ; -; 0.650 ; 0.650 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|signal1~clkctrl|outclk ; -; 0.703 ; 0.703 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|count2[12]|clk ; -; 0.703 ; 0.703 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|count2[13]|clk ; -; 0.703 ; 0.703 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|count2[14]|clk ; -; 0.703 ; 0.703 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|count2[8]|clk ; -; 0.703 ; 0.703 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|count2[9]|clk ; -+--------+--------------+----------------+------------------+-------------------------------------------+------------+----------------------------------------------+ - - -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Slow 1200mV 0C Model Minimum Pulse Width: 'pll|altpll_component|auto_generated|pll1|clk[0]' ; -+-------+--------------+----------------+------------------+-------------------------------------------------+------------+------------------------------------------------------------------------------------------------------------------------+ -; Slack ; Actual Width ; Required Width ; Type ; Clock ; Clock Edge ; Target ; -+-------+--------------+----------------+------------------+-------------------------------------------------+------------+------------------------------------------------------------------------------------------------------------------------+ -; 9.638 ; 9.854 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|address_reg_a[0] ; -; 9.638 ; 9.854 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|address_reg_a[1] ; -; 9.642 ; 9.858 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; mist_io:mist_io|ps2_kbd_tx_byte[7] ; -; 9.643 ; 9.859 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; mz80k_top:mz80k_top|ps2:ps2_1|data[6]~reg0 ; -; 9.643 ; 9.859 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; mz80k_top:mz80k_top|ps2:ps2_1|data[7]~reg0 ; -; 9.644 ; 9.860 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; mz80k_top:mz80k_top|ps2:ps2_1|data[0]~reg0 ; -; 9.644 ; 9.860 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; mz80k_top:mz80k_top|ps2:ps2_1|data[1]~reg0 ; -; 9.644 ; 9.860 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; mz80k_top:mz80k_top|ps2:ps2_1|data[2]~reg0 ; -; 9.644 ; 9.860 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; mz80k_top:mz80k_top|ps2:ps2_1|data[3]~reg0 ; -; 9.644 ; 9.860 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; mz80k_top:mz80k_top|ps2:ps2_1|data[4]~reg0 ; -; 9.644 ; 9.860 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; mz80k_top:mz80k_top|ps2:ps2_1|data[5]~reg0 ; -; 9.645 ; 9.861 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; mz80k_top:mz80k_top|clk_count[18] ; -; 9.645 ; 9.861 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; mz80k_top:mz80k_top|clk_count[19] ; -; 9.645 ; 9.861 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; mz80k_top:mz80k_top|clk_count[20] ; -; 9.645 ; 9.861 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; mz80k_top:mz80k_top|clk_count[21] ; -; 9.645 ; 9.861 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; mz80k_top:mz80k_top|clk_count[22] ; -; 9.645 ; 9.861 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; mz80k_top:mz80k_top|clk_count[23] ; -; 9.645 ; 9.861 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; mz80k_top:mz80k_top|clk_count[24] ; -; 9.645 ; 9.861 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|out_address_reg_a[0] ; -; 9.645 ; 9.861 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|out_address_reg_a[1] ; -; 9.645 ; 9.861 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; sigma_delta_dac:sigma_delta_dac|SigmaLatch[0] ; -; 9.645 ; 9.861 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; sigma_delta_dac:sigma_delta_dac|SigmaLatch[1] ; -; 9.645 ; 9.861 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; sigma_delta_dac:sigma_delta_dac|SigmaLatch[2] ; -; 9.684 ; 9.868 ; 0.184 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|cnt[0]~reg1 ; -; 9.684 ; 9.868 ; 0.184 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|cnt[10] ; -; 9.684 ; 9.868 ; 0.184 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|cnt[11] ; -; 9.684 ; 9.868 ; 0.184 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|cnt[12] ; -; 9.684 ; 9.868 ; 0.184 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|cnt[13] ; -; 9.684 ; 9.868 ; 0.184 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|cnt[14] ; -; 9.684 ; 9.868 ; 0.184 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|cnt[15] ; -; 9.684 ; 9.868 ; 0.184 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|cnt[1]~reg1 ; -; 9.684 ; 9.868 ; 0.184 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|cnt[2]~reg1 ; -; 9.684 ; 9.868 ; 0.184 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|cnt[3]~reg1 ; -; 9.684 ; 9.868 ; 0.184 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|cnt[4]~reg1 ; -; 9.684 ; 9.868 ; 0.184 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|cnt[5] ; -; 9.684 ; 9.868 ; 0.184 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|cnt[6] ; -; 9.684 ; 9.868 ; 0.184 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|cnt[7] ; -; 9.684 ; 9.868 ; 0.184 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|cnt[8] ; -; 9.684 ; 9.868 ; 0.184 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|cnt[9] ; -; 9.685 ; 9.869 ; 0.184 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|ce_pix ; -; 9.685 ; 9.869 ; 0.184 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixcnt[16] ; -; 9.685 ; 9.869 ; 0.184 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixcnt[17] ; -; 9.685 ; 9.869 ; 0.184 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixcnt[18] ; -; 9.685 ; 9.869 ; 0.184 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixcnt[19] ; -; 9.685 ; 9.869 ; 0.184 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixcnt[20] ; -; 9.685 ; 9.869 ; 0.184 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixcnt[21] ; -; 9.685 ; 9.869 ; 0.184 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixcnt[22] ; -; 9.685 ; 9.869 ; 0.184 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixcnt[23] ; -; 9.685 ; 9.869 ; 0.184 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixcnt[24] ; -; 9.685 ; 9.869 ; 0.184 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixcnt[25] ; -; 9.685 ; 9.869 ; 0.184 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixcnt[26] ; -; 9.685 ; 9.869 ; 0.184 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixcnt[27] ; -; 9.685 ; 9.869 ; 0.184 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixcnt[28] ; -; 9.685 ; 9.869 ; 0.184 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixcnt[29] ; -; 9.685 ; 9.869 ; 0.184 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixcnt[30] ; -; 9.685 ; 9.869 ; 0.184 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixcnt[31] ; -; 9.685 ; 9.869 ; 0.184 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixsz[0] ; -; 9.685 ; 9.869 ; 0.184 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixsz[12] ; -; 9.685 ; 9.869 ; 0.184 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixsz[13] ; -; 9.685 ; 9.869 ; 0.184 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixsz[14] ; -; 9.685 ; 9.869 ; 0.184 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixsz[15] ; -; 9.685 ; 9.869 ; 0.184 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixsz[16] ; -; 9.685 ; 9.869 ; 0.184 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixsz[17] ; -; 9.685 ; 9.869 ; 0.184 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixsz[18] ; -; 9.685 ; 9.869 ; 0.184 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixsz[19] ; -; 9.685 ; 9.869 ; 0.184 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixsz[20] ; -; 9.685 ; 9.869 ; 0.184 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixsz[21] ; -; 9.685 ; 9.869 ; 0.184 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixsz[22] ; -; 9.685 ; 9.869 ; 0.184 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixsz[23] ; -; 9.686 ; 9.870 ; 0.184 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixcnt[0] ; -; 9.686 ; 9.870 ; 0.184 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixcnt[10] ; -; 9.686 ; 9.870 ; 0.184 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixcnt[11] ; -; 9.686 ; 9.870 ; 0.184 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixcnt[12] ; -; 9.686 ; 9.870 ; 0.184 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixcnt[13] ; -; 9.686 ; 9.870 ; 0.184 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixcnt[14] ; -; 9.686 ; 9.870 ; 0.184 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixcnt[15] ; -; 9.686 ; 9.870 ; 0.184 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixcnt[1] ; -; 9.686 ; 9.870 ; 0.184 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixcnt[2] ; -; 9.686 ; 9.870 ; 0.184 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixcnt[3] ; -; 9.686 ; 9.870 ; 0.184 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixcnt[4] ; -; 9.686 ; 9.870 ; 0.184 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixcnt[5] ; -; 9.686 ; 9.870 ; 0.184 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixcnt[6] ; -; 9.686 ; 9.870 ; 0.184 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixcnt[7] ; -; 9.686 ; 9.870 ; 0.184 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixcnt[8] ; -; 9.686 ; 9.870 ; 0.184 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixcnt[9] ; -; 9.686 ; 9.870 ; 0.184 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixsz[10] ; -; 9.686 ; 9.870 ; 0.184 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixsz[11] ; -; 9.686 ; 9.870 ; 0.184 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixsz[1] ; -; 9.686 ; 9.870 ; 0.184 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixsz[2] ; -; 9.686 ; 9.870 ; 0.184 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixsz[3] ; -; 9.686 ; 9.870 ; 0.184 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixsz[4] ; -; 9.686 ; 9.870 ; 0.184 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixsz[5] ; -; 9.686 ; 9.870 ; 0.184 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixsz[6] ; -; 9.686 ; 9.870 ; 0.184 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixsz[7] ; -; 9.686 ; 9.870 ; 0.184 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixsz[8] ; -; 9.686 ; 9.870 ; 0.184 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixsz[9] ; -; 9.688 ; 9.904 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; video_mixer:video_mixer|old_hs ; -; 9.688 ; 9.904 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; video_mixer:video_mixer|scanline ; -; 9.689 ; 9.905 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; video_mixer:video_mixer|old_vs ; -; 9.690 ; 9.906 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; video_mixer:video_mixer|osd:osd|h_cnt[0] ; -+-------+--------------+----------------+------------------+-------------------------------------------------+------------+------------------------------------------------------------------------------------------------------------------------+ - - -+-----------------------------------------------------------------------------------------------------------------------------------------------+ -; Slow 1200mV 0C Model Minimum Pulse Width: 'CLOCK_27' ; -+--------+--------------+----------------+------------------+----------+------------+-----------------------------------------------------------+ -; Slack ; Actual Width ; Required Width ; Type ; Clock ; Clock Edge ; Target ; -+--------+--------------+----------------+------------------+----------+------------+-----------------------------------------------------------+ -; 18.351 ; 18.351 ; 0.000 ; Low Pulse Width ; CLOCK_27 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; 18.351 ; 18.351 ; 0.000 ; Low Pulse Width ; CLOCK_27 ; Rise ; pll|altpll_component|auto_generated|pll1|observablevcoout ; -; 18.400 ; 18.400 ; 0.000 ; Low Pulse Width ; CLOCK_27 ; Rise ; CLOCK_27~input|o ; -; 18.430 ; 18.430 ; 0.000 ; Low Pulse Width ; CLOCK_27 ; Rise ; pll|altpll_component|auto_generated|pll1|inclk[0] ; -; 18.518 ; 18.518 ; 0.000 ; High Pulse Width ; CLOCK_27 ; Rise ; CLOCK_27~input|i ; -; 18.519 ; 18.519 ; 0.000 ; Low Pulse Width ; CLOCK_27 ; Rise ; CLOCK_27~input|i ; -; 18.606 ; 18.606 ; 0.000 ; High Pulse Width ; CLOCK_27 ; Rise ; pll|altpll_component|auto_generated|pll1|inclk[0] ; -; 18.637 ; 18.637 ; 0.000 ; High Pulse Width ; CLOCK_27 ; Rise ; CLOCK_27~input|o ; -; 18.684 ; 18.684 ; 0.000 ; High Pulse Width ; CLOCK_27 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; 18.684 ; 18.684 ; 0.000 ; High Pulse Width ; CLOCK_27 ; Rise ; pll|altpll_component|auto_generated|pll1|observablevcoout ; -; 33.037 ; 37.037 ; 4.000 ; Port Rate ; CLOCK_27 ; Rise ; CLOCK_27 ; -+--------+--------------+----------------+------------------+----------+------------+-----------------------------------------------------------+ - - -+------------------------------------------------------------------------+ -; Setup Times ; -+------------+------------+-------+-------+------------+-----------------+ -; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; -+------------+------------+-------+-------+------------+-----------------+ -; CONF_DATA0 ; SPI_SCK ; 7.846 ; 8.668 ; Rise ; SPI_SCK ; -; SPI_DI ; SPI_SCK ; 0.809 ; 1.201 ; Rise ; SPI_SCK ; -; SPI_SS3 ; SPI_SCK ; 1.342 ; 1.752 ; Rise ; SPI_SCK ; -; CONF_DATA0 ; SPI_SCK ; 2.981 ; 3.102 ; Fall ; SPI_SCK ; -+------------+------------+-------+-------+------------+-----------------+ - - -+--------------------------------------------------------------------------+ -; Hold Times ; -+------------+------------+--------+--------+------------+-----------------+ -; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; -+------------+------------+--------+--------+------------+-----------------+ -; CONF_DATA0 ; SPI_SCK ; -3.270 ; -3.349 ; Rise ; SPI_SCK ; -; SPI_DI ; SPI_SCK ; 0.953 ; 0.656 ; Rise ; SPI_SCK ; -; SPI_SS3 ; SPI_SCK ; 0.246 ; -0.017 ; Rise ; SPI_SCK ; -; CONF_DATA0 ; SPI_SCK ; -2.615 ; -2.730 ; Fall ; SPI_SCK ; -+------------+------------+--------+--------+------------+-----------------+ - - -+--------------------------------------------------------------------------------------------------------------------------------------+ -; Clock to Output Times ; -+-----------+-----------------------------------------+--------+--------+------------+-------------------------------------------------+ -; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; -+-----------+-----------------------------------------+--------+--------+------------+-------------------------------------------------+ -; VGA_B[*] ; SPI_SCK ; 32.404 ; 31.245 ; Rise ; SPI_SCK ; -; VGA_B[0] ; SPI_SCK ; 29.658 ; 28.877 ; Rise ; SPI_SCK ; -; VGA_B[1] ; SPI_SCK ; 29.141 ; 28.701 ; Rise ; SPI_SCK ; -; VGA_B[2] ; SPI_SCK ; 32.404 ; 31.245 ; Rise ; SPI_SCK ; -; VGA_B[3] ; SPI_SCK ; 29.207 ; 28.826 ; Rise ; SPI_SCK ; -; VGA_B[4] ; SPI_SCK ; 28.598 ; 27.945 ; Rise ; SPI_SCK ; -; VGA_B[5] ; SPI_SCK ; 28.307 ; 27.606 ; Rise ; SPI_SCK ; -; VGA_G[*] ; SPI_SCK ; 25.613 ; 25.121 ; Rise ; SPI_SCK ; -; VGA_G[0] ; SPI_SCK ; 25.613 ; 25.121 ; Rise ; SPI_SCK ; -; VGA_G[1] ; SPI_SCK ; 24.977 ; 24.357 ; Rise ; SPI_SCK ; -; VGA_G[2] ; SPI_SCK ; 24.179 ; 23.499 ; Rise ; SPI_SCK ; -; VGA_G[3] ; SPI_SCK ; 24.378 ; 23.826 ; Rise ; SPI_SCK ; -; VGA_G[4] ; SPI_SCK ; 22.599 ; 22.254 ; Rise ; SPI_SCK ; -; VGA_G[5] ; SPI_SCK ; 18.724 ; 18.068 ; Rise ; SPI_SCK ; -; VGA_R[*] ; SPI_SCK ; 31.658 ; 31.105 ; Rise ; SPI_SCK ; -; VGA_R[0] ; SPI_SCK ; 31.381 ; 30.638 ; Rise ; SPI_SCK ; -; VGA_R[1] ; SPI_SCK ; 31.514 ; 31.105 ; Rise ; SPI_SCK ; -; VGA_R[2] ; SPI_SCK ; 31.658 ; 30.917 ; Rise ; SPI_SCK ; -; VGA_R[3] ; SPI_SCK ; 28.866 ; 28.361 ; Rise ; SPI_SCK ; -; VGA_R[4] ; SPI_SCK ; 31.030 ; 30.261 ; Rise ; SPI_SCK ; -; VGA_R[5] ; SPI_SCK ; 31.172 ; 30.141 ; Rise ; SPI_SCK ; -; SPI_DO ; SPI_SCK ; 10.534 ; 9.828 ; Fall ; SPI_SCK ; -; VGA_B[*] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 36.830 ; 35.671 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_B[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 34.084 ; 33.303 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_B[1] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 33.567 ; 33.127 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_B[2] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 36.830 ; 35.671 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_B[3] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 33.633 ; 33.252 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_B[4] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 33.024 ; 32.371 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_B[5] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 32.733 ; 32.032 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_G[*] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 29.920 ; 29.428 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_G[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 29.920 ; 29.428 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_G[1] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 29.284 ; 28.664 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_G[2] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 28.486 ; 27.806 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_G[3] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 28.685 ; 28.133 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_G[4] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 26.906 ; 26.561 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_G[5] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 23.031 ; 22.375 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_HS ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 12.608 ; 11.792 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_R[*] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 35.238 ; 34.685 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_R[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 34.961 ; 34.218 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_R[1] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 35.094 ; 34.685 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_R[2] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 35.238 ; 34.497 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_R[3] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 32.446 ; 31.941 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_R[4] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 34.373 ; 33.841 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_R[5] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 34.752 ; 33.503 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; AUDIO_L ; CLOCK_27 ; 8.100 ; 7.173 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; AUDIO_R ; CLOCK_27 ; 8.032 ; 7.037 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_B[*] ; CLOCK_27 ; 41.968 ; 40.809 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_B[0] ; CLOCK_27 ; 39.222 ; 38.441 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_B[1] ; CLOCK_27 ; 38.705 ; 38.265 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_B[2] ; CLOCK_27 ; 41.968 ; 40.809 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_B[3] ; CLOCK_27 ; 38.771 ; 38.390 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_B[4] ; CLOCK_27 ; 38.162 ; 37.509 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_B[5] ; CLOCK_27 ; 37.871 ; 37.170 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_G[*] ; CLOCK_27 ; 35.177 ; 34.685 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_G[0] ; CLOCK_27 ; 35.177 ; 34.685 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_G[1] ; CLOCK_27 ; 34.541 ; 33.921 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_G[2] ; CLOCK_27 ; 33.743 ; 33.063 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_G[3] ; CLOCK_27 ; 33.942 ; 33.390 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_G[4] ; CLOCK_27 ; 32.163 ; 31.818 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_G[5] ; CLOCK_27 ; 28.288 ; 27.632 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_R[*] ; CLOCK_27 ; 41.222 ; 40.669 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_R[0] ; CLOCK_27 ; 40.945 ; 40.202 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_R[1] ; CLOCK_27 ; 41.078 ; 40.669 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_R[2] ; CLOCK_27 ; 41.222 ; 40.481 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_R[3] ; CLOCK_27 ; 38.430 ; 37.925 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_R[4] ; CLOCK_27 ; 40.594 ; 39.825 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_R[5] ; CLOCK_27 ; 40.736 ; 39.705 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -+-----------+-----------------------------------------+--------+--------+------------+-------------------------------------------------+ - - -+--------------------------------------------------------------------------------------------------------------------------------------+ -; Minimum Clock to Output Times ; -+-----------+-----------------------------------------+--------+--------+------------+-------------------------------------------------+ -; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; -+-----------+-----------------------------------------+--------+--------+------------+-------------------------------------------------+ -; VGA_B[*] ; SPI_SCK ; 8.711 ; 8.177 ; Rise ; SPI_SCK ; -; VGA_B[0] ; SPI_SCK ; 9.697 ; 9.020 ; Rise ; SPI_SCK ; -; VGA_B[1] ; SPI_SCK ; 9.813 ; 9.369 ; Rise ; SPI_SCK ; -; VGA_B[2] ; SPI_SCK ; 8.711 ; 8.177 ; Rise ; SPI_SCK ; -; VGA_B[3] ; SPI_SCK ; 9.076 ; 8.732 ; Rise ; SPI_SCK ; -; VGA_B[4] ; SPI_SCK ; 9.318 ; 8.901 ; Rise ; SPI_SCK ; -; VGA_B[5] ; SPI_SCK ; 9.848 ; 9.449 ; Rise ; SPI_SCK ; -; VGA_G[*] ; SPI_SCK ; 9.088 ; 8.639 ; Rise ; SPI_SCK ; -; VGA_G[0] ; SPI_SCK ; 9.255 ; 8.779 ; Rise ; SPI_SCK ; -; VGA_G[1] ; SPI_SCK ; 9.309 ; 8.780 ; Rise ; SPI_SCK ; -; VGA_G[2] ; SPI_SCK ; 9.088 ; 8.639 ; Rise ; SPI_SCK ; -; VGA_G[3] ; SPI_SCK ; 9.695 ; 9.202 ; Rise ; SPI_SCK ; -; VGA_G[4] ; SPI_SCK ; 9.301 ; 8.801 ; Rise ; SPI_SCK ; -; VGA_G[5] ; SPI_SCK ; 10.005 ; 9.396 ; Rise ; SPI_SCK ; -; VGA_R[*] ; SPI_SCK ; 9.574 ; 9.060 ; Rise ; SPI_SCK ; -; VGA_R[0] ; SPI_SCK ; 9.756 ; 9.132 ; Rise ; SPI_SCK ; -; VGA_R[1] ; SPI_SCK ; 10.380 ; 9.894 ; Rise ; SPI_SCK ; -; VGA_R[2] ; SPI_SCK ; 10.049 ; 9.425 ; Rise ; SPI_SCK ; -; VGA_R[3] ; SPI_SCK ; 9.574 ; 9.060 ; Rise ; SPI_SCK ; -; VGA_R[4] ; SPI_SCK ; 9.825 ; 9.307 ; Rise ; SPI_SCK ; -; VGA_R[5] ; SPI_SCK ; 10.607 ; 9.914 ; Rise ; SPI_SCK ; -; SPI_DO ; SPI_SCK ; 10.322 ; 9.625 ; Fall ; SPI_SCK ; -; VGA_B[*] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 12.094 ; 11.656 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_B[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 14.655 ; 14.059 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_B[1] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 13.238 ; 12.794 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_B[2] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 14.016 ; 13.477 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_B[3] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 12.094 ; 11.656 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_B[4] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 13.064 ; 12.132 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_B[5] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 13.596 ; 12.682 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_G[*] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 11.005 ; 10.568 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_G[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 11.405 ; 10.921 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_G[1] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 11.935 ; 11.251 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_G[2] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 11.005 ; 10.568 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_G[3] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 11.757 ; 11.230 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_G[4] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 11.887 ; 10.903 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_G[5] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 12.541 ; 11.510 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_HS ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 9.865 ; 9.058 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_R[*] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 12.279 ; 11.756 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_R[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 15.593 ; 14.894 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_R[1] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 14.058 ; 13.572 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_R[2] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 15.976 ; 15.336 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_R[3] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 12.279 ; 11.756 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_R[4] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 12.979 ; 11.956 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_R[5] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 13.758 ; 12.561 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; AUDIO_L ; CLOCK_27 ; 7.551 ; 6.642 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; AUDIO_R ; CLOCK_27 ; 7.484 ; 6.510 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_B[*] ; CLOCK_27 ; 8.934 ; 8.365 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_B[0] ; CLOCK_27 ; 11.923 ; 11.327 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_B[1] ; CLOCK_27 ; 10.924 ; 10.480 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_B[2] ; CLOCK_27 ; 11.284 ; 10.745 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_B[3] ; CLOCK_27 ; 9.898 ; 9.460 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_B[4] ; CLOCK_27 ; 8.934 ; 8.365 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_B[5] ; CLOCK_27 ; 9.466 ; 8.915 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_G[*] ; CLOCK_27 ; 9.116 ; 8.375 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_G[0] ; CLOCK_27 ; 10.205 ; 9.349 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_G[1] ; CLOCK_27 ; 10.737 ; 9.654 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_G[2] ; CLOCK_27 ; 9.818 ; 8.975 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_G[3] ; CLOCK_27 ; 10.653 ; 10.126 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_G[4] ; CLOCK_27 ; 9.116 ; 8.375 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_G[5] ; CLOCK_27 ; 9.814 ; 8.984 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_R[*] ; CLOCK_27 ; 8.849 ; 8.189 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_R[0] ; CLOCK_27 ; 12.709 ; 12.010 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_R[1] ; CLOCK_27 ; 11.669 ; 11.183 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_R[2] ; CLOCK_27 ; 13.092 ; 12.452 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_R[3] ; CLOCK_27 ; 10.083 ; 9.560 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_R[4] ; CLOCK_27 ; 8.849 ; 8.189 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_R[5] ; CLOCK_27 ; 9.628 ; 8.794 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -+-----------+-----------------------------------------+--------+--------+------------+-------------------------------------------------+ - - -+----------------------------------------------------------+ -; Propagation Delay ; -+------------+-------------+-------+-------+-------+-------+ -; Input Port ; Output Port ; RR ; RF ; FR ; FF ; -+------------+-------------+-------+-------+-------+-------+ -; CONF_DATA0 ; SPI_DO ; 7.132 ; 7.132 ; 7.264 ; 7.193 ; -+------------+-------------+-------+-------+-------+-------+ - - -+----------------------------------------------------------+ -; Minimum Propagation Delay ; -+------------+-------------+-------+-------+-------+-------+ -; Input Port ; Output Port ; RR ; RF ; FR ; FF ; -+------------+-------------+-------+-------+-------+-------+ -; CONF_DATA0 ; SPI_DO ; 6.664 ; 6.664 ; 6.928 ; 6.792 ; -+------------+-------------+-------+-------+-------+-------+ - - ---------------------------------------------- -; Slow 1200mV 0C Model Metastability Report ; ---------------------------------------------- -No synchronizer chains to report. - - -+---------------------------------------------------------------------------+ -; Fast 1200mV 0C Model Setup Summary ; -+-------------------------------------------------+---------+---------------+ -; Clock ; Slack ; End Point TNS ; -+-------------------------------------------------+---------+---------------+ -; mz80k_top:mz80k_top|clk_count[2] ; -14.921 ; -4020.444 ; -; pll|altpll_component|auto_generated|pll1|clk[0] ; -14.623 ; -1714.893 ; -; mz80k_top:mz80k_top|CLK_2M ; -2.558 ; -41.495 ; -; SPI_SCK ; -2.408 ; -184.558 ; -; mz80k_top:mz80k_top|CLK_31250 ; -2.296 ; -38.342 ; -; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; -1.305 ; -20.020 ; -; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -0.305 ; -4.130 ; -+-------------------------------------------------+---------+---------------+ - - -+--------------------------------------------------------------------------+ -; Fast 1200mV 0C Model Hold Summary ; -+-------------------------------------------------+--------+---------------+ -; Clock ; Slack ; End Point TNS ; -+-------------------------------------------------+--------+---------------+ -; mz80k_top:mz80k_top|clk_count[2] ; -0.646 ; -4.158 ; -; mz80k_top:mz80k_top|CLK_31250 ; -0.187 ; -0.187 ; -; pll|altpll_component|auto_generated|pll1|clk[0] ; -0.063 ; -0.175 ; -; SPI_SCK ; 0.147 ; 0.000 ; -; mz80k_top:mz80k_top|CLK_2M ; 0.187 ; 0.000 ; -; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.275 ; 0.000 ; -; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.280 ; 0.000 ; -+-------------------------------------------------+--------+---------------+ - - -+--------------------------------------------------------------------------+ -; Fast 1200mV 0C Model Recovery Summary ; -+-------------------------------------------------+--------+---------------+ -; Clock ; Slack ; End Point TNS ; -+-------------------------------------------------+--------+---------------+ -; mz80k_top:mz80k_top|clk_count[2] ; -0.886 ; -60.997 ; -; pll|altpll_component|auto_generated|pll1|clk[0] ; 17.326 ; 0.000 ; -+-------------------------------------------------+--------+---------------+ - - -+--------------------------------------------------------------------------+ -; Fast 1200mV 0C Model Removal Summary ; -+-------------------------------------------------+--------+---------------+ -; Clock ; Slack ; End Point TNS ; -+-------------------------------------------------+--------+---------------+ -; mz80k_top:mz80k_top|clk_count[2] ; -0.025 ; -1.350 ; -; pll|altpll_component|auto_generated|pll1|clk[0] ; 2.184 ; 0.000 ; -+-------------------------------------------------+--------+---------------+ - - -+--------------------------------------------------------------------------+ -; Fast 1200mV 0C Model Minimum Pulse Width Summary ; -+-------------------------------------------------+--------+---------------+ -; Clock ; Slack ; End Point TNS ; -+-------------------------------------------------+--------+---------------+ -; SPI_SCK ; -3.000 ; -181.072 ; -; mz80k_top:mz80k_top|clk_count[2] ; -1.000 ; -327.000 ; -; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -1.000 ; -20.000 ; -; mz80k_top:mz80k_top|CLK_2M ; -1.000 ; -17.000 ; -; mz80k_top:mz80k_top|CLK_31250 ; -1.000 ; -17.000 ; -; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; -1.000 ; -16.000 ; -; pll|altpll_component|auto_generated|pll1|clk[0] ; 9.746 ; 0.000 ; -; CLOCK_27 ; 17.928 ; 0.000 ; -+-------------------------------------------------+--------+---------------+ - - -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Fast 1200mV 0C Model Setup: 'mz80k_top:mz80k_top|clk_count[2]' ; -+---------+-----------------------------------------------------------------------------------------------------------------+---------------------------------------------------+-------------------------------------------------+----------------------------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+---------+-----------------------------------------------------------------------------------------------------------------+---------------------------------------------------+-------------------------------------------------+----------------------------------+--------------+------------+------------+ -; -14.921 ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a22 ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.857 ; 16.686 ; -; -14.916 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.141 ; 16.044 ; -; -14.850 ; mz80k_top:mz80k_top|fz80:z80|sel_af ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.144 ; 15.981 ; -; -14.843 ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a22 ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[6] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.857 ; 16.608 ; -; -14.843 ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a22 ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.675 ; 16.426 ; -; -14.840 ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a22 ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[7] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.857 ; 16.605 ; -; -14.838 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[6] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.141 ; 15.966 ; -; -14.838 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[3] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; -0.041 ; 15.784 ; -; -14.835 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.141 ; 15.963 ; -; -14.772 ; mz80k_top:mz80k_top|fz80:z80|sel_af ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[6] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.144 ; 15.903 ; -; -14.772 ; mz80k_top:mz80k_top|fz80:z80|sel_af ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[3] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; -0.038 ; 15.721 ; -; -14.769 ; mz80k_top:mz80k_top|fz80:z80|sel_af ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.144 ; 15.900 ; -; -14.768 ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a6 ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.858 ; 16.534 ; -; -14.756 ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a22 ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.857 ; 16.521 ; -; -14.751 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[4] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.141 ; 15.879 ; -; -14.747 ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a22 ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.846 ; 16.501 ; -; -14.740 ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a22 ; mz80k_top:mz80k_top|fz80:z80|reg_pcl:reg_pcl|q[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.670 ; 16.318 ; -; -14.735 ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a22 ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.675 ; 16.318 ; -; -14.733 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[3] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.136 ; 15.856 ; -; -14.732 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[2] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.136 ; 15.855 ; -; -14.732 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[2] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.140 ; 15.859 ; -; -14.730 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[0] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; -0.041 ; 15.676 ; -; -14.728 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q0[5] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.144 ; 15.859 ; -; -14.725 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; mz80k_top:mz80k_top|fz80:z80|reg_pcl:reg_pcl|q[1] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; -0.036 ; 15.676 ; -; -14.712 ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a22 ; mz80k_top:mz80k_top|fz80:z80|reg_pcl:reg_pcl|q[7] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.675 ; 16.295 ; -; -14.709 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[5] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.154 ; 15.850 ; -; -14.707 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; mz80k_top:mz80k_top|fz80:z80|reg_pcl:reg_pcl|q[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; -0.041 ; 15.653 ; -; -14.703 ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a16 ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.856 ; 16.467 ; -; -14.696 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[4] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.140 ; 15.823 ; -; -14.690 ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a6 ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[6] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.858 ; 16.456 ; -; -14.690 ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a6 ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.676 ; 16.274 ; -; -14.687 ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a6 ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[7] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.858 ; 16.453 ; -; -14.685 ; mz80k_top:mz80k_top|fz80:z80|sel_af ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[4] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.144 ; 15.816 ; -; -14.682 ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a22 ; mz80k_top:mz80k_top|fz80:z80|reg_pcl:reg_pcl|q[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.670 ; 16.260 ; -; -14.667 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; mz80k_top:mz80k_top|fz80:z80|reg_pcl:reg_pcl|q[0] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; -0.036 ; 15.618 ; -; -14.666 ; mz80k_top:mz80k_top|fz80:z80|sel_af ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[2] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.143 ; 15.796 ; -; -14.664 ; mz80k_top:mz80k_top|fz80:z80|sel_af ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[0] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; -0.038 ; 15.613 ; -; -14.659 ; mz80k_top:mz80k_top|fz80:z80|sel_af ; mz80k_top:mz80k_top|fz80:z80|reg_pcl:reg_pcl|q[1] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; -0.033 ; 15.613 ; -; -14.655 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[3] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[6] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.136 ; 15.778 ; -; -14.655 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[3] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[3] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; -0.046 ; 15.596 ; -; -14.654 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[2] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[6] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.136 ; 15.777 ; -; -14.654 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[2] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[3] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; -0.046 ; 15.595 ; -; -14.652 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[3] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.136 ; 15.775 ; -; -14.651 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[2] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.136 ; 15.774 ; -; -14.650 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[1] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.136 ; 15.773 ; -; -14.650 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q0[5] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[6] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.144 ; 15.781 ; -; -14.650 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q0[5] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[3] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; -0.038 ; 15.599 ; -; -14.647 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q0[5] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.144 ; 15.778 ; -; -14.641 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[5] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[3] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; -0.038 ; 15.590 ; -; -14.641 ; mz80k_top:mz80k_top|fz80:z80|sel_af ; mz80k_top:mz80k_top|fz80:z80|reg_pcl:reg_pcl|q[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; -0.038 ; 15.590 ; -; -14.631 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[5] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[6] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.154 ; 15.772 ; -; -14.628 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[5] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.154 ; 15.769 ; -; -14.627 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q0[0] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.152 ; 15.766 ; -; -14.625 ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a16 ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[6] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.856 ; 16.389 ; -; -14.625 ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a16 ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.674 ; 16.207 ; -; -14.623 ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a15 ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.858 ; 16.389 ; -; -14.623 ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[2] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; -0.042 ; 15.568 ; -; -14.622 ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a16 ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[7] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.856 ; 16.386 ; -; -14.620 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[0] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.136 ; 15.743 ; -; -14.618 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[4] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[6] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.140 ; 15.745 ; -; -14.618 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[4] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[3] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; -0.042 ; 15.563 ; -; -14.615 ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a0 ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.859 ; 16.382 ; -; -14.615 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[4] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.140 ; 15.742 ; -; -14.613 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q0[3] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.152 ; 15.752 ; -; -14.610 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q0[2] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.140 ; 15.737 ; -; -14.603 ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a6 ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.858 ; 16.369 ; -; -14.601 ; mz80k_top:mz80k_top|fz80:z80|sel_af ; mz80k_top:mz80k_top|fz80:z80|reg_pcl:reg_pcl|q[0] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; -0.033 ; 15.555 ; -; -14.594 ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a6 ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.847 ; 16.349 ; -; -14.593 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q0[7] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.152 ; 15.732 ; -; -14.587 ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a6 ; mz80k_top:mz80k_top|fz80:z80|reg_pcl:reg_pcl|q[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.671 ; 16.166 ; -; -14.582 ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a6 ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.676 ; 16.166 ; -; -14.577 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[3] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.140 ; 15.704 ; -; -14.576 ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a22 ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.858 ; 16.342 ; -; -14.573 ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a29 ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.860 ; 16.341 ; -; -14.572 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[1] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[6] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.136 ; 15.695 ; -; -14.572 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[1] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[3] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; -0.046 ; 15.513 ; -; -14.571 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[1] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.142 ; 15.700 ; -; -14.569 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[1] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.136 ; 15.692 ; -; -14.568 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[3] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[4] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.136 ; 15.691 ; -; -14.568 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q0[7] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.141 ; 15.696 ; -; -14.567 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[2] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[4] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.136 ; 15.690 ; -; -14.563 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q0[5] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[4] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.144 ; 15.694 ; -; -14.562 ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a10 ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.858 ; 16.328 ; -; -14.559 ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a6 ; mz80k_top:mz80k_top|fz80:z80|reg_pcl:reg_pcl|q[7] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.676 ; 16.143 ; -; -14.559 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[3] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[2] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.125 ; 15.671 ; -; -14.559 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q0[0] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[3] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; -0.040 ; 15.506 ; -; -14.558 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[2] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[2] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.125 ; 15.670 ; -; -14.557 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q0[5] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.152 ; 15.696 ; -; -14.553 ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a5 ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.865 ; 16.326 ; -; -14.552 ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a22 ; mz80k_top:mz80k_top|fz80:z80|reg_pcl:reg_pcl|q[6] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.675 ; 16.135 ; -; -14.552 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[3] ; mz80k_top:mz80k_top|fz80:z80|reg_pcl:reg_pcl|q[1] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; -0.051 ; 15.488 ; -; -14.551 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[2] ; mz80k_top:mz80k_top|fz80:z80|reg_pcl:reg_pcl|q[1] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; -0.051 ; 15.487 ; -; -14.549 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q0[0] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[6] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.152 ; 15.688 ; -; -14.547 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[3] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[0] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; -0.046 ; 15.488 ; -; -14.547 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; mz80k_top:mz80k_top|fz80:z80|reg_pcl:reg_pcl|q[6] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; -0.041 ; 15.493 ; -; -14.546 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[2] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[0] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; -0.046 ; 15.487 ; -; -14.546 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q0[0] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.152 ; 15.685 ; -; -14.545 ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a15 ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[6] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.858 ; 16.311 ; -; -14.545 ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a15 ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.676 ; 16.129 ; -; -14.545 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[5] ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[2] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 1.000 ; 0.133 ; 15.665 ; -+---------+-----------------------------------------------------------------------------------------------------------------+---------------------------------------------------+-------------------------------------------------+----------------------------------+--------------+------------+------------+ - - -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Fast 1200mV 0C Model Setup: 'pll|altpll_component|auto_generated|pll1|clk[0]' ; -+---------+----------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------------+----------------------------------+-------------------------------------------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+---------+----------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------------+----------------------------------+-------------------------------------------------+--------------+------------+------------+ -; -14.623 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a15~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -1.994 ; 12.579 ; -; -14.557 ; mz80k_top:mz80k_top|fz80:z80|sel_af ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a15~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -1.991 ; 12.516 ; -; -14.537 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|ram_block1a4~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -1.981 ; 12.506 ; -; -14.471 ; mz80k_top:mz80k_top|fz80:z80|sel_af ; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|ram_block1a4~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -1.978 ; 12.443 ; -; -14.460 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a25~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -1.998 ; 12.412 ; -; -14.443 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a7~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -1.989 ; 12.404 ; -; -14.441 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a17~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -1.992 ; 12.399 ; -; -14.440 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[3] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a15~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -1.999 ; 12.391 ; -; -14.439 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[2] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a15~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -1.999 ; 12.390 ; -; -14.435 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q0[5] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a15~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -1.991 ; 12.394 ; -; -14.430 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a23~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -1.989 ; 12.391 ; -; -14.426 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[5] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a15~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -1.991 ; 12.385 ; -; -14.403 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[4] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a15~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -1.995 ; 12.358 ; -; -14.394 ; mz80k_top:mz80k_top|fz80:z80|sel_af ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a25~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -1.995 ; 12.349 ; -; -14.377 ; mz80k_top:mz80k_top|fz80:z80|sel_af ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a7~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -1.986 ; 12.341 ; -; -14.375 ; mz80k_top:mz80k_top|fz80:z80|sel_af ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a17~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -1.989 ; 12.336 ; -; -14.364 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a1~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -1.995 ; 12.319 ; -; -14.364 ; mz80k_top:mz80k_top|fz80:z80|sel_af ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a23~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -1.986 ; 12.328 ; -; -14.357 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[1] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a15~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -1.999 ; 12.308 ; -; -14.354 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[3] ; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|ram_block1a4~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -1.986 ; 12.318 ; -; -14.353 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[2] ; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|ram_block1a4~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -1.986 ; 12.317 ; -; -14.349 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q0[5] ; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|ram_block1a4~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -1.978 ; 12.321 ; -; -14.344 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q0[0] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a15~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -1.993 ; 12.301 ; -; -14.340 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[5] ; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|ram_block1a4~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -1.978 ; 12.312 ; -; -14.330 ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[2] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a15~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -2.177 ; 12.103 ; -; -14.330 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q0[3] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a15~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -1.993 ; 12.287 ; -; -14.327 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[0] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a15~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -1.999 ; 12.278 ; -; -14.323 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a13~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -2.003 ; 12.270 ; -; -14.321 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a5~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -2.000 ; 12.271 ; -; -14.317 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q0[2] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a15~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -1.995 ; 12.272 ; -; -14.317 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[4] ; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|ram_block1a4~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -1.982 ; 12.285 ; -; -14.314 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a9~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -1.994 ; 12.270 ; -; -14.310 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q0[7] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a15~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -1.993 ; 12.267 ; -; -14.298 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a29~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -1.995 ; 12.253 ; -; -14.298 ; mz80k_top:mz80k_top|fz80:z80|sel_af ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a1~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -1.992 ; 12.256 ; -; -14.284 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[3] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a15~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -1.995 ; 12.239 ; -; -14.277 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[3] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a25~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -2.003 ; 12.224 ; -; -14.276 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a21~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -2.000 ; 12.226 ; -; -14.276 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[2] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a25~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -2.003 ; 12.223 ; -; -14.275 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q0[7] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a15~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -1.994 ; 12.231 ; -; -14.274 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q0[5] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a15~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -1.993 ; 12.231 ; -; -14.272 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q0[5] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a25~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -1.995 ; 12.227 ; -; -14.271 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[1] ; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|ram_block1a4~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -1.986 ; 12.235 ; -; -14.263 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[5] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a25~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -1.995 ; 12.218 ; -; -14.260 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[3] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a7~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -1.994 ; 12.216 ; -; -14.259 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[2] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a7~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -1.994 ; 12.215 ; -; -14.258 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q0[0] ; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|ram_block1a4~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -1.980 ; 12.228 ; -; -14.258 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[3] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a17~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -1.997 ; 12.211 ; -; -14.257 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[2] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a17~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -1.997 ; 12.210 ; -; -14.257 ; mz80k_top:mz80k_top|fz80:z80|sel_af ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a13~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -2.000 ; 12.207 ; -; -14.255 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q0[5] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a7~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -1.986 ; 12.219 ; -; -14.255 ; mz80k_top:mz80k_top|fz80:z80|sel_af ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a5~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -1.997 ; 12.208 ; -; -14.253 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q0[5] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a17~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -1.989 ; 12.214 ; -; -14.252 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|ram_block1a0~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -1.986 ; 12.216 ; -; -14.250 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d|q0[7] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a15~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -1.994 ; 12.206 ; -; -14.249 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a31~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -1.988 ; 12.211 ; -; -14.248 ; mz80k_top:mz80k_top|fz80:z80|sel_af ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a9~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -1.991 ; 12.207 ; -; -14.247 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a16~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -1.991 ; 12.206 ; -; -14.247 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a8~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -1.996 ; 12.201 ; -; -14.247 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[3] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a23~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -1.994 ; 12.203 ; -; -14.246 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[2] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a23~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -1.994 ; 12.202 ; -; -14.246 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[5] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a7~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -1.986 ; 12.210 ; -; -14.244 ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[2] ; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|ram_block1a4~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -2.164 ; 12.030 ; -; -14.244 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q0[3] ; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|ram_block1a4~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -1.980 ; 12.214 ; -; -14.244 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[5] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a17~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -1.989 ; 12.205 ; -; -14.242 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q0[5] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a23~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -1.986 ; 12.206 ; -; -14.241 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[0] ; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|ram_block1a4~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -1.986 ; 12.205 ; -; -14.240 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[4] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a25~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -1.999 ; 12.191 ; -; -14.237 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q1[5] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a15~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -1.991 ; 12.196 ; -; -14.233 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[5] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a23~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -1.986 ; 12.197 ; -; -14.232 ; mz80k_top:mz80k_top|fz80:z80|sel_af ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a29~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -1.992 ; 12.190 ; -; -14.231 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q0[2] ; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|ram_block1a4~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -1.982 ; 12.199 ; -; -14.224 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q0[7] ; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|ram_block1a4~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -1.980 ; 12.194 ; -; -14.223 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[4] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a7~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -1.990 ; 12.183 ; -; -14.221 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d|q1[7] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a15~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -1.994 ; 12.177 ; -; -14.221 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[4] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a17~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -1.993 ; 12.178 ; -; -14.210 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[4] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a23~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -1.990 ; 12.170 ; -; -14.210 ; mz80k_top:mz80k_top|fz80:z80|sel_af ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a21~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -1.997 ; 12.163 ; -; -14.207 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[6] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a15~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -1.991 ; 12.166 ; -; -14.198 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[1] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a15~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -1.995 ; 12.153 ; -; -14.198 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[3] ; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|ram_block1a4~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -1.982 ; 12.166 ; -; -14.194 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[1] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a25~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -2.003 ; 12.141 ; -; -14.189 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q0[7] ; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|ram_block1a4~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -1.981 ; 12.158 ; -; -14.188 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q0[5] ; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|ram_block1a4~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -1.980 ; 12.158 ; -; -14.186 ; mz80k_top:mz80k_top|fz80:z80|sel_af ; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|ram_block1a0~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -1.983 ; 12.153 ; -; -14.183 ; mz80k_top:mz80k_top|fz80:z80|sel_af ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a31~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -1.985 ; 12.148 ; -; -14.181 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q0[0] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a25~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -1.997 ; 12.134 ; -; -14.181 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[3] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a1~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -2.000 ; 12.131 ; -; -14.181 ; mz80k_top:mz80k_top|fz80:z80|sel_af ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a16~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -1.988 ; 12.143 ; -; -14.181 ; mz80k_top:mz80k_top|fz80:z80|sel_af ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a8~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -1.993 ; 12.138 ; -; -14.180 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[2] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a1~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -2.000 ; 12.130 ; -; -14.177 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[1] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a7~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -1.994 ; 12.133 ; -; -14.176 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q0[5] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a1~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -1.992 ; 12.134 ; -; -14.175 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[1] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a17~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -1.997 ; 12.128 ; -; -14.167 ; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[2] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a25~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -2.181 ; 11.936 ; -; -14.167 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q0[3] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a25~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -1.997 ; 12.120 ; -; -14.167 ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[5] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a1~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -1.992 ; 12.125 ; -; -14.164 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d|q0[7] ; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|ram_block1a4~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -1.981 ; 12.133 ; -; -14.164 ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q0[0] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a7~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -1.988 ; 12.126 ; -; -14.164 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[1] ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a23~porta_datain_reg0 ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.001 ; -1.994 ; 12.120 ; -+---------+----------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------------+----------------------------------+-------------------------------------------------+--------------+------------+------------+ - - -+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Fast 1200mV 0C Model Setup: 'mz80k_top:mz80k_top|CLK_2M' ; -+--------+-------------------------------------------+----------------------------------------------+----------------------------------+----------------------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+--------+-------------------------------------------+----------------------------------------------+----------------------------------+----------------------------+--------------+------------+------------+ -; -2.558 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.748 ; 2.797 ; -; -2.558 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[0] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.748 ; 2.797 ; -; -2.558 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[1] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.748 ; 2.797 ; -; -2.558 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[2] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.748 ; 2.797 ; -; -2.558 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[3] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.748 ; 2.797 ; -; -2.558 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[4] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.748 ; 2.797 ; -; -2.558 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[6] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.748 ; 2.797 ; -; -2.558 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.748 ; 2.797 ; -; -2.558 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[8] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.748 ; 2.797 ; -; -2.558 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[9] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.748 ; 2.797 ; -; -2.558 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[10] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.748 ; 2.797 ; -; -2.558 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[11] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.748 ; 2.797 ; -; -2.558 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[12] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.748 ; 2.797 ; -; -2.558 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[13] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.748 ; 2.797 ; -; -2.558 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[14] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.748 ; 2.797 ; -; -2.558 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[15] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.748 ; 2.797 ; -; -1.992 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.750 ; 2.229 ; -; -1.992 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[0] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.750 ; 2.229 ; -; -1.992 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[1] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.750 ; 2.229 ; -; -1.992 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[2] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.750 ; 2.229 ; -; -1.992 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[3] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.750 ; 2.229 ; -; -1.992 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[4] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.750 ; 2.229 ; -; -1.992 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[6] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.750 ; 2.229 ; -; -1.992 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.750 ; 2.229 ; -; -1.992 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[8] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.750 ; 2.229 ; -; -1.992 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[9] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.750 ; 2.229 ; -; -1.992 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[10] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.750 ; 2.229 ; -; -1.992 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[11] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.750 ; 2.229 ; -; -1.992 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[12] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.750 ; 2.229 ; -; -1.992 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[13] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.750 ; 2.229 ; -; -1.992 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[14] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.750 ; 2.229 ; -; -1.992 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[15] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.750 ; 2.229 ; -; -1.916 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.750 ; 2.153 ; -; -1.916 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[0] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.750 ; 2.153 ; -; -1.916 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[1] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.750 ; 2.153 ; -; -1.916 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[2] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.750 ; 2.153 ; -; -1.916 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[3] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.750 ; 2.153 ; -; -1.916 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[4] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.750 ; 2.153 ; -; -1.916 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[6] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.750 ; 2.153 ; -; -1.916 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.750 ; 2.153 ; -; -1.916 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[8] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.750 ; 2.153 ; -; -1.916 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[9] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.750 ; 2.153 ; -; -1.916 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[10] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.750 ; 2.153 ; -; -1.916 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[11] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.750 ; 2.153 ; -; -1.916 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[12] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.750 ; 2.153 ; -; -1.916 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[13] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.750 ; 2.153 ; -; -1.916 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[14] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.750 ; 2.153 ; -; -1.916 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[15] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.750 ; 2.153 ; -; -1.911 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.750 ; 2.148 ; -; -1.911 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[0] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.750 ; 2.148 ; -; -1.911 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[1] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.750 ; 2.148 ; -; -1.911 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[2] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.750 ; 2.148 ; -; -1.911 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[3] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.750 ; 2.148 ; -; -1.911 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[4] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.750 ; 2.148 ; -; -1.911 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[6] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.750 ; 2.148 ; -; -1.911 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.750 ; 2.148 ; -; -1.911 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[8] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.750 ; 2.148 ; -; -1.911 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[9] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.750 ; 2.148 ; -; -1.911 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[10] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.750 ; 2.148 ; -; -1.911 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[11] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.750 ; 2.148 ; -; -1.911 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[12] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.750 ; 2.148 ; -; -1.911 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[13] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.750 ; 2.148 ; -; -1.911 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[14] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.750 ; 2.148 ; -; -1.911 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[15] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.750 ; 2.148 ; -; -1.815 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.750 ; 2.052 ; -; -1.815 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[0] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.750 ; 2.052 ; -; -1.815 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[1] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.750 ; 2.052 ; -; -1.815 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[2] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.750 ; 2.052 ; -; -1.815 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[3] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.750 ; 2.052 ; -; -1.815 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[4] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.750 ; 2.052 ; -; -1.815 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[6] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.750 ; 2.052 ; -; -1.815 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.750 ; 2.052 ; -; -1.815 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[8] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.750 ; 2.052 ; -; -1.815 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[9] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.750 ; 2.052 ; -; -1.815 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[10] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.750 ; 2.052 ; -; -1.815 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[11] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.750 ; 2.052 ; -; -1.815 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[12] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.750 ; 2.052 ; -; -1.815 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[13] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.750 ; 2.052 ; -; -1.815 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[14] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.750 ; 2.052 ; -; -1.815 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[15] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.750 ; 2.052 ; -; -1.772 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.750 ; 2.009 ; -; -1.772 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[0] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.750 ; 2.009 ; -; -1.772 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[1] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.750 ; 2.009 ; -; -1.772 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[2] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.750 ; 2.009 ; -; -1.772 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[3] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.750 ; 2.009 ; -; -1.772 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[4] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.750 ; 2.009 ; -; -1.772 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[6] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.750 ; 2.009 ; -; -1.772 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.750 ; 2.009 ; -; -1.772 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[8] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.750 ; 2.009 ; -; -1.772 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[9] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.750 ; 2.009 ; -; -1.772 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[10] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.750 ; 2.009 ; -; -1.772 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[11] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.750 ; 2.009 ; -; -1.772 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[12] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.750 ; 2.009 ; -; -1.772 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[13] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.750 ; 2.009 ; -; -1.772 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[14] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.750 ; 2.009 ; -; -1.772 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[15] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.750 ; 2.009 ; -; -1.748 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.750 ; 1.985 ; -; -1.748 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[0] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.750 ; 1.985 ; -; -1.748 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[1] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.750 ; 1.985 ; -; -1.748 ; mz80k_top:mz80k_top|i8253:i8253_1|max0[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[2] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 1.000 ; -0.750 ; 1.985 ; -+--------+-------------------------------------------+----------------------------------------------+----------------------------------+----------------------------+--------------+------------+------------+ - - -+----------------------------------------------------------------------------------------------------------------------------------------------+ -; Fast 1200mV 0C Model Setup: 'SPI_SCK' ; -+--------+-----------------------------+---------------------------------+--------------+-------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+--------+-----------------------------+---------------------------------+--------------+-------------+--------------+------------+------------+ -; -2.408 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~15 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.041 ; 3.354 ; -; -2.408 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~14 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.041 ; 3.354 ; -; -2.408 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~13 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.041 ; 3.354 ; -; -2.408 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~12 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.041 ; 3.354 ; -; -2.408 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~11 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.041 ; 3.354 ; -; -2.408 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~10 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.041 ; 3.354 ; -; -2.408 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~9 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.041 ; 3.354 ; -; -2.408 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~8 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.041 ; 3.354 ; -; -2.308 ; mist_io:mist_io|byte_cnt[3] ; mist_io:mist_io|ps2_kbd_fifo~15 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.041 ; 3.254 ; -; -2.308 ; mist_io:mist_io|byte_cnt[3] ; mist_io:mist_io|ps2_kbd_fifo~14 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.041 ; 3.254 ; -; -2.308 ; mist_io:mist_io|byte_cnt[3] ; mist_io:mist_io|ps2_kbd_fifo~13 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.041 ; 3.254 ; -; -2.308 ; mist_io:mist_io|byte_cnt[3] ; mist_io:mist_io|ps2_kbd_fifo~12 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.041 ; 3.254 ; -; -2.308 ; mist_io:mist_io|byte_cnt[3] ; mist_io:mist_io|ps2_kbd_fifo~11 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.041 ; 3.254 ; -; -2.308 ; mist_io:mist_io|byte_cnt[3] ; mist_io:mist_io|ps2_kbd_fifo~10 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.041 ; 3.254 ; -; -2.308 ; mist_io:mist_io|byte_cnt[3] ; mist_io:mist_io|ps2_kbd_fifo~9 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.041 ; 3.254 ; -; -2.308 ; mist_io:mist_io|byte_cnt[3] ; mist_io:mist_io|ps2_kbd_fifo~8 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.041 ; 3.254 ; -; -2.259 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~62 ; SPI_SCK ; SPI_SCK ; 1.000 ; 0.145 ; 3.391 ; -; -2.259 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~61 ; SPI_SCK ; SPI_SCK ; 1.000 ; 0.145 ; 3.391 ; -; -2.235 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~38 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.029 ; 3.193 ; -; -2.235 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~37 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.029 ; 3.193 ; -; -2.192 ; mist_io:mist_io|byte_cnt[4] ; mist_io:mist_io|ps2_kbd_fifo~15 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.041 ; 3.138 ; -; -2.192 ; mist_io:mist_io|byte_cnt[4] ; mist_io:mist_io|ps2_kbd_fifo~14 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.041 ; 3.138 ; -; -2.192 ; mist_io:mist_io|byte_cnt[4] ; mist_io:mist_io|ps2_kbd_fifo~13 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.041 ; 3.138 ; -; -2.192 ; mist_io:mist_io|byte_cnt[4] ; mist_io:mist_io|ps2_kbd_fifo~12 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.041 ; 3.138 ; -; -2.192 ; mist_io:mist_io|byte_cnt[4] ; mist_io:mist_io|ps2_kbd_fifo~11 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.041 ; 3.138 ; -; -2.192 ; mist_io:mist_io|byte_cnt[4] ; mist_io:mist_io|ps2_kbd_fifo~10 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.041 ; 3.138 ; -; -2.192 ; mist_io:mist_io|byte_cnt[4] ; mist_io:mist_io|ps2_kbd_fifo~9 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.041 ; 3.138 ; -; -2.192 ; mist_io:mist_io|byte_cnt[4] ; mist_io:mist_io|ps2_kbd_fifo~8 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.041 ; 3.138 ; -; -2.191 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~47 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.028 ; 3.150 ; -; -2.191 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~46 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.028 ; 3.150 ; -; -2.191 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~45 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.028 ; 3.150 ; -; -2.191 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~44 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.028 ; 3.150 ; -; -2.191 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~43 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.028 ; 3.150 ; -; -2.191 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~42 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.028 ; 3.150 ; -; -2.191 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~41 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.028 ; 3.150 ; -; -2.191 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~40 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.028 ; 3.150 ; -; -2.174 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|status[4] ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.039 ; 3.122 ; -; -2.159 ; mist_io:mist_io|byte_cnt[3] ; mist_io:mist_io|ps2_kbd_fifo~62 ; SPI_SCK ; SPI_SCK ; 1.000 ; 0.145 ; 3.291 ; -; -2.159 ; mist_io:mist_io|byte_cnt[3] ; mist_io:mist_io|ps2_kbd_fifo~61 ; SPI_SCK ; SPI_SCK ; 1.000 ; 0.145 ; 3.291 ; -; -2.153 ; mist_io:mist_io|byte_cnt[3] ; mist_io:mist_io|status[4] ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.039 ; 3.101 ; -; -2.147 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~31 ; SPI_SCK ; SPI_SCK ; 1.000 ; 0.136 ; 3.270 ; -; -2.147 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~30 ; SPI_SCK ; SPI_SCK ; 1.000 ; 0.136 ; 3.270 ; -; -2.147 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~29 ; SPI_SCK ; SPI_SCK ; 1.000 ; 0.136 ; 3.270 ; -; -2.147 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~28 ; SPI_SCK ; SPI_SCK ; 1.000 ; 0.136 ; 3.270 ; -; -2.147 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~27 ; SPI_SCK ; SPI_SCK ; 1.000 ; 0.136 ; 3.270 ; -; -2.147 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~26 ; SPI_SCK ; SPI_SCK ; 1.000 ; 0.136 ; 3.270 ; -; -2.147 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~25 ; SPI_SCK ; SPI_SCK ; 1.000 ; 0.136 ; 3.270 ; -; -2.147 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~24 ; SPI_SCK ; SPI_SCK ; 1.000 ; 0.136 ; 3.270 ; -; -2.144 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|status[3] ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.039 ; 3.092 ; -; -2.139 ; mist_io:mist_io|byte_cnt[5] ; mist_io:mist_io|ps2_kbd_fifo~15 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.041 ; 3.085 ; -; -2.139 ; mist_io:mist_io|byte_cnt[5] ; mist_io:mist_io|ps2_kbd_fifo~14 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.041 ; 3.085 ; -; -2.139 ; mist_io:mist_io|byte_cnt[5] ; mist_io:mist_io|ps2_kbd_fifo~13 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.041 ; 3.085 ; -; -2.139 ; mist_io:mist_io|byte_cnt[5] ; mist_io:mist_io|ps2_kbd_fifo~12 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.041 ; 3.085 ; -; -2.139 ; mist_io:mist_io|byte_cnt[5] ; mist_io:mist_io|ps2_kbd_fifo~11 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.041 ; 3.085 ; -; -2.139 ; mist_io:mist_io|byte_cnt[5] ; mist_io:mist_io|ps2_kbd_fifo~10 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.041 ; 3.085 ; -; -2.139 ; mist_io:mist_io|byte_cnt[5] ; mist_io:mist_io|ps2_kbd_fifo~9 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.041 ; 3.085 ; -; -2.139 ; mist_io:mist_io|byte_cnt[5] ; mist_io:mist_io|ps2_kbd_fifo~8 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.041 ; 3.085 ; -; -2.136 ; mist_io:mist_io|byte_cnt[0] ; mist_io:mist_io|status[4] ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.039 ; 3.084 ; -; -2.135 ; mist_io:mist_io|byte_cnt[3] ; mist_io:mist_io|ps2_kbd_fifo~38 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.029 ; 3.093 ; -; -2.135 ; mist_io:mist_io|byte_cnt[3] ; mist_io:mist_io|ps2_kbd_fifo~37 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.029 ; 3.093 ; -; -2.123 ; mist_io:mist_io|byte_cnt[3] ; mist_io:mist_io|status[3] ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.039 ; 3.071 ; -; -2.106 ; mist_io:mist_io|byte_cnt[0] ; mist_io:mist_io|status[3] ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.039 ; 3.054 ; -; -2.102 ; mist_io:mist_io|byte_cnt[2] ; mist_io:mist_io|status[4] ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.039 ; 3.050 ; -; -2.091 ; mist_io:mist_io|byte_cnt[3] ; mist_io:mist_io|ps2_kbd_fifo~47 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.028 ; 3.050 ; -; -2.091 ; mist_io:mist_io|byte_cnt[3] ; mist_io:mist_io|ps2_kbd_fifo~46 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.028 ; 3.050 ; -; -2.091 ; mist_io:mist_io|byte_cnt[3] ; mist_io:mist_io|ps2_kbd_fifo~45 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.028 ; 3.050 ; -; -2.091 ; mist_io:mist_io|byte_cnt[3] ; mist_io:mist_io|ps2_kbd_fifo~44 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.028 ; 3.050 ; -; -2.091 ; mist_io:mist_io|byte_cnt[3] ; mist_io:mist_io|ps2_kbd_fifo~43 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.028 ; 3.050 ; -; -2.091 ; mist_io:mist_io|byte_cnt[3] ; mist_io:mist_io|ps2_kbd_fifo~42 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.028 ; 3.050 ; -; -2.091 ; mist_io:mist_io|byte_cnt[3] ; mist_io:mist_io|ps2_kbd_fifo~41 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.028 ; 3.050 ; -; -2.091 ; mist_io:mist_io|byte_cnt[3] ; mist_io:mist_io|ps2_kbd_fifo~40 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.028 ; 3.050 ; -; -2.082 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~55 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.029 ; 3.040 ; -; -2.082 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~54 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.029 ; 3.040 ; -; -2.082 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~53 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.029 ; 3.040 ; -; -2.082 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~52 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.029 ; 3.040 ; -; -2.082 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~51 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.029 ; 3.040 ; -; -2.082 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~50 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.029 ; 3.040 ; -; -2.082 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~49 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.029 ; 3.040 ; -; -2.082 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~48 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.029 ; 3.040 ; -; -2.081 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~23 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.041 ; 3.027 ; -; -2.081 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~22 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.041 ; 3.027 ; -; -2.081 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~21 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.041 ; 3.027 ; -; -2.081 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~20 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.041 ; 3.027 ; -; -2.081 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~19 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.041 ; 3.027 ; -; -2.081 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~18 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.041 ; 3.027 ; -; -2.081 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~17 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.041 ; 3.027 ; -; -2.081 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~16 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.041 ; 3.027 ; -; -2.072 ; mist_io:mist_io|byte_cnt[2] ; mist_io:mist_io|status[3] ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.039 ; 3.020 ; -; -2.069 ; mist_io:mist_io|byte_cnt[0] ; mist_io:mist_io|ps2_kbd_fifo~15 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.041 ; 3.015 ; -; -2.069 ; mist_io:mist_io|byte_cnt[0] ; mist_io:mist_io|ps2_kbd_fifo~14 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.041 ; 3.015 ; -; -2.069 ; mist_io:mist_io|byte_cnt[0] ; mist_io:mist_io|ps2_kbd_fifo~13 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.041 ; 3.015 ; -; -2.069 ; mist_io:mist_io|byte_cnt[0] ; mist_io:mist_io|ps2_kbd_fifo~12 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.041 ; 3.015 ; -; -2.069 ; mist_io:mist_io|byte_cnt[0] ; mist_io:mist_io|ps2_kbd_fifo~11 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.041 ; 3.015 ; -; -2.069 ; mist_io:mist_io|byte_cnt[0] ; mist_io:mist_io|ps2_kbd_fifo~10 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.041 ; 3.015 ; -; -2.069 ; mist_io:mist_io|byte_cnt[0] ; mist_io:mist_io|ps2_kbd_fifo~9 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.041 ; 3.015 ; -; -2.069 ; mist_io:mist_io|byte_cnt[0] ; mist_io:mist_io|ps2_kbd_fifo~8 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.041 ; 3.015 ; -; -2.066 ; mist_io:mist_io|byte_cnt[5] ; mist_io:mist_io|status[4] ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.039 ; 3.014 ; -; -2.057 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~7 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.041 ; 3.003 ; -; -2.057 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~6 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.041 ; 3.003 ; -; -2.057 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|ps2_kbd_fifo~5 ; SPI_SCK ; SPI_SCK ; 1.000 ; -0.041 ; 3.003 ; -+--------+-----------------------------+---------------------------------+--------------+-------------+--------------+------------+------------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Fast 1200mV 0C Model Setup: 'mz80k_top:mz80k_top|CLK_31250' ; -+--------+-------------------------------------------+----------------------------------------------+----------------------------------+-------------------------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+--------+-------------------------------------------+----------------------------------------------+----------------------------------+-------------------------------+--------------+------------+------------+ -; -2.296 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.805 ; 2.478 ; -; -2.296 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[0] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.805 ; 2.478 ; -; -2.296 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[1] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.805 ; 2.478 ; -; -2.296 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[2] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.805 ; 2.478 ; -; -2.296 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[3] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.805 ; 2.478 ; -; -2.296 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[4] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.805 ; 2.478 ; -; -2.296 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.805 ; 2.478 ; -; -2.296 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[6] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.805 ; 2.478 ; -; -2.296 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[8] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.805 ; 2.478 ; -; -2.296 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[9] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.805 ; 2.478 ; -; -2.296 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[10] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.805 ; 2.478 ; -; -2.296 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[11] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.805 ; 2.478 ; -; -2.296 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[12] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.805 ; 2.478 ; -; -2.296 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[13] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.805 ; 2.478 ; -; -2.296 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[14] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.805 ; 2.478 ; -; -2.296 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[15] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.805 ; 2.478 ; -; -2.274 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.814 ; 2.447 ; -; -2.274 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[0] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.814 ; 2.447 ; -; -2.274 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[1] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.814 ; 2.447 ; -; -2.274 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[2] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.814 ; 2.447 ; -; -2.274 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[3] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.814 ; 2.447 ; -; -2.274 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[4] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.814 ; 2.447 ; -; -2.274 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.814 ; 2.447 ; -; -2.274 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[6] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.814 ; 2.447 ; -; -2.274 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[8] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.814 ; 2.447 ; -; -2.274 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[9] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.814 ; 2.447 ; -; -2.274 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[10] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.814 ; 2.447 ; -; -2.274 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[11] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.814 ; 2.447 ; -; -2.274 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[12] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.814 ; 2.447 ; -; -2.274 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[13] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.814 ; 2.447 ; -; -2.274 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[14] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.814 ; 2.447 ; -; -2.274 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[15] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.814 ; 2.447 ; -; -2.269 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.808 ; 2.448 ; -; -2.269 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[0] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.808 ; 2.448 ; -; -2.269 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[1] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.808 ; 2.448 ; -; -2.269 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[2] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.808 ; 2.448 ; -; -2.269 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[3] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.808 ; 2.448 ; -; -2.269 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[4] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.808 ; 2.448 ; -; -2.269 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.808 ; 2.448 ; -; -2.269 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[6] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.808 ; 2.448 ; -; -2.269 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[8] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.808 ; 2.448 ; -; -2.269 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[9] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.808 ; 2.448 ; -; -2.269 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[10] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.808 ; 2.448 ; -; -2.269 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[11] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.808 ; 2.448 ; -; -2.269 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[12] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.808 ; 2.448 ; -; -2.269 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[13] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.808 ; 2.448 ; -; -2.269 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[14] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.808 ; 2.448 ; -; -2.269 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[15] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.808 ; 2.448 ; -; -2.235 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.807 ; 2.415 ; -; -2.235 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[0] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.807 ; 2.415 ; -; -2.235 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[1] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.807 ; 2.415 ; -; -2.235 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[2] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.807 ; 2.415 ; -; -2.235 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[3] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.807 ; 2.415 ; -; -2.235 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[4] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.807 ; 2.415 ; -; -2.235 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.807 ; 2.415 ; -; -2.235 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[6] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.807 ; 2.415 ; -; -2.235 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[8] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.807 ; 2.415 ; -; -2.235 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[9] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.807 ; 2.415 ; -; -2.235 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[10] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.807 ; 2.415 ; -; -2.235 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[11] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.807 ; 2.415 ; -; -2.235 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[12] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.807 ; 2.415 ; -; -2.235 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[13] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.807 ; 2.415 ; -; -2.235 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[14] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.807 ; 2.415 ; -; -2.235 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[15] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.807 ; 2.415 ; -; -2.183 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.807 ; 2.363 ; -; -2.183 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[0] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.807 ; 2.363 ; -; -2.183 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[1] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.807 ; 2.363 ; -; -2.183 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[2] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.807 ; 2.363 ; -; -2.183 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[3] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.807 ; 2.363 ; -; -2.183 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[4] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.807 ; 2.363 ; -; -2.183 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.807 ; 2.363 ; -; -2.183 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[6] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.807 ; 2.363 ; -; -2.183 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[8] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.807 ; 2.363 ; -; -2.183 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[9] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.807 ; 2.363 ; -; -2.183 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[10] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.807 ; 2.363 ; -; -2.183 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[11] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.807 ; 2.363 ; -; -2.183 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[12] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.807 ; 2.363 ; -; -2.183 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[13] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.807 ; 2.363 ; -; -2.183 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[14] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.807 ; 2.363 ; -; -2.183 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[15] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.807 ; 2.363 ; -; -2.151 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.813 ; 2.325 ; -; -2.151 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[0] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.813 ; 2.325 ; -; -2.151 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[1] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.813 ; 2.325 ; -; -2.151 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[2] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.813 ; 2.325 ; -; -2.151 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[3] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.813 ; 2.325 ; -; -2.151 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[4] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.813 ; 2.325 ; -; -2.151 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.813 ; 2.325 ; -; -2.151 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[6] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.813 ; 2.325 ; -; -2.151 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[8] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.813 ; 2.325 ; -; -2.151 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[9] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.813 ; 2.325 ; -; -2.151 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[10] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.813 ; 2.325 ; -; -2.151 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[11] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.813 ; 2.325 ; -; -2.151 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[12] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.813 ; 2.325 ; -; -2.151 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[13] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.813 ; 2.325 ; -; -2.151 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[14] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.813 ; 2.325 ; -; -2.151 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[15] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.813 ; 2.325 ; -; -2.091 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[7] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.814 ; 2.264 ; -; -2.091 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[7] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[0] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.814 ; 2.264 ; -; -2.091 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[7] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[1] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.814 ; 2.264 ; -; -2.091 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[7] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[2] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 1.000 ; -0.814 ; 2.264 ; -+--------+-------------------------------------------+----------------------------------------------+----------------------------------+-------------------------------+--------------+------------+------------+ - - -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Fast 1200mV 0C Model Setup: 'mz80k_top:mz80k_top|i8253:i8253_1|signal1' ; -+--------+---------------------------------------------+----------------------------------------------+-------------------------------------------+-------------------------------------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+--------+---------------------------------------------+----------------------------------------------+-------------------------------------------+-------------------------------------------+--------------+------------+------------+ -; -1.305 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.200 ; 2.092 ; -; -1.305 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[1] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.200 ; 2.092 ; -; -1.305 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.200 ; 2.092 ; -; -1.305 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[3] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.200 ; 2.092 ; -; -1.305 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[4] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.200 ; 2.092 ; -; -1.305 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.200 ; 2.092 ; -; -1.305 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[6] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.200 ; 2.092 ; -; -1.305 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.200 ; 2.092 ; -; -1.305 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[10] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.200 ; 2.092 ; -; -1.305 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[11] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.200 ; 2.092 ; -; -1.305 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[15] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.200 ; 2.092 ; -; -1.302 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.200 ; 2.089 ; -; -1.302 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[1] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.200 ; 2.089 ; -; -1.302 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.200 ; 2.089 ; -; -1.302 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[3] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.200 ; 2.089 ; -; -1.302 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[4] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.200 ; 2.089 ; -; -1.302 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.200 ; 2.089 ; -; -1.302 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[6] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.200 ; 2.089 ; -; -1.302 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.200 ; 2.089 ; -; -1.302 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[10] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.200 ; 2.089 ; -; -1.302 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[11] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.200 ; 2.089 ; -; -1.302 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[15] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.200 ; 2.089 ; -; -1.297 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.036 ; 2.248 ; -; -1.297 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[1] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.036 ; 2.248 ; -; -1.297 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.036 ; 2.248 ; -; -1.297 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[3] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.036 ; 2.248 ; -; -1.297 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[4] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.036 ; 2.248 ; -; -1.297 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[5] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.036 ; 2.248 ; -; -1.297 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[6] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.036 ; 2.248 ; -; -1.297 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[7] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.036 ; 2.248 ; -; -1.297 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[10] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.036 ; 2.248 ; -; -1.297 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[11] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.036 ; 2.248 ; -; -1.297 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[15] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.036 ; 2.248 ; -; -1.279 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.036 ; 2.230 ; -; -1.279 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[1] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.036 ; 2.230 ; -; -1.279 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.036 ; 2.230 ; -; -1.279 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[3] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.036 ; 2.230 ; -; -1.279 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[4] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.036 ; 2.230 ; -; -1.279 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[5] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.036 ; 2.230 ; -; -1.279 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[6] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.036 ; 2.230 ; -; -1.279 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[7] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.036 ; 2.230 ; -; -1.279 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[10] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.036 ; 2.230 ; -; -1.279 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[11] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.036 ; 2.230 ; -; -1.279 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[15] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.036 ; 2.230 ; -; -1.273 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.200 ; 2.060 ; -; -1.273 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[1] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.200 ; 2.060 ; -; -1.273 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.200 ; 2.060 ; -; -1.273 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[3] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.200 ; 2.060 ; -; -1.273 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[4] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.200 ; 2.060 ; -; -1.273 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.200 ; 2.060 ; -; -1.273 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[6] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.200 ; 2.060 ; -; -1.273 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.200 ; 2.060 ; -; -1.273 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[10] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.200 ; 2.060 ; -; -1.273 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[11] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.200 ; 2.060 ; -; -1.273 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[15] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.200 ; 2.060 ; -; -1.237 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.200 ; 2.024 ; -; -1.237 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[1] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.200 ; 2.024 ; -; -1.237 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.200 ; 2.024 ; -; -1.237 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[3] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.200 ; 2.024 ; -; -1.237 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[4] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.200 ; 2.024 ; -; -1.237 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.200 ; 2.024 ; -; -1.237 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[6] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.200 ; 2.024 ; -; -1.237 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.200 ; 2.024 ; -; -1.237 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[10] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.200 ; 2.024 ; -; -1.237 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[11] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.200 ; 2.024 ; -; -1.237 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[15] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.200 ; 2.024 ; -; -1.236 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.036 ; 2.187 ; -; -1.236 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[1] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.036 ; 2.187 ; -; -1.236 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.036 ; 2.187 ; -; -1.236 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[3] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.036 ; 2.187 ; -; -1.236 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[4] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.036 ; 2.187 ; -; -1.236 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[5] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.036 ; 2.187 ; -; -1.236 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[6] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.036 ; 2.187 ; -; -1.236 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[7] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.036 ; 2.187 ; -; -1.236 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[10] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.036 ; 2.187 ; -; -1.236 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[11] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.036 ; 2.187 ; -; -1.236 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[15] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.036 ; 2.187 ; -; -1.207 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[7] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.036 ; 2.158 ; -; -1.207 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[7] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[1] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.036 ; 2.158 ; -; -1.207 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[7] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.036 ; 2.158 ; -; -1.207 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[7] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[3] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.036 ; 2.158 ; -; -1.207 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[7] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[4] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.036 ; 2.158 ; -; -1.207 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[7] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[5] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.036 ; 2.158 ; -; -1.207 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[7] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[6] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.036 ; 2.158 ; -; -1.207 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[7] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[7] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.036 ; 2.158 ; -; -1.207 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[7] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[10] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.036 ; 2.158 ; -; -1.207 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[7] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[11] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.036 ; 2.158 ; -; -1.207 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[7] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[15] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.036 ; 2.158 ; -; -1.206 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.200 ; 1.993 ; -; -1.206 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[1] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.200 ; 1.993 ; -; -1.206 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.200 ; 1.993 ; -; -1.206 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[3] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.200 ; 1.993 ; -; -1.206 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[4] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.200 ; 1.993 ; -; -1.206 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.200 ; 1.993 ; -; -1.206 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[6] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.200 ; 1.993 ; -; -1.206 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.200 ; 1.993 ; -; -1.206 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[10] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.200 ; 1.993 ; -; -1.206 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[11] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.200 ; 1.993 ; -; -1.206 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[15] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.200 ; 1.993 ; -; -1.202 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 1.000 ; -0.036 ; 2.153 ; -+--------+---------------------------------------------+----------------------------------------------+-------------------------------------------+-------------------------------------------+--------------+------------+------------+ - - -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Fast 1200mV 0C Model Setup: 'mz80k_top:mz80k_top|vga:vga1|counter[0]' ; -+--------+-----------------------------------+-----------------------------------+-----------------------------------------+-----------------------------------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+--------+-----------------------------------+-----------------------------------+-----------------------------------------+-----------------------------------------+--------------+------------+------------+ -; -0.305 ; mz80k_top:mz80k_top|vga:vga1|x[6] ; mz80k_top:mz80k_top|vga:vga1|y[6] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.035 ; 1.257 ; -; -0.305 ; mz80k_top:mz80k_top|vga:vga1|x[6] ; mz80k_top:mz80k_top|vga:vga1|y[9] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.035 ; 1.257 ; -; -0.305 ; mz80k_top:mz80k_top|vga:vga1|x[6] ; mz80k_top:mz80k_top|vga:vga1|y[8] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.035 ; 1.257 ; -; -0.305 ; mz80k_top:mz80k_top|vga:vga1|x[6] ; mz80k_top:mz80k_top|vga:vga1|y[7] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.035 ; 1.257 ; -; -0.305 ; mz80k_top:mz80k_top|vga:vga1|x[6] ; mz80k_top:mz80k_top|vga:vga1|y[5] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.035 ; 1.257 ; -; -0.305 ; mz80k_top:mz80k_top|vga:vga1|x[6] ; mz80k_top:mz80k_top|vga:vga1|y[2] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.035 ; 1.257 ; -; -0.305 ; mz80k_top:mz80k_top|vga:vga1|x[6] ; mz80k_top:mz80k_top|vga:vga1|y[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.035 ; 1.257 ; -; -0.305 ; mz80k_top:mz80k_top|vga:vga1|x[6] ; mz80k_top:mz80k_top|vga:vga1|y[1] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.035 ; 1.257 ; -; -0.305 ; mz80k_top:mz80k_top|vga:vga1|x[6] ; mz80k_top:mz80k_top|vga:vga1|y[3] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.035 ; 1.257 ; -; -0.305 ; mz80k_top:mz80k_top|vga:vga1|x[6] ; mz80k_top:mz80k_top|vga:vga1|y[4] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.035 ; 1.257 ; -; -0.250 ; mz80k_top:mz80k_top|vga:vga1|y[7] ; mz80k_top:mz80k_top|vga:vga1|y[6] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.035 ; 1.202 ; -; -0.250 ; mz80k_top:mz80k_top|vga:vga1|y[7] ; mz80k_top:mz80k_top|vga:vga1|y[9] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.035 ; 1.202 ; -; -0.250 ; mz80k_top:mz80k_top|vga:vga1|y[7] ; mz80k_top:mz80k_top|vga:vga1|y[8] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.035 ; 1.202 ; -; -0.250 ; mz80k_top:mz80k_top|vga:vga1|y[7] ; mz80k_top:mz80k_top|vga:vga1|y[7] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.035 ; 1.202 ; -; -0.250 ; mz80k_top:mz80k_top|vga:vga1|y[7] ; mz80k_top:mz80k_top|vga:vga1|y[5] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.035 ; 1.202 ; -; -0.250 ; mz80k_top:mz80k_top|vga:vga1|y[7] ; mz80k_top:mz80k_top|vga:vga1|y[2] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.035 ; 1.202 ; -; -0.250 ; mz80k_top:mz80k_top|vga:vga1|y[7] ; mz80k_top:mz80k_top|vga:vga1|y[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.035 ; 1.202 ; -; -0.250 ; mz80k_top:mz80k_top|vga:vga1|y[7] ; mz80k_top:mz80k_top|vga:vga1|y[1] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.035 ; 1.202 ; -; -0.250 ; mz80k_top:mz80k_top|vga:vga1|y[7] ; mz80k_top:mz80k_top|vga:vga1|y[3] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.035 ; 1.202 ; -; -0.250 ; mz80k_top:mz80k_top|vga:vga1|y[7] ; mz80k_top:mz80k_top|vga:vga1|y[4] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.035 ; 1.202 ; -; -0.246 ; mz80k_top:mz80k_top|vga:vga1|y[4] ; mz80k_top:mz80k_top|vga:vga1|y[6] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.035 ; 1.198 ; -; -0.246 ; mz80k_top:mz80k_top|vga:vga1|y[4] ; mz80k_top:mz80k_top|vga:vga1|y[9] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.035 ; 1.198 ; -; -0.246 ; mz80k_top:mz80k_top|vga:vga1|y[4] ; mz80k_top:mz80k_top|vga:vga1|y[8] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.035 ; 1.198 ; -; -0.246 ; mz80k_top:mz80k_top|vga:vga1|y[4] ; mz80k_top:mz80k_top|vga:vga1|y[7] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.035 ; 1.198 ; -; -0.246 ; mz80k_top:mz80k_top|vga:vga1|y[4] ; mz80k_top:mz80k_top|vga:vga1|y[5] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.035 ; 1.198 ; -; -0.246 ; mz80k_top:mz80k_top|vga:vga1|y[4] ; mz80k_top:mz80k_top|vga:vga1|y[2] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.035 ; 1.198 ; -; -0.246 ; mz80k_top:mz80k_top|vga:vga1|y[4] ; mz80k_top:mz80k_top|vga:vga1|y[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.035 ; 1.198 ; -; -0.246 ; mz80k_top:mz80k_top|vga:vga1|y[4] ; mz80k_top:mz80k_top|vga:vga1|y[1] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.035 ; 1.198 ; -; -0.246 ; mz80k_top:mz80k_top|vga:vga1|y[4] ; mz80k_top:mz80k_top|vga:vga1|y[3] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.035 ; 1.198 ; -; -0.246 ; mz80k_top:mz80k_top|vga:vga1|y[4] ; mz80k_top:mz80k_top|vga:vga1|y[4] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.035 ; 1.198 ; -; -0.241 ; mz80k_top:mz80k_top|vga:vga1|y[8] ; mz80k_top:mz80k_top|vga:vga1|y[6] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.035 ; 1.193 ; -; -0.241 ; mz80k_top:mz80k_top|vga:vga1|y[8] ; mz80k_top:mz80k_top|vga:vga1|y[9] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.035 ; 1.193 ; -; -0.241 ; mz80k_top:mz80k_top|vga:vga1|y[8] ; mz80k_top:mz80k_top|vga:vga1|y[8] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.035 ; 1.193 ; -; -0.241 ; mz80k_top:mz80k_top|vga:vga1|y[8] ; mz80k_top:mz80k_top|vga:vga1|y[7] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.035 ; 1.193 ; -; -0.241 ; mz80k_top:mz80k_top|vga:vga1|y[8] ; mz80k_top:mz80k_top|vga:vga1|y[5] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.035 ; 1.193 ; -; -0.241 ; mz80k_top:mz80k_top|vga:vga1|y[8] ; mz80k_top:mz80k_top|vga:vga1|y[2] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.035 ; 1.193 ; -; -0.241 ; mz80k_top:mz80k_top|vga:vga1|y[8] ; mz80k_top:mz80k_top|vga:vga1|y[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.035 ; 1.193 ; -; -0.241 ; mz80k_top:mz80k_top|vga:vga1|y[8] ; mz80k_top:mz80k_top|vga:vga1|y[1] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.035 ; 1.193 ; -; -0.241 ; mz80k_top:mz80k_top|vga:vga1|y[8] ; mz80k_top:mz80k_top|vga:vga1|y[3] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.035 ; 1.193 ; -; -0.241 ; mz80k_top:mz80k_top|vga:vga1|y[8] ; mz80k_top:mz80k_top|vga:vga1|y[4] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.035 ; 1.193 ; -; -0.198 ; mz80k_top:mz80k_top|vga:vga1|x[7] ; mz80k_top:mz80k_top|vga:vga1|y[6] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.035 ; 1.150 ; -; -0.198 ; mz80k_top:mz80k_top|vga:vga1|x[7] ; mz80k_top:mz80k_top|vga:vga1|y[9] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.035 ; 1.150 ; -; -0.198 ; mz80k_top:mz80k_top|vga:vga1|x[7] ; mz80k_top:mz80k_top|vga:vga1|y[8] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.035 ; 1.150 ; -; -0.198 ; mz80k_top:mz80k_top|vga:vga1|x[7] ; mz80k_top:mz80k_top|vga:vga1|y[7] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.035 ; 1.150 ; -; -0.198 ; mz80k_top:mz80k_top|vga:vga1|x[7] ; mz80k_top:mz80k_top|vga:vga1|y[5] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.035 ; 1.150 ; -; -0.198 ; mz80k_top:mz80k_top|vga:vga1|x[7] ; mz80k_top:mz80k_top|vga:vga1|y[2] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.035 ; 1.150 ; -; -0.198 ; mz80k_top:mz80k_top|vga:vga1|x[7] ; mz80k_top:mz80k_top|vga:vga1|y[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.035 ; 1.150 ; -; -0.198 ; mz80k_top:mz80k_top|vga:vga1|x[7] ; mz80k_top:mz80k_top|vga:vga1|y[1] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.035 ; 1.150 ; -; -0.198 ; mz80k_top:mz80k_top|vga:vga1|x[7] ; mz80k_top:mz80k_top|vga:vga1|y[3] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.035 ; 1.150 ; -; -0.198 ; mz80k_top:mz80k_top|vga:vga1|x[7] ; mz80k_top:mz80k_top|vga:vga1|y[4] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.035 ; 1.150 ; -; -0.134 ; mz80k_top:mz80k_top|vga:vga1|x[5] ; mz80k_top:mz80k_top|vga:vga1|y[6] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.035 ; 1.086 ; -; -0.134 ; mz80k_top:mz80k_top|vga:vga1|x[5] ; mz80k_top:mz80k_top|vga:vga1|y[9] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.035 ; 1.086 ; -; -0.134 ; mz80k_top:mz80k_top|vga:vga1|x[5] ; mz80k_top:mz80k_top|vga:vga1|y[8] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.035 ; 1.086 ; -; -0.134 ; mz80k_top:mz80k_top|vga:vga1|x[5] ; mz80k_top:mz80k_top|vga:vga1|y[7] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.035 ; 1.086 ; -; -0.134 ; mz80k_top:mz80k_top|vga:vga1|x[5] ; mz80k_top:mz80k_top|vga:vga1|y[5] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.035 ; 1.086 ; -; -0.134 ; mz80k_top:mz80k_top|vga:vga1|x[5] ; mz80k_top:mz80k_top|vga:vga1|y[2] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.035 ; 1.086 ; -; -0.134 ; mz80k_top:mz80k_top|vga:vga1|x[5] ; mz80k_top:mz80k_top|vga:vga1|y[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.035 ; 1.086 ; -; -0.134 ; mz80k_top:mz80k_top|vga:vga1|x[5] ; mz80k_top:mz80k_top|vga:vga1|y[1] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.035 ; 1.086 ; -; -0.134 ; mz80k_top:mz80k_top|vga:vga1|x[5] ; mz80k_top:mz80k_top|vga:vga1|y[3] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.035 ; 1.086 ; -; -0.134 ; mz80k_top:mz80k_top|vga:vga1|x[5] ; mz80k_top:mz80k_top|vga:vga1|y[4] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.035 ; 1.086 ; -; -0.115 ; mz80k_top:mz80k_top|vga:vga1|x[8] ; mz80k_top:mz80k_top|vga:vga1|y[6] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.035 ; 1.067 ; -; -0.115 ; mz80k_top:mz80k_top|vga:vga1|x[8] ; mz80k_top:mz80k_top|vga:vga1|y[9] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.035 ; 1.067 ; -; -0.115 ; mz80k_top:mz80k_top|vga:vga1|x[8] ; mz80k_top:mz80k_top|vga:vga1|y[8] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.035 ; 1.067 ; -; -0.115 ; mz80k_top:mz80k_top|vga:vga1|x[8] ; mz80k_top:mz80k_top|vga:vga1|y[7] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.035 ; 1.067 ; -; -0.115 ; mz80k_top:mz80k_top|vga:vga1|x[8] ; mz80k_top:mz80k_top|vga:vga1|y[5] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.035 ; 1.067 ; -; -0.115 ; mz80k_top:mz80k_top|vga:vga1|x[8] ; mz80k_top:mz80k_top|vga:vga1|y[2] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.035 ; 1.067 ; -; -0.115 ; mz80k_top:mz80k_top|vga:vga1|x[8] ; mz80k_top:mz80k_top|vga:vga1|y[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.035 ; 1.067 ; -; -0.115 ; mz80k_top:mz80k_top|vga:vga1|x[8] ; mz80k_top:mz80k_top|vga:vga1|y[1] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.035 ; 1.067 ; -; -0.115 ; mz80k_top:mz80k_top|vga:vga1|x[8] ; mz80k_top:mz80k_top|vga:vga1|y[3] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.035 ; 1.067 ; -; -0.115 ; mz80k_top:mz80k_top|vga:vga1|x[8] ; mz80k_top:mz80k_top|vga:vga1|y[4] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.035 ; 1.067 ; -; -0.108 ; mz80k_top:mz80k_top|vga:vga1|x[6] ; mz80k_top:mz80k_top|vga:vga1|x[9] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.036 ; 1.059 ; -; -0.108 ; mz80k_top:mz80k_top|vga:vga1|x[6] ; mz80k_top:mz80k_top|vga:vga1|x[5] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.036 ; 1.059 ; -; -0.108 ; mz80k_top:mz80k_top|vga:vga1|x[6] ; mz80k_top:mz80k_top|vga:vga1|x[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.036 ; 1.059 ; -; -0.108 ; mz80k_top:mz80k_top|vga:vga1|x[6] ; mz80k_top:mz80k_top|vga:vga1|x[1] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.036 ; 1.059 ; -; -0.108 ; mz80k_top:mz80k_top|vga:vga1|x[6] ; mz80k_top:mz80k_top|vga:vga1|x[2] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.036 ; 1.059 ; -; -0.108 ; mz80k_top:mz80k_top|vga:vga1|x[6] ; mz80k_top:mz80k_top|vga:vga1|x[3] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.036 ; 1.059 ; -; -0.108 ; mz80k_top:mz80k_top|vga:vga1|x[6] ; mz80k_top:mz80k_top|vga:vga1|x[4] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.036 ; 1.059 ; -; -0.108 ; mz80k_top:mz80k_top|vga:vga1|x[6] ; mz80k_top:mz80k_top|vga:vga1|x[7] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.036 ; 1.059 ; -; -0.108 ; mz80k_top:mz80k_top|vga:vga1|x[6] ; mz80k_top:mz80k_top|vga:vga1|x[6] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.036 ; 1.059 ; -; -0.108 ; mz80k_top:mz80k_top|vga:vga1|x[6] ; mz80k_top:mz80k_top|vga:vga1|x[8] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.036 ; 1.059 ; -; -0.096 ; mz80k_top:mz80k_top|vga:vga1|y[1] ; mz80k_top:mz80k_top|vga:vga1|y[9] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.035 ; 1.048 ; -; -0.092 ; mz80k_top:mz80k_top|vga:vga1|y[1] ; mz80k_top:mz80k_top|vga:vga1|y[8] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.035 ; 1.044 ; -; -0.090 ; mz80k_top:mz80k_top|vga:vga1|x[1] ; mz80k_top:mz80k_top|vga:vga1|x[9] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.036 ; 1.041 ; -; -0.088 ; mz80k_top:mz80k_top|vga:vga1|y[3] ; mz80k_top:mz80k_top|vga:vga1|y[6] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.035 ; 1.040 ; -; -0.088 ; mz80k_top:mz80k_top|vga:vga1|y[3] ; mz80k_top:mz80k_top|vga:vga1|y[9] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.035 ; 1.040 ; -; -0.088 ; mz80k_top:mz80k_top|vga:vga1|y[3] ; mz80k_top:mz80k_top|vga:vga1|y[8] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.035 ; 1.040 ; -; -0.088 ; mz80k_top:mz80k_top|vga:vga1|y[3] ; mz80k_top:mz80k_top|vga:vga1|y[7] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.035 ; 1.040 ; -; -0.088 ; mz80k_top:mz80k_top|vga:vga1|y[3] ; mz80k_top:mz80k_top|vga:vga1|y[5] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.035 ; 1.040 ; -; -0.088 ; mz80k_top:mz80k_top|vga:vga1|y[3] ; mz80k_top:mz80k_top|vga:vga1|y[2] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.035 ; 1.040 ; -; -0.088 ; mz80k_top:mz80k_top|vga:vga1|y[3] ; mz80k_top:mz80k_top|vga:vga1|y[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.035 ; 1.040 ; -; -0.088 ; mz80k_top:mz80k_top|vga:vga1|y[3] ; mz80k_top:mz80k_top|vga:vga1|y[1] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.035 ; 1.040 ; -; -0.088 ; mz80k_top:mz80k_top|vga:vga1|y[3] ; mz80k_top:mz80k_top|vga:vga1|y[3] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.035 ; 1.040 ; -; -0.088 ; mz80k_top:mz80k_top|vga:vga1|y[3] ; mz80k_top:mz80k_top|vga:vga1|y[4] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.035 ; 1.040 ; -; -0.087 ; mz80k_top:mz80k_top|vga:vga1|y[5] ; mz80k_top:mz80k_top|vga:vga1|y[6] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.035 ; 1.039 ; -; -0.087 ; mz80k_top:mz80k_top|vga:vga1|y[5] ; mz80k_top:mz80k_top|vga:vga1|y[9] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.035 ; 1.039 ; -; -0.087 ; mz80k_top:mz80k_top|vga:vga1|y[5] ; mz80k_top:mz80k_top|vga:vga1|y[8] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.035 ; 1.039 ; -; -0.087 ; mz80k_top:mz80k_top|vga:vga1|y[5] ; mz80k_top:mz80k_top|vga:vga1|y[7] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.035 ; 1.039 ; -; -0.087 ; mz80k_top:mz80k_top|vga:vga1|y[5] ; mz80k_top:mz80k_top|vga:vga1|y[5] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.035 ; 1.039 ; -; -0.087 ; mz80k_top:mz80k_top|vga:vga1|y[5] ; mz80k_top:mz80k_top|vga:vga1|y[2] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.035 ; 1.039 ; -; -0.087 ; mz80k_top:mz80k_top|vga:vga1|y[5] ; mz80k_top:mz80k_top|vga:vga1|y[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 1.000 ; -0.035 ; 1.039 ; -+--------+-----------------------------------+-----------------------------------+-----------------------------------------+-----------------------------------------+--------------+------------+------------+ - - -+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Fast 1200mV 0C Model Hold: 'mz80k_top:mz80k_top|clk_count[2]' ; -+--------+------------------------------------------------------------------------------------------------------------------------+-------------------------------------------------------+-------------------------------------------------+----------------------------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+--------+------------------------------------------------------------------------------------------------------------------------+-------------------------------------------------------+-------------------------------------------------+----------------------------------+--------------+------------+------------+ -; -0.646 ; mz80k_top:mz80k_top|ps2:ps2_1|data[7]~reg0 ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl1 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.990 ; 1.508 ; -; -0.646 ; mz80k_top:mz80k_top|ps2:ps2_1|data[6]~reg0 ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl1 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.990 ; 1.508 ; -; -0.637 ; mz80k_top:mz80k_top|ps2:ps2_1|data[2]~reg0 ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl1 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.981 ; 1.508 ; -; -0.637 ; mz80k_top:mz80k_top|ps2:ps2_1|data[4]~reg0 ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl1 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.981 ; 1.508 ; -; -0.637 ; mz80k_top:mz80k_top|ps2:ps2_1|data[5]~reg0 ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl1 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.981 ; 1.508 ; -; -0.630 ; mz80k_top:mz80k_top|ps2:ps2_1|data[0]~reg0 ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl1 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.974 ; 1.508 ; -; -0.593 ; mz80k_top:mz80k_top|ps2:ps2_1|data[7]~reg0 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|iff2 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.984 ; 1.555 ; -; -0.593 ; mz80k_top:mz80k_top|ps2:ps2_1|data[6]~reg0 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|iff2 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.984 ; 1.555 ; -; -0.584 ; mz80k_top:mz80k_top|ps2:ps2_1|data[2]~reg0 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|iff2 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.975 ; 1.555 ; -; -0.584 ; mz80k_top:mz80k_top|ps2:ps2_1|data[4]~reg0 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|iff2 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.975 ; 1.555 ; -; -0.584 ; mz80k_top:mz80k_top|ps2:ps2_1|data[5]~reg0 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|iff2 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.975 ; 1.555 ; -; -0.577 ; mz80k_top:mz80k_top|ps2:ps2_1|data[0]~reg0 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|iff2 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.968 ; 1.555 ; -; -0.507 ; mz80k_top:mz80k_top|clk_count[24] ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl1 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.982 ; 1.639 ; -; -0.481 ; mz80k_top:mz80k_top|ps2:ps2_1|data[7]~reg0 ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl2 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.990 ; 1.673 ; -; -0.481 ; mz80k_top:mz80k_top|ps2:ps2_1|data[6]~reg0 ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl2 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.990 ; 1.673 ; -; -0.472 ; mz80k_top:mz80k_top|ps2:ps2_1|data[2]~reg0 ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl2 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.981 ; 1.673 ; -; -0.472 ; mz80k_top:mz80k_top|ps2:ps2_1|data[4]~reg0 ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl2 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.981 ; 1.673 ; -; -0.472 ; mz80k_top:mz80k_top|ps2:ps2_1|data[5]~reg0 ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl2 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.981 ; 1.673 ; -; -0.465 ; mz80k_top:mz80k_top|ps2:ps2_1|data[0]~reg0 ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl2 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.974 ; 1.673 ; -; -0.460 ; mz80k_top:mz80k_top|ps2:ps2_1|data[3]~reg0 ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl1 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.981 ; 1.685 ; -; -0.454 ; mz80k_top:mz80k_top|clk_count[24] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|iff2 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.976 ; 1.686 ; -; -0.445 ; mz80k_top:mz80k_top|ps2:ps2_1|data[1]~reg0 ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl1 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.981 ; 1.700 ; -; -0.407 ; mz80k_top:mz80k_top|ps2:ps2_1|data[3]~reg0 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|iff2 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.975 ; 1.732 ; -; -0.404 ; mz80k_top:mz80k_top|ps2:ps2_1|data[7]~reg0 ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl0 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.990 ; 1.750 ; -; -0.404 ; mz80k_top:mz80k_top|ps2:ps2_1|data[6]~reg0 ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl0 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.990 ; 1.750 ; -; -0.395 ; mz80k_top:mz80k_top|ps2:ps2_1|data[2]~reg0 ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl0 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.981 ; 1.750 ; -; -0.395 ; mz80k_top:mz80k_top|ps2:ps2_1|data[4]~reg0 ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl0 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.981 ; 1.750 ; -; -0.395 ; mz80k_top:mz80k_top|ps2:ps2_1|data[5]~reg0 ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl0 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.981 ; 1.750 ; -; -0.392 ; mz80k_top:mz80k_top|ps2:ps2_1|data[1]~reg0 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|iff2 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.975 ; 1.747 ; -; -0.388 ; mz80k_top:mz80k_top|ps2:ps2_1|data[0]~reg0 ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl0 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.974 ; 1.750 ; -; -0.342 ; mz80k_top:mz80k_top|clk_count[24] ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl2 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.982 ; 1.804 ; -; -0.295 ; mz80k_top:mz80k_top|ps2:ps2_1|data[3]~reg0 ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl2 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.981 ; 1.850 ; -; -0.280 ; mz80k_top:mz80k_top|ps2:ps2_1|data[1]~reg0 ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl2 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.981 ; 1.865 ; -; -0.265 ; mz80k_top:mz80k_top|clk_count[24] ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl0 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.982 ; 1.881 ; -; -0.247 ; mz80k_top:mz80k_top|ps2:ps2_1|data[7]~reg0 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.985 ; 1.902 ; -; -0.247 ; mz80k_top:mz80k_top|ps2:ps2_1|data[6]~reg0 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.985 ; 1.902 ; -; -0.245 ; mz80k_top:mz80k_top|ps2:ps2_1|data[7]~reg0 ; mz80k_top:mz80k_top|fz80:z80|reg_f:reg_f|q0[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.990 ; 1.909 ; -; -0.245 ; mz80k_top:mz80k_top|ps2:ps2_1|data[6]~reg0 ; mz80k_top:mz80k_top|fz80:z80|reg_f:reg_f|q0[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.990 ; 1.909 ; -; -0.244 ; mz80k_top:mz80k_top|ps2:ps2_1|data[7]~reg0 ; mz80k_top:mz80k_top|fz80:z80|reg_f:reg_f|q1[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.990 ; 1.910 ; -; -0.244 ; mz80k_top:mz80k_top|ps2:ps2_1|data[6]~reg0 ; mz80k_top:mz80k_top|fz80:z80|reg_f:reg_f|q1[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.990 ; 1.910 ; -; -0.243 ; mz80k_top:mz80k_top|ps2:ps2_1|data[7]~reg0 ; mz80k_top:mz80k_top|fz80:z80|reg_simple:reg_data|q[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.986 ; 1.907 ; -; -0.243 ; mz80k_top:mz80k_top|ps2:ps2_1|data[6]~reg0 ; mz80k_top:mz80k_top|fz80:z80|reg_simple:reg_data|q[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.986 ; 1.907 ; -; -0.238 ; mz80k_top:mz80k_top|ps2:ps2_1|data[2]~reg0 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.976 ; 1.902 ; -; -0.238 ; mz80k_top:mz80k_top|ps2:ps2_1|data[4]~reg0 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.976 ; 1.902 ; -; -0.238 ; mz80k_top:mz80k_top|ps2:ps2_1|data[5]~reg0 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.976 ; 1.902 ; -; -0.236 ; mz80k_top:mz80k_top|ps2:ps2_1|data[2]~reg0 ; mz80k_top:mz80k_top|fz80:z80|reg_f:reg_f|q0[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.981 ; 1.909 ; -; -0.236 ; mz80k_top:mz80k_top|ps2:ps2_1|data[4]~reg0 ; mz80k_top:mz80k_top|fz80:z80|reg_f:reg_f|q0[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.981 ; 1.909 ; -; -0.236 ; mz80k_top:mz80k_top|ps2:ps2_1|data[5]~reg0 ; mz80k_top:mz80k_top|fz80:z80|reg_f:reg_f|q0[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.981 ; 1.909 ; -; -0.235 ; mz80k_top:mz80k_top|ps2:ps2_1|data[2]~reg0 ; mz80k_top:mz80k_top|fz80:z80|reg_f:reg_f|q1[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.981 ; 1.910 ; -; -0.235 ; mz80k_top:mz80k_top|ps2:ps2_1|data[4]~reg0 ; mz80k_top:mz80k_top|fz80:z80|reg_f:reg_f|q1[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.981 ; 1.910 ; -; -0.235 ; mz80k_top:mz80k_top|ps2:ps2_1|data[5]~reg0 ; mz80k_top:mz80k_top|fz80:z80|reg_f:reg_f|q1[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.981 ; 1.910 ; -; -0.234 ; mz80k_top:mz80k_top|ps2:ps2_1|data[2]~reg0 ; mz80k_top:mz80k_top|fz80:z80|reg_simple:reg_data|q[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.977 ; 1.907 ; -; -0.234 ; mz80k_top:mz80k_top|ps2:ps2_1|data[4]~reg0 ; mz80k_top:mz80k_top|fz80:z80|reg_simple:reg_data|q[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.977 ; 1.907 ; -; -0.234 ; mz80k_top:mz80k_top|ps2:ps2_1|data[5]~reg0 ; mz80k_top:mz80k_top|fz80:z80|reg_simple:reg_data|q[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.977 ; 1.907 ; -; -0.231 ; mz80k_top:mz80k_top|ps2:ps2_1|data[0]~reg0 ; mz80k_top:mz80k_top|fz80:z80|sel_exx ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.969 ; 1.902 ; -; -0.229 ; mz80k_top:mz80k_top|ps2:ps2_1|data[0]~reg0 ; mz80k_top:mz80k_top|fz80:z80|reg_f:reg_f|q0[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.974 ; 1.909 ; -; -0.228 ; mz80k_top:mz80k_top|ps2:ps2_1|data[0]~reg0 ; mz80k_top:mz80k_top|fz80:z80|reg_f:reg_f|q1[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.974 ; 1.910 ; -; -0.227 ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|out_address_reg_a[1] ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl1 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.979 ; 1.916 ; -; -0.227 ; mz80k_top:mz80k_top|ps2:ps2_1|data[0]~reg0 ; mz80k_top:mz80k_top|fz80:z80|reg_simple:reg_data|q[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.970 ; 1.907 ; -; -0.218 ; mz80k_top:mz80k_top|ps2:ps2_1|data[3]~reg0 ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl0 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.981 ; 1.927 ; -; -0.215 ; mz80k_top:mz80k_top|ps2:ps2_1|data[7]~reg0 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.986 ; 1.935 ; -; -0.215 ; mz80k_top:mz80k_top|ps2:ps2_1|data[6]~reg0 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.986 ; 1.935 ; -; -0.206 ; mz80k_top:mz80k_top|ps2:ps2_1|data[2]~reg0 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.977 ; 1.935 ; -; -0.206 ; mz80k_top:mz80k_top|ps2:ps2_1|data[4]~reg0 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.977 ; 1.935 ; -; -0.206 ; mz80k_top:mz80k_top|ps2:ps2_1|data[5]~reg0 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.977 ; 1.935 ; -; -0.203 ; mz80k_top:mz80k_top|ps2:ps2_1|data[1]~reg0 ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl0 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.981 ; 1.942 ; -; -0.199 ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|out_address_reg_a[0] ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl1 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.979 ; 1.944 ; -; -0.199 ; mz80k_top:mz80k_top|ps2:ps2_1|data[0]~reg0 ; mz80k_top:mz80k_top|i8253:i8253_1|max1[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.970 ; 1.935 ; -; -0.182 ; mz80k_top:mz80k_top|clk_count[19] ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl1 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.982 ; 1.964 ; -; -0.174 ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|out_address_reg_a[1] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|iff2 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.973 ; 1.963 ; -; -0.171 ; mz80k_top:mz80k_top|ps2:ps2_1|data[7]~reg0 ; mz80k_top:mz80k_top|i8253:i8253_1|read_hl0 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.987 ; 1.980 ; -; -0.171 ; mz80k_top:mz80k_top|ps2:ps2_1|data[6]~reg0 ; mz80k_top:mz80k_top|i8253:i8253_1|read_hl0 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.987 ; 1.980 ; -; -0.162 ; mz80k_top:mz80k_top|ps2:ps2_1|data[2]~reg0 ; mz80k_top:mz80k_top|i8253:i8253_1|read_hl0 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.978 ; 1.980 ; -; -0.162 ; mz80k_top:mz80k_top|ps2:ps2_1|data[4]~reg0 ; mz80k_top:mz80k_top|i8253:i8253_1|read_hl0 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.978 ; 1.980 ; -; -0.162 ; mz80k_top:mz80k_top|ps2:ps2_1|data[5]~reg0 ; mz80k_top:mz80k_top|i8253:i8253_1|read_hl0 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.978 ; 1.980 ; -; -0.155 ; mz80k_top:mz80k_top|ps2:ps2_1|data[0]~reg0 ; mz80k_top:mz80k_top|i8253:i8253_1|read_hl0 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.971 ; 1.980 ; -; -0.146 ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|out_address_reg_a[0] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|iff2 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.973 ; 1.991 ; -; -0.142 ; mz80k_top:mz80k_top|ps2:ps2_1|data[7]~reg0 ; mz80k_top:mz80k_top|fz80:z80|sel_af ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.982 ; 2.004 ; -; -0.142 ; mz80k_top:mz80k_top|ps2:ps2_1|data[6]~reg0 ; mz80k_top:mz80k_top|fz80:z80|sel_af ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.982 ; 2.004 ; -; -0.133 ; mz80k_top:mz80k_top|ps2:ps2_1|data[2]~reg0 ; mz80k_top:mz80k_top|fz80:z80|sel_af ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.973 ; 2.004 ; -; -0.133 ; mz80k_top:mz80k_top|ps2:ps2_1|data[4]~reg0 ; mz80k_top:mz80k_top|fz80:z80|sel_af ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.973 ; 2.004 ; -; -0.133 ; mz80k_top:mz80k_top|ps2:ps2_1|data[5]~reg0 ; mz80k_top:mz80k_top|fz80:z80|sel_af ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.973 ; 2.004 ; -; -0.131 ; mz80k_top:mz80k_top|ps2:ps2_1|data[7]~reg0 ; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrh|q[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.991 ; 2.024 ; -; -0.131 ; mz80k_top:mz80k_top|ps2:ps2_1|data[6]~reg0 ; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrh|q[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.991 ; 2.024 ; -; -0.129 ; mz80k_top:mz80k_top|clk_count[19] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|iff2 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.976 ; 2.011 ; -; -0.128 ; mz80k_top:mz80k_top|ps2:ps2_1|data[7]~reg0 ; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrh|q[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.991 ; 2.027 ; -; -0.128 ; mz80k_top:mz80k_top|ps2:ps2_1|data[6]~reg0 ; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrh|q[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.991 ; 2.027 ; -; -0.126 ; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|q_a[5] ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl1 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.006 ; 2.044 ; -; -0.126 ; mz80k_top:mz80k_top|ps2:ps2_1|data[0]~reg0 ; mz80k_top:mz80k_top|fz80:z80|sel_af ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.966 ; 2.004 ; -; -0.122 ; mz80k_top:mz80k_top|ps2:ps2_1|data[2]~reg0 ; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrh|q[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.982 ; 2.024 ; -; -0.122 ; mz80k_top:mz80k_top|ps2:ps2_1|data[4]~reg0 ; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrh|q[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.982 ; 2.024 ; -; -0.122 ; mz80k_top:mz80k_top|ps2:ps2_1|data[5]~reg0 ; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrh|q[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.982 ; 2.024 ; -; -0.119 ; mz80k_top:mz80k_top|ps2:ps2_1|data[2]~reg0 ; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrh|q[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.982 ; 2.027 ; -; -0.119 ; mz80k_top:mz80k_top|ps2:ps2_1|data[4]~reg0 ; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrh|q[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.982 ; 2.027 ; -; -0.119 ; mz80k_top:mz80k_top|ps2:ps2_1|data[5]~reg0 ; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrh|q[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.982 ; 2.027 ; -; -0.117 ; mz80k_top:mz80k_top|ps2:ps2_1|data[7]~reg0 ; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrh|q[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.991 ; 2.038 ; -; -0.117 ; mz80k_top:mz80k_top|ps2:ps2_1|data[6]~reg0 ; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrh|q[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.991 ; 2.038 ; -; -0.115 ; mz80k_top:mz80k_top|ps2:ps2_1|data[0]~reg0 ; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrh|q[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.975 ; 2.024 ; -; -0.112 ; mz80k_top:mz80k_top|ps2:ps2_1|data[0]~reg0 ; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrh|q[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.975 ; 2.027 ; -; -0.108 ; mz80k_top:mz80k_top|ps2:ps2_1|data[2]~reg0 ; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrh|q[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 1.982 ; 2.038 ; -+--------+------------------------------------------------------------------------------------------------------------------------+-------------------------------------------------------+-------------------------------------------------+----------------------------------+--------------+------------+------------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Fast 1200mV 0C Model Hold: 'mz80k_top:mz80k_top|CLK_31250' ; -+--------+----------------------------------------------+----------------------------------------------+-------------------------------------------+-------------------------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+--------+----------------------------------------------+----------------------------------------------+-------------------------------------------+-------------------------------+--------------+------------+------------+ -; -0.187 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 1.249 ; 1.271 ; -; 0.307 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[1] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.035 ; 0.426 ; -; 0.307 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[3] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.035 ; 0.426 ; -; 0.308 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[9] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[9] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.035 ; 0.427 ; -; 0.308 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[10] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[10] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.035 ; 0.427 ; -; 0.317 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[6] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.035 ; 0.436 ; -; 0.318 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[13] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[13] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.035 ; 0.437 ; -; 0.318 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[14] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[14] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.035 ; 0.437 ; -; 0.318 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[15] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[15] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.035 ; 0.437 ; -; 0.318 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[0] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.035 ; 0.437 ; -; 0.318 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[2] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.035 ; 0.437 ; -; 0.319 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[8] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.035 ; 0.438 ; -; 0.319 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[11] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[11] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.035 ; 0.438 ; -; 0.319 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[12] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[12] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.035 ; 0.438 ; -; 0.319 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[7] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[7] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.035 ; 0.438 ; -; 0.319 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[4] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.035 ; 0.438 ; -; 0.319 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[5] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.035 ; 0.438 ; -; 0.408 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|CLK_31250 ; -0.500 ; 1.249 ; 1.366 ; -; 0.455 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[2] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.035 ; 0.574 ; -; 0.455 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[4] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.035 ; 0.574 ; -; 0.456 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[9] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[10] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.035 ; 0.575 ; -; 0.465 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[1] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.035 ; 0.584 ; -; 0.466 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[13] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[14] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.035 ; 0.585 ; -; 0.467 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[6] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.035 ; 0.586 ; -; 0.467 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[7] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[8] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.035 ; 0.586 ; -; 0.467 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[10] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[11] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.035 ; 0.586 ; -; 0.467 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[11] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[12] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.035 ; 0.586 ; -; 0.468 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[2] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.035 ; 0.587 ; -; 0.470 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[10] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[12] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.035 ; 0.589 ; -; 0.476 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[7] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.035 ; 0.595 ; -; 0.477 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[3] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.035 ; 0.596 ; -; 0.477 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[14] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[15] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.035 ; 0.596 ; -; 0.478 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[9] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.035 ; 0.597 ; -; 0.478 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[12] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[13] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.035 ; 0.597 ; -; 0.478 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[5] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.035 ; 0.597 ; -; 0.479 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[8] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.035 ; 0.598 ; -; 0.480 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[4] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.035 ; 0.599 ; -; 0.481 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[10] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.035 ; 0.600 ; -; 0.481 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[12] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[14] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.035 ; 0.600 ; -; 0.481 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[6] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.035 ; 0.600 ; -; 0.518 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[3] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.035 ; 0.637 ; -; 0.518 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[5] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.035 ; 0.637 ; -; 0.519 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[9] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[11] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.035 ; 0.638 ; -; 0.521 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[4] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.035 ; 0.640 ; -; 0.521 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[6] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.035 ; 0.640 ; -; 0.522 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[9] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[12] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.035 ; 0.641 ; -; 0.529 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[13] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[15] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.035 ; 0.648 ; -; 0.530 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[7] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.035 ; 0.649 ; -; 0.530 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[7] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[9] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.035 ; 0.649 ; -; 0.530 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[11] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[13] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.035 ; 0.649 ; -; 0.531 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[3] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.035 ; 0.650 ; -; 0.533 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[8] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.035 ; 0.652 ; -; 0.533 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[7] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[10] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.035 ; 0.652 ; -; 0.533 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[11] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[14] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.035 ; 0.652 ; -; 0.533 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[10] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[13] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.035 ; 0.652 ; -; 0.534 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[4] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.035 ; 0.653 ; -; 0.536 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[10] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[14] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.035 ; 0.655 ; -; 0.542 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[9] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.035 ; 0.661 ; -; 0.543 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[5] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.035 ; 0.662 ; -; 0.544 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[11] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.035 ; 0.663 ; -; 0.544 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[12] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[15] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.035 ; 0.663 ; -; 0.544 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[7] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.035 ; 0.663 ; -; 0.545 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[10] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.035 ; 0.664 ; -; 0.546 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[6] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.035 ; 0.665 ; -; 0.547 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[12] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.035 ; 0.666 ; -; 0.547 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[8] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.035 ; 0.666 ; -; 0.584 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[5] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.035 ; 0.703 ; -; 0.584 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[7] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.035 ; 0.703 ; -; 0.585 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[9] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[13] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.035 ; 0.704 ; -; 0.587 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[6] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.035 ; 0.706 ; -; 0.587 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[8] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.035 ; 0.706 ; -; 0.588 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[9] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[14] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.035 ; 0.707 ; -; 0.596 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[9] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.035 ; 0.715 ; -; 0.596 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[7] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[11] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.035 ; 0.715 ; -; 0.596 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[11] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[15] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.035 ; 0.715 ; -; 0.597 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[5] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.035 ; 0.716 ; -; 0.599 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[10] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.035 ; 0.718 ; -; 0.599 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[7] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[12] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.035 ; 0.718 ; -; 0.599 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[10] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[15] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.035 ; 0.718 ; -; 0.600 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[6] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.035 ; 0.719 ; -; 0.608 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[11] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.035 ; 0.727 ; -; 0.609 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[7] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.035 ; 0.728 ; -; 0.610 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[13] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.035 ; 0.729 ; -; 0.610 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[9] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.035 ; 0.729 ; -; 0.611 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[12] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.035 ; 0.730 ; -; 0.612 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[8] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.035 ; 0.731 ; -; 0.613 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[14] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.035 ; 0.732 ; -; 0.613 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[10] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.035 ; 0.732 ; -; 0.650 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[7] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.035 ; 0.769 ; -; 0.650 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[9] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.035 ; 0.769 ; -; 0.651 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[9] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[15] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.035 ; 0.770 ; -; 0.653 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[8] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.035 ; 0.772 ; -; 0.653 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[10] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.035 ; 0.772 ; -; 0.662 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[11] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.035 ; 0.781 ; -; 0.662 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[7] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[13] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.035 ; 0.781 ; -; 0.663 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[7] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.035 ; 0.782 ; -; 0.665 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[12] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.035 ; 0.784 ; -; 0.665 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[7] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[14] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.035 ; 0.784 ; -; 0.666 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[8] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.035 ; 0.785 ; -; 0.674 ; mz80k_top:mz80k_top|i8253:i8253_1|count1[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count1[13] ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 0.000 ; 0.035 ; 0.793 ; -+--------+----------------------------------------------+----------------------------------------------+-------------------------------------------+-------------------------------+--------------+------------+------------+ - - -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Fast 1200mV 0C Model Hold: 'pll|altpll_component|auto_generated|pll1|clk[0]' ; -+--------+---------------------------------------------------------------------+---------------------------------------------------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+--------+---------------------------------------------------------------------+---------------------------------------------------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+ -; -0.063 ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.081 ; 0.307 ; -; -0.056 ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.081 ; 0.314 ; -; -0.056 ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.081 ; 0.314 ; -; -0.056 ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.074 ; 0.307 ; -; -0.049 ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.074 ; 0.314 ; -; -0.047 ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.081 ; 0.323 ; -; 0.058 ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.070 ; 0.417 ; -; 0.114 ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.070 ; 0.473 ; -; 0.176 ; video_mixer:video_mixer|osd:osd|pixsz[0] ; video_mixer:video_mixer|osd:osd|pixsz[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.047 ; 0.307 ; -; 0.180 ; mist_io:mist_io|ps2_kbd_tx_byte[7] ; mist_io:mist_io|ps2_kbd_tx_byte[7] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.043 ; 0.307 ; -; 0.185 ; mist_io:mist_io|clk_ps2 ; mist_io:mist_io|clk_ps2 ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.038 ; 0.307 ; -; 0.186 ; video_mixer:video_mixer|scanline ; video_mixer:video_mixer|scanline ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.037 ; 0.307 ; -; 0.186 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl7[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl7[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.037 ; 0.307 ; -; 0.186 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl1[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl1[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.037 ; 0.307 ; -; 0.186 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl3[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl3[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.037 ; 0.307 ; -; 0.186 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.037 ; 0.307 ; -; 0.186 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl4[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl4[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.037 ; 0.307 ; -; 0.186 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.037 ; 0.307 ; -; 0.186 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl8[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl8[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.037 ; 0.307 ; -; 0.186 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl3[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl3[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.037 ; 0.307 ; -; 0.186 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.037 ; 0.307 ; -; 0.186 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl1[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl1[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.037 ; 0.307 ; -; 0.186 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.037 ; 0.307 ; -; 0.186 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.037 ; 0.307 ; -; 0.186 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl4[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl4[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.037 ; 0.307 ; -; 0.186 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl9[1] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl9[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.037 ; 0.307 ; -; 0.186 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[1] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.037 ; 0.307 ; -; 0.186 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tblc[1] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tblc[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.037 ; 0.307 ; -; 0.186 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl8[1] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl8[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.037 ; 0.307 ; -; 0.186 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[1] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.037 ; 0.307 ; -; 0.186 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[1] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.037 ; 0.307 ; -; 0.186 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl4[1] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl4[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.037 ; 0.307 ; -; 0.186 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbld[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbld[7] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.037 ; 0.307 ; -; 0.186 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tblc[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tblc[7] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.037 ; 0.307 ; -; 0.186 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.037 ; 0.307 ; -; 0.186 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl4[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl4[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.037 ; 0.307 ; -; 0.186 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.037 ; 0.307 ; -; 0.186 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl3[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl3[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.037 ; 0.307 ; -; 0.186 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl7[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl7[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.037 ; 0.307 ; -; 0.186 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl9[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl9[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.037 ; 0.307 ; -; 0.186 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl0[4] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl0[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.037 ; 0.307 ; -; 0.186 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl1[4] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl1[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.037 ; 0.307 ; -; 0.186 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[5] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.037 ; 0.307 ; -; 0.186 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[5] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.037 ; 0.307 ; -; 0.186 ; mist_io:mist_io|ps2_kbd_rptr[2] ; mist_io:mist_io|ps2_kbd_rptr[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.037 ; 0.307 ; -; 0.187 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.307 ; -; 0.187 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl0[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl0[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.307 ; -; 0.187 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tble[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tble[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.307 ; -; 0.187 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl8[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl8[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.307 ; -; 0.187 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tblc[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tblc[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.307 ; -; 0.187 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tblc[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tblc[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.307 ; -; 0.187 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl0[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl0[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.307 ; -; 0.187 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl9[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl9[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.307 ; -; 0.187 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl7[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl7[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.307 ; -; 0.187 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl3[1] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl3[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.307 ; -; 0.187 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl0[1] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl0[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.307 ; -; 0.187 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl1[1] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl1[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.307 ; -; 0.187 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl7[1] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl7[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.307 ; -; 0.187 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl0[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl0[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.307 ; -; 0.187 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl1[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl1[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.307 ; -; 0.187 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.307 ; -; 0.187 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tblc[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tblc[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.307 ; -; 0.187 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tblc[4] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tblc[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.307 ; -; 0.187 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl8[4] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl8[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.307 ; -; 0.187 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl3[4] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl3[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.307 ; -; 0.187 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[4] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.307 ; -; 0.187 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[4] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.307 ; -; 0.187 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl4[4] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl4[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.307 ; -; 0.187 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[4] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.307 ; -; 0.187 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl8[5] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl8[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.307 ; -; 0.187 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[5] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.307 ; -; 0.187 ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[0] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.307 ; -; 0.187 ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[10] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[10] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.307 ; -; 0.187 ; mist_io:mist_io|ps2_kbd_data ; mist_io:mist_io|ps2_kbd_data ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.307 ; -; 0.187 ; mist_io:mist_io|ps2_kbd_parity ; mist_io:mist_io|ps2_kbd_parity ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.307 ; -; 0.187 ; mist_io:mist_io|ps2_kbd_tx_state[3] ; mist_io:mist_io|ps2_kbd_tx_state[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.307 ; -; 0.187 ; mist_io:mist_io|ps2_kbd_tx_state[1] ; mist_io:mist_io|ps2_kbd_tx_state[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.307 ; -; 0.187 ; mist_io:mist_io|ps2_kbd_tx_state[2] ; mist_io:mist_io|ps2_kbd_tx_state[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.307 ; -; 0.187 ; mist_io:mist_io|ps2_kbd_rptr[1] ; mist_io:mist_io|ps2_kbd_rptr[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.307 ; -; 0.187 ; mist_io:mist_io|ps2_kbd_rptr[0] ; mist_io:mist_io|ps2_kbd_rptr[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.307 ; -; 0.193 ; mz80k_top:mz80k_top|clk_count[0] ; mz80k_top:mz80k_top|clk_count[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.037 ; 0.314 ; -; 0.194 ; mist_io:mist_io|ps2_kbd_tx_state[0] ; mist_io:mist_io|ps2_kbd_tx_state[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.314 ; -; 0.195 ; video_mixer:video_mixer|old_hs ; video_mixer:video_mixer|scanline ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.037 ; 0.316 ; -; 0.195 ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[9] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[8] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.315 ; -; 0.197 ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[7] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[6] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.317 ; -; 0.197 ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|clk_data[0] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|clk_data[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.037 ; 0.318 ; -; 0.198 ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[8] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[7] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.318 ; -; 0.198 ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|clk_data[1] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|clk_data[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.037 ; 0.319 ; -; 0.199 ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[4] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.319 ; -; 0.199 ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[5] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.319 ; -; 0.206 ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[2] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.326 ; -; 0.207 ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[3] ; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.070 ; 0.566 ; -; 0.210 ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[0] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|dten ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.330 ; -; 0.212 ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[0] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[10] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.332 ; -; 0.216 ; video_mixer:video_mixer|osd:osd|v_cnt[9] ; video_mixer:video_mixer|osd:osd|v_cnt[9] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.336 ; -; 0.216 ; mz80k_top:mz80k_top|ps2:ps2_1|key_f0 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl9[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.336 ; -; 0.217 ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|kdata[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tblc[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.337 ; -; 0.217 ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|kdata[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tblc[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.337 ; -; 0.220 ; mz80k_top:mz80k_top|ps2:ps2_1|key_f0 ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl0[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.340 ; -; 0.224 ; video_mixer:video_mixer|osd:osd|hsD2 ; video_mixer:video_mixer|osd:osd|h_cnt[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 0.344 ; -+--------+---------------------------------------------------------------------+---------------------------------------------------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+ - - -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Fast 1200mV 0C Model Hold: 'SPI_SCK' ; -+-------+--------------------------------------------+----------------------------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+-------+--------------------------------------------+----------------------------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ -; 0.147 ; video_mixer:video_mixer|osd:osd|sbuf[0] ; video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated|ram_block1a0~porta_datain_reg0 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.224 ; 0.475 ; -; 0.152 ; video_mixer:video_mixer|osd:osd|sbuf[1] ; video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated|ram_block1a0~porta_datain_reg0 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.224 ; 0.480 ; -; 0.155 ; video_mixer:video_mixer|osd:osd|bcnt[8] ; video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated|ram_block1a0~porta_address_reg0 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.222 ; 0.481 ; -; 0.155 ; video_mixer:video_mixer|osd:osd|bcnt[3] ; video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated|ram_block1a4~porta_address_reg0 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.224 ; 0.483 ; -; 0.157 ; video_mixer:video_mixer|osd:osd|bcnt[10] ; video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated|ram_block1a4~porta_address_reg0 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.224 ; 0.485 ; -; 0.161 ; video_mixer:video_mixer|osd:osd|bcnt[7] ; video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated|ram_block1a0~porta_address_reg0 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.222 ; 0.487 ; -; 0.162 ; video_mixer:video_mixer|osd:osd|bcnt[1] ; video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated|ram_block1a0~porta_address_reg0 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.222 ; 0.488 ; -; 0.164 ; video_mixer:video_mixer|osd:osd|bcnt[2] ; video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated|ram_block1a0~porta_address_reg0 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.222 ; 0.490 ; -; 0.164 ; video_mixer:video_mixer|osd:osd|bcnt[6] ; video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated|ram_block1a4~porta_address_reg0 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.224 ; 0.492 ; -; 0.165 ; video_mixer:video_mixer|osd:osd|bcnt[5] ; video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated|ram_block1a0~porta_address_reg0 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.222 ; 0.491 ; -; 0.167 ; video_mixer:video_mixer|osd:osd|bcnt[0] ; video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated|ram_block1a0~porta_address_reg0 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.222 ; 0.493 ; -; 0.173 ; video_mixer:video_mixer|osd:osd|bcnt[10] ; video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated|ram_block1a0~porta_address_reg0 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.222 ; 0.499 ; -; 0.187 ; video_mixer:video_mixer|osd:osd|osd_enable ; video_mixer:video_mixer|osd:osd|osd_enable ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.036 ; 0.307 ; -; 0.187 ; mist_io:mist_io|ps2_kbd_wptr[2] ; mist_io:mist_io|ps2_kbd_wptr[2] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.036 ; 0.307 ; -; 0.187 ; mist_io:mist_io|ps2_kbd_wptr[1] ; mist_io:mist_io|ps2_kbd_wptr[1] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.036 ; 0.307 ; -; 0.187 ; mist_io:mist_io|ps2_kbd_wptr[0] ; mist_io:mist_io|ps2_kbd_wptr[0] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.036 ; 0.307 ; -; 0.187 ; mist_io:mist_io|status[0] ; mist_io:mist_io|status[0] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.036 ; 0.307 ; -; 0.187 ; mist_io:mist_io|bit_cnt[2] ; mist_io:mist_io|bit_cnt[2] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.036 ; 0.307 ; -; 0.187 ; mist_io:mist_io|bit_cnt[1] ; mist_io:mist_io|bit_cnt[1] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.036 ; 0.307 ; -; 0.194 ; mist_io:mist_io|bit_cnt[0] ; mist_io:mist_io|bit_cnt[0] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.036 ; 0.314 ; -; 0.205 ; video_mixer:video_mixer|osd:osd|sbuf[5] ; video_mixer:video_mixer|osd:osd|sbuf[6] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.044 ; 0.333 ; -; 0.205 ; mist_io:mist_io|sbuf[4] ; mist_io:mist_io|sbuf[5] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.036 ; 0.325 ; -; 0.205 ; mist_io:mist_io|sbuf[0] ; mist_io:mist_io|sbuf[1] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.036 ; 0.325 ; -; 0.217 ; mist_io:mist_io|bit_cnt[0] ; mist_io:mist_io|bit_cnt[1] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.036 ; 0.337 ; -; 0.220 ; mist_io:mist_io|bit_cnt[0] ; mist_io:mist_io|bit_cnt[2] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.036 ; 0.340 ; -; 0.251 ; video_mixer:video_mixer|osd:osd|sbuf[1] ; video_mixer:video_mixer|osd:osd|sbuf[2] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.223 ; 0.558 ; -; 0.261 ; video_mixer:video_mixer|osd:osd|sbuf[2] ; video_mixer:video_mixer|osd:osd|sbuf[3] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.044 ; 0.389 ; -; 0.272 ; video_mixer:video_mixer|osd:osd|bcnt[5] ; video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated|ram_block1a4~porta_address_reg0 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.224 ; 0.600 ; -; 0.278 ; mist_io:mist_io|sbuf[5] ; mist_io:mist_io|sbuf[6] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.036 ; 0.398 ; -; 0.279 ; mist_io:mist_io|sbuf[1] ; mist_io:mist_io|sbuf[2] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.036 ; 0.399 ; -; 0.280 ; video_mixer:video_mixer|osd:osd|sbuf[3] ; video_mixer:video_mixer|osd:osd|sbuf[4] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.044 ; 0.408 ; -; 0.281 ; video_mixer:video_mixer|osd:osd|sbuf[1] ; video_mixer:video_mixer|osd:osd|bcnt[10] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.036 ; 0.401 ; -; 0.281 ; mist_io:mist_io|sbuf[2] ; mist_io:mist_io|status[3] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.036 ; 0.401 ; -; 0.292 ; mist_io:mist_io|sbuf[3] ; mist_io:mist_io|sbuf[4] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.036 ; 0.412 ; -; 0.294 ; mist_io:mist_io|sbuf[6] ; mist_io:mist_io|ps2_kbd_fifo~31 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.221 ; 0.599 ; -; 0.295 ; video_mixer:video_mixer|osd:osd|bcnt[1] ; video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated|ram_block1a4~porta_address_reg0 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.224 ; 0.623 ; -; 0.295 ; video_mixer:video_mixer|osd:osd|bcnt[8] ; video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated|ram_block1a4~porta_address_reg0 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.224 ; 0.623 ; -; 0.297 ; mist_io:mist_io|sbuf[2] ; mist_io:mist_io|ps2_kbd_fifo~27 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.218 ; 0.599 ; -; 0.305 ; video_mixer:video_mixer|osd:osd|bcnt[10] ; video_mixer:video_mixer|osd:osd|bcnt[10] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.036 ; 0.425 ; -; 0.305 ; video_mixer:video_mixer|osd:osd|bcnt[8] ; video_mixer:video_mixer|osd:osd|bcnt[8] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.036 ; 0.425 ; -; 0.305 ; video_mixer:video_mixer|osd:osd|bcnt[1] ; video_mixer:video_mixer|osd:osd|bcnt[1] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.036 ; 0.425 ; -; 0.306 ; video_mixer:video_mixer|osd:osd|bcnt[9] ; video_mixer:video_mixer|osd:osd|bcnt[9] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.036 ; 0.426 ; -; 0.306 ; video_mixer:video_mixer|osd:osd|bcnt[7] ; video_mixer:video_mixer|osd:osd|bcnt[7] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.036 ; 0.426 ; -; 0.306 ; video_mixer:video_mixer|osd:osd|bcnt[6] ; video_mixer:video_mixer|osd:osd|bcnt[6] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.036 ; 0.426 ; -; 0.306 ; video_mixer:video_mixer|osd:osd|bcnt[3] ; video_mixer:video_mixer|osd:osd|bcnt[3] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.036 ; 0.426 ; -; 0.307 ; video_mixer:video_mixer|osd:osd|bcnt[5] ; video_mixer:video_mixer|osd:osd|bcnt[5] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.036 ; 0.427 ; -; 0.307 ; video_mixer:video_mixer|osd:osd|bcnt[4] ; video_mixer:video_mixer|osd:osd|bcnt[4] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.036 ; 0.427 ; -; 0.307 ; video_mixer:video_mixer|osd:osd|bcnt[2] ; video_mixer:video_mixer|osd:osd|bcnt[2] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.036 ; 0.427 ; -; 0.307 ; mist_io:mist_io|byte_cnt[6] ; mist_io:mist_io|byte_cnt[6] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.036 ; 0.427 ; -; 0.309 ; video_mixer:video_mixer|osd:osd|bcnt[2] ; video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated|ram_block1a4~porta_address_reg0 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.224 ; 0.637 ; -; 0.310 ; video_mixer:video_mixer|osd:osd|cnt[3] ; video_mixer:video_mixer|osd:osd|cnt[3] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.036 ; 0.430 ; -; 0.310 ; mist_io:mist_io|ps2_kbd_wptr[1] ; mist_io:mist_io|ps2_kbd_wptr[2] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.036 ; 0.430 ; -; 0.312 ; video_mixer:video_mixer|osd:osd|bcnt[9] ; video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated|ram_block1a4~porta_address_reg0 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.224 ; 0.640 ; -; 0.314 ; mist_io:mist_io|ps2_kbd_wptr[0] ; mist_io:mist_io|ps2_kbd_wptr[1] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.036 ; 0.434 ; -; 0.314 ; mist_io:mist_io|bit_cnt[1] ; mist_io:mist_io|bit_cnt[2] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.036 ; 0.434 ; -; 0.315 ; video_mixer:video_mixer|osd:osd|bcnt[4] ; video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated|ram_block1a4~porta_address_reg0 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.224 ; 0.643 ; -; 0.315 ; mist_io:mist_io|sbuf[5] ; mist_io:mist_io|ps2_kbd_fifo~30 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.221 ; 0.620 ; -; 0.319 ; video_mixer:video_mixer|osd:osd|bcnt[3] ; video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated|ram_block1a0~porta_address_reg0 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.222 ; 0.645 ; -; 0.321 ; mist_io:mist_io|sbuf[1] ; mist_io:mist_io|status[2] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.360 ; 0.765 ; -; 0.326 ; video_mixer:video_mixer|osd:osd|sbuf[2] ; video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated|ram_block1a0~porta_datain_reg0 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.045 ; 0.475 ; -; 0.327 ; video_mixer:video_mixer|osd:osd|bcnt[0] ; video_mixer:video_mixer|osd:osd|bcnt[0] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.036 ; 0.447 ; -; 0.335 ; mist_io:mist_io|sbuf[0] ; mist_io:mist_io|ps2_kbd_fifo~25 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.218 ; 0.637 ; -; 0.336 ; video_mixer:video_mixer|osd:osd|bcnt[0] ; video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated|ram_block1a4~porta_address_reg0 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.224 ; 0.664 ; -; 0.337 ; mist_io:mist_io|sbuf[3] ; mist_io:mist_io|ps2_kbd_fifo~60 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.226 ; 0.647 ; -; 0.337 ; mist_io:mist_io|byte_cnt[4] ; mist_io:mist_io|byte_cnt[4] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.036 ; 0.457 ; -; 0.343 ; video_mixer:video_mixer|osd:osd|sbuf[0] ; video_mixer:video_mixer|osd:osd|sbuf[1] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.036 ; 0.463 ; -; 0.344 ; mist_io:mist_io|byte_cnt[0] ; mist_io:mist_io|byte_cnt[1] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.036 ; 0.464 ; -; 0.347 ; mist_io:mist_io|sbuf[2] ; mist_io:mist_io|ps2_kbd_fifo~59 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.223 ; 0.654 ; -; 0.350 ; video_mixer:video_mixer|osd:osd|sbuf[6] ; video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated|ram_block1a4~porta_datain_reg0 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.047 ; 0.501 ; -; 0.361 ; video_mixer:video_mixer|osd:osd|sbuf[0] ; video_mixer:video_mixer|osd:osd|bcnt[9] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.036 ; 0.481 ; -; 0.371 ; video_mixer:video_mixer|osd:osd|cnt[1] ; video_mixer:video_mixer|osd:osd|cnt[1] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.036 ; 0.491 ; -; 0.372 ; video_mixer:video_mixer|osd:osd|cnt[4] ; video_mixer:video_mixer|osd:osd|cnt[4] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.036 ; 0.492 ; -; 0.372 ; mist_io:mist_io|sbuf[5] ; mist_io:mist_io|ps2_kbd_fifo~14 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.037 ; 0.493 ; -; 0.373 ; mist_io:mist_io|sbuf[5] ; mist_io:mist_io|ps2_kbd_fifo~6 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.037 ; 0.494 ; -; 0.373 ; mist_io:mist_io|sbuf[4] ; mist_io:mist_io|ps2_kbd_fifo~13 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.037 ; 0.494 ; -; 0.374 ; mist_io:mist_io|sbuf[4] ; mist_io:mist_io|ps2_kbd_fifo~5 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.037 ; 0.495 ; -; 0.376 ; video_mixer:video_mixer|osd:osd|cnt[2] ; video_mixer:video_mixer|osd:osd|cnt[2] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.036 ; 0.496 ; -; 0.379 ; mist_io:mist_io|sbuf[3] ; mist_io:mist_io|ps2_kbd_fifo~12 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.037 ; 0.500 ; -; 0.380 ; mist_io:mist_io|sbuf[3] ; mist_io:mist_io|ps2_kbd_fifo~4 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.037 ; 0.501 ; -; 0.381 ; video_mixer:video_mixer|osd:osd|cnt[0] ; video_mixer:video_mixer|osd:osd|cnt[0] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.036 ; 0.501 ; -; 0.381 ; mist_io:mist_io|byte_cnt[7] ; mist_io:mist_io|byte_cnt[7] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.036 ; 0.501 ; -; 0.390 ; mist_io:mist_io|byte_cnt[2] ; mist_io:mist_io|byte_cnt[2] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.036 ; 0.510 ; -; 0.390 ; mist_io:mist_io|bit_cnt[0] ; mist_io:mist_io|spi_do ; SPI_SCK ; SPI_SCK ; -0.500 ; 0.833 ; 0.827 ; -; 0.391 ; video_mixer:video_mixer|osd:osd|sbuf[5] ; video_mixer:video_mixer|osd:osd|cmd[6] ; SPI_SCK ; SPI_SCK ; 0.000 ; -0.140 ; 0.335 ; -; 0.391 ; mist_io:mist_io|byte_cnt[5] ; mist_io:mist_io|byte_cnt[5] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.036 ; 0.511 ; -; 0.413 ; video_mixer:video_mixer|osd:osd|bcnt[6] ; video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated|ram_block1a0~porta_address_reg0 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.222 ; 0.739 ; -; 0.413 ; mist_io:mist_io|byte_cnt[1] ; mist_io:mist_io|byte_cnt[1] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.036 ; 0.533 ; -; 0.419 ; mist_io:mist_io|cmd[0] ; mist_io:mist_io|status[0] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.036 ; 0.539 ; -; 0.425 ; video_mixer:video_mixer|osd:osd|bcnt[7] ; video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated|ram_block1a4~porta_address_reg0 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.224 ; 0.753 ; -; 0.434 ; mist_io:mist_io|sbuf[1] ; mist_io:mist_io|ps2_kbd_fifo~58 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.223 ; 0.741 ; -; 0.436 ; mist_io:mist_io|cmd[5] ; mist_io:mist_io|spi_do ; SPI_SCK ; SPI_SCK ; -0.500 ; 0.836 ; 0.876 ; -; 0.445 ; video_mixer:video_mixer|osd:osd|bcnt[4] ; video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated|ram_block1a0~porta_address_reg0 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.222 ; 0.771 ; -; 0.445 ; video_mixer:video_mixer|osd:osd|sbuf[2] ; video_mixer:video_mixer|osd:osd|cmd[3] ; SPI_SCK ; SPI_SCK ; 0.000 ; -0.140 ; 0.389 ; -; 0.450 ; video_mixer:video_mixer|osd:osd|sbuf[4] ; video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated|ram_block1a4~porta_datain_reg0 ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.047 ; 0.601 ; -; 0.451 ; video_mixer:video_mixer|osd:osd|sbuf[3] ; video_mixer:video_mixer|osd:osd|cmd[4] ; SPI_SCK ; SPI_SCK ; 0.000 ; -0.140 ; 0.395 ; -; 0.452 ; mist_io:mist_io|bit_cnt[1] ; mist_io:mist_io|spi_do ; SPI_SCK ; SPI_SCK ; -0.500 ; 0.833 ; 0.889 ; -; 0.454 ; video_mixer:video_mixer|osd:osd|bcnt[1] ; video_mixer:video_mixer|osd:osd|bcnt[2] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.036 ; 0.574 ; -; 0.455 ; video_mixer:video_mixer|osd:osd|bcnt[9] ; video_mixer:video_mixer|osd:osd|bcnt[10] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.036 ; 0.575 ; -; 0.455 ; video_mixer:video_mixer|osd:osd|bcnt[7] ; video_mixer:video_mixer|osd:osd|bcnt[8] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.036 ; 0.575 ; -; 0.455 ; video_mixer:video_mixer|osd:osd|bcnt[3] ; video_mixer:video_mixer|osd:osd|bcnt[4] ; SPI_SCK ; SPI_SCK ; 0.000 ; 0.036 ; 0.575 ; -+-------+--------------------------------------------+----------------------------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ - - -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Fast 1200mV 0C Model Hold: 'mz80k_top:mz80k_top|CLK_2M' ; -+-------+----------------------------------------------+----------------------------------------------+----------------------------+----------------------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+-------+----------------------------------------------+----------------------------------------------+----------------------------+----------------------------+--------------+------------+------------+ -; 0.187 ; mz80k_top:mz80k_top|i8253:i8253_1|signal0 ; mz80k_top:mz80k_top|i8253:i8253_1|signal0 ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.036 ; 0.307 ; -; 0.306 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[13] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[13] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.425 ; -; 0.307 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[3] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.426 ; -; 0.307 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[14] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[14] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.426 ; -; 0.308 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[7] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[7] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.427 ; -; 0.308 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[9] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[9] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.427 ; -; 0.317 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[6] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.436 ; -; 0.318 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[2] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.437 ; -; 0.318 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[15] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[15] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.437 ; -; 0.319 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[5] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.438 ; -; 0.319 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[1] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.438 ; -; 0.319 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[4] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.438 ; -; 0.319 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[8] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.438 ; -; 0.319 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[10] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[10] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.438 ; -; 0.319 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[11] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[11] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.438 ; -; 0.319 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[12] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[12] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.438 ; -; 0.329 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[0] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.448 ; -; 0.454 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[13] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[14] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.573 ; -; 0.455 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[4] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.574 ; -; 0.456 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[7] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[8] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.575 ; -; 0.456 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[9] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[10] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.575 ; -; 0.466 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[14] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[15] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.585 ; -; 0.467 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[6] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.586 ; -; 0.467 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[2] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.586 ; -; 0.467 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[11] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[12] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.586 ; -; 0.476 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[7] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.595 ; -; 0.476 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[1] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.595 ; -; 0.477 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[3] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.596 ; -; 0.478 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[12] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[13] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.597 ; -; 0.478 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[9] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.597 ; -; 0.478 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[5] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.597 ; -; 0.478 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[10] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[11] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.597 ; -; 0.479 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[8] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.598 ; -; 0.479 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[2] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.598 ; -; 0.480 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[4] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.599 ; -; 0.481 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[12] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[14] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.600 ; -; 0.481 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[10] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.600 ; -; 0.481 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[6] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.600 ; -; 0.481 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[10] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[12] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.600 ; -; 0.517 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[13] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[15] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.636 ; -; 0.518 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[5] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.637 ; -; 0.519 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[7] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[9] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.638 ; -; 0.519 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[9] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[11] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.638 ; -; 0.521 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[6] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.640 ; -; 0.522 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[7] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[10] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.641 ; -; 0.522 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[9] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[12] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.641 ; -; 0.530 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[7] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.649 ; -; 0.530 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[3] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.649 ; -; 0.530 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[11] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[13] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.649 ; -; 0.533 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[8] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.652 ; -; 0.533 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[4] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.652 ; -; 0.533 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[11] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[14] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.652 ; -; 0.542 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[9] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.661 ; -; 0.542 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[3] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.661 ; -; 0.543 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[5] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.662 ; -; 0.544 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[12] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[15] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.663 ; -; 0.544 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[11] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.663 ; -; 0.544 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[7] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.663 ; -; 0.544 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[10] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[13] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.663 ; -; 0.545 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[10] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.664 ; -; 0.545 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[4] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.664 ; -; 0.546 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[6] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.665 ; -; 0.547 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[12] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.666 ; -; 0.547 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[8] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.666 ; -; 0.547 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[10] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[14] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.666 ; -; 0.584 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[7] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.703 ; -; 0.585 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[7] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[11] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.704 ; -; 0.585 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[9] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[13] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.704 ; -; 0.587 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[8] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.706 ; -; 0.588 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[7] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[12] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.707 ; -; 0.588 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[9] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[14] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.707 ; -; 0.596 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[9] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.715 ; -; 0.596 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[5] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.715 ; -; 0.596 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[11] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[15] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.715 ; -; 0.599 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[10] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.718 ; -; 0.599 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[6] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.718 ; -; 0.608 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[11] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.727 ; -; 0.608 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[5] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.727 ; -; 0.609 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[7] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.728 ; -; 0.610 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[13] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.729 ; -; 0.610 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[9] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.729 ; -; 0.610 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[10] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[15] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.729 ; -; 0.611 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[12] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.730 ; -; 0.611 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[6] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.730 ; -; 0.612 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[8] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.731 ; -; 0.613 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[14] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.732 ; -; 0.613 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[10] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.732 ; -; 0.650 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[9] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.769 ; -; 0.651 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[7] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[13] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.770 ; -; 0.651 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[9] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[15] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.770 ; -; 0.653 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[10] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.772 ; -; 0.654 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[7] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[14] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.773 ; -; 0.662 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[11] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.781 ; -; 0.662 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[7] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.781 ; -; 0.665 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[12] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.784 ; -; 0.665 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[8] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.784 ; -; 0.674 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[13] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.793 ; -; 0.674 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[7] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.793 ; -; 0.675 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[9] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.794 ; -; 0.676 ; mz80k_top:mz80k_top|i8253:i8253_1|count0[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count0[15] ; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 0.000 ; 0.035 ; 0.795 ; -+-------+----------------------------------------------+----------------------------------------------+----------------------------+----------------------------+--------------+------------+------------+ - - -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Fast 1200mV 0C Model Hold: 'mz80k_top:mz80k_top|i8253:i8253_1|signal1' ; -+-------+----------------------------------------------+----------------------------------------------+-------------------------------------------+-------------------------------------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+-------+----------------------------------------------+----------------------------------------------+-------------------------------------------+-------------------------------------------+--------------+------------+------------+ -; 0.275 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[7] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[8] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.216 ; 0.575 ; -; 0.286 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[11] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[12] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.216 ; 0.586 ; -; 0.287 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[8] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.216 ; 0.587 ; -; 0.289 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[10] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[12] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.216 ; 0.589 ; -; 0.297 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[13] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[13] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.044 ; 0.425 ; -; 0.298 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[14] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[14] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.044 ; 0.426 ; -; 0.298 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[12] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[12] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.044 ; 0.426 ; -; 0.299 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[9] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[9] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.044 ; 0.427 ; -; 0.305 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[6] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.036 ; 0.425 ; -; 0.306 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[3] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.036 ; 0.426 ; -; 0.307 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[7] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[7] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.036 ; 0.427 ; -; 0.307 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[10] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[10] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.036 ; 0.427 ; -; 0.310 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[8] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.044 ; 0.438 ; -; 0.317 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.036 ; 0.437 ; -; 0.317 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[15] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[15] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.036 ; 0.437 ; -; 0.318 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[1] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.036 ; 0.438 ; -; 0.318 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[4] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.036 ; 0.438 ; -; 0.318 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[5] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.036 ; 0.438 ; -; 0.318 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[11] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[11] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.036 ; 0.438 ; -; 0.321 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[9] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[9] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.156 ; 0.581 ; -; 0.323 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[13] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[13] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.156 ; 0.583 ; -; 0.324 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[12] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[12] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.156 ; 0.584 ; -; 0.328 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.036 ; 0.448 ; -; 0.338 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[7] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[9] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.216 ; 0.638 ; -; 0.349 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[11] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[13] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.216 ; 0.649 ; -; 0.350 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[9] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.216 ; 0.650 ; -; 0.352 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[8] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.216 ; 0.652 ; -; 0.352 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[11] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[14] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.216 ; 0.652 ; -; 0.352 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[10] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[13] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.216 ; 0.652 ; -; 0.355 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[10] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[14] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.216 ; 0.655 ; -; 0.366 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[8] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.216 ; 0.666 ; -; 0.379 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[5] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; -0.024 ; 0.459 ; -; 0.386 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[10] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[10] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; -0.024 ; 0.466 ; -; 0.397 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[7] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[7] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; -0.024 ; 0.477 ; -; 0.399 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[4] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; -0.024 ; 0.479 ; -; 0.399 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[15] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[15] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; -0.024 ; 0.479 ; -; 0.400 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[6] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; -0.024 ; 0.480 ; -; 0.406 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[8] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.216 ; 0.706 ; -; 0.407 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[7] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[12] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.216 ; 0.707 ; -; 0.415 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[9] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.216 ; 0.715 ; -; 0.419 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[12] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.216 ; 0.719 ; -; 0.429 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[9] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.216 ; 0.729 ; -; 0.431 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[8] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.216 ; 0.731 ; -; 0.438 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[8] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.156 ; 0.698 ; -; 0.445 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[13] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[14] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.044 ; 0.573 ; -; 0.454 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[4] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.036 ; 0.574 ; -; 0.457 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[12] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[13] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.044 ; 0.585 ; -; 0.460 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[12] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[14] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.044 ; 0.588 ; -; 0.464 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[7] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.036 ; 0.584 ; -; 0.466 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[6] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.036 ; 0.586 ; -; 0.466 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.036 ; 0.586 ; -; 0.466 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[10] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[11] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.036 ; 0.586 ; -; 0.469 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[9] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.044 ; 0.597 ; -; 0.469 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[9] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.216 ; 0.769 ; -; 0.470 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[7] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[13] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.216 ; 0.770 ; -; 0.473 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[7] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[14] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.216 ; 0.773 ; -; 0.475 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[1] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.036 ; 0.595 ; -; 0.476 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[3] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.036 ; 0.596 ; -; 0.477 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[5] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.036 ; 0.597 ; -; 0.478 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.036 ; 0.598 ; -; 0.479 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[4] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.036 ; 0.599 ; -; 0.480 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[6] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.036 ; 0.600 ; -; 0.482 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[13] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.216 ; 0.782 ; -; 0.484 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[12] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.216 ; 0.784 ; -; 0.484 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[8] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.216 ; 0.784 ; -; 0.485 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[14] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.216 ; 0.785 ; -; 0.494 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[9] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.216 ; 0.794 ; -; 0.496 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[8] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.216 ; 0.796 ; -; 0.498 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[12] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.216 ; 0.798 ; -; 0.506 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[1] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; -0.024 ; 0.586 ; -; 0.512 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[3] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; -0.024 ; 0.592 ; -; 0.513 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[9] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[12] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.044 ; 0.641 ; -; 0.517 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[5] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.036 ; 0.637 ; -; 0.520 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[6] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.036 ; 0.640 ; -; 0.521 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[7] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[10] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.036 ; 0.641 ; -; 0.529 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[7] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.036 ; 0.649 ; -; 0.529 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[3] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.036 ; 0.649 ; -; 0.532 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; -0.024 ; 0.612 ; -; 0.532 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[4] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.036 ; 0.652 ; -; 0.533 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[6] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[10] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.036 ; 0.653 ; -; 0.538 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[8] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[12] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.044 ; 0.666 ; -; 0.538 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[12] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.216 ; 0.838 ; -; 0.539 ; mz80k_top:mz80k_top|i8253:i8253_1|max2[11] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[11] ; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; -0.024 ; 0.619 ; -; 0.541 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[3] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.036 ; 0.661 ; -; 0.542 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[5] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.036 ; 0.662 ; -; 0.543 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[7] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.036 ; 0.663 ; -; 0.544 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[4] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.036 ; 0.664 ; -; 0.545 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[6] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.036 ; 0.665 ; -; 0.547 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[13] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.216 ; 0.847 ; -; 0.547 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[1] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[9] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.216 ; 0.847 ; -; 0.550 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[5] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[14] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.216 ; 0.850 ; -; 0.559 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[9] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.216 ; 0.859 ; -; 0.561 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[13] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.216 ; 0.861 ; -; 0.563 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[12] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.216 ; 0.863 ; -; 0.564 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[4] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[14] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.216 ; 0.864 ; -; 0.576 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[9] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[13] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.044 ; 0.704 ; -; 0.579 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[9] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[14] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.044 ; 0.707 ; -; 0.583 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[3] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[7] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.036 ; 0.703 ; -; 0.584 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[7] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[11] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.036 ; 0.704 ; -; 0.595 ; mz80k_top:mz80k_top|i8253:i8253_1|count2[11] ; mz80k_top:mz80k_top|i8253:i8253_1|count2[15] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 0.000 ; 0.036 ; 0.715 ; -+-------+----------------------------------------------+----------------------------------------------+-------------------------------------------+-------------------------------------------+--------------+------------+------------+ - - -+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Fast 1200mV 0C Model Hold: 'mz80k_top:mz80k_top|vga:vga1|counter[0]' ; -+-------+-----------------------------------+-----------------------------------+-----------------------------------------+-----------------------------------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+-------+-----------------------------------+-----------------------------------+-----------------------------------------+-----------------------------------------+--------------+------------+------------+ -; 0.280 ; mz80k_top:mz80k_top|vga:vga1|x[9] ; mz80k_top:mz80k_top|vga:vga1|x[9] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.036 ; 0.400 ; -; 0.305 ; mz80k_top:mz80k_top|vga:vga1|x[1] ; mz80k_top:mz80k_top|vga:vga1|x[1] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.036 ; 0.425 ; -; 0.306 ; mz80k_top:mz80k_top|vga:vga1|x[2] ; mz80k_top:mz80k_top|vga:vga1|x[2] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.036 ; 0.426 ; -; 0.306 ; mz80k_top:mz80k_top|vga:vga1|x[3] ; mz80k_top:mz80k_top|vga:vga1|x[3] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.036 ; 0.426 ; -; 0.307 ; mz80k_top:mz80k_top|vga:vga1|x[4] ; mz80k_top:mz80k_top|vga:vga1|x[4] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.036 ; 0.427 ; -; 0.311 ; mz80k_top:mz80k_top|vga:vga1|y[1] ; mz80k_top:mz80k_top|vga:vga1|y[1] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.035 ; 0.430 ; -; 0.313 ; mz80k_top:mz80k_top|vga:vga1|y[2] ; mz80k_top:mz80k_top|vga:vga1|y[2] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.035 ; 0.432 ; -; 0.315 ; mz80k_top:mz80k_top|vga:vga1|y[3] ; mz80k_top:mz80k_top|vga:vga1|y[3] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.035 ; 0.434 ; -; 0.318 ; mz80k_top:mz80k_top|vga:vga1|x[0] ; mz80k_top:mz80k_top|vga:vga1|x[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.036 ; 0.438 ; -; 0.321 ; mz80k_top:mz80k_top|vga:vga1|y[0] ; mz80k_top:mz80k_top|vga:vga1|y[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.035 ; 0.440 ; -; 0.381 ; mz80k_top:mz80k_top|vga:vga1|x[5] ; mz80k_top:mz80k_top|vga:vga1|x[5] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.036 ; 0.501 ; -; 0.383 ; mz80k_top:mz80k_top|vga:vga1|x[6] ; mz80k_top:mz80k_top|vga:vga1|x[6] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.036 ; 0.503 ; -; 0.384 ; mz80k_top:mz80k_top|vga:vga1|y[4] ; mz80k_top:mz80k_top|vga:vga1|y[4] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.035 ; 0.503 ; -; 0.386 ; mz80k_top:mz80k_top|vga:vga1|x[7] ; mz80k_top:mz80k_top|vga:vga1|x[7] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.036 ; 0.506 ; -; 0.397 ; mz80k_top:mz80k_top|vga:vga1|y[7] ; mz80k_top:mz80k_top|vga:vga1|y[7] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.035 ; 0.516 ; -; 0.405 ; mz80k_top:mz80k_top|vga:vga1|y[5] ; mz80k_top:mz80k_top|vga:vga1|y[5] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.035 ; 0.524 ; -; 0.412 ; mz80k_top:mz80k_top|vga:vga1|y[9] ; mz80k_top:mz80k_top|vga:vga1|y[9] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.035 ; 0.531 ; -; 0.415 ; mz80k_top:mz80k_top|vga:vga1|y[6] ; mz80k_top:mz80k_top|vga:vga1|y[6] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.035 ; 0.534 ; -; 0.454 ; mz80k_top:mz80k_top|vga:vga1|x[1] ; mz80k_top:mz80k_top|vga:vga1|x[2] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.036 ; 0.574 ; -; 0.455 ; mz80k_top:mz80k_top|vga:vga1|x[3] ; mz80k_top:mz80k_top|vga:vga1|x[4] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.036 ; 0.575 ; -; 0.460 ; mz80k_top:mz80k_top|vga:vga1|y[1] ; mz80k_top:mz80k_top|vga:vga1|y[2] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.035 ; 0.579 ; -; 0.464 ; mz80k_top:mz80k_top|vga:vga1|x[2] ; mz80k_top:mz80k_top|vga:vga1|x[3] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.036 ; 0.584 ; -; 0.464 ; mz80k_top:mz80k_top|vga:vga1|y[3] ; mz80k_top:mz80k_top|vga:vga1|y[4] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.035 ; 0.583 ; -; 0.465 ; mz80k_top:mz80k_top|vga:vga1|x[0] ; mz80k_top:mz80k_top|vga:vga1|x[1] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.036 ; 0.585 ; -; 0.465 ; mz80k_top:mz80k_top|vga:vga1|x[4] ; mz80k_top:mz80k_top|vga:vga1|x[5] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.036 ; 0.585 ; -; 0.467 ; mz80k_top:mz80k_top|vga:vga1|x[2] ; mz80k_top:mz80k_top|vga:vga1|x[4] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.036 ; 0.587 ; -; 0.468 ; mz80k_top:mz80k_top|vga:vga1|x[4] ; mz80k_top:mz80k_top|vga:vga1|x[6] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.036 ; 0.588 ; -; 0.468 ; mz80k_top:mz80k_top|vga:vga1|x[0] ; mz80k_top:mz80k_top|vga:vga1|x[2] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.036 ; 0.588 ; -; 0.470 ; mz80k_top:mz80k_top|vga:vga1|y[0] ; mz80k_top:mz80k_top|vga:vga1|y[1] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.035 ; 0.589 ; -; 0.471 ; mz80k_top:mz80k_top|vga:vga1|y[2] ; mz80k_top:mz80k_top|vga:vga1|y[3] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.035 ; 0.590 ; -; 0.473 ; mz80k_top:mz80k_top|vga:vga1|y[0] ; mz80k_top:mz80k_top|vga:vga1|y[2] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.035 ; 0.592 ; -; 0.474 ; mz80k_top:mz80k_top|vga:vga1|y[2] ; mz80k_top:mz80k_top|vga:vga1|y[4] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.035 ; 0.593 ; -; 0.485 ; mz80k_top:mz80k_top|vga:vga1|y[8] ; mz80k_top:mz80k_top|vga:vga1|y[8] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.035 ; 0.604 ; -; 0.490 ; mz80k_top:mz80k_top|vga:vga1|x[8] ; mz80k_top:mz80k_top|vga:vga1|x[8] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.036 ; 0.610 ; -; 0.517 ; mz80k_top:mz80k_top|vga:vga1|x[1] ; mz80k_top:mz80k_top|vga:vga1|x[3] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.036 ; 0.637 ; -; 0.518 ; mz80k_top:mz80k_top|vga:vga1|x[3] ; mz80k_top:mz80k_top|vga:vga1|x[5] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.036 ; 0.638 ; -; 0.520 ; mz80k_top:mz80k_top|vga:vga1|x[1] ; mz80k_top:mz80k_top|vga:vga1|x[4] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.036 ; 0.640 ; -; 0.521 ; mz80k_top:mz80k_top|vga:vga1|x[3] ; mz80k_top:mz80k_top|vga:vga1|x[6] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.036 ; 0.641 ; -; 0.523 ; mz80k_top:mz80k_top|vga:vga1|y[1] ; mz80k_top:mz80k_top|vga:vga1|y[3] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.035 ; 0.642 ; -; 0.526 ; mz80k_top:mz80k_top|vga:vga1|y[1] ; mz80k_top:mz80k_top|vga:vga1|y[4] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.035 ; 0.645 ; -; 0.527 ; mz80k_top:mz80k_top|vga:vga1|y[3] ; mz80k_top:mz80k_top|vga:vga1|y[5] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.035 ; 0.646 ; -; 0.530 ; mz80k_top:mz80k_top|vga:vga1|y[3] ; mz80k_top:mz80k_top|vga:vga1|y[6] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.035 ; 0.649 ; -; 0.530 ; mz80k_top:mz80k_top|vga:vga1|x[5] ; mz80k_top:mz80k_top|vga:vga1|x[6] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.036 ; 0.650 ; -; 0.530 ; mz80k_top:mz80k_top|vga:vga1|x[2] ; mz80k_top:mz80k_top|vga:vga1|x[5] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.036 ; 0.650 ; -; 0.531 ; mz80k_top:mz80k_top|vga:vga1|x[4] ; mz80k_top:mz80k_top|vga:vga1|x[7] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.036 ; 0.651 ; -; 0.531 ; mz80k_top:mz80k_top|vga:vga1|x[0] ; mz80k_top:mz80k_top|vga:vga1|x[3] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.036 ; 0.651 ; -; 0.533 ; mz80k_top:mz80k_top|vga:vga1|x[2] ; mz80k_top:mz80k_top|vga:vga1|x[6] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.036 ; 0.653 ; -; 0.534 ; mz80k_top:mz80k_top|vga:vga1|x[4] ; mz80k_top:mz80k_top|vga:vga1|x[8] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.036 ; 0.654 ; -; 0.534 ; mz80k_top:mz80k_top|vga:vga1|x[0] ; mz80k_top:mz80k_top|vga:vga1|x[4] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.036 ; 0.654 ; -; 0.535 ; mz80k_top:mz80k_top|vga:vga1|x[7] ; mz80k_top:mz80k_top|vga:vga1|x[8] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.036 ; 0.655 ; -; 0.536 ; mz80k_top:mz80k_top|vga:vga1|y[0] ; mz80k_top:mz80k_top|vga:vga1|y[3] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.035 ; 0.655 ; -; 0.537 ; mz80k_top:mz80k_top|vga:vga1|y[2] ; mz80k_top:mz80k_top|vga:vga1|y[5] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.035 ; 0.656 ; -; 0.539 ; mz80k_top:mz80k_top|vga:vga1|y[0] ; mz80k_top:mz80k_top|vga:vga1|y[4] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.035 ; 0.658 ; -; 0.540 ; mz80k_top:mz80k_top|vga:vga1|y[2] ; mz80k_top:mz80k_top|vga:vga1|y[6] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.035 ; 0.659 ; -; 0.541 ; mz80k_top:mz80k_top|vga:vga1|x[6] ; mz80k_top:mz80k_top|vga:vga1|x[7] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.036 ; 0.661 ; -; 0.542 ; mz80k_top:mz80k_top|vga:vga1|y[4] ; mz80k_top:mz80k_top|vga:vga1|y[5] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.035 ; 0.661 ; -; 0.544 ; mz80k_top:mz80k_top|vga:vga1|x[6] ; mz80k_top:mz80k_top|vga:vga1|x[8] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.036 ; 0.664 ; -; 0.545 ; mz80k_top:mz80k_top|vga:vga1|y[4] ; mz80k_top:mz80k_top|vga:vga1|y[6] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.035 ; 0.664 ; -; 0.546 ; mz80k_top:mz80k_top|vga:vga1|y[7] ; mz80k_top:mz80k_top|vga:vga1|y[8] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.035 ; 0.665 ; -; 0.554 ; mz80k_top:mz80k_top|vga:vga1|y[5] ; mz80k_top:mz80k_top|vga:vga1|y[6] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.035 ; 0.673 ; -; 0.573 ; mz80k_top:mz80k_top|vga:vga1|y[6] ; mz80k_top:mz80k_top|vga:vga1|y[7] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.035 ; 0.692 ; -; 0.576 ; mz80k_top:mz80k_top|vga:vga1|y[6] ; mz80k_top:mz80k_top|vga:vga1|y[8] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.035 ; 0.695 ; -; 0.583 ; mz80k_top:mz80k_top|vga:vga1|x[1] ; mz80k_top:mz80k_top|vga:vga1|x[5] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.036 ; 0.703 ; -; 0.584 ; mz80k_top:mz80k_top|vga:vga1|x[3] ; mz80k_top:mz80k_top|vga:vga1|x[7] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.036 ; 0.704 ; -; 0.586 ; mz80k_top:mz80k_top|vga:vga1|x[1] ; mz80k_top:mz80k_top|vga:vga1|x[6] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.036 ; 0.706 ; -; 0.587 ; mz80k_top:mz80k_top|vga:vga1|x[3] ; mz80k_top:mz80k_top|vga:vga1|x[8] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.036 ; 0.707 ; -; 0.589 ; mz80k_top:mz80k_top|vga:vga1|y[1] ; mz80k_top:mz80k_top|vga:vga1|y[5] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.035 ; 0.708 ; -; 0.592 ; mz80k_top:mz80k_top|vga:vga1|x[9] ; mz80k_top:mz80k_top|vga:vga1|x[5] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.036 ; 0.712 ; -; 0.592 ; mz80k_top:mz80k_top|vga:vga1|x[9] ; mz80k_top:mz80k_top|vga:vga1|x[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.036 ; 0.712 ; -; 0.592 ; mz80k_top:mz80k_top|vga:vga1|x[9] ; mz80k_top:mz80k_top|vga:vga1|x[1] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.036 ; 0.712 ; -; 0.592 ; mz80k_top:mz80k_top|vga:vga1|x[9] ; mz80k_top:mz80k_top|vga:vga1|x[2] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.036 ; 0.712 ; -; 0.592 ; mz80k_top:mz80k_top|vga:vga1|x[9] ; mz80k_top:mz80k_top|vga:vga1|x[3] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.036 ; 0.712 ; -; 0.592 ; mz80k_top:mz80k_top|vga:vga1|x[9] ; mz80k_top:mz80k_top|vga:vga1|x[4] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.036 ; 0.712 ; -; 0.592 ; mz80k_top:mz80k_top|vga:vga1|x[9] ; mz80k_top:mz80k_top|vga:vga1|x[7] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.036 ; 0.712 ; -; 0.592 ; mz80k_top:mz80k_top|vga:vga1|x[9] ; mz80k_top:mz80k_top|vga:vga1|x[6] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.036 ; 0.712 ; -; 0.592 ; mz80k_top:mz80k_top|vga:vga1|x[9] ; mz80k_top:mz80k_top|vga:vga1|x[8] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.036 ; 0.712 ; -; 0.592 ; mz80k_top:mz80k_top|vga:vga1|y[1] ; mz80k_top:mz80k_top|vga:vga1|y[6] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.035 ; 0.711 ; -; 0.593 ; mz80k_top:mz80k_top|vga:vga1|x[5] ; mz80k_top:mz80k_top|vga:vga1|x[7] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.036 ; 0.713 ; -; 0.593 ; mz80k_top:mz80k_top|vga:vga1|y[3] ; mz80k_top:mz80k_top|vga:vga1|y[7] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.035 ; 0.712 ; -; 0.596 ; mz80k_top:mz80k_top|vga:vga1|x[5] ; mz80k_top:mz80k_top|vga:vga1|x[8] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.036 ; 0.716 ; -; 0.596 ; mz80k_top:mz80k_top|vga:vga1|y[3] ; mz80k_top:mz80k_top|vga:vga1|y[8] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.035 ; 0.715 ; -; 0.596 ; mz80k_top:mz80k_top|vga:vga1|x[2] ; mz80k_top:mz80k_top|vga:vga1|x[7] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.036 ; 0.716 ; -; 0.597 ; mz80k_top:mz80k_top|vga:vga1|x[4] ; mz80k_top:mz80k_top|vga:vga1|x[9] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.036 ; 0.717 ; -; 0.597 ; mz80k_top:mz80k_top|vga:vga1|x[0] ; mz80k_top:mz80k_top|vga:vga1|x[5] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.036 ; 0.717 ; -; 0.598 ; mz80k_top:mz80k_top|vga:vga1|x[7] ; mz80k_top:mz80k_top|vga:vga1|x[9] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.036 ; 0.718 ; -; 0.599 ; mz80k_top:mz80k_top|vga:vga1|x[2] ; mz80k_top:mz80k_top|vga:vga1|x[8] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.036 ; 0.719 ; -; 0.600 ; mz80k_top:mz80k_top|vga:vga1|x[0] ; mz80k_top:mz80k_top|vga:vga1|x[6] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.036 ; 0.720 ; -; 0.602 ; mz80k_top:mz80k_top|vga:vga1|y[0] ; mz80k_top:mz80k_top|vga:vga1|y[5] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.035 ; 0.721 ; -; 0.603 ; mz80k_top:mz80k_top|vga:vga1|y[2] ; mz80k_top:mz80k_top|vga:vga1|y[7] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.035 ; 0.722 ; -; 0.605 ; mz80k_top:mz80k_top|vga:vga1|y[0] ; mz80k_top:mz80k_top|vga:vga1|y[6] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.035 ; 0.724 ; -; 0.606 ; mz80k_top:mz80k_top|vga:vga1|y[2] ; mz80k_top:mz80k_top|vga:vga1|y[8] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.035 ; 0.725 ; -; 0.607 ; mz80k_top:mz80k_top|vga:vga1|x[6] ; mz80k_top:mz80k_top|vga:vga1|x[9] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.036 ; 0.727 ; -; 0.608 ; mz80k_top:mz80k_top|vga:vga1|y[4] ; mz80k_top:mz80k_top|vga:vga1|y[7] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.035 ; 0.727 ; -; 0.609 ; mz80k_top:mz80k_top|vga:vga1|y[7] ; mz80k_top:mz80k_top|vga:vga1|y[9] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.035 ; 0.728 ; -; 0.611 ; mz80k_top:mz80k_top|vga:vga1|y[4] ; mz80k_top:mz80k_top|vga:vga1|y[8] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.035 ; 0.730 ; -; 0.617 ; mz80k_top:mz80k_top|vga:vga1|y[5] ; mz80k_top:mz80k_top|vga:vga1|y[7] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.035 ; 0.736 ; -; 0.620 ; mz80k_top:mz80k_top|vga:vga1|y[5] ; mz80k_top:mz80k_top|vga:vga1|y[8] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.035 ; 0.739 ; -; 0.639 ; mz80k_top:mz80k_top|vga:vga1|y[6] ; mz80k_top:mz80k_top|vga:vga1|y[9] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.035 ; 0.758 ; -; 0.643 ; mz80k_top:mz80k_top|vga:vga1|y[8] ; mz80k_top:mz80k_top|vga:vga1|y[9] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.035 ; 0.762 ; -; 0.648 ; mz80k_top:mz80k_top|vga:vga1|x[8] ; mz80k_top:mz80k_top|vga:vga1|x[9] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 0.000 ; 0.036 ; 0.768 ; -+-------+-----------------------------------+-----------------------------------+-----------------------------------------+-----------------------------------------+--------------+------------+------------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Fast 1200mV 0C Model Recovery: 'mz80k_top:mz80k_top|clk_count[2]' ; -+--------+--------------+---------------------------------------------+-------------------------------------------------+----------------------------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+--------+--------------+---------------------------------------------+-------------------------------------------------+----------------------------------+--------------+------------+------------+ -; -0.886 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl2 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.837 ; 2.631 ; -; -0.886 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl1 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.837 ; 2.631 ; -; -0.886 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|mode1[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.837 ; 2.631 ; -; -0.886 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.837 ; 2.631 ; -; -0.886 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.832 ; 2.626 ; -; -0.886 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[12] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.832 ; 2.626 ; -; -0.886 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|mode0[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.834 ; 2.628 ; -; -0.886 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl0 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.837 ; 2.631 ; -; -0.886 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.833 ; 2.627 ; -; -0.886 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[12] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.833 ; 2.627 ; -; -0.886 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|read_hl0 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.834 ; 2.628 ; -; -0.886 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.832 ; 2.626 ; -; -0.886 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[8] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.832 ; 2.626 ; -; -0.886 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[8] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.833 ; 2.627 ; -; -0.886 ; reset_cnt[0] ; mz80k_top:mz80k_top|key_no[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.834 ; 2.628 ; -; -0.886 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|mode1[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.837 ; 2.631 ; -; -0.886 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[6] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.832 ; 2.626 ; -; -0.886 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[14] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.832 ; 2.626 ; -; -0.886 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|mode0[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.834 ; 2.628 ; -; -0.886 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|mode0[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.834 ; 2.628 ; -; -0.886 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|mode0[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.834 ; 2.628 ; -; -0.886 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[6] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.833 ; 2.627 ; -; -0.886 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[14] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.833 ; 2.627 ; -; -0.886 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.832 ; 2.626 ; -; -0.886 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[13] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.832 ; 2.626 ; -; -0.886 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.833 ; 2.627 ; -; -0.886 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[13] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.833 ; 2.627 ; -; -0.886 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[7] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.832 ; 2.626 ; -; -0.886 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[15] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.832 ; 2.626 ; -; -0.886 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[7] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.833 ; 2.627 ; -; -0.886 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[15] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.833 ; 2.627 ; -; -0.886 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.832 ; 2.626 ; -; -0.886 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[10] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.832 ; 2.626 ; -; -0.886 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.833 ; 2.627 ; -; -0.886 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[10] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.833 ; 2.627 ; -; -0.886 ; reset_cnt[0] ; mz80k_top:mz80k_top|key_no[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.834 ; 2.628 ; -; -0.886 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.832 ; 2.626 ; -; -0.886 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[9] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.832 ; 2.626 ; -; -0.886 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.833 ; 2.627 ; -; -0.886 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[9] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.833 ; 2.627 ; -; -0.886 ; reset_cnt[0] ; mz80k_top:mz80k_top|key_no[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.834 ; 2.628 ; -; -0.886 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.832 ; 2.626 ; -; -0.886 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[11] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.832 ; 2.626 ; -; -0.886 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.833 ; 2.627 ; -; -0.886 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[11] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.833 ; 2.627 ; -; -0.886 ; reset_cnt[0] ; mz80k_top:mz80k_top|key_no[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.834 ; 2.628 ; -; -0.885 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|read_hl2 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.834 ; 2.627 ; -; -0.885 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|read_hl1 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.834 ; 2.627 ; -; -0.885 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[12] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.833 ; 2.626 ; -; -0.885 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[14] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.833 ; 2.626 ; -; -0.885 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[13] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.833 ; 2.626 ; -; -0.885 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[15] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.833 ; 2.626 ; -; -0.885 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[10] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.833 ; 2.626 ; -; -0.885 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[9] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.833 ; 2.626 ; -; -0.885 ; reset_cnt[0] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[11] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.833 ; 2.626 ; -; -0.884 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl2 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.837 ; 2.629 ; -; -0.884 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl1 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.837 ; 2.629 ; -; -0.884 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|mode1[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.837 ; 2.629 ; -; -0.884 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.837 ; 2.629 ; -; -0.884 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.832 ; 2.624 ; -; -0.884 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[12] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.832 ; 2.624 ; -; -0.884 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|mode0[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.834 ; 2.626 ; -; -0.884 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl0 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.837 ; 2.629 ; -; -0.884 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.833 ; 2.625 ; -; -0.884 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[12] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.833 ; 2.625 ; -; -0.884 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|read_hl0 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.834 ; 2.626 ; -; -0.884 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.832 ; 2.624 ; -; -0.884 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[8] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.832 ; 2.624 ; -; -0.884 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[8] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.833 ; 2.625 ; -; -0.884 ; reset_cnt[2] ; mz80k_top:mz80k_top|key_no[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.834 ; 2.626 ; -; -0.884 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|mode1[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.837 ; 2.629 ; -; -0.884 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[6] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.832 ; 2.624 ; -; -0.884 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[14] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.832 ; 2.624 ; -; -0.884 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|mode0[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.834 ; 2.626 ; -; -0.884 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|mode0[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.834 ; 2.626 ; -; -0.884 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|mode0[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.834 ; 2.626 ; -; -0.884 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[6] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.833 ; 2.625 ; -; -0.884 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[14] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.833 ; 2.625 ; -; -0.884 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.832 ; 2.624 ; -; -0.884 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[13] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.832 ; 2.624 ; -; -0.884 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.833 ; 2.625 ; -; -0.884 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[13] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.833 ; 2.625 ; -; -0.884 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[7] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.832 ; 2.624 ; -; -0.884 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[15] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.832 ; 2.624 ; -; -0.884 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[7] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.833 ; 2.625 ; -; -0.884 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[15] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.833 ; 2.625 ; -; -0.884 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.832 ; 2.624 ; -; -0.884 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[10] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.832 ; 2.624 ; -; -0.884 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.833 ; 2.625 ; -; -0.884 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[10] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.833 ; 2.625 ; -; -0.884 ; reset_cnt[2] ; mz80k_top:mz80k_top|key_no[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.834 ; 2.626 ; -; -0.884 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.832 ; 2.624 ; -; -0.884 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[9] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.832 ; 2.624 ; -; -0.884 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.833 ; 2.625 ; -; -0.884 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[9] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.833 ; 2.625 ; -; -0.884 ; reset_cnt[2] ; mz80k_top:mz80k_top|key_no[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.834 ; 2.626 ; -; -0.884 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.832 ; 2.624 ; -; -0.884 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[11] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.832 ; 2.624 ; -; -0.884 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.833 ; 2.625 ; -; -0.884 ; reset_cnt[2] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[11] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.001 ; 1.833 ; 2.625 ; -+--------+--------------+---------------------------------------------+-------------------------------------------------+----------------------------------+--------------+------------+------------+ - - -+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Fast 1200mV 0C Model Recovery: 'pll|altpll_component|auto_generated|pll1|clk[0]' ; -+--------+--------------+---------------------------------------------------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+--------+--------------+---------------------------------------------------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+ -; 17.326 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl3[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.034 ; 2.626 ; -; 17.326 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl4[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.034 ; 2.626 ; -; 17.326 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.034 ; 2.626 ; -; 17.326 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.034 ; 2.626 ; -; 17.326 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.034 ; 2.626 ; -; 17.326 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl4[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.034 ; 2.626 ; -; 17.326 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.034 ; 2.626 ; -; 17.326 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl3[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.034 ; 2.626 ; -; 17.326 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl1[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.034 ; 2.626 ; -; 17.327 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl1[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.034 ; 2.625 ; -; 17.327 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl1[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.034 ; 2.625 ; -; 17.327 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl0[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.036 ; 2.623 ; -; 17.327 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl3[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.036 ; 2.623 ; -; 17.327 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl0[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.036 ; 2.623 ; -; 17.327 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl1[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.036 ; 2.623 ; -; 17.327 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.035 ; 2.624 ; -; 17.327 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl8[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.035 ; 2.624 ; -; 17.327 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl7[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.036 ; 2.623 ; -; 17.327 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl4[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.035 ; 2.624 ; -; 17.327 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.036 ; 2.623 ; -; 17.327 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl3[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.036 ; 2.623 ; -; 17.327 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.036 ; 2.623 ; -; 17.327 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl0[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.036 ; 2.623 ; -; 17.327 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.034 ; 2.625 ; -; 17.327 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.035 ; 2.624 ; -; 17.327 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.035 ; 2.624 ; -; 17.327 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.035 ; 2.624 ; -; 17.327 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.035 ; 2.624 ; -; 17.327 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[6] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.035 ; 2.624 ; -; 17.327 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[7] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.035 ; 2.624 ; -; 17.327 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[8] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.035 ; 2.624 ; -; 17.327 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.035 ; 2.624 ; -; 17.327 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[9] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.035 ; 2.624 ; -; 17.328 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl0[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.036 ; 2.622 ; -; 17.328 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl8[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.036 ; 2.622 ; -; 17.328 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl9[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.029 ; 2.629 ; -; 17.328 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl1[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.035 ; 2.623 ; -; 17.328 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl7[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.029 ; 2.629 ; -; 17.328 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl8[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.036 ; 2.622 ; -; 17.328 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|dten ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.036 ; 2.622 ; -; 17.328 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.036 ; 2.622 ; -; 17.328 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[10] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.036 ; 2.622 ; -; 17.328 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl3[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.034 ; 2.624 ; -; 17.328 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl4[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.034 ; 2.624 ; -; 17.328 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.034 ; 2.624 ; -; 17.328 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.034 ; 2.624 ; -; 17.328 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.034 ; 2.624 ; -; 17.328 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl4[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.034 ; 2.624 ; -; 17.328 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.034 ; 2.624 ; -; 17.328 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl3[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.034 ; 2.624 ; -; 17.328 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl1[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.034 ; 2.624 ; -; 17.329 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl1[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.034 ; 2.623 ; -; 17.329 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl1[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.034 ; 2.623 ; -; 17.329 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl0[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.036 ; 2.621 ; -; 17.329 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl3[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.036 ; 2.621 ; -; 17.329 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl0[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.036 ; 2.621 ; -; 17.329 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl1[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.036 ; 2.621 ; -; 17.329 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.035 ; 2.622 ; -; 17.329 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl8[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.035 ; 2.622 ; -; 17.329 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl7[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.036 ; 2.621 ; -; 17.329 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl4[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.035 ; 2.622 ; -; 17.329 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.036 ; 2.621 ; -; 17.329 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl3[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.036 ; 2.621 ; -; 17.329 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.036 ; 2.621 ; -; 17.329 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl0[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.036 ; 2.621 ; -; 17.329 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.034 ; 2.623 ; -; 17.329 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.035 ; 2.622 ; -; 17.329 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.035 ; 2.622 ; -; 17.329 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.035 ; 2.622 ; -; 17.329 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.035 ; 2.622 ; -; 17.329 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[6] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.035 ; 2.622 ; -; 17.329 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[7] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.035 ; 2.622 ; -; 17.329 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[8] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.035 ; 2.622 ; -; 17.329 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.035 ; 2.622 ; -; 17.329 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[9] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.035 ; 2.622 ; -; 17.330 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl0[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.036 ; 2.620 ; -; 17.330 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl8[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.036 ; 2.620 ; -; 17.330 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl9[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.029 ; 2.627 ; -; 17.330 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl1[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.035 ; 2.621 ; -; 17.330 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl7[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.029 ; 2.627 ; -; 17.330 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl8[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.036 ; 2.620 ; -; 17.330 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|dten ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.036 ; 2.620 ; -; 17.330 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.036 ; 2.620 ; -; 17.330 ; reset_cnt[2] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[10] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.036 ; 2.620 ; -; 17.335 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl7[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.037 ; 2.614 ; -; 17.335 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.037 ; 2.614 ; -; 17.335 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl8[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.039 ; 2.612 ; -; 17.335 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl3[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.037 ; 2.614 ; -; 17.335 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.037 ; 2.614 ; -; 17.335 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.039 ; 2.612 ; -; 17.335 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl4[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.037 ; 2.614 ; -; 17.335 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.037 ; 2.614 ; -; 17.335 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.039 ; 2.612 ; -; 17.335 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl9[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.039 ; 2.612 ; -; 17.335 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.037 ; 2.614 ; -; 17.336 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.038 ; 2.612 ; -; 17.336 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl9[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.038 ; 2.612 ; -; 17.336 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl7[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.036 ; 2.614 ; -; 17.336 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl0[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.038 ; 2.612 ; -; 17.336 ; reset_cnt[0] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl8[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 19.999 ; -0.038 ; 2.612 ; -+--------+--------------+---------------------------------------------------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Fast 1200mV 0C Model Removal: 'mz80k_top:mz80k_top|clk_count[2]' ; -+--------+--------------+---------------------------------------------+-------------------------------------------------+----------------------------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+--------+--------------+---------------------------------------------+-------------------------------------------------+----------------------------------+--------------+------------+------------+ -; -0.025 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.170 ; 2.309 ; -; -0.025 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|mode1[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.174 ; 2.313 ; -; -0.025 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|mode1[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.174 ; 2.313 ; -; -0.025 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.168 ; 2.307 ; -; -0.025 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[7] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.174 ; 2.313 ; -; -0.025 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.174 ; 2.313 ; -; -0.025 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.169 ; 2.308 ; -; -0.024 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|mode2[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.169 ; 2.309 ; -; -0.024 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[8] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.167 ; 2.307 ; -; -0.024 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.167 ; 2.307 ; -; -0.024 ; reset_cnt[7] ; mz80k_top:mz80k_top|speaker_enable ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.167 ; 2.307 ; -; -0.024 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|mode2[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.169 ; 2.309 ; -; -0.024 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[6] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.165 ; 2.305 ; -; -0.024 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.167 ; 2.307 ; -; -0.019 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.173 ; 2.318 ; -; -0.019 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.168 ; 2.313 ; -; -0.019 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[12] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.168 ; 2.313 ; -; -0.019 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.168 ; 2.313 ; -; -0.019 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[8] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.168 ; 2.313 ; -; -0.019 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[6] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.168 ; 2.313 ; -; -0.019 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[14] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.168 ; 2.313 ; -; -0.019 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.168 ; 2.313 ; -; -0.019 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[13] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.168 ; 2.313 ; -; -0.019 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[7] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.168 ; 2.313 ; -; -0.019 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[15] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.168 ; 2.313 ; -; -0.019 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.168 ; 2.313 ; -; -0.019 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[10] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.168 ; 2.313 ; -; -0.019 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.168 ; 2.313 ; -; -0.019 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[9] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.168 ; 2.313 ; -; -0.019 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.168 ; 2.313 ; -; -0.019 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[11] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.168 ; 2.313 ; -; -0.018 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl2 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.172 ; 2.318 ; -; -0.018 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|read_hl2 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.169 ; 2.315 ; -; -0.018 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl1 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.172 ; 2.318 ; -; -0.018 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|read_hl1 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.169 ; 2.315 ; -; -0.018 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|mode1[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.172 ; 2.318 ; -; -0.018 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[12] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.168 ; 2.314 ; -; -0.018 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|mode0[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.170 ; 2.316 ; -; -0.018 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|write_hl0 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.172 ; 2.318 ; -; -0.018 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.169 ; 2.315 ; -; -0.018 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[12] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.169 ; 2.315 ; -; -0.018 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|read_hl0 ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.169 ; 2.315 ; -; -0.018 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[8] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.169 ; 2.315 ; -; -0.018 ; reset_cnt[7] ; mz80k_top:mz80k_top|key_no[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.169 ; 2.315 ; -; -0.018 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|mode1[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.172 ; 2.318 ; -; -0.018 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[14] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.168 ; 2.314 ; -; -0.018 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|mode0[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.170 ; 2.316 ; -; -0.018 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|mode0[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.170 ; 2.316 ; -; -0.018 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|mode0[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.170 ; 2.316 ; -; -0.018 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[6] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.169 ; 2.315 ; -; -0.018 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[14] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.169 ; 2.315 ; -; -0.018 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[13] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.168 ; 2.314 ; -; -0.018 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.169 ; 2.315 ; -; -0.018 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[13] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.169 ; 2.315 ; -; -0.018 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[15] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.168 ; 2.314 ; -; -0.018 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[7] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.169 ; 2.315 ; -; -0.018 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[15] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.169 ; 2.315 ; -; -0.018 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[10] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.168 ; 2.314 ; -; -0.018 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.169 ; 2.315 ; -; -0.018 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[10] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.169 ; 2.315 ; -; -0.018 ; reset_cnt[7] ; mz80k_top:mz80k_top|key_no[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.169 ; 2.315 ; -; -0.018 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[9] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.168 ; 2.314 ; -; -0.018 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.169 ; 2.315 ; -; -0.018 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[9] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.169 ; 2.315 ; -; -0.018 ; reset_cnt[7] ; mz80k_top:mz80k_top|key_no[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.169 ; 2.315 ; -; -0.018 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[11] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.168 ; 2.314 ; -; -0.018 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.169 ; 2.315 ; -; -0.018 ; reset_cnt[7] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[11] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.169 ; 2.315 ; -; -0.018 ; reset_cnt[7] ; mz80k_top:mz80k_top|key_no[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.169 ; 2.315 ; -; -0.012 ; reset_cnt[3] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.170 ; 2.322 ; -; -0.012 ; reset_cnt[3] ; mz80k_top:mz80k_top|i8253:i8253_1|mode1[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.174 ; 2.326 ; -; -0.012 ; reset_cnt[3] ; mz80k_top:mz80k_top|i8253:i8253_1|mode1[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.174 ; 2.326 ; -; -0.012 ; reset_cnt[3] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.168 ; 2.320 ; -; -0.012 ; reset_cnt[3] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[7] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.174 ; 2.326 ; -; -0.012 ; reset_cnt[3] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.174 ; 2.326 ; -; -0.012 ; reset_cnt[3] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.169 ; 2.321 ; -; -0.011 ; reset_cnt[3] ; mz80k_top:mz80k_top|i8253:i8253_1|mode2[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.169 ; 2.322 ; -; -0.011 ; reset_cnt[3] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[8] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.167 ; 2.320 ; -; -0.011 ; reset_cnt[3] ; mz80k_top:mz80k_top|i8253:i8253_1|max0[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.167 ; 2.320 ; -; -0.011 ; reset_cnt[3] ; mz80k_top:mz80k_top|speaker_enable ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.167 ; 2.320 ; -; -0.011 ; reset_cnt[3] ; mz80k_top:mz80k_top|i8253:i8253_1|mode2[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.169 ; 2.322 ; -; -0.011 ; reset_cnt[3] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[6] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.165 ; 2.318 ; -; -0.011 ; reset_cnt[3] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.167 ; 2.320 ; -; -0.006 ; reset_cnt[3] ; mz80k_top:mz80k_top|i8253:i8253_1|max1[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.173 ; 2.331 ; -; -0.006 ; reset_cnt[3] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.168 ; 2.326 ; -; -0.006 ; reset_cnt[3] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[12] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.168 ; 2.326 ; -; -0.006 ; reset_cnt[3] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.168 ; 2.326 ; -; -0.006 ; reset_cnt[3] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[8] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.168 ; 2.326 ; -; -0.006 ; reset_cnt[3] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[6] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.168 ; 2.326 ; -; -0.006 ; reset_cnt[3] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[14] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.168 ; 2.326 ; -; -0.006 ; reset_cnt[3] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.168 ; 2.326 ; -; -0.006 ; reset_cnt[3] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[13] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.168 ; 2.326 ; -; -0.006 ; reset_cnt[3] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[7] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.168 ; 2.326 ; -; -0.006 ; reset_cnt[3] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[15] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.168 ; 2.326 ; -; -0.006 ; reset_cnt[3] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.168 ; 2.326 ; -; -0.006 ; reset_cnt[3] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[10] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.168 ; 2.326 ; -; -0.006 ; reset_cnt[3] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.168 ; 2.326 ; -; -0.006 ; reset_cnt[3] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[9] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.168 ; 2.326 ; -; -0.006 ; reset_cnt[3] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.168 ; 2.326 ; -; -0.006 ; reset_cnt[3] ; mz80k_top:mz80k_top|i8253:i8253_1|max2[11] ; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 0.000 ; 2.168 ; 2.326 ; -+--------+--------------+---------------------------------------------+-------------------------------------------------+----------------------------------+--------------+------------+------------+ - - -+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Fast 1200mV 0C Model Removal: 'pll|altpll_component|auto_generated|pll1|clk[0]' ; -+-------+--------------+---------------------------------------------------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+-------+--------------+---------------------------------------------------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+ -; 2.184 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl7[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 2.304 ; -; 2.184 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 2.304 ; -; 2.184 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl8[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.034 ; 2.302 ; -; 2.184 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl3[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 2.304 ; -; 2.184 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl9[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.034 ; 2.302 ; -; 2.184 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 2.304 ; -; 2.184 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.034 ; 2.302 ; -; 2.184 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl4[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 2.304 ; -; 2.184 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl0[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.034 ; 2.302 ; -; 2.184 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 2.304 ; -; 2.184 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.034 ; 2.302 ; -; 2.184 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl9[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.034 ; 2.302 ; -; 2.184 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_e0 ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.034 ; 2.302 ; -; 2.184 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 2.304 ; -; 2.184 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_f0 ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.034 ; 2.302 ; -; 2.185 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.034 ; 2.303 ; -; 2.185 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl7[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 2.305 ; -; 2.185 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl8[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.034 ; 2.303 ; -; 2.185 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 2.305 ; -; 2.185 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 2.305 ; -; 2.185 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl4[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 2.305 ; -; 2.185 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.034 ; 2.303 ; -; 2.189 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl9[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.044 ; 2.317 ; -; 2.189 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl7[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.044 ; 2.317 ; -; 2.190 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl1[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.039 ; 2.313 ; -; 2.190 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl3[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.039 ; 2.313 ; -; 2.190 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl4[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.039 ; 2.313 ; -; 2.190 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl0[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 2.310 ; -; 2.190 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.039 ; 2.313 ; -; 2.190 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl8[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 2.310 ; -; 2.190 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.039 ; 2.313 ; -; 2.190 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl1[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.039 ; 2.313 ; -; 2.190 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.039 ; 2.313 ; -; 2.190 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl4[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.039 ; 2.313 ; -; 2.190 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.038 ; 2.312 ; -; 2.190 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl8[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.038 ; 2.312 ; -; 2.190 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.039 ; 2.313 ; -; 2.190 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl1[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.037 ; 2.311 ; -; 2.190 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl4[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.038 ; 2.312 ; -; 2.190 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl3[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.039 ; 2.313 ; -; 2.190 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl0[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.037 ; 2.311 ; -; 2.190 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl1[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.039 ; 2.313 ; -; 2.190 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl8[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 2.310 ; -; 2.190 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.039 ; 2.313 ; -; 2.190 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|dten ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 2.310 ; -; 2.190 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 2.310 ; -; 2.190 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.037 ; 2.311 ; -; 2.190 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.037 ; 2.311 ; -; 2.190 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.037 ; 2.311 ; -; 2.190 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.037 ; 2.311 ; -; 2.190 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[6] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.037 ; 2.311 ; -; 2.190 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[7] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.037 ; 2.311 ; -; 2.190 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[8] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.037 ; 2.311 ; -; 2.190 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.037 ; 2.311 ; -; 2.190 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[10] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 2.310 ; -; 2.190 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[9] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.037 ; 2.311 ; -; 2.191 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl0[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 2.311 ; -; 2.191 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl3[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 2.311 ; -; 2.191 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl0[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 2.311 ; -; 2.191 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl1[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 2.311 ; -; 2.191 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl7[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 2.311 ; -; 2.191 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 2.311 ; -; 2.191 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl3[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 2.311 ; -; 2.191 ; reset_cnt[7] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 2.311 ; -; 2.197 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl7[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 2.317 ; -; 2.197 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 2.317 ; -; 2.197 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl8[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.034 ; 2.315 ; -; 2.197 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl3[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 2.317 ; -; 2.197 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl9[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.034 ; 2.315 ; -; 2.197 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 2.317 ; -; 2.197 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.034 ; 2.315 ; -; 2.197 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl4[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 2.317 ; -; 2.197 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl0[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.034 ; 2.315 ; -; 2.197 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 2.317 ; -; 2.197 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.034 ; 2.315 ; -; 2.197 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl9[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.034 ; 2.315 ; -; 2.197 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_e0 ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.034 ; 2.315 ; -; 2.197 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 2.317 ; -; 2.197 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_f0 ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.034 ; 2.315 ; -; 2.198 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.034 ; 2.316 ; -; 2.198 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl7[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 2.318 ; -; 2.198 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl8[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.034 ; 2.316 ; -; 2.198 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 2.318 ; -; 2.198 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 2.318 ; -; 2.198 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl4[4] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 2.318 ; -; 2.198 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[5] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.034 ; 2.316 ; -; 2.202 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl9[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.044 ; 2.330 ; -; 2.202 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl7[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.044 ; 2.330 ; -; 2.203 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl1[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.039 ; 2.326 ; -; 2.203 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl3[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.039 ; 2.326 ; -; 2.203 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl4[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.039 ; 2.326 ; -; 2.203 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl0[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 2.323 ; -; 2.203 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.039 ; 2.326 ; -; 2.203 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl8[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.036 ; 2.323 ; -; 2.203 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.039 ; 2.326 ; -; 2.203 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl1[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.039 ; 2.326 ; -; 2.203 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.039 ; 2.326 ; -; 2.203 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl4[3] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.039 ; 2.326 ; -; 2.203 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.038 ; 2.325 ; -; 2.203 ; reset_cnt[3] ; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl8[1] ; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 0.000 ; 0.038 ; 2.325 ; -+-------+--------------+---------------------------------------------------------------------+-------------------------------------------------+-------------------------------------------------+--------------+------------+------------+ - - -+--------------------------------------------------------------------------------------------------------------+ -; Fast 1200mV 0C Model Minimum Pulse Width: 'SPI_SCK' ; -+--------+--------------+----------------+------------+---------+------------+---------------------------------+ -; Slack ; Actual Width ; Required Width ; Type ; Clock ; Clock Edge ; Target ; -+--------+--------------+----------------+------------+---------+------------+---------------------------------+ -; -3.000 ; 1.000 ; 4.000 ; Port Rate ; SPI_SCK ; Rise ; SPI_SCK ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|bit_cnt[0] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|bit_cnt[1] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|bit_cnt[2] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|but_sw[1] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|but_sw[4] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|but_sw[5] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|byte_cnt[0] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|byte_cnt[1] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|byte_cnt[2] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|byte_cnt[3] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|byte_cnt[4] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|byte_cnt[5] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|byte_cnt[6] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|byte_cnt[7] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|cmd[0] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|cmd[1] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|cmd[2] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|cmd[3] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|cmd[4] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|cmd[5] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|cmd[6] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|cmd[7] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~0 ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~1 ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~10 ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~11 ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~12 ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~13 ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~14 ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~15 ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~16 ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~17 ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~18 ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~19 ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~2 ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~20 ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~21 ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~22 ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~23 ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~24 ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~25 ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~26 ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~27 ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~28 ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~29 ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~3 ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~30 ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~31 ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~32 ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~33 ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~34 ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~35 ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~36 ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~37 ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~38 ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~39 ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~4 ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~40 ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~41 ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~42 ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~43 ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~44 ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~45 ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~46 ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~47 ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~48 ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~49 ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~5 ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~50 ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~51 ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~52 ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~53 ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~54 ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~55 ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~56 ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~57 ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~58 ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~59 ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~6 ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~60 ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~61 ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~62 ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~63 ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~7 ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~8 ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_fifo~9 ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_wptr[0] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_wptr[1] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|ps2_kbd_wptr[2] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|sbuf[0] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|sbuf[1] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|sbuf[2] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|sbuf[3] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|sbuf[4] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|sbuf[5] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|sbuf[6] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Fall ; mist_io:mist_io|spi_do ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|status[0] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; SPI_SCK ; Rise ; mist_io:mist_io|status[2] ; -+--------+--------------+----------------+------------+---------+------------+---------------------------------+ - - -+----------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Fast 1200mV 0C Model Minimum Pulse Width: 'mz80k_top:mz80k_top|clk_count[2]' ; -+--------+--------------+----------------+------------+----------------------------------+------------+----------------------------------------------------+ -; Slack ; Actual Width ; Required Width ; Type ; Clock ; Clock Edge ; Target ; -+--------+--------------+----------------+------------+----------------------------------+------------+----------------------------------------------------+ -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|q_asu_zero ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrh|q[0] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrh|q[1] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrh|q[2] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrh|q[3] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrh|q[4] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrh|q[5] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrh|q[6] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrh|q[7] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrl|q[0] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrl|q[1] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrl|q[2] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrl|q[3] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrl|q[4] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrl|q[5] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrl|q[6] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrl|q[7] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_sph|q[0] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_sph|q[1] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_sph|q[2] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_sph|q[3] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_sph|q[4] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_sph|q[5] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_sph|q[6] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_sph|q[7] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_spl|q[0] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_spl|q[1] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_spl|q[2] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_spl|q[3] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_spl|q[4] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_spl|q[5] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_spl|q[6] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_spl|q[7] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[0] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[1] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[2] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[3] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[4] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[5] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[6] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[7] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q1[0] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q1[1] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q1[2] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q1[3] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q1[4] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q1[5] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q1[6] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q1[7] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_b|q0[0] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_b|q0[1] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_b|q0[2] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_b|q0[3] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_b|q0[4] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_b|q0[5] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_b|q0[6] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_b|q0[7] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_b|q1[0] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_b|q1[1] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_b|q1[2] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_b|q1[3] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_b|q1[4] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_b|q1[5] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_b|q1[6] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_b|q1[7] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q0[0] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q0[1] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q0[2] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q0[3] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q0[4] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q0[5] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q0[6] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q0[7] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q1[0] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q1[1] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q1[2] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q1[3] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q1[4] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q1[5] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q1[6] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q1[7] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d|q0[0] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d|q0[1] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d|q0[2] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d|q0[3] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d|q0[4] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d|q0[5] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d|q0[6] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d|q0[7] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d|q1[0] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d|q1[1] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d|q1[2] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d|q1[3] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d|q1[4] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d|q1[5] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d|q1[6] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d|q1[7] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q0[0] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q0[1] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|clk_count[2] ; Rise ; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q0[2] ; -+--------+--------------+----------------+------------+----------------------------------+------------+----------------------------------------------------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Fast 1200mV 0C Model Minimum Pulse Width: 'mz80k_top:mz80k_top|vga:vga1|counter[0]' ; -+--------+--------------+----------------+------------------+-----------------------------------------+------------+--------------------------------------------+ -; Slack ; Actual Width ; Required Width ; Type ; Clock ; Clock Edge ; Target ; -+--------+--------------+----------------+------------------+-----------------------------------------+------------+--------------------------------------------+ -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|x[0] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|x[1] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|x[2] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|x[3] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|x[4] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|x[5] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|x[6] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|x[7] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|x[8] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|x[9] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|y[0] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|y[1] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|y[2] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|y[3] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|y[4] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|y[5] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|y[6] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|y[7] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|y[8] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|y[9] ; -; 0.279 ; 0.463 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|x[0] ; -; 0.279 ; 0.463 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|x[1] ; -; 0.279 ; 0.463 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|x[2] ; -; 0.279 ; 0.463 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|x[3] ; -; 0.279 ; 0.463 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|x[4] ; -; 0.279 ; 0.463 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|x[5] ; -; 0.279 ; 0.463 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|x[6] ; -; 0.279 ; 0.463 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|x[7] ; -; 0.279 ; 0.463 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|x[8] ; -; 0.279 ; 0.463 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|x[9] ; -; 0.279 ; 0.463 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|y[0] ; -; 0.279 ; 0.463 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|y[1] ; -; 0.279 ; 0.463 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|y[2] ; -; 0.279 ; 0.463 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|y[3] ; -; 0.279 ; 0.463 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|y[4] ; -; 0.279 ; 0.463 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|y[5] ; -; 0.279 ; 0.463 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|y[6] ; -; 0.279 ; 0.463 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|y[7] ; -; 0.279 ; 0.463 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|y[8] ; -; 0.279 ; 0.463 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|y[9] ; -; 0.317 ; 0.533 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|y[0] ; -; 0.317 ; 0.533 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|y[1] ; -; 0.317 ; 0.533 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|y[2] ; -; 0.317 ; 0.533 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|y[3] ; -; 0.317 ; 0.533 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|y[4] ; -; 0.317 ; 0.533 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|y[5] ; -; 0.317 ; 0.533 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|y[6] ; -; 0.317 ; 0.533 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|y[7] ; -; 0.317 ; 0.533 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|y[8] ; -; 0.317 ; 0.533 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|y[9] ; -; 0.318 ; 0.534 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|x[0] ; -; 0.318 ; 0.534 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|x[1] ; -; 0.318 ; 0.534 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|x[2] ; -; 0.318 ; 0.534 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|x[3] ; -; 0.318 ; 0.534 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|x[4] ; -; 0.318 ; 0.534 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|x[5] ; -; 0.318 ; 0.534 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|x[6] ; -; 0.318 ; 0.534 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|x[7] ; -; 0.318 ; 0.534 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|x[8] ; -; 0.318 ; 0.534 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top:mz80k_top|vga:vga1|x[9] ; -; 0.459 ; 0.459 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|x[0]|clk ; -; 0.459 ; 0.459 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|x[1]|clk ; -; 0.459 ; 0.459 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|x[2]|clk ; -; 0.459 ; 0.459 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|x[3]|clk ; -; 0.459 ; 0.459 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|x[4]|clk ; -; 0.459 ; 0.459 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|x[5]|clk ; -; 0.459 ; 0.459 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|x[6]|clk ; -; 0.459 ; 0.459 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|x[7]|clk ; -; 0.459 ; 0.459 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|x[8]|clk ; -; 0.459 ; 0.459 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|x[9]|clk ; -; 0.459 ; 0.459 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|y[0]|clk ; -; 0.459 ; 0.459 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|y[1]|clk ; -; 0.459 ; 0.459 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|y[2]|clk ; -; 0.459 ; 0.459 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|y[3]|clk ; -; 0.459 ; 0.459 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|y[4]|clk ; -; 0.459 ; 0.459 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|y[5]|clk ; -; 0.459 ; 0.459 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|y[6]|clk ; -; 0.459 ; 0.459 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|y[7]|clk ; -; 0.459 ; 0.459 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|y[8]|clk ; -; 0.459 ; 0.459 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|y[9]|clk ; -; 0.468 ; 0.468 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|counter[0]~clkctrl|inclk[0] ; -; 0.468 ; 0.468 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|counter[0]~clkctrl|outclk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|counter[0]|q ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|counter[0]|q ; -; 0.530 ; 0.530 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|counter[0]~clkctrl|inclk[0] ; -; 0.530 ; 0.530 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|counter[0]~clkctrl|outclk ; -; 0.539 ; 0.539 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|y[0]|clk ; -; 0.539 ; 0.539 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|y[1]|clk ; -; 0.539 ; 0.539 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|y[2]|clk ; -; 0.539 ; 0.539 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|y[3]|clk ; -; 0.539 ; 0.539 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|y[4]|clk ; -; 0.539 ; 0.539 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|y[5]|clk ; -; 0.539 ; 0.539 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|y[6]|clk ; -; 0.539 ; 0.539 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|y[7]|clk ; -; 0.539 ; 0.539 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|y[8]|clk ; -; 0.539 ; 0.539 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|y[9]|clk ; -; 0.540 ; 0.540 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|x[0]|clk ; -; 0.540 ; 0.540 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|x[1]|clk ; -; 0.540 ; 0.540 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|x[2]|clk ; -; 0.540 ; 0.540 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; Rise ; mz80k_top|vga1|x[3]|clk ; -+--------+--------------+----------------+------------------+-----------------------------------------+------------+--------------------------------------------+ - - -+----------------------------------------------------------------------------------------------------------------------------------------------------+ -; Fast 1200mV 0C Model Minimum Pulse Width: 'mz80k_top:mz80k_top|CLK_2M' ; -+--------+--------------+----------------+------------------+----------------------------+------------+----------------------------------------------+ -; Slack ; Actual Width ; Required Width ; Type ; Clock ; Clock Edge ; Target ; -+--------+--------------+----------------+------------------+----------------------------+------------+----------------------------------------------+ -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[0] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[10] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[11] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[12] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[13] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[14] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[15] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[1] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[2] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[3] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[4] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[5] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[6] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[7] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[8] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[9] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|signal0 ; -; 0.278 ; 0.462 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[0] ; -; 0.278 ; 0.462 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[10] ; -; 0.278 ; 0.462 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[11] ; -; 0.278 ; 0.462 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[12] ; -; 0.278 ; 0.462 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[13] ; -; 0.278 ; 0.462 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[14] ; -; 0.278 ; 0.462 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[15] ; -; 0.278 ; 0.462 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[1] ; -; 0.278 ; 0.462 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[2] ; -; 0.278 ; 0.462 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[3] ; -; 0.278 ; 0.462 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[4] ; -; 0.278 ; 0.462 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[5] ; -; 0.278 ; 0.462 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[6] ; -; 0.278 ; 0.462 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[7] ; -; 0.278 ; 0.462 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[8] ; -; 0.278 ; 0.462 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[9] ; -; 0.279 ; 0.463 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|signal0 ; -; 0.318 ; 0.534 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[0] ; -; 0.318 ; 0.534 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[10] ; -; 0.318 ; 0.534 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[11] ; -; 0.318 ; 0.534 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[12] ; -; 0.318 ; 0.534 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[13] ; -; 0.318 ; 0.534 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[14] ; -; 0.318 ; 0.534 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[15] ; -; 0.318 ; 0.534 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[1] ; -; 0.318 ; 0.534 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[2] ; -; 0.318 ; 0.534 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[3] ; -; 0.318 ; 0.534 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[4] ; -; 0.318 ; 0.534 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[5] ; -; 0.318 ; 0.534 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[6] ; -; 0.318 ; 0.534 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[7] ; -; 0.318 ; 0.534 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[8] ; -; 0.318 ; 0.534 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count0[9] ; -; 0.319 ; 0.535 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|signal0 ; -; 0.458 ; 0.458 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|count0[0]|clk ; -; 0.458 ; 0.458 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|count0[10]|clk ; -; 0.458 ; 0.458 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|count0[11]|clk ; -; 0.458 ; 0.458 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|count0[12]|clk ; -; 0.458 ; 0.458 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|count0[13]|clk ; -; 0.458 ; 0.458 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|count0[14]|clk ; -; 0.458 ; 0.458 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|count0[15]|clk ; -; 0.458 ; 0.458 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|count0[1]|clk ; -; 0.458 ; 0.458 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|count0[2]|clk ; -; 0.458 ; 0.458 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|count0[3]|clk ; -; 0.458 ; 0.458 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|count0[4]|clk ; -; 0.458 ; 0.458 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|count0[5]|clk ; -; 0.458 ; 0.458 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|count0[6]|clk ; -; 0.458 ; 0.458 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|count0[7]|clk ; -; 0.458 ; 0.458 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|count0[8]|clk ; -; 0.458 ; 0.458 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|count0[9]|clk ; -; 0.459 ; 0.459 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|signal0|clk ; -; 0.467 ; 0.467 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|CLK_2M~clkctrl|inclk[0] ; -; 0.467 ; 0.467 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|CLK_2M~clkctrl|outclk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|CLK_2M|q ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|CLK_2M|q ; -; 0.532 ; 0.532 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|CLK_2M~clkctrl|inclk[0] ; -; 0.532 ; 0.532 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|CLK_2M~clkctrl|outclk ; -; 0.540 ; 0.540 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|count0[0]|clk ; -; 0.540 ; 0.540 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|count0[10]|clk ; -; 0.540 ; 0.540 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|count0[11]|clk ; -; 0.540 ; 0.540 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|count0[12]|clk ; -; 0.540 ; 0.540 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|count0[13]|clk ; -; 0.540 ; 0.540 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|count0[14]|clk ; -; 0.540 ; 0.540 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|count0[15]|clk ; -; 0.540 ; 0.540 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|count0[1]|clk ; -; 0.540 ; 0.540 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|count0[2]|clk ; -; 0.540 ; 0.540 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|count0[3]|clk ; -; 0.540 ; 0.540 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|count0[4]|clk ; -; 0.540 ; 0.540 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|count0[5]|clk ; -; 0.540 ; 0.540 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|count0[6]|clk ; -; 0.540 ; 0.540 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|count0[7]|clk ; -; 0.540 ; 0.540 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|count0[8]|clk ; -; 0.540 ; 0.540 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|count0[9]|clk ; -; 0.541 ; 0.541 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_2M ; Rise ; mz80k_top|i8253_1|signal0|clk ; -+--------+--------------+----------------+------------------+----------------------------+------------+----------------------------------------------+ - - -+-------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Fast 1200mV 0C Model Minimum Pulse Width: 'mz80k_top:mz80k_top|CLK_31250' ; -+--------+--------------+----------------+------------------+-------------------------------+------------+----------------------------------------------+ -; Slack ; Actual Width ; Required Width ; Type ; Clock ; Clock Edge ; Target ; -+--------+--------------+----------------+------------------+-------------------------------+------------+----------------------------------------------+ -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[0] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[10] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[11] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[12] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[13] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[14] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[15] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[1] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[2] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[3] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[4] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[5] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[6] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[7] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[8] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[9] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; -; 0.279 ; 0.463 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[0] ; -; 0.279 ; 0.463 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[10] ; -; 0.279 ; 0.463 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[11] ; -; 0.279 ; 0.463 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[12] ; -; 0.279 ; 0.463 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[13] ; -; 0.279 ; 0.463 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[14] ; -; 0.279 ; 0.463 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[15] ; -; 0.279 ; 0.463 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[1] ; -; 0.279 ; 0.463 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[2] ; -; 0.279 ; 0.463 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[3] ; -; 0.279 ; 0.463 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[4] ; -; 0.279 ; 0.463 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[5] ; -; 0.279 ; 0.463 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[6] ; -; 0.279 ; 0.463 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[7] ; -; 0.279 ; 0.463 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[8] ; -; 0.279 ; 0.463 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[9] ; -; 0.280 ; 0.464 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; -; 0.317 ; 0.533 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; -; 0.318 ; 0.534 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[0] ; -; 0.318 ; 0.534 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[10] ; -; 0.318 ; 0.534 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[11] ; -; 0.318 ; 0.534 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[12] ; -; 0.318 ; 0.534 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[13] ; -; 0.318 ; 0.534 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[14] ; -; 0.318 ; 0.534 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[15] ; -; 0.318 ; 0.534 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[1] ; -; 0.318 ; 0.534 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[2] ; -; 0.318 ; 0.534 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[3] ; -; 0.318 ; 0.534 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[4] ; -; 0.318 ; 0.534 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[5] ; -; 0.318 ; 0.534 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[6] ; -; 0.318 ; 0.534 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[7] ; -; 0.318 ; 0.534 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[8] ; -; 0.318 ; 0.534 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count1[9] ; -; 0.459 ; 0.459 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|count1[0]|clk ; -; 0.459 ; 0.459 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|count1[10]|clk ; -; 0.459 ; 0.459 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|count1[11]|clk ; -; 0.459 ; 0.459 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|count1[12]|clk ; -; 0.459 ; 0.459 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|count1[13]|clk ; -; 0.459 ; 0.459 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|count1[14]|clk ; -; 0.459 ; 0.459 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|count1[15]|clk ; -; 0.459 ; 0.459 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|count1[1]|clk ; -; 0.459 ; 0.459 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|count1[2]|clk ; -; 0.459 ; 0.459 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|count1[3]|clk ; -; 0.459 ; 0.459 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|count1[4]|clk ; -; 0.459 ; 0.459 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|count1[5]|clk ; -; 0.459 ; 0.459 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|count1[6]|clk ; -; 0.459 ; 0.459 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|count1[7]|clk ; -; 0.459 ; 0.459 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|count1[8]|clk ; -; 0.459 ; 0.459 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|count1[9]|clk ; -; 0.460 ; 0.460 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|signal1|clk ; -; 0.469 ; 0.469 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|CLK_31250~clkctrl|inclk[0] ; -; 0.469 ; 0.469 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|CLK_31250~clkctrl|outclk ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|CLK_31250|q ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|CLK_31250|q ; -; 0.530 ; 0.530 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|CLK_31250~clkctrl|inclk[0] ; -; 0.530 ; 0.530 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|CLK_31250~clkctrl|outclk ; -; 0.539 ; 0.539 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|signal1|clk ; -; 0.540 ; 0.540 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|count1[0]|clk ; -; 0.540 ; 0.540 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|count1[10]|clk ; -; 0.540 ; 0.540 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|count1[11]|clk ; -; 0.540 ; 0.540 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|count1[12]|clk ; -; 0.540 ; 0.540 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|count1[13]|clk ; -; 0.540 ; 0.540 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|count1[14]|clk ; -; 0.540 ; 0.540 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|count1[15]|clk ; -; 0.540 ; 0.540 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|count1[1]|clk ; -; 0.540 ; 0.540 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|count1[2]|clk ; -; 0.540 ; 0.540 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|count1[3]|clk ; -; 0.540 ; 0.540 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|count1[4]|clk ; -; 0.540 ; 0.540 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|count1[5]|clk ; -; 0.540 ; 0.540 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|count1[6]|clk ; -; 0.540 ; 0.540 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|count1[7]|clk ; -; 0.540 ; 0.540 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|count1[8]|clk ; -; 0.540 ; 0.540 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|CLK_31250 ; Rise ; mz80k_top|i8253_1|count1[9]|clk ; -+--------+--------------+----------------+------------------+-------------------------------+------------+----------------------------------------------+ - - -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Fast 1200mV 0C Model Minimum Pulse Width: 'mz80k_top:mz80k_top|i8253:i8253_1|signal1' ; -+--------+--------------+----------------+------------------+-------------------------------------------+------------+----------------------------------------------+ -; Slack ; Actual Width ; Required Width ; Type ; Clock ; Clock Edge ; Target ; -+--------+--------------+----------------+------------------+-------------------------------------------+------------+----------------------------------------------+ -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[10] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[11] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[12] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[13] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[14] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[15] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[1] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[3] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[4] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[5] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[6] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[7] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[8] ; -; -1.000 ; 1.000 ; 2.000 ; Min Period ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[9] ; -; 0.178 ; 0.362 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[12] ; -; 0.178 ; 0.362 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[13] ; -; 0.178 ; 0.362 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[14] ; -; 0.178 ; 0.362 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[8] ; -; 0.178 ; 0.362 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[9] ; -; 0.191 ; 0.375 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; -; 0.191 ; 0.375 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[10] ; -; 0.191 ; 0.375 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[11] ; -; 0.191 ; 0.375 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[15] ; -; 0.191 ; 0.375 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[1] ; -; 0.191 ; 0.375 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; -; 0.191 ; 0.375 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[3] ; -; 0.191 ; 0.375 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[4] ; -; 0.191 ; 0.375 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[5] ; -; 0.191 ; 0.375 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[6] ; -; 0.191 ; 0.375 ; 0.184 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[7] ; -; 0.358 ; 0.358 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|count2[12]|clk ; -; 0.358 ; 0.358 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|count2[13]|clk ; -; 0.358 ; 0.358 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|count2[14]|clk ; -; 0.358 ; 0.358 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|count2[8]|clk ; -; 0.358 ; 0.358 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|count2[9]|clk ; -; 0.371 ; 0.371 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|count2[0]|clk ; -; 0.371 ; 0.371 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|count2[10]|clk ; -; 0.371 ; 0.371 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|count2[11]|clk ; -; 0.371 ; 0.371 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|count2[15]|clk ; -; 0.371 ; 0.371 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|count2[1]|clk ; -; 0.371 ; 0.371 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|count2[2]|clk ; -; 0.371 ; 0.371 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|count2[3]|clk ; -; 0.371 ; 0.371 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|count2[4]|clk ; -; 0.371 ; 0.371 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|count2[5]|clk ; -; 0.371 ; 0.371 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|count2[6]|clk ; -; 0.371 ; 0.371 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|count2[7]|clk ; -; 0.380 ; 0.380 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|signal1~clkctrl|inclk[0] ; -; 0.380 ; 0.380 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|signal1~clkctrl|outclk ; -; 0.401 ; 0.617 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; -; 0.401 ; 0.617 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[10] ; -; 0.401 ; 0.617 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[11] ; -; 0.401 ; 0.617 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[15] ; -; 0.401 ; 0.617 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[1] ; -; 0.401 ; 0.617 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; -; 0.401 ; 0.617 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[3] ; -; 0.401 ; 0.617 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[4] ; -; 0.401 ; 0.617 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[5] ; -; 0.401 ; 0.617 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[6] ; -; 0.401 ; 0.617 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[7] ; -; 0.415 ; 0.631 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[12] ; -; 0.415 ; 0.631 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[13] ; -; 0.415 ; 0.631 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[14] ; -; 0.415 ; 0.631 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[8] ; -; 0.415 ; 0.631 ; 0.216 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top:mz80k_top|i8253:i8253_1|count2[9] ; -; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|signal1|q ; -; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|signal1|q ; -; 0.615 ; 0.615 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|signal1~clkctrl|inclk[0] ; -; 0.615 ; 0.615 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|signal1~clkctrl|outclk ; -; 0.623 ; 0.623 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|count2[0]|clk ; -; 0.623 ; 0.623 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|count2[10]|clk ; -; 0.623 ; 0.623 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|count2[11]|clk ; -; 0.623 ; 0.623 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|count2[15]|clk ; -; 0.623 ; 0.623 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|count2[1]|clk ; -; 0.623 ; 0.623 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|count2[2]|clk ; -; 0.623 ; 0.623 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|count2[3]|clk ; -; 0.623 ; 0.623 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|count2[4]|clk ; -; 0.623 ; 0.623 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|count2[5]|clk ; -; 0.623 ; 0.623 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|count2[6]|clk ; -; 0.623 ; 0.623 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|count2[7]|clk ; -; 0.637 ; 0.637 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|count2[12]|clk ; -; 0.637 ; 0.637 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|count2[13]|clk ; -; 0.637 ; 0.637 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|count2[14]|clk ; -; 0.637 ; 0.637 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|count2[8]|clk ; -; 0.637 ; 0.637 ; 0.000 ; High Pulse Width ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; Rise ; mz80k_top|i8253_1|count2[9]|clk ; -+--------+--------------+----------------+------------------+-------------------------------------------+------------+----------------------------------------------+ - - -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Fast 1200mV 0C Model Minimum Pulse Width: 'pll|altpll_component|auto_generated|pll1|clk[0]' ; -+-------+--------------+----------------+------------------+-------------------------------------------------+------------+------------------------------------------------------------------------------------------------------------------------------------+ -; Slack ; Actual Width ; Required Width ; Type ; Clock ; Clock Edge ; Target ; -+-------+--------------+----------------+------------------+-------------------------------------------------+------------+------------------------------------------------------------------------------------------------------------------------------------+ -; 9.746 ; 9.962 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|ce_pix ; -; 9.746 ; 9.962 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|cnt[0]~reg1 ; -; 9.746 ; 9.962 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|cnt[10] ; -; 9.746 ; 9.962 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|cnt[11] ; -; 9.746 ; 9.962 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|cnt[12] ; -; 9.746 ; 9.962 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|cnt[13] ; -; 9.746 ; 9.962 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|cnt[14] ; -; 9.746 ; 9.962 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|cnt[15] ; -; 9.746 ; 9.962 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|cnt[1]~reg1 ; -; 9.746 ; 9.962 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|cnt[2]~reg1 ; -; 9.746 ; 9.962 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|cnt[3]~reg1 ; -; 9.746 ; 9.962 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|cnt[4]~reg1 ; -; 9.746 ; 9.962 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|cnt[5] ; -; 9.746 ; 9.962 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|cnt[6] ; -; 9.746 ; 9.962 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|cnt[7] ; -; 9.746 ; 9.962 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|cnt[8] ; -; 9.746 ; 9.962 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|cnt[9] ; -; 9.746 ; 9.962 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixcnt[0] ; -; 9.746 ; 9.962 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixcnt[10] ; -; 9.746 ; 9.962 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixcnt[11] ; -; 9.746 ; 9.962 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixcnt[12] ; -; 9.746 ; 9.962 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixcnt[13] ; -; 9.746 ; 9.962 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixcnt[14] ; -; 9.746 ; 9.962 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixcnt[15] ; -; 9.746 ; 9.962 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixcnt[16] ; -; 9.746 ; 9.962 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixcnt[17] ; -; 9.746 ; 9.962 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixcnt[18] ; -; 9.746 ; 9.962 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixcnt[19] ; -; 9.746 ; 9.962 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixcnt[1] ; -; 9.746 ; 9.962 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixcnt[20] ; -; 9.746 ; 9.962 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixcnt[21] ; -; 9.746 ; 9.962 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixcnt[22] ; -; 9.746 ; 9.962 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixcnt[23] ; -; 9.746 ; 9.962 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixcnt[24] ; -; 9.746 ; 9.962 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixcnt[25] ; -; 9.746 ; 9.962 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixcnt[26] ; -; 9.746 ; 9.962 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixcnt[27] ; -; 9.746 ; 9.962 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixcnt[28] ; -; 9.746 ; 9.962 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixcnt[29] ; -; 9.746 ; 9.962 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixcnt[2] ; -; 9.746 ; 9.962 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixcnt[30] ; -; 9.746 ; 9.962 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixcnt[31] ; -; 9.746 ; 9.962 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixcnt[3] ; -; 9.746 ; 9.962 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixcnt[4] ; -; 9.746 ; 9.962 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixcnt[5] ; -; 9.746 ; 9.962 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixcnt[6] ; -; 9.746 ; 9.962 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixcnt[7] ; -; 9.746 ; 9.962 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixcnt[8] ; -; 9.746 ; 9.962 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixcnt[9] ; -; 9.746 ; 9.962 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixsz[0] ; -; 9.746 ; 9.962 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixsz[10] ; -; 9.746 ; 9.962 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixsz[11] ; -; 9.746 ; 9.962 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixsz[1] ; -; 9.746 ; 9.962 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixsz[2] ; -; 9.746 ; 9.962 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixsz[3] ; -; 9.746 ; 9.962 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixsz[4] ; -; 9.746 ; 9.962 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixsz[5] ; -; 9.746 ; 9.962 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixsz[6] ; -; 9.746 ; 9.962 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixsz[7] ; -; 9.746 ; 9.962 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixsz[8] ; -; 9.746 ; 9.962 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixsz[9] ; -; 9.747 ; 9.963 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixsz[12] ; -; 9.747 ; 9.963 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixsz[13] ; -; 9.747 ; 9.963 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixsz[14] ; -; 9.747 ; 9.963 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixsz[15] ; -; 9.747 ; 9.963 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixsz[16] ; -; 9.747 ; 9.963 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixsz[17] ; -; 9.747 ; 9.963 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixsz[18] ; -; 9.747 ; 9.963 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixsz[19] ; -; 9.747 ; 9.963 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixsz[20] ; -; 9.747 ; 9.963 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixsz[21] ; -; 9.747 ; 9.963 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixsz[22] ; -; 9.747 ; 9.963 ; 0.216 ; High Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Fall ; video_mixer:video_mixer|osd:osd|pixsz[23] ; -; 9.749 ; 9.979 ; 0.230 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a20~porta_address_reg0 ; -; 9.749 ; 9.979 ; 0.230 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a20~porta_we_reg ; -; 9.749 ; 9.979 ; 0.230 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a26~porta_address_reg0 ; -; 9.749 ; 9.979 ; 0.230 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a26~porta_we_reg ; -; 9.749 ; 9.979 ; 0.230 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a4~porta_address_reg0 ; -; 9.749 ; 9.979 ; 0.230 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a4~porta_we_reg ; -; 9.750 ; 9.980 ; 0.230 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a13~porta_address_reg0 ; -; 9.750 ; 9.980 ; 0.230 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a13~porta_we_reg ; -; 9.750 ; 9.980 ; 0.230 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a16~porta_address_reg0 ; -; 9.750 ; 9.980 ; 0.230 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a16~porta_we_reg ; -; 9.750 ; 9.980 ; 0.230 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a20 ; -; 9.750 ; 9.980 ; 0.230 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a25~porta_address_reg0 ; -; 9.750 ; 9.980 ; 0.230 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a25~porta_we_reg ; -; 9.750 ; 9.980 ; 0.230 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a26 ; -; 9.750 ; 9.980 ; 0.230 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a28~porta_address_reg0 ; -; 9.750 ; 9.980 ; 0.230 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a28~porta_we_reg ; -; 9.750 ; 9.980 ; 0.230 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a4 ; -; 9.750 ; 9.980 ; 0.230 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|ram_block1a0~porta_address_reg0 ; -; 9.750 ; 9.980 ; 0.230 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|ram_block1a0~porta_re_reg ; -; 9.750 ; 9.980 ; 0.230 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|ram_block1a0~porta_we_reg ; -; 9.750 ; 9.980 ; 0.230 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|ram_block1a4~porta_address_reg0 ; -; 9.750 ; 9.980 ; 0.230 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|ram_block1a4~porta_re_reg ; -; 9.750 ; 9.980 ; 0.230 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|ram_block1a4~porta_we_reg ; -; 9.751 ; 9.981 ; 0.230 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a11~porta_address_reg0 ; -; 9.751 ; 9.981 ; 0.230 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a11~porta_we_reg ; -; 9.751 ; 9.981 ; 0.230 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a13 ; -; 9.751 ; 9.981 ; 0.230 ; Low Pulse Width ; pll|altpll_component|auto_generated|pll1|clk[0] ; Rise ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a16 ; -+-------+--------------+----------------+------------------+-------------------------------------------------+------------+------------------------------------------------------------------------------------------------------------------------------------+ - - -+-----------------------------------------------------------------------------------------------------------------------------------------------+ -; Fast 1200mV 0C Model Minimum Pulse Width: 'CLOCK_27' ; -+--------+--------------+----------------+------------------+----------+------------+-----------------------------------------------------------+ -; Slack ; Actual Width ; Required Width ; Type ; Clock ; Clock Edge ; Target ; -+--------+--------------+----------------+------------------+----------+------------+-----------------------------------------------------------+ -; 17.928 ; 17.928 ; 0.000 ; Low Pulse Width ; CLOCK_27 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; 17.928 ; 17.928 ; 0.000 ; Low Pulse Width ; CLOCK_27 ; Rise ; pll|altpll_component|auto_generated|pll1|observablevcoout ; -; 17.960 ; 17.960 ; 0.000 ; Low Pulse Width ; CLOCK_27 ; Rise ; CLOCK_27~input|o ; -; 17.970 ; 17.970 ; 0.000 ; Low Pulse Width ; CLOCK_27 ; Rise ; pll|altpll_component|auto_generated|pll1|inclk[0] ; -; 18.518 ; 18.518 ; 0.000 ; High Pulse Width ; CLOCK_27 ; Rise ; CLOCK_27~input|i ; -; 18.519 ; 18.519 ; 0.000 ; Low Pulse Width ; CLOCK_27 ; Rise ; CLOCK_27~input|i ; -; 19.067 ; 19.067 ; 0.000 ; High Pulse Width ; CLOCK_27 ; Rise ; pll|altpll_component|auto_generated|pll1|inclk[0] ; -; 19.077 ; 19.077 ; 0.000 ; High Pulse Width ; CLOCK_27 ; Rise ; CLOCK_27~input|o ; -; 19.108 ; 19.108 ; 0.000 ; High Pulse Width ; CLOCK_27 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; 19.108 ; 19.108 ; 0.000 ; High Pulse Width ; CLOCK_27 ; Rise ; pll|altpll_component|auto_generated|pll1|observablevcoout ; -; 33.037 ; 37.037 ; 4.000 ; Port Rate ; CLOCK_27 ; Rise ; CLOCK_27 ; -+--------+--------------+----------------+------------------+----------+------------+-----------------------------------------------------------+ - - -+------------------------------------------------------------------------+ -; Setup Times ; -+------------+------------+-------+-------+------------+-----------------+ -; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; -+------------+------------+-------+-------+------------+-----------------+ -; CONF_DATA0 ; SPI_SCK ; 4.026 ; 4.717 ; Rise ; SPI_SCK ; -; SPI_DI ; SPI_SCK ; 0.449 ; 0.827 ; Rise ; SPI_SCK ; -; SPI_SS3 ; SPI_SCK ; 0.687 ; 1.130 ; Rise ; SPI_SCK ; -; CONF_DATA0 ; SPI_SCK ; 0.942 ; 1.807 ; Fall ; SPI_SCK ; -+------------+------------+-------+-------+------------+-----------------+ - - -+--------------------------------------------------------------------------+ -; Hold Times ; -+------------+------------+--------+--------+------------+-----------------+ -; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; -+------------+------------+--------+--------+------------+-----------------+ -; CONF_DATA0 ; SPI_SCK ; -1.584 ; -2.457 ; Rise ; SPI_SCK ; -; SPI_DI ; SPI_SCK ; 0.447 ; -0.045 ; Rise ; SPI_SCK ; -; SPI_SS3 ; SPI_SCK ; 0.092 ; -0.373 ; Rise ; SPI_SCK ; -; CONF_DATA0 ; SPI_SCK ; -0.750 ; -1.606 ; Fall ; SPI_SCK ; -+------------+------------+--------+--------+------------+-----------------+ - - -+--------------------------------------------------------------------------------------------------------------------------------------+ -; Clock to Output Times ; -+-----------+-----------------------------------------+--------+--------+------------+-------------------------------------------------+ -; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; -+-----------+-----------------------------------------+--------+--------+------------+-------------------------------------------------+ -; VGA_B[*] ; SPI_SCK ; 14.820 ; 15.180 ; Rise ; SPI_SCK ; -; VGA_B[0] ; SPI_SCK ; 13.663 ; 13.805 ; Rise ; SPI_SCK ; -; VGA_B[1] ; SPI_SCK ; 13.608 ; 13.631 ; Rise ; SPI_SCK ; -; VGA_B[2] ; SPI_SCK ; 14.820 ; 15.180 ; Rise ; SPI_SCK ; -; VGA_B[3] ; SPI_SCK ; 13.621 ; 13.639 ; Rise ; SPI_SCK ; -; VGA_B[4] ; SPI_SCK ; 13.175 ; 13.409 ; Rise ; SPI_SCK ; -; VGA_B[5] ; SPI_SCK ; 13.059 ; 13.369 ; Rise ; SPI_SCK ; -; VGA_G[*] ; SPI_SCK ; 11.968 ; 12.047 ; Rise ; SPI_SCK ; -; VGA_G[0] ; SPI_SCK ; 11.968 ; 12.047 ; Rise ; SPI_SCK ; -; VGA_G[1] ; SPI_SCK ; 11.571 ; 11.677 ; Rise ; SPI_SCK ; -; VGA_G[2] ; SPI_SCK ; 11.205 ; 11.290 ; Rise ; SPI_SCK ; -; VGA_G[3] ; SPI_SCK ; 11.457 ; 11.519 ; Rise ; SPI_SCK ; -; VGA_G[4] ; SPI_SCK ; 10.517 ; 10.561 ; Rise ; SPI_SCK ; -; VGA_G[5] ; SPI_SCK ; 8.716 ; 8.869 ; Rise ; SPI_SCK ; -; VGA_R[*] ; SPI_SCK ; 15.032 ; 15.179 ; Rise ; SPI_SCK ; -; VGA_R[0] ; SPI_SCK ; 14.812 ; 14.977 ; Rise ; SPI_SCK ; -; VGA_R[1] ; SPI_SCK ; 15.032 ; 15.179 ; Rise ; SPI_SCK ; -; VGA_R[2] ; SPI_SCK ; 14.879 ; 15.057 ; Rise ; SPI_SCK ; -; VGA_R[3] ; SPI_SCK ; 13.702 ; 13.800 ; Rise ; SPI_SCK ; -; VGA_R[4] ; SPI_SCK ; 14.591 ; 14.647 ; Rise ; SPI_SCK ; -; VGA_R[5] ; SPI_SCK ; 14.509 ; 14.802 ; Rise ; SPI_SCK ; -; SPI_DO ; SPI_SCK ; 6.120 ; 6.014 ; Fall ; SPI_SCK ; -; VGA_B[*] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 17.162 ; 17.522 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_B[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 16.005 ; 16.147 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_B[1] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 15.950 ; 15.973 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_B[2] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 17.162 ; 17.522 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_B[3] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 15.963 ; 15.981 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_B[4] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 15.517 ; 15.751 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_B[5] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 15.401 ; 15.711 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_G[*] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 14.129 ; 14.208 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_G[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 14.129 ; 14.208 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_G[1] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 13.732 ; 13.838 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_G[2] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 13.366 ; 13.451 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_G[3] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 13.618 ; 13.680 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_G[4] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 12.678 ; 12.722 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_G[5] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 10.877 ; 11.030 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_HS ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 6.546 ; 6.402 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_R[*] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 16.754 ; 16.901 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_R[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 16.534 ; 16.699 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_R[1] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 16.754 ; 16.901 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_R[2] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 16.601 ; 16.779 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_R[3] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 15.424 ; 15.522 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_R[4] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 16.313 ; 16.442 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_R[5] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 16.304 ; 16.524 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; AUDIO_L ; CLOCK_27 ; 4.119 ; 3.973 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; AUDIO_R ; CLOCK_27 ; 4.043 ; 3.907 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_B[*] ; CLOCK_27 ; 18.723 ; 19.083 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_B[0] ; CLOCK_27 ; 17.566 ; 17.708 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_B[1] ; CLOCK_27 ; 17.511 ; 17.534 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_B[2] ; CLOCK_27 ; 18.723 ; 19.083 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_B[3] ; CLOCK_27 ; 17.524 ; 17.542 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_B[4] ; CLOCK_27 ; 17.078 ; 17.312 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_B[5] ; CLOCK_27 ; 16.962 ; 17.272 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_G[*] ; CLOCK_27 ; 15.871 ; 15.950 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_G[0] ; CLOCK_27 ; 15.871 ; 15.950 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_G[1] ; CLOCK_27 ; 15.474 ; 15.580 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_G[2] ; CLOCK_27 ; 15.108 ; 15.193 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_G[3] ; CLOCK_27 ; 15.360 ; 15.422 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_G[4] ; CLOCK_27 ; 14.420 ; 14.464 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_G[5] ; CLOCK_27 ; 12.619 ; 12.772 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_R[*] ; CLOCK_27 ; 18.935 ; 19.082 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_R[0] ; CLOCK_27 ; 18.715 ; 18.880 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_R[1] ; CLOCK_27 ; 18.935 ; 19.082 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_R[2] ; CLOCK_27 ; 18.782 ; 18.960 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_R[3] ; CLOCK_27 ; 17.605 ; 17.703 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_R[4] ; CLOCK_27 ; 18.494 ; 18.550 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_R[5] ; CLOCK_27 ; 18.412 ; 18.705 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -+-----------+-----------------------------------------+--------+--------+------------+-------------------------------------------------+ - - -+------------------------------------------------------------------------------------------------------------------------------------+ -; Minimum Clock to Output Times ; -+-----------+-----------------------------------------+-------+-------+------------+-------------------------------------------------+ -; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; -+-----------+-----------------------------------------+-------+-------+------------+-------------------------------------------------+ -; VGA_B[*] ; SPI_SCK ; 4.147 ; 4.308 ; Rise ; SPI_SCK ; -; VGA_B[0] ; SPI_SCK ; 4.575 ; 4.794 ; Rise ; SPI_SCK ; -; VGA_B[1] ; SPI_SCK ; 4.774 ; 4.828 ; Rise ; SPI_SCK ; -; VGA_B[2] ; SPI_SCK ; 4.147 ; 4.308 ; Rise ; SPI_SCK ; -; VGA_B[3] ; SPI_SCK ; 4.446 ; 4.476 ; Rise ; SPI_SCK ; -; VGA_B[4] ; SPI_SCK ; 4.514 ; 4.592 ; Rise ; SPI_SCK ; -; VGA_B[5] ; SPI_SCK ; 4.798 ; 4.901 ; Rise ; SPI_SCK ; -; VGA_G[*] ; SPI_SCK ; 4.406 ; 4.450 ; Rise ; SPI_SCK ; -; VGA_G[0] ; SPI_SCK ; 4.487 ; 4.510 ; Rise ; SPI_SCK ; -; VGA_G[1] ; SPI_SCK ; 4.466 ; 4.508 ; Rise ; SPI_SCK ; -; VGA_G[2] ; SPI_SCK ; 4.406 ; 4.450 ; Rise ; SPI_SCK ; -; VGA_G[3] ; SPI_SCK ; 4.705 ; 4.737 ; Rise ; SPI_SCK ; -; VGA_G[4] ; SPI_SCK ; 4.471 ; 4.512 ; Rise ; SPI_SCK ; -; VGA_G[5] ; SPI_SCK ; 4.782 ; 4.871 ; Rise ; SPI_SCK ; -; VGA_R[*] ; SPI_SCK ; 4.618 ; 4.721 ; Rise ; SPI_SCK ; -; VGA_R[0] ; SPI_SCK ; 4.663 ; 4.919 ; Rise ; SPI_SCK ; -; VGA_R[1] ; SPI_SCK ; 4.985 ; 5.166 ; Rise ; SPI_SCK ; -; VGA_R[2] ; SPI_SCK ; 4.798 ; 5.051 ; Rise ; SPI_SCK ; -; VGA_R[3] ; SPI_SCK ; 4.618 ; 4.721 ; Rise ; SPI_SCK ; -; VGA_R[4] ; SPI_SCK ; 4.716 ; 4.790 ; Rise ; SPI_SCK ; -; VGA_R[5] ; SPI_SCK ; 5.051 ; 5.152 ; Rise ; SPI_SCK ; -; SPI_DO ; SPI_SCK ; 6.013 ; 5.901 ; Fall ; SPI_SCK ; -; VGA_B[*] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 5.986 ; 6.064 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_B[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 7.100 ; 7.174 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_B[1] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 6.549 ; 6.603 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_B[2] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 6.834 ; 6.907 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_B[3] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 5.986 ; 6.064 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_B[4] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 6.147 ; 6.420 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_B[5] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 6.432 ; 6.730 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_G[*] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 5.352 ; 5.463 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_G[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 5.538 ; 5.621 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_G[1] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 5.689 ; 5.832 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_G[2] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 5.352 ; 5.463 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_G[3] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 5.686 ; 5.731 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_G[4] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 5.592 ; 5.786 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_G[5] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 5.896 ; 6.129 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_HS ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 5.304 ; 5.140 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_R[*] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 5.971 ; 6.090 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_R[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 7.535 ; 7.677 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_R[1] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 6.837 ; 7.018 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_R[2] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 7.731 ; 7.866 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_R[3] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 5.971 ; 6.090 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_R[4] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 6.081 ; 6.350 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_R[5] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 6.409 ; 6.704 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; AUDIO_L ; CLOCK_27 ; 3.829 ; 3.677 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; AUDIO_R ; CLOCK_27 ; 3.755 ; 3.613 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_B[*] ; CLOCK_27 ; 3.932 ; 4.073 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_B[0] ; CLOCK_27 ; 5.365 ; 5.439 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_B[1] ; CLOCK_27 ; 5.013 ; 5.060 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_B[2] ; CLOCK_27 ; 5.099 ; 5.172 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_B[3] ; CLOCK_27 ; 4.565 ; 4.643 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_B[4] ; CLOCK_27 ; 3.932 ; 4.073 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_B[5] ; CLOCK_27 ; 4.217 ; 4.383 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_G[*] ; CLOCK_27 ; 3.951 ; 4.097 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_G[0] ; CLOCK_27 ; 4.531 ; 4.719 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_G[1] ; CLOCK_27 ; 4.692 ; 4.929 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_G[2] ; CLOCK_27 ; 4.350 ; 4.563 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_G[3] ; CLOCK_27 ; 4.905 ; 4.950 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_G[4] ; CLOCK_27 ; 3.951 ; 4.097 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_G[5] ; CLOCK_27 ; 4.258 ; 4.445 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_R[*] ; CLOCK_27 ; 3.866 ; 4.003 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_R[0] ; CLOCK_27 ; 5.750 ; 5.892 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_R[1] ; CLOCK_27 ; 5.268 ; 5.449 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_R[2] ; CLOCK_27 ; 5.946 ; 6.081 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_R[3] ; CLOCK_27 ; 4.550 ; 4.669 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_R[4] ; CLOCK_27 ; 3.866 ; 4.003 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_R[5] ; CLOCK_27 ; 4.194 ; 4.357 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -+-----------+-----------------------------------------+-------+-------+------------+-------------------------------------------------+ - - -+----------------------------------------------------------+ -; Propagation Delay ; -+------------+-------------+-------+-------+-------+-------+ -; Input Port ; Output Port ; RR ; RF ; FR ; FF ; -+------------+-------------+-------+-------+-------+-------+ -; CONF_DATA0 ; SPI_DO ; 4.591 ; 4.564 ; 5.417 ; 5.417 ; -+------------+-------------+-------+-------+-------+-------+ - - -+----------------------------------------------------------+ -; Minimum Propagation Delay ; -+------------+-------------+-------+-------+-------+-------+ -; Input Port ; Output Port ; RR ; RF ; FR ; FF ; -+------------+-------------+-------+-------+-------+-------+ -; CONF_DATA0 ; SPI_DO ; 3.604 ; 3.604 ; 4.538 ; 4.421 ; -+------------+-------------+-------+-------+-------+-------+ - - ---------------------------------------------- -; Fast 1200mV 0C Model Metastability Report ; ---------------------------------------------- -No synchronizer chains to report. - - -+-------------------------------------------------------------------------------------------------------------------+ -; Multicorner Timing Analysis Summary ; -+--------------------------------------------------+------------+--------+----------+---------+---------------------+ -; Clock ; Setup ; Hold ; Recovery ; Removal ; Minimum Pulse Width ; -+--------------------------------------------------+------------+--------+----------+---------+---------------------+ -; Worst-case Slack ; -34.936 ; -0.877 ; -2.223 ; -0.025 ; -3.201 ; -; CLOCK_27 ; N/A ; N/A ; N/A ; N/A ; 17.928 ; -; SPI_SCK ; -7.006 ; 0.147 ; N/A ; N/A ; -3.201 ; -; mz80k_top:mz80k_top|CLK_2M ; -7.401 ; 0.187 ; N/A ; N/A ; -1.487 ; -; mz80k_top:mz80k_top|CLK_31250 ; -6.618 ; -0.187 ; N/A ; N/A ; -1.487 ; -; mz80k_top:mz80k_top|clk_count[2] ; -34.936 ; -0.877 ; -2.223 ; -0.025 ; -1.487 ; -; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; -4.583 ; 0.275 ; N/A ; N/A ; -1.487 ; -; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -2.023 ; 0.280 ; N/A ; N/A ; -1.487 ; -; pll|altpll_component|auto_generated|pll1|clk[0] ; -32.140 ; -0.535 ; 14.024 ; 2.184 ; 9.638 ; -; Design-wide TNS ; -14006.712 ; -4.52 ; -153.204 ; -1.35 ; -807.689 ; -; CLOCK_27 ; N/A ; N/A ; N/A ; N/A ; 0.000 ; -; SPI_SCK ; -594.451 ; 0.000 ; N/A ; N/A ; -217.003 ; -; mz80k_top:mz80k_top|CLK_2M ; -121.075 ; 0.000 ; N/A ; N/A ; -25.279 ; -; mz80k_top:mz80k_top|CLK_31250 ; -110.932 ; -0.187 ; N/A ; N/A ; -25.279 ; -; mz80k_top:mz80k_top|clk_count[2] ; -9377.424 ; -4.158 ; -153.204 ; -1.350 ; -486.596 ; -; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; -71.203 ; 0.000 ; N/A ; N/A ; -23.792 ; -; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -36.640 ; 0.000 ; N/A ; N/A ; -29.740 ; -; pll|altpll_component|auto_generated|pll1|clk[0] ; -3694.987 ; -1.806 ; 0.000 ; 0.000 ; 0.000 ; -+--------------------------------------------------+------------+--------+----------+---------+---------------------+ - - -+------------------------------------------------------------------------+ -; Setup Times ; -+------------+------------+-------+-------+------------+-----------------+ -; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; -+------------+------------+-------+-------+------------+-----------------+ -; CONF_DATA0 ; SPI_SCK ; 8.631 ; 9.443 ; Rise ; SPI_SCK ; -; SPI_DI ; SPI_SCK ; 0.881 ; 1.201 ; Rise ; SPI_SCK ; -; SPI_SS3 ; SPI_SCK ; 1.457 ; 1.755 ; Rise ; SPI_SCK ; -; CONF_DATA0 ; SPI_SCK ; 3.224 ; 3.568 ; Fall ; SPI_SCK ; -+------------+------------+-------+-------+------------+-----------------+ - - -+--------------------------------------------------------------------------+ -; Hold Times ; -+------------+------------+--------+--------+------------+-----------------+ -; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; -+------------+------------+--------+--------+------------+-----------------+ -; CONF_DATA0 ; SPI_SCK ; -1.584 ; -2.457 ; Rise ; SPI_SCK ; -; SPI_DI ; SPI_SCK ; 1.107 ; 0.877 ; Rise ; SPI_SCK ; -; SPI_SS3 ; SPI_SCK ; 0.303 ; 0.109 ; Rise ; SPI_SCK ; -; CONF_DATA0 ; SPI_SCK ; -0.750 ; -1.606 ; Fall ; SPI_SCK ; -+------------+------------+--------+--------+------------+-----------------+ - - -+--------------------------------------------------------------------------------------------------------------------------------------+ -; Clock to Output Times ; -+-----------+-----------------------------------------+--------+--------+------------+-------------------------------------------------+ -; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; -+-----------+-----------------------------------------+--------+--------+------------+-------------------------------------------------+ -; VGA_B[*] ; SPI_SCK ; 34.268 ; 33.540 ; Rise ; SPI_SCK ; -; VGA_B[0] ; SPI_SCK ; 31.329 ; 30.803 ; Rise ; SPI_SCK ; -; VGA_B[1] ; SPI_SCK ; 30.929 ; 30.507 ; Rise ; SPI_SCK ; -; VGA_B[2] ; SPI_SCK ; 34.268 ; 33.540 ; Rise ; SPI_SCK ; -; VGA_B[3] ; SPI_SCK ; 30.937 ; 30.664 ; Rise ; SPI_SCK ; -; VGA_B[4] ; SPI_SCK ; 30.202 ; 29.837 ; Rise ; SPI_SCK ; -; VGA_B[5] ; SPI_SCK ; 29.989 ; 29.601 ; Rise ; SPI_SCK ; -; VGA_G[*] ; SPI_SCK ; 27.056 ; 26.681 ; Rise ; SPI_SCK ; -; VGA_G[0] ; SPI_SCK ; 27.056 ; 26.681 ; Rise ; SPI_SCK ; -; VGA_G[1] ; SPI_SCK ; 26.248 ; 25.894 ; Rise ; SPI_SCK ; -; VGA_G[2] ; SPI_SCK ; 25.481 ; 25.029 ; Rise ; SPI_SCK ; -; VGA_G[3] ; SPI_SCK ; 25.697 ; 25.334 ; Rise ; SPI_SCK ; -; VGA_G[4] ; SPI_SCK ; 23.797 ; 23.679 ; Rise ; SPI_SCK ; -; VGA_G[5] ; SPI_SCK ; 19.694 ; 19.279 ; Rise ; SPI_SCK ; -; VGA_R[*] ; SPI_SCK ; 33.635 ; 33.318 ; Rise ; SPI_SCK ; -; VGA_R[0] ; SPI_SCK ; 33.327 ; 32.816 ; Rise ; SPI_SCK ; -; VGA_R[1] ; SPI_SCK ; 33.497 ; 33.318 ; Rise ; SPI_SCK ; -; VGA_R[2] ; SPI_SCK ; 33.635 ; 33.137 ; Rise ; SPI_SCK ; -; VGA_R[3] ; SPI_SCK ; 30.759 ; 30.424 ; Rise ; SPI_SCK ; -; VGA_R[4] ; SPI_SCK ; 32.993 ; 32.539 ; Rise ; SPI_SCK ; -; VGA_R[5] ; SPI_SCK ; 33.062 ; 32.429 ; Rise ; SPI_SCK ; -; SPI_DO ; SPI_SCK ; 10.938 ; 10.412 ; Fall ; SPI_SCK ; -; VGA_B[*] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 39.212 ; 38.484 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_B[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 36.273 ; 35.747 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_B[1] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 35.873 ; 35.451 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_B[2] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 39.212 ; 38.484 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_B[3] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 35.881 ; 35.608 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_B[4] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 35.146 ; 34.781 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_B[5] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 34.933 ; 34.545 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_G[*] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 31.897 ; 31.522 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_G[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 31.897 ; 31.522 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_G[1] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 31.089 ; 30.735 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_G[2] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 30.322 ; 29.870 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_G[3] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 30.538 ; 30.175 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_G[4] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 28.638 ; 28.520 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_G[5] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 24.535 ; 24.120 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_HS ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 13.098 ; 12.449 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_R[*] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 37.808 ; 37.491 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_R[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 37.500 ; 36.989 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_R[1] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 37.670 ; 37.491 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_R[2] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 37.808 ; 37.310 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_R[3] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 34.878 ; 34.560 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_R[4] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 36.964 ; 36.712 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_R[5] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 37.235 ; 36.400 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; AUDIO_L ; CLOCK_27 ; 8.127 ; 7.343 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; AUDIO_R ; CLOCK_27 ; 8.043 ; 7.233 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_B[*] ; CLOCK_27 ; 44.306 ; 43.578 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_B[0] ; CLOCK_27 ; 41.367 ; 40.841 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_B[1] ; CLOCK_27 ; 40.967 ; 40.545 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_B[2] ; CLOCK_27 ; 44.306 ; 43.578 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_B[3] ; CLOCK_27 ; 40.975 ; 40.702 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_B[4] ; CLOCK_27 ; 40.240 ; 39.875 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_B[5] ; CLOCK_27 ; 40.027 ; 39.639 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_G[*] ; CLOCK_27 ; 37.094 ; 36.719 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_G[0] ; CLOCK_27 ; 37.094 ; 36.719 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_G[1] ; CLOCK_27 ; 36.286 ; 35.932 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_G[2] ; CLOCK_27 ; 35.519 ; 35.067 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_G[3] ; CLOCK_27 ; 35.735 ; 35.372 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_G[4] ; CLOCK_27 ; 33.835 ; 33.717 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_G[5] ; CLOCK_27 ; 29.732 ; 29.317 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_R[*] ; CLOCK_27 ; 43.673 ; 43.356 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_R[0] ; CLOCK_27 ; 43.365 ; 42.854 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_R[1] ; CLOCK_27 ; 43.535 ; 43.356 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_R[2] ; CLOCK_27 ; 43.673 ; 43.175 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_R[3] ; CLOCK_27 ; 40.797 ; 40.462 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_R[4] ; CLOCK_27 ; 43.031 ; 42.577 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_R[5] ; CLOCK_27 ; 43.100 ; 42.467 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -+-----------+-----------------------------------------+--------+--------+------------+-------------------------------------------------+ - - -+------------------------------------------------------------------------------------------------------------------------------------+ -; Minimum Clock to Output Times ; -+-----------+-----------------------------------------+-------+-------+------------+-------------------------------------------------+ -; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; -+-----------+-----------------------------------------+-------+-------+------------+-------------------------------------------------+ -; VGA_B[*] ; SPI_SCK ; 4.147 ; 4.308 ; Rise ; SPI_SCK ; -; VGA_B[0] ; SPI_SCK ; 4.575 ; 4.794 ; Rise ; SPI_SCK ; -; VGA_B[1] ; SPI_SCK ; 4.774 ; 4.828 ; Rise ; SPI_SCK ; -; VGA_B[2] ; SPI_SCK ; 4.147 ; 4.308 ; Rise ; SPI_SCK ; -; VGA_B[3] ; SPI_SCK ; 4.446 ; 4.476 ; Rise ; SPI_SCK ; -; VGA_B[4] ; SPI_SCK ; 4.514 ; 4.592 ; Rise ; SPI_SCK ; -; VGA_B[5] ; SPI_SCK ; 4.798 ; 4.901 ; Rise ; SPI_SCK ; -; VGA_G[*] ; SPI_SCK ; 4.406 ; 4.450 ; Rise ; SPI_SCK ; -; VGA_G[0] ; SPI_SCK ; 4.487 ; 4.510 ; Rise ; SPI_SCK ; -; VGA_G[1] ; SPI_SCK ; 4.466 ; 4.508 ; Rise ; SPI_SCK ; -; VGA_G[2] ; SPI_SCK ; 4.406 ; 4.450 ; Rise ; SPI_SCK ; -; VGA_G[3] ; SPI_SCK ; 4.705 ; 4.737 ; Rise ; SPI_SCK ; -; VGA_G[4] ; SPI_SCK ; 4.471 ; 4.512 ; Rise ; SPI_SCK ; -; VGA_G[5] ; SPI_SCK ; 4.782 ; 4.871 ; Rise ; SPI_SCK ; -; VGA_R[*] ; SPI_SCK ; 4.618 ; 4.721 ; Rise ; SPI_SCK ; -; VGA_R[0] ; SPI_SCK ; 4.663 ; 4.919 ; Rise ; SPI_SCK ; -; VGA_R[1] ; SPI_SCK ; 4.985 ; 5.166 ; Rise ; SPI_SCK ; -; VGA_R[2] ; SPI_SCK ; 4.798 ; 5.051 ; Rise ; SPI_SCK ; -; VGA_R[3] ; SPI_SCK ; 4.618 ; 4.721 ; Rise ; SPI_SCK ; -; VGA_R[4] ; SPI_SCK ; 4.716 ; 4.790 ; Rise ; SPI_SCK ; -; VGA_R[5] ; SPI_SCK ; 5.051 ; 5.152 ; Rise ; SPI_SCK ; -; SPI_DO ; SPI_SCK ; 6.013 ; 5.901 ; Fall ; SPI_SCK ; -; VGA_B[*] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 5.986 ; 6.064 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_B[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 7.100 ; 7.174 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_B[1] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 6.549 ; 6.603 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_B[2] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 6.834 ; 6.907 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_B[3] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 5.986 ; 6.064 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_B[4] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 6.147 ; 6.420 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_B[5] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 6.432 ; 6.730 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_G[*] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 5.352 ; 5.463 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_G[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 5.538 ; 5.621 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_G[1] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 5.689 ; 5.832 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_G[2] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 5.352 ; 5.463 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_G[3] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 5.686 ; 5.731 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_G[4] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 5.592 ; 5.786 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_G[5] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 5.896 ; 6.129 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_HS ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 5.304 ; 5.140 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_R[*] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 5.971 ; 6.090 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_R[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 7.535 ; 7.677 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_R[1] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 6.837 ; 7.018 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_R[2] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 7.731 ; 7.866 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_R[3] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 5.971 ; 6.090 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_R[4] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 6.081 ; 6.350 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; VGA_R[5] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 6.409 ; 6.704 ; Rise ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; -; AUDIO_L ; CLOCK_27 ; 3.829 ; 3.677 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; AUDIO_R ; CLOCK_27 ; 3.755 ; 3.613 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_B[*] ; CLOCK_27 ; 3.932 ; 4.073 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_B[0] ; CLOCK_27 ; 5.365 ; 5.439 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_B[1] ; CLOCK_27 ; 5.013 ; 5.060 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_B[2] ; CLOCK_27 ; 5.099 ; 5.172 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_B[3] ; CLOCK_27 ; 4.565 ; 4.643 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_B[4] ; CLOCK_27 ; 3.932 ; 4.073 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_B[5] ; CLOCK_27 ; 4.217 ; 4.383 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_G[*] ; CLOCK_27 ; 3.951 ; 4.097 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_G[0] ; CLOCK_27 ; 4.531 ; 4.719 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_G[1] ; CLOCK_27 ; 4.692 ; 4.929 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_G[2] ; CLOCK_27 ; 4.350 ; 4.563 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_G[3] ; CLOCK_27 ; 4.905 ; 4.950 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_G[4] ; CLOCK_27 ; 3.951 ; 4.097 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_G[5] ; CLOCK_27 ; 4.258 ; 4.445 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_R[*] ; CLOCK_27 ; 3.866 ; 4.003 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_R[0] ; CLOCK_27 ; 5.750 ; 5.892 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_R[1] ; CLOCK_27 ; 5.268 ; 5.449 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_R[2] ; CLOCK_27 ; 5.946 ; 6.081 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_R[3] ; CLOCK_27 ; 4.550 ; 4.669 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_R[4] ; CLOCK_27 ; 3.866 ; 4.003 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -; VGA_R[5] ; CLOCK_27 ; 4.194 ; 4.357 ; Rise ; pll|altpll_component|auto_generated|pll1|clk[0] ; -+-----------+-----------------------------------------+-------+-------+------------+-------------------------------------------------+ - - -+----------------------------------------------------------+ -; Propagation Delay ; -+------------+-------------+-------+-------+-------+-------+ -; Input Port ; Output Port ; RR ; RF ; FR ; FF ; -+------------+-------------+-------+-------+-------+-------+ -; CONF_DATA0 ; SPI_DO ; 7.966 ; 7.966 ; 8.270 ; 8.030 ; -+------------+-------------+-------+-------+-------+-------+ - - -+----------------------------------------------------------+ -; Minimum Propagation Delay ; -+------------+-------------+-------+-------+-------+-------+ -; Input Port ; Output Port ; RR ; RF ; FR ; FF ; -+------------+-------------+-------+-------+-------+-------+ -; CONF_DATA0 ; SPI_DO ; 3.604 ; 3.604 ; 4.538 ; 4.421 ; -+------------+-------------+-------+-------+-------+-------+ - - -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Board Trace Model Assignments ; -+----------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+ -; Pin ; I/O Standard ; Near Tline Length ; Near Tline L per Length ; Near Tline C per Length ; Near Series R ; Near Differential R ; Near Pull-up R ; Near Pull-down R ; Near C ; Far Tline Length ; Far Tline L per Length ; Far Tline C per Length ; Far Series R ; Far Pull-up R ; Far Pull-down R ; Far C ; Termination Voltage ; Far Differential R ; EBD File Name ; EBD Signal Name ; EBD Far-end ; -+----------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+ -; VGA_R[0] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; -; VGA_R[1] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; -; VGA_R[2] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; -; VGA_R[3] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; -; VGA_R[4] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; -; VGA_R[5] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; -; VGA_G[0] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; -; VGA_G[1] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; -; VGA_G[2] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; -; VGA_G[3] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; -; VGA_G[4] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; -; VGA_G[5] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; -; VGA_B[0] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; -; VGA_B[1] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; -; VGA_B[2] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; -; VGA_B[3] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; -; VGA_B[4] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; -; VGA_B[5] ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; -; VGA_HS ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; -; VGA_VS ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; -; LED ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; -; AUDIO_L ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; -; AUDIO_R ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; -; SPI_DO ; 3.3-V LVTTL ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ; -+----------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+ - - -+------------------------------------------------------------------+ -; Input Transition Times ; -+---------------+--------------+-----------------+-----------------+ -; Pin ; I/O Standard ; 10-90 Rise Time ; 90-10 Fall Time ; -+---------------+--------------+-----------------+-----------------+ -; SPI_SS2 ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ; -; SPI_SS4 ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ; -; SPI_SCK ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ; -; CONF_DATA0 ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ; -; SPI_SS3 ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ; -; SPI_DI ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ; -; CLOCK_27 ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ; -; ~ALTERA_DCLK~ ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ; -+---------------+--------------+-----------------+-----------------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Slow Corner Signal Integrity Metrics ; -+----------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ -; Pin ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ; -+----------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ -; VGA_R[0] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.47e-07 V ; 3.11 V ; -0.0527 V ; 0.256 V ; 0.175 V ; 7.07e-10 s ; 6.42e-10 s ; Yes ; No ; 3.08 V ; 7.47e-07 V ; 3.11 V ; -0.0527 V ; 0.256 V ; 0.175 V ; 7.07e-10 s ; 6.42e-10 s ; Yes ; No ; -; VGA_R[1] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.47e-07 V ; 3.11 V ; -0.0527 V ; 0.256 V ; 0.175 V ; 7.07e-10 s ; 6.42e-10 s ; Yes ; No ; 3.08 V ; 7.47e-07 V ; 3.11 V ; -0.0527 V ; 0.256 V ; 0.175 V ; 7.07e-10 s ; 6.42e-10 s ; Yes ; No ; -; VGA_R[2] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.47e-07 V ; 3.11 V ; -0.0527 V ; 0.256 V ; 0.175 V ; 7.07e-10 s ; 6.42e-10 s ; Yes ; No ; 3.08 V ; 7.47e-07 V ; 3.11 V ; -0.0527 V ; 0.256 V ; 0.175 V ; 7.07e-10 s ; 6.42e-10 s ; Yes ; No ; -; VGA_R[3] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.47e-07 V ; 3.11 V ; -0.0527 V ; 0.256 V ; 0.175 V ; 7.07e-10 s ; 6.42e-10 s ; Yes ; No ; 3.08 V ; 7.47e-07 V ; 3.11 V ; -0.0527 V ; 0.256 V ; 0.175 V ; 7.07e-10 s ; 6.42e-10 s ; Yes ; No ; -; VGA_R[4] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.47e-07 V ; 3.11 V ; -0.0527 V ; 0.256 V ; 0.175 V ; 7.07e-10 s ; 6.42e-10 s ; Yes ; No ; 3.08 V ; 7.47e-07 V ; 3.11 V ; -0.0527 V ; 0.256 V ; 0.175 V ; 7.07e-10 s ; 6.42e-10 s ; Yes ; No ; -; VGA_R[5] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.47e-07 V ; 3.11 V ; -0.0527 V ; 0.256 V ; 0.175 V ; 7.07e-10 s ; 6.42e-10 s ; Yes ; No ; 3.08 V ; 7.47e-07 V ; 3.11 V ; -0.0527 V ; 0.256 V ; 0.175 V ; 7.07e-10 s ; 6.42e-10 s ; Yes ; No ; -; VGA_G[0] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 5.01e-07 V ; 3.11 V ; -0.0488 V ; 0.191 V ; 0.217 V ; 1.08e-09 s ; 8.59e-10 s ; No ; No ; 3.08 V ; 5.01e-07 V ; 3.11 V ; -0.0488 V ; 0.191 V ; 0.217 V ; 1.08e-09 s ; 8.59e-10 s ; No ; No ; -; VGA_G[1] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.47e-07 V ; 3.11 V ; -0.0527 V ; 0.256 V ; 0.175 V ; 7.07e-10 s ; 6.42e-10 s ; Yes ; No ; 3.08 V ; 7.47e-07 V ; 3.11 V ; -0.0527 V ; 0.256 V ; 0.175 V ; 7.07e-10 s ; 6.42e-10 s ; Yes ; No ; -; VGA_G[2] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.47e-07 V ; 3.11 V ; -0.0527 V ; 0.256 V ; 0.175 V ; 7.07e-10 s ; 6.42e-10 s ; Yes ; No ; 3.08 V ; 7.47e-07 V ; 3.11 V ; -0.0527 V ; 0.256 V ; 0.175 V ; 7.07e-10 s ; 6.42e-10 s ; Yes ; No ; -; VGA_G[3] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.47e-07 V ; 3.11 V ; -0.0527 V ; 0.256 V ; 0.175 V ; 7.07e-10 s ; 6.42e-10 s ; Yes ; No ; 3.08 V ; 7.47e-07 V ; 3.11 V ; -0.0527 V ; 0.256 V ; 0.175 V ; 7.07e-10 s ; 6.42e-10 s ; Yes ; No ; -; VGA_G[4] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.47e-07 V ; 3.11 V ; -0.0527 V ; 0.256 V ; 0.175 V ; 7.07e-10 s ; 6.42e-10 s ; Yes ; No ; 3.08 V ; 7.47e-07 V ; 3.11 V ; -0.0527 V ; 0.256 V ; 0.175 V ; 7.07e-10 s ; 6.42e-10 s ; Yes ; No ; -; VGA_G[5] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.47e-07 V ; 3.11 V ; -0.0527 V ; 0.256 V ; 0.175 V ; 7.07e-10 s ; 6.42e-10 s ; Yes ; No ; 3.08 V ; 7.47e-07 V ; 3.11 V ; -0.0527 V ; 0.256 V ; 0.175 V ; 7.07e-10 s ; 6.42e-10 s ; Yes ; No ; -; VGA_B[0] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.47e-07 V ; 3.11 V ; -0.0527 V ; 0.256 V ; 0.175 V ; 7.07e-10 s ; 6.42e-10 s ; Yes ; No ; 3.08 V ; 7.47e-07 V ; 3.11 V ; -0.0527 V ; 0.256 V ; 0.175 V ; 7.07e-10 s ; 6.42e-10 s ; Yes ; No ; -; VGA_B[1] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.47e-07 V ; 3.11 V ; -0.0527 V ; 0.256 V ; 0.175 V ; 7.07e-10 s ; 6.42e-10 s ; Yes ; No ; 3.08 V ; 7.47e-07 V ; 3.11 V ; -0.0527 V ; 0.256 V ; 0.175 V ; 7.07e-10 s ; 6.42e-10 s ; Yes ; No ; -; VGA_B[2] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.47e-07 V ; 3.11 V ; -0.0527 V ; 0.256 V ; 0.175 V ; 7.07e-10 s ; 6.42e-10 s ; Yes ; No ; 3.08 V ; 7.47e-07 V ; 3.11 V ; -0.0527 V ; 0.256 V ; 0.175 V ; 7.07e-10 s ; 6.42e-10 s ; Yes ; No ; -; VGA_B[3] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.47e-07 V ; 3.11 V ; -0.0527 V ; 0.256 V ; 0.175 V ; 7.07e-10 s ; 6.42e-10 s ; Yes ; No ; 3.08 V ; 7.47e-07 V ; 3.11 V ; -0.0527 V ; 0.256 V ; 0.175 V ; 7.07e-10 s ; 6.42e-10 s ; Yes ; No ; -; VGA_B[4] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.47e-07 V ; 3.11 V ; -0.0527 V ; 0.256 V ; 0.175 V ; 7.07e-10 s ; 6.42e-10 s ; Yes ; No ; 3.08 V ; 7.47e-07 V ; 3.11 V ; -0.0527 V ; 0.256 V ; 0.175 V ; 7.07e-10 s ; 6.42e-10 s ; Yes ; No ; -; VGA_B[5] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.47e-07 V ; 3.11 V ; -0.0527 V ; 0.256 V ; 0.175 V ; 7.07e-10 s ; 6.42e-10 s ; Yes ; No ; 3.08 V ; 7.47e-07 V ; 3.11 V ; -0.0527 V ; 0.256 V ; 0.175 V ; 7.07e-10 s ; 6.42e-10 s ; Yes ; No ; -; VGA_HS ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.47e-07 V ; 3.08 V ; -0.00526 V ; 0.185 V ; 0.249 V ; 5.8e-09 s ; 4.45e-09 s ; Yes ; Yes ; 3.08 V ; 7.47e-07 V ; 3.08 V ; -0.00526 V ; 0.185 V ; 0.249 V ; 5.8e-09 s ; 4.45e-09 s ; Yes ; Yes ; -; VGA_VS ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.47e-07 V ; 3.08 V ; -0.00526 V ; 0.185 V ; 0.249 V ; 5.8e-09 s ; 4.45e-09 s ; Yes ; Yes ; 3.08 V ; 7.47e-07 V ; 3.08 V ; -0.00526 V ; 0.185 V ; 0.249 V ; 5.8e-09 s ; 4.45e-09 s ; Yes ; Yes ; -; LED ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 5.01e-07 V ; 3.08 V ; -0.00545 V ; 0.234 V ; 0.291 V ; 5.77e-09 s ; 4.44e-09 s ; Yes ; Yes ; 3.08 V ; 5.01e-07 V ; 3.08 V ; -0.00545 V ; 0.234 V ; 0.291 V ; 5.77e-09 s ; 4.44e-09 s ; Yes ; Yes ; -; AUDIO_L ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 7.47e-07 V ; 3.08 V ; -0.00526 V ; 0.185 V ; 0.249 V ; 5.8e-09 s ; 4.45e-09 s ; Yes ; Yes ; 3.08 V ; 7.47e-07 V ; 3.08 V ; -0.00526 V ; 0.185 V ; 0.249 V ; 5.8e-09 s ; 4.45e-09 s ; Yes ; Yes ; -; AUDIO_R ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 5.01e-07 V ; 3.08 V ; -0.00545 V ; 0.234 V ; 0.291 V ; 5.77e-09 s ; 4.44e-09 s ; Yes ; Yes ; 3.08 V ; 5.01e-07 V ; 3.08 V ; -0.00545 V ; 0.234 V ; 0.291 V ; 5.77e-09 s ; 4.44e-09 s ; Yes ; Yes ; -; SPI_DO ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.08 V ; 5.01e-07 V ; 3.08 V ; -0.00545 V ; 0.234 V ; 0.291 V ; 5.77e-09 s ; 4.44e-09 s ; Yes ; Yes ; 3.08 V ; 5.01e-07 V ; 3.08 V ; -0.00545 V ; 0.234 V ; 0.291 V ; 5.77e-09 s ; 4.44e-09 s ; Yes ; Yes ; -+----------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Fast Corner Signal Integrity Metrics ; -+----------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ -; Pin ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ; -+----------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ -; VGA_R[0] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.83e-07 V ; 3.58 V ; -0.143 V ; 0.305 V ; 0.24 V ; 4.6e-10 s ; 4.2e-10 s ; No ; No ; 3.46 V ; 1.83e-07 V ; 3.58 V ; -0.143 V ; 0.305 V ; 0.24 V ; 4.6e-10 s ; 4.2e-10 s ; No ; No ; -; VGA_R[1] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.83e-07 V ; 3.58 V ; -0.143 V ; 0.305 V ; 0.24 V ; 4.6e-10 s ; 4.2e-10 s ; No ; No ; 3.46 V ; 1.83e-07 V ; 3.58 V ; -0.143 V ; 0.305 V ; 0.24 V ; 4.6e-10 s ; 4.2e-10 s ; No ; No ; -; VGA_R[2] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.83e-07 V ; 3.58 V ; -0.143 V ; 0.305 V ; 0.24 V ; 4.6e-10 s ; 4.2e-10 s ; No ; No ; 3.46 V ; 1.83e-07 V ; 3.58 V ; -0.143 V ; 0.305 V ; 0.24 V ; 4.6e-10 s ; 4.2e-10 s ; No ; No ; -; VGA_R[3] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.83e-07 V ; 3.58 V ; -0.143 V ; 0.305 V ; 0.24 V ; 4.6e-10 s ; 4.2e-10 s ; No ; No ; 3.46 V ; 1.83e-07 V ; 3.58 V ; -0.143 V ; 0.305 V ; 0.24 V ; 4.6e-10 s ; 4.2e-10 s ; No ; No ; -; VGA_R[4] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.83e-07 V ; 3.58 V ; -0.143 V ; 0.305 V ; 0.24 V ; 4.6e-10 s ; 4.2e-10 s ; No ; No ; 3.46 V ; 1.83e-07 V ; 3.58 V ; -0.143 V ; 0.305 V ; 0.24 V ; 4.6e-10 s ; 4.2e-10 s ; No ; No ; -; VGA_R[5] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.83e-07 V ; 3.58 V ; -0.143 V ; 0.305 V ; 0.24 V ; 4.6e-10 s ; 4.2e-10 s ; No ; No ; 3.46 V ; 1.83e-07 V ; 3.58 V ; -0.143 V ; 0.305 V ; 0.24 V ; 4.6e-10 s ; 4.2e-10 s ; No ; No ; -; VGA_G[0] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.24e-07 V ; 3.57 V ; -0.0876 V ; 0.318 V ; 0.176 V ; 6.78e-10 s ; 6.15e-10 s ; No ; No ; 3.46 V ; 1.24e-07 V ; 3.57 V ; -0.0876 V ; 0.318 V ; 0.176 V ; 6.78e-10 s ; 6.15e-10 s ; No ; No ; -; VGA_G[1] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.83e-07 V ; 3.58 V ; -0.143 V ; 0.305 V ; 0.24 V ; 4.6e-10 s ; 4.2e-10 s ; No ; No ; 3.46 V ; 1.83e-07 V ; 3.58 V ; -0.143 V ; 0.305 V ; 0.24 V ; 4.6e-10 s ; 4.2e-10 s ; No ; No ; -; VGA_G[2] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.83e-07 V ; 3.58 V ; -0.143 V ; 0.305 V ; 0.24 V ; 4.6e-10 s ; 4.2e-10 s ; No ; No ; 3.46 V ; 1.83e-07 V ; 3.58 V ; -0.143 V ; 0.305 V ; 0.24 V ; 4.6e-10 s ; 4.2e-10 s ; No ; No ; -; VGA_G[3] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.83e-07 V ; 3.58 V ; -0.143 V ; 0.305 V ; 0.24 V ; 4.6e-10 s ; 4.2e-10 s ; No ; No ; 3.46 V ; 1.83e-07 V ; 3.58 V ; -0.143 V ; 0.305 V ; 0.24 V ; 4.6e-10 s ; 4.2e-10 s ; No ; No ; -; VGA_G[4] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.83e-07 V ; 3.58 V ; -0.143 V ; 0.305 V ; 0.24 V ; 4.6e-10 s ; 4.2e-10 s ; No ; No ; 3.46 V ; 1.83e-07 V ; 3.58 V ; -0.143 V ; 0.305 V ; 0.24 V ; 4.6e-10 s ; 4.2e-10 s ; No ; No ; -; VGA_G[5] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.83e-07 V ; 3.58 V ; -0.143 V ; 0.305 V ; 0.24 V ; 4.6e-10 s ; 4.2e-10 s ; No ; No ; 3.46 V ; 1.83e-07 V ; 3.58 V ; -0.143 V ; 0.305 V ; 0.24 V ; 4.6e-10 s ; 4.2e-10 s ; No ; No ; -; VGA_B[0] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.83e-07 V ; 3.58 V ; -0.143 V ; 0.305 V ; 0.24 V ; 4.6e-10 s ; 4.2e-10 s ; No ; No ; 3.46 V ; 1.83e-07 V ; 3.58 V ; -0.143 V ; 0.305 V ; 0.24 V ; 4.6e-10 s ; 4.2e-10 s ; No ; No ; -; VGA_B[1] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.83e-07 V ; 3.58 V ; -0.143 V ; 0.305 V ; 0.24 V ; 4.6e-10 s ; 4.2e-10 s ; No ; No ; 3.46 V ; 1.83e-07 V ; 3.58 V ; -0.143 V ; 0.305 V ; 0.24 V ; 4.6e-10 s ; 4.2e-10 s ; No ; No ; -; VGA_B[2] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.83e-07 V ; 3.58 V ; -0.143 V ; 0.305 V ; 0.24 V ; 4.6e-10 s ; 4.2e-10 s ; No ; No ; 3.46 V ; 1.83e-07 V ; 3.58 V ; -0.143 V ; 0.305 V ; 0.24 V ; 4.6e-10 s ; 4.2e-10 s ; No ; No ; -; VGA_B[3] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.83e-07 V ; 3.58 V ; -0.143 V ; 0.305 V ; 0.24 V ; 4.6e-10 s ; 4.2e-10 s ; No ; No ; 3.46 V ; 1.83e-07 V ; 3.58 V ; -0.143 V ; 0.305 V ; 0.24 V ; 4.6e-10 s ; 4.2e-10 s ; No ; No ; -; VGA_B[4] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.83e-07 V ; 3.58 V ; -0.143 V ; 0.305 V ; 0.24 V ; 4.6e-10 s ; 4.2e-10 s ; No ; No ; 3.46 V ; 1.83e-07 V ; 3.58 V ; -0.143 V ; 0.305 V ; 0.24 V ; 4.6e-10 s ; 4.2e-10 s ; No ; No ; -; VGA_B[5] ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.83e-07 V ; 3.58 V ; -0.143 V ; 0.305 V ; 0.24 V ; 4.6e-10 s ; 4.2e-10 s ; No ; No ; 3.46 V ; 1.83e-07 V ; 3.58 V ; -0.143 V ; 0.305 V ; 0.24 V ; 4.6e-10 s ; 4.2e-10 s ; No ; No ; -; VGA_HS ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.83e-07 V ; 3.48 V ; -0.0176 V ; 0.357 V ; 0.323 V ; 3.9e-09 s ; 3.06e-09 s ; No ; No ; 3.46 V ; 1.83e-07 V ; 3.48 V ; -0.0176 V ; 0.357 V ; 0.323 V ; 3.9e-09 s ; 3.06e-09 s ; No ; No ; -; VGA_VS ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.83e-07 V ; 3.48 V ; -0.0176 V ; 0.357 V ; 0.323 V ; 3.9e-09 s ; 3.06e-09 s ; No ; No ; 3.46 V ; 1.83e-07 V ; 3.48 V ; -0.0176 V ; 0.357 V ; 0.323 V ; 3.9e-09 s ; 3.06e-09 s ; No ; No ; -; LED ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.24e-07 V ; 3.48 V ; -0.0164 V ; 0.353 V ; 0.315 V ; 3.88e-09 s ; 3.06e-09 s ; No ; No ; 3.46 V ; 1.24e-07 V ; 3.48 V ; -0.0164 V ; 0.353 V ; 0.315 V ; 3.88e-09 s ; 3.06e-09 s ; No ; No ; -; AUDIO_L ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.83e-07 V ; 3.48 V ; -0.0176 V ; 0.357 V ; 0.323 V ; 3.9e-09 s ; 3.06e-09 s ; No ; No ; 3.46 V ; 1.83e-07 V ; 3.48 V ; -0.0176 V ; 0.357 V ; 0.323 V ; 3.9e-09 s ; 3.06e-09 s ; No ; No ; -; AUDIO_R ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.24e-07 V ; 3.48 V ; -0.0164 V ; 0.353 V ; 0.315 V ; 3.88e-09 s ; 3.06e-09 s ; No ; No ; 3.46 V ; 1.24e-07 V ; 3.48 V ; -0.0164 V ; 0.353 V ; 0.315 V ; 3.88e-09 s ; 3.06e-09 s ; No ; No ; -; SPI_DO ; 3.3-V LVTTL ; 0 s ; 0 s ; 3.46 V ; 1.24e-07 V ; 3.48 V ; -0.0164 V ; 0.353 V ; 0.315 V ; 3.88e-09 s ; 3.06e-09 s ; No ; No ; 3.46 V ; 1.24e-07 V ; 3.48 V ; -0.0164 V ; 0.353 V ; 0.315 V ; 3.88e-09 s ; 3.06e-09 s ; No ; No ; -+----------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------------------+ -; Setup Transfers ; -+-------------------------------------------------+-------------------------------------------------+--------------+----------+----------+----------+ -; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; -+-------------------------------------------------+-------------------------------------------------+--------------+----------+----------+----------+ -; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 665 ; 0 ; 0 ; 0 ; -; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 274 ; 0 ; 0 ; 0 ; -; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 664 ; 0 ; 0 ; 0 ; -; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 274 ; 0 ; 0 ; 0 ; -; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|CLK_31250 ; 1 ; 1 ; 0 ; 0 ; -; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|clk_count[2] ; 16 ; 0 ; 0 ; 0 ; -; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|clk_count[2] ; 16 ; 0 ; 0 ; 0 ; -; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; > 2147483647 ; 0 ; 0 ; 0 ; -; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|clk_count[2] ; 16 ; 0 ; 0 ; 0 ; -; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|clk_count[2] ; 446007188 ; 0 ; 0 ; 0 ; -; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; > 2147483647 ; 0 ; 0 ; 0 ; -; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 272 ; 0 ; 0 ; 0 ; -; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 648 ; 0 ; 0 ; 0 ; -; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 310 ; 0 ; 0 ; 0 ; -; mz80k_top:mz80k_top|CLK_2M ; pll|altpll_component|auto_generated|pll1|clk[0] ; 13 ; 1 ; 0 ; 0 ; -; mz80k_top:mz80k_top|CLK_31250 ; pll|altpll_component|auto_generated|pll1|clk[0] ; 1 ; 1 ; 0 ; 0 ; -; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 42133724 ; 23 ; 0 ; 0 ; -; mz80k_top:mz80k_top|vga:vga1|counter[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 925161 ; 1 ; 450 ; 0 ; -; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 7604006 ; 86 ; 0 ; 4054 ; -; SPI_SCK ; pll|altpll_component|auto_generated|pll1|clk[0] ; 157 ; 0 ; 0 ; 0 ; -; SPI_SCK ; SPI_SCK ; 2673 ; 0 ; 178 ; 0 ; -+-------------------------------------------------+-------------------------------------------------+--------------+----------+----------+----------+ -Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported. - - -+---------------------------------------------------------------------------------------------------------------------------------------------------+ -; Hold Transfers ; -+-------------------------------------------------+-------------------------------------------------+--------------+----------+----------+----------+ -; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; -+-------------------------------------------------+-------------------------------------------------+--------------+----------+----------+----------+ -; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 665 ; 0 ; 0 ; 0 ; -; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 274 ; 0 ; 0 ; 0 ; -; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 664 ; 0 ; 0 ; 0 ; -; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 274 ; 0 ; 0 ; 0 ; -; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|CLK_31250 ; 1 ; 1 ; 0 ; 0 ; -; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|clk_count[2] ; 16 ; 0 ; 0 ; 0 ; -; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|clk_count[2] ; 16 ; 0 ; 0 ; 0 ; -; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; > 2147483647 ; 0 ; 0 ; 0 ; -; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|clk_count[2] ; 16 ; 0 ; 0 ; 0 ; -; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|clk_count[2] ; 446007188 ; 0 ; 0 ; 0 ; -; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; > 2147483647 ; 0 ; 0 ; 0 ; -; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 272 ; 0 ; 0 ; 0 ; -; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 648 ; 0 ; 0 ; 0 ; -; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 310 ; 0 ; 0 ; 0 ; -; mz80k_top:mz80k_top|CLK_2M ; pll|altpll_component|auto_generated|pll1|clk[0] ; 13 ; 1 ; 0 ; 0 ; -; mz80k_top:mz80k_top|CLK_31250 ; pll|altpll_component|auto_generated|pll1|clk[0] ; 1 ; 1 ; 0 ; 0 ; -; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 42133724 ; 23 ; 0 ; 0 ; -; mz80k_top:mz80k_top|vga:vga1|counter[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 925161 ; 1 ; 450 ; 0 ; -; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 7604006 ; 86 ; 0 ; 4054 ; -; SPI_SCK ; pll|altpll_component|auto_generated|pll1|clk[0] ; 157 ; 0 ; 0 ; 0 ; -; SPI_SCK ; SPI_SCK ; 2673 ; 0 ; 178 ; 0 ; -+-------------------------------------------------+-------------------------------------------------+--------------+----------+----------+----------+ -Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported. - - -+-----------------------------------------------------------------------------------------------------------------------------------------------+ -; Recovery Transfers ; -+-------------------------------------------------+-------------------------------------------------+----------+----------+----------+----------+ -; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; -+-------------------------------------------------+-------------------------------------------------+----------+----------+----------+----------+ -; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 552 ; 0 ; 0 ; 0 ; -; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 512 ; 0 ; 0 ; 0 ; -+-------------------------------------------------+-------------------------------------------------+----------+----------+----------+----------+ -Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported. - - -+-----------------------------------------------------------------------------------------------------------------------------------------------+ -; Removal Transfers ; -+-------------------------------------------------+-------------------------------------------------+----------+----------+----------+----------+ -; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; -+-------------------------------------------------+-------------------------------------------------+----------+----------+----------+----------+ -; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 552 ; 0 ; 0 ; 0 ; -; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 512 ; 0 ; 0 ; 0 ; -+-------------------------------------------------+-------------------------------------------------+----------+----------+----------+----------+ -Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported. - - ---------------- -; Report TCCS ; ---------------- -No dedicated SERDES Transmitter circuitry present in device or used in design - - ---------------- -; Report RSKM ; ---------------- -No non-DPA dedicated SERDES Receiver circuitry present in device or used in design - - -+------------------------------------------------+ -; Unconstrained Paths ; -+---------------------------------+-------+------+ -; Property ; Setup ; Hold ; -+---------------------------------+-------+------+ -; Illegal Clocks ; 0 ; 0 ; -; Unconstrained Clocks ; 0 ; 0 ; -; Unconstrained Input Ports ; 3 ; 3 ; -; Unconstrained Input Port Paths ; 153 ; 153 ; -; Unconstrained Output Ports ; 22 ; 22 ; -; Unconstrained Output Port Paths ; 1746 ; 1746 ; -+---------------------------------+-------+------+ - - -+------------------------------------+ -; TimeQuest Timing Analyzer Messages ; -+------------------------------------+ -Info: ******************************************************************* -Info: Running Quartus II 64-Bit TimeQuest Timing Analyzer - Info: Version 13.1.0 Build 162 10/23/2013 SJ Web Edition - Info: Processing started: Sun Jun 24 13:31:15 2018 -Info: Command: quartus_sta mz80k_mist -c mz80k_mist -Info: qsta_default_script.tcl version: #1 -Info (11104): Parallel Compilation has detected 8 hyper-threaded processors. However, the extra hyper-threaded processors will not be used by default. Parallel Compilation will use 4 of the 4 physical processors detected instead. -Info (21077): Low junction temperature is 0 degrees C -Info (21077): High junction temperature is 85 degrees C -Critical Warning (332012): Synopsys Design Constraints File file not found: 'mz80k_mist.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design. -Info (332142): No user constrained generated clocks found in the design. Calling "derive_pll_clocks -create_base_clocks" -Info (332110): Deriving PLL clocks - Info (332110): create_clock -period 37.037 -waveform {0.000 18.518} -name CLOCK_27 CLOCK_27 - Info (332110): create_generated_clock -source {pll|altpll_component|auto_generated|pll1|inclk[0]} -divide_by 27 -multiply_by 50 -duty_cycle 50.00 -name {pll|altpll_component|auto_generated|pll1|clk[0]} {pll|altpll_component|auto_generated|pll1|clk[0]} -Info (332142): No user constrained base clocks found in the design. Calling "derive_clocks -period 1.0" -Info (332105): Deriving Clocks - Info (332105): create_clock -period 1.000 -name mz80k_top:mz80k_top|vga:vga1|counter[0] mz80k_top:mz80k_top|vga:vga1|counter[0] - Info (332105): create_clock -period 1.000 -name SPI_SCK SPI_SCK - Info (332105): create_clock -period 1.000 -name mz80k_top:mz80k_top|clk_count[2] mz80k_top:mz80k_top|clk_count[2] - Info (332105): create_clock -period 1.000 -name mz80k_top:mz80k_top|i8253:i8253_1|signal1 mz80k_top:mz80k_top|i8253:i8253_1|signal1 - Info (332105): create_clock -period 1.000 -name mz80k_top:mz80k_top|CLK_31250 mz80k_top:mz80k_top|CLK_31250 - Info (332105): create_clock -period 1.000 -name mz80k_top:mz80k_top|CLK_2M mz80k_top:mz80k_top|CLK_2M -Warning (332125): Found combinational loop of 816 nodes - Warning (332126): Node "mz80k_top|vram_select|combout" - Warning (332126): Node "mz80k_top|cpu_data_in~15|datab" - Warning (332126): Node "mz80k_top|cpu_data_in~15|combout" - Warning (332126): Node "mz80k_top|cpu_data_in[0]~21|datab" - Warning (332126): Node "mz80k_top|cpu_data_in[0]~21|combout" - Warning (332126): Node "mz80k_top|cpu_data_in[0]~23|dataa" - Warning (332126): Node "mz80k_top|cpu_data_in[0]~23|combout" - Warning (332126): Node "mz80k_top|z80|reg_adrl|q[0]~0|datad" - Warning (332126): Node "mz80k_top|z80|reg_adrl|q[0]~0|combout" - Warning (332126): Node "mz80k_top|z80|i[0]~6|dataa" - Warning (332126): Node "mz80k_top|z80|i[0]~6|combout" - Warning (332126): Node "mz80k_top|z80|Decoder2~4|dataa" - Warning (332126): Node "mz80k_top|z80|Decoder2~4|combout" - Warning (332126): Node "mz80k_top|z80|i_cpblock|datad" - Warning (332126): Node "mz80k_top|z80|i_cpblock|combout" - Warning (332126): Node "mz80k_top|z80|sela_hl~1|dataa" - Warning (332126): Node "mz80k_top|z80|sela_hl~1|combout" - Warning (332126): Node "mz80k_top|z80|sela_hl~3|datac" - Warning (332126): Node "mz80k_top|z80|sela_hl~3|combout" - Warning (332126): Node "mz80k_top|z80|selal[1]~1|datab" - Warning (332126): Node "mz80k_top|z80|selal[1]~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux22~3|dataa" - Warning (332126): Node "mz80k_top|z80|Mux22~3|combout" - Warning (332126): Node "mz80k_top|z80|Mux22~4|datab" - Warning (332126): Node "mz80k_top|z80|Mux22~4|combout" - Warning (332126): Node "mz80k_top|io_e008~0|dataa" - Warning (332126): Node "mz80k_top|io_e008~0|combout" - Warning (332126): Node "mz80k_top|cpu_data_in~47|datad" - Warning (332126): Node "mz80k_top|cpu_data_in~47|combout" - Warning (332126): Node "mz80k_top|cpu_data_in~48|dataa" - Warning (332126): Node "mz80k_top|cpu_data_in~48|combout" - Warning (332126): Node "mz80k_top|cpu_data_in[0]~23|datab" - Warning (332126): Node "mz80k_top|cpu_data_in[1]~18|dataa" - Warning (332126): Node "mz80k_top|cpu_data_in[1]~18|combout" - Warning (332126): Node "mz80k_top|z80|i[3]~11|dataa" - Warning (332126): Node "mz80k_top|z80|i[3]~11|combout" - Warning (332126): Node "mz80k_top|z80|Decoder1~2|datab" - Warning (332126): Node "mz80k_top|z80|Decoder1~2|combout" - Warning (332126): Node "mz80k_top|z80|sela_de~0|dataa" - Warning (332126): Node "mz80k_top|z80|sela_de~0|combout" - Warning (332126): Node "mz80k_top|z80|sela_de~1|datab" - Warning (332126): Node "mz80k_top|z80|sela_de~1|combout" - Warning (332126): Node "mz80k_top|z80|selal[0]~2|datab" - Warning (332126): Node "mz80k_top|z80|selal[0]~2|combout" - Warning (332126): Node "mz80k_top|z80|selah[0]~3|datac" - Warning (332126): Node "mz80k_top|z80|selah[0]~3|combout" - Warning (332126): Node "mz80k_top|z80|Mux10~1|datab" - Warning (332126): Node "mz80k_top|z80|Mux10~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux10~2|dataa" - Warning (332126): Node "mz80k_top|z80|Mux10~2|combout" - Warning (332126): Node "mz80k_top|z80|Mux10~5|datad" - Warning (332126): Node "mz80k_top|z80|Mux10~5|combout" - Warning (332126): Node "mz80k_top|vram_select|datab" - Warning (332126): Node "mz80k_top|cpu_data_in~42|datad" - Warning (332126): Node "mz80k_top|cpu_data_in~42|combout" - Warning (332126): Node "mz80k_top|cpu_data_in~43|datad" - Warning (332126): Node "mz80k_top|cpu_data_in~43|combout" - Warning (332126): Node "mz80k_top|cpu_data_in~44|datab" - Warning (332126): Node "mz80k_top|cpu_data_in~44|combout" - Warning (332126): Node "mz80k_top|cpu_data_in[0]~22|dataa" - Warning (332126): Node "mz80k_top|cpu_data_in[0]~22|combout" - Warning (332126): Node "mz80k_top|cpu_data_in[0]~23|datac" - Warning (332126): Node "mz80k_top|cpu_data_in[1]~18|datac" - Warning (332126): Node "mz80k_top|cpu_data_in[0]~14|dataa" - Warning (332126): Node "mz80k_top|cpu_data_in[0]~14|combout" - Warning (332126): Node "mz80k_top|cpu_data_in[0]~21|dataa" - Warning (332126): Node "mz80k_top|cpu_data_in[7]~32|dataa" - Warning (332126): Node "mz80k_top|cpu_data_in[7]~32|combout" - Warning (332126): Node "mz80k_top|cpu_data_in[7]~51|dataa" - Warning (332126): Node "mz80k_top|cpu_data_in[7]~51|combout" - Warning (332126): Node "mz80k_top|z80|reg_adrl|q[7]~2|datad" - Warning (332126): Node "mz80k_top|z80|reg_adrl|q[7]~2|combout" - Warning (332126): Node "mz80k_top|z80|i[7]~10|dataa" - Warning (332126): Node "mz80k_top|z80|i[7]~10|combout" - Warning (332126): Node "mz80k_top|z80|comb~19|datab" - Warning (332126): Node "mz80k_top|z80|comb~19|combout" - Warning (332126): Node "mz80k_top|z80|comb~21|datac" - Warning (332126): Node "mz80k_top|z80|comb~21|combout" - Warning (332126): Node "mz80k_top|z80|sela_hl~1|datab" - Warning (332126): Node "mz80k_top|z80|comb~22|datac" - Warning (332126): Node "mz80k_top|z80|comb~22|combout" - Warning (332126): Node "mz80k_top|z80|comb~23|datac" - Warning (332126): Node "mz80k_top|z80|comb~23|combout" - Warning (332126): Node "mz80k_top|z80|sela_hl~1|datac" - Warning (332126): Node "mz80k_top|z80|i_setres_hl~0|datac" - Warning (332126): Node "mz80k_top|z80|i_setres_hl~0|combout" - Warning (332126): Node "mz80k_top|z80|comb~20|datad" - Warning (332126): Node "mz80k_top|z80|comb~20|combout" - Warning (332126): Node "mz80k_top|z80|comb~21|dataa" - Warning (332126): Node "mz80k_top|z80|comb~29|datac" - Warning (332126): Node "mz80k_top|z80|comb~29|combout" - Warning (332126): Node "mz80k_top|z80|comb~30|dataa" - Warning (332126): Node "mz80k_top|z80|comb~30|combout" - Warning (332126): Node "mz80k_top|z80|selal[2]~6|dataa" - Warning (332126): Node "mz80k_top|z80|selal[2]~6|combout" - Warning (332126): Node "mz80k_top|cpu_data_in~52|dataa" - Warning (332126): Node "mz80k_top|cpu_data_in~52|combout" - Warning (332126): Node "mz80k_top|cpu_data_in~46|datab" - Warning (332126): Node "mz80k_top|cpu_data_in~46|combout" - Warning (332126): Node "mz80k_top|cpu_data_in[0]~22|datac" - Warning (332126): Node "mz80k_top|cpu_data_in[7]~51|datab" - Warning (332126): Node "mz80k_top|cpu_data_in[0]~14|datac" - Warning (332126): Node "mz80k_top|cpu_data_in[6]~28|datac" - Warning (332126): Node "mz80k_top|cpu_data_in[6]~28|combout" - Warning (332126): Node "mz80k_top|cpu_data_in[7]~31|datab" - Warning (332126): Node "mz80k_top|cpu_data_in[7]~31|combout" - Warning (332126): Node "mz80k_top|cpu_data_in[7]~32|datab" - Warning (332126): Node "mz80k_top|cpu_data_in[6]~29|dataa" - Warning (332126): Node "mz80k_top|cpu_data_in[6]~29|combout" - Warning (332126): Node "mz80k_top|cpu_data_in[6]~30|datab" - Warning (332126): Node "mz80k_top|cpu_data_in[6]~30|combout" - Warning (332126): Node "mz80k_top|cpu_data_in[6]~50|dataa" - Warning (332126): Node "mz80k_top|cpu_data_in[6]~50|combout" - Warning (332126): Node "mz80k_top|z80|reg_adrl|q[6]~1|datab" - Warning (332126): Node "mz80k_top|z80|reg_adrl|q[6]~1|combout" - Warning (332126): Node "mz80k_top|z80|i[6]~9|datab" - Warning (332126): Node "mz80k_top|z80|i[6]~9|combout" - Warning (332126): Node "mz80k_top|z80|i_ldnnhl~0|dataa" - Warning (332126): Node "mz80k_top|z80|i_ldnnhl~0|combout" - Warning (332126): Node "mz80k_top|z80|selal[2]~4|datac" - Warning (332126): Node "mz80k_top|z80|selal[2]~4|combout" - Warning (332126): Node "mz80k_top|z80|selal[2]~5|datab" - Warning (332126): Node "mz80k_top|z80|selal[2]~5|combout" - Warning (332126): Node "mz80k_top|z80|selal[2]~6|datab" - Warning (332126): Node "mz80k_top|z80|selah[0]~2|dataa" - Warning (332126): Node "mz80k_top|z80|selah[0]~2|combout" - Warning (332126): Node "mz80k_top|z80|selah[0]~3|dataa" - Warning (332126): Node "mz80k_top|z80|selal[0]~3|dataa" - Warning (332126): Node "mz80k_top|z80|selal[0]~3|combout" - Warning (332126): Node "mz80k_top|z80|Mux22~3|datab" - Warning (332126): Node "mz80k_top|z80|Mux23~0|dataa" - Warning (332126): Node "mz80k_top|z80|Mux23~0|combout" - Warning (332126): Node "mz80k_top|z80|Mux23~1|datac" - Warning (332126): Node "mz80k_top|z80|Mux23~1|combout" - Warning (332126): Node "mz80k_top|ps2_1|always0~6|dataa" - Warning (332126): Node "mz80k_top|ps2_1|always0~6|combout" - Warning (332126): Node "mz80k_top|ps2_1|always0~4|dataa" - Warning (332126): Node "mz80k_top|ps2_1|always0~4|combout" - Warning (332126): Node "mz80k_top|ps2_1|always0~5|datac" - Warning (332126): Node "mz80k_top|ps2_1|always0~5|combout" - Warning (332126): Node "mz80k_top|z80|reg_adrl|q[0]~0|datab" - Warning (332126): Node "mz80k_top|cpu_data_in[1]~18|datab" - Warning (332126): Node "mz80k_top|z80|reg_adrl|q[7]~2|dataa" - Warning (332126): Node "mz80k_top|cpu_data_in[1]~49|datac" - Warning (332126): Node "mz80k_top|cpu_data_in[1]~49|combout" - Warning (332126): Node "mz80k_top|cpu_data_in[3]~33|dataa" - Warning (332126): Node "mz80k_top|cpu_data_in[3]~33|combout" - Warning (332126): Node "mz80k_top|cpu_data_in[3]~34|dataa" - Warning (332126): Node "mz80k_top|cpu_data_in[3]~34|combout" - Warning (332126): Node "mz80k_top|z80|i[3]~11|datab" - Warning (332126): Node "mz80k_top|cpu_data_in[1]~24|dataa" - Warning (332126): Node "mz80k_top|cpu_data_in[1]~24|combout" - Warning (332126): Node "mz80k_top|cpu_data_in[1]~25|dataa" - Warning (332126): Node "mz80k_top|cpu_data_in[1]~25|combout" - Warning (332126): Node "mz80k_top|z80|i[1]~7|datab" - Warning (332126): Node "mz80k_top|z80|i[1]~7|combout" - Warning (332126): Node "mz80k_top|z80|Decoder2~3|datac" - Warning (332126): Node "mz80k_top|z80|Decoder2~3|combout" - Warning (332126): Node "mz80k_top|z80|selah[1]~5|datad" - Warning (332126): Node "mz80k_top|z80|selah[1]~5|combout" - Warning (332126): Node "mz80k_top|z80|Mux10~1|dataa" - Warning (332126): Node "mz80k_top|z80|Mux12~2|dataa" - Warning (332126): Node "mz80k_top|z80|Mux12~2|combout" - Warning (332126): Node "mz80k_top|z80|Mux12~3|dataa" - Warning (332126): Node "mz80k_top|z80|Mux12~3|combout" - Warning (332126): Node "mz80k_top|z80|Mux12~4|datab" - Warning (332126): Node "mz80k_top|z80|Mux12~4|combout" - Warning (332126): Node "mz80k_top|comb~0|datac" - Warning (332126): Node "mz80k_top|comb~0|combout" - Warning (332126): Node "mz80k_top|cpu_data_in~42|datac" - Warning (332126): Node "mz80k_top|vram_select|datac" - Warning (332126): Node "mz80k_top|z80|Mux12~3|datab" - Warning (332126): Node "mz80k_top|z80|Mux12~0|datac" - Warning (332126): Node "mz80k_top|z80|Mux12~0|combout" - Warning (332126): Node "mz80k_top|z80|Mux12~1|dataa" - Warning (332126): Node "mz80k_top|z80|Mux12~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux12~4|datad" - Warning (332126): Node "mz80k_top|z80|Mux11~0|dataa" - Warning (332126): Node "mz80k_top|z80|Mux11~0|combout" - Warning (332126): Node "mz80k_top|z80|Mux11~1|datab" - Warning (332126): Node "mz80k_top|z80|Mux11~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux11~4|datab" - Warning (332126): Node "mz80k_top|z80|Mux11~4|combout" - Warning (332126): Node "mz80k_top|cpu_data_in~41|dataa" - Warning (332126): Node "mz80k_top|cpu_data_in~41|combout" - Warning (332126): Node "mz80k_top|cpu_data_in~42|datab" - Warning (332126): Node "mz80k_top|vram_select|dataa" - Warning (332126): Node "mz80k_top|z80|Mux10~4|dataa" - Warning (332126): Node "mz80k_top|z80|Mux10~4|combout" - Warning (332126): Node "mz80k_top|z80|Mux10~5|datab" - Warning (332126): Node "mz80k_top|z80|Mux10~3|dataa" - Warning (332126): Node "mz80k_top|z80|Mux10~3|combout" - Warning (332126): Node "mz80k_top|z80|Mux10~4|datab" - Warning (332126): Node "mz80k_top|z80|Mux11~3|dataa" - Warning (332126): Node "mz80k_top|z80|Mux11~3|combout" - Warning (332126): Node "mz80k_top|z80|Mux11~4|dataa" - Warning (332126): Node "mz80k_top|z80|Mux11~2|datab" - Warning (332126): Node "mz80k_top|z80|Mux11~2|combout" - Warning (332126): Node "mz80k_top|z80|Mux11~3|datab" - Warning (332126): Node "mz80k_top|z80|Mux15~3|dataa" - Warning (332126): Node "mz80k_top|z80|Mux15~3|combout" - Warning (332126): Node "mz80k_top|z80|Mux15~4|dataa" - Warning (332126): Node "mz80k_top|z80|Mux15~4|combout" - Warning (332126): Node "mz80k_top|cpu_data_in~40|dataa" - Warning (332126): Node "mz80k_top|cpu_data_in~40|combout" - Warning (332126): Node "mz80k_top|cpu_data_in~42|dataa" - Warning (332126): Node "mz80k_top|z80|Mux15~2|dataa" - Warning (332126): Node "mz80k_top|z80|Mux15~2|combout" - Warning (332126): Node "mz80k_top|z80|Mux15~3|datab" - Warning (332126): Node "mz80k_top|z80|Mux15~0|datab" - Warning (332126): Node "mz80k_top|z80|Mux15~0|combout" - Warning (332126): Node "mz80k_top|z80|Mux15~1|dataa" - Warning (332126): Node "mz80k_top|z80|Mux15~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux15~4|datab" - Warning (332126): Node "mz80k_top|z80|Mux14~0|datab" - Warning (332126): Node "mz80k_top|z80|Mux14~0|combout" - Warning (332126): Node "mz80k_top|z80|Mux14~1|datab" - Warning (332126): Node "mz80k_top|z80|Mux14~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux14~4|dataa" - Warning (332126): Node "mz80k_top|z80|Mux14~4|combout" - Warning (332126): Node "mz80k_top|cpu_data_in~40|datac" - Warning (332126): Node "mz80k_top|z80|Mux14~2|dataa" - Warning (332126): Node "mz80k_top|z80|Mux14~2|combout" - Warning (332126): Node "mz80k_top|z80|Mux14~3|dataa" - Warning (332126): Node "mz80k_top|z80|Mux14~3|combout" - Warning (332126): Node "mz80k_top|z80|Mux14~4|datad" - Warning (332126): Node "mz80k_top|z80|Mux14~3|datab" - Warning (332126): Node "mz80k_top|z80|Mux13~0|dataa" - Warning (332126): Node "mz80k_top|z80|Mux13~0|combout" - Warning (332126): Node "mz80k_top|z80|Mux13~1|dataa" - Warning (332126): Node "mz80k_top|z80|Mux13~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux13~4|dataa" - Warning (332126): Node "mz80k_top|z80|Mux13~4|combout" - Warning (332126): Node "mz80k_top|cpu_data_in~41|datac" - Warning (332126): Node "mz80k_top|z80|Mux13~2|dataa" - Warning (332126): Node "mz80k_top|z80|Mux13~2|combout" - Warning (332126): Node "mz80k_top|z80|Mux13~3|dataa" - Warning (332126): Node "mz80k_top|z80|Mux13~3|combout" - Warning (332126): Node "mz80k_top|z80|Mux13~4|datab" - Warning (332126): Node "mz80k_top|z80|Mux13~3|datab" - Warning (332126): Node "mz80k_top|z80|Mux9~4|dataa" - Warning (332126): Node "mz80k_top|z80|Mux9~4|combout" - Warning (332126): Node "mz80k_top|z80|Mux9~5|dataa" - Warning (332126): Node "mz80k_top|z80|Mux9~5|combout" - Warning (332126): Node "mz80k_top|comb~0|dataa" - Warning (332126): Node "mz80k_top|z80|Mux9~3|datab" - Warning (332126): Node "mz80k_top|z80|Mux9~3|combout" - Warning (332126): Node "mz80k_top|z80|Mux9~4|datab" - Warning (332126): Node "mz80k_top|z80|Mux9~1|datab" - Warning (332126): Node "mz80k_top|z80|Mux9~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux9~2|datac" - Warning (332126): Node "mz80k_top|z80|Mux9~2|combout" - Warning (332126): Node "mz80k_top|z80|Mux9~5|datad" - Warning (332126): Node "mz80k_top|z80|Mux8~1|datab" - Warning (332126): Node "mz80k_top|z80|Mux8~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux8~2|datab" - Warning (332126): Node "mz80k_top|z80|Mux8~2|combout" - Warning (332126): Node "mz80k_top|z80|Mux8~5|datab" - Warning (332126): Node "mz80k_top|z80|Mux8~5|combout" - Warning (332126): Node "mz80k_top|comb~0|datab" - Warning (332126): Node "mz80k_top|z80|Mux8~4|dataa" - Warning (332126): Node "mz80k_top|z80|Mux8~4|combout" - Warning (332126): Node "mz80k_top|z80|Mux8~5|datad" - Warning (332126): Node "mz80k_top|z80|Mux8~3|datab" - Warning (332126): Node "mz80k_top|z80|Mux8~3|combout" - Warning (332126): Node "mz80k_top|z80|Mux8~4|datab" - Warning (332126): Node "mz80k_top|z80|imm1~0|datab" - Warning (332126): Node "mz80k_top|z80|imm1~0|combout" - Warning (332126): Node "mz80k_top|z80|selal[0]~3|datac" - Warning (332126): Node "mz80k_top|z80|i_lddd_nn|datac" - Warning (332126): Node "mz80k_top|z80|i_lddd_nn|combout" - Warning (332126): Node "mz80k_top|z80|selah[0]~2|datad" - Warning (332126): Node "mz80k_top|z80|i_outna~0|datac" - Warning (332126): Node "mz80k_top|z80|i_outna~0|combout" - Warning (332126): Node "mz80k_top|z80|imm1~0|datad" - Warning (332126): Node "mz80k_top|z80|i_ldnndd|datac" - Warning (332126): Node "mz80k_top|z80|i_ldnndd|combout" - Warning (332126): Node "mz80k_top|z80|comb~27|datad" - Warning (332126): Node "mz80k_top|z80|comb~27|combout" - Warning (332126): Node "mz80k_top|z80|selah[0]~2|datac" - Warning (332126): Node "mz80k_top|z80|i_exsphl|datad" - Warning (332126): Node "mz80k_top|z80|i_exsphl|combout" - Warning (332126): Node "mz80k_top|z80|comb~25|datab" - Warning (332126): Node "mz80k_top|z80|comb~25|combout" - Warning (332126): Node "mz80k_top|z80|comb~26|dataa" - Warning (332126): Node "mz80k_top|z80|comb~26|combout" - Warning (332126): Node "mz80k_top|z80|selal[1]~1|dataa" - Warning (332126): Node "mz80k_top|z80|selal[0]~2|dataa" - Warning (332126): Node "mz80k_top|z80|Decoder2~4|datab" - Warning (332126): Node "mz80k_top|z80|comb~24|dataa" - Warning (332126): Node "mz80k_top|z80|comb~24|combout" - Warning (332126): Node "mz80k_top|z80|comb~25|datac" - Warning (332126): Node "mz80k_top|z80|i_neg~0|datac" - Warning (332126): Node "mz80k_top|z80|i_neg~0|combout" - Warning (332126): Node "mz80k_top|z80|imm2~0|datab" - Warning (332126): Node "mz80k_top|z80|imm2~0|combout" - Warning (332126): Node "mz80k_top|z80|comb~15|datab" - Warning (332126): Node "mz80k_top|z80|comb~15|combout" - Warning (332126): Node "mz80k_top|z80|comb~26|datab" - Warning (332126): Node "mz80k_top|z80|Decoder2~0|datab" - Warning (332126): Node "mz80k_top|z80|Decoder2~0|combout" - Warning (332126): Node "mz80k_top|z80|comb~20|datac" - Warning (332126): Node "mz80k_top|z80|comb~15|datad" - Warning (332126): Node "mz80k_top|z80|Decoder2~1|datab" - Warning (332126): Node "mz80k_top|z80|Decoder2~1|combout" - Warning (332126): Node "mz80k_top|z80|retin~2|datad" - Warning (332126): Node "mz80k_top|z80|retin~2|combout" - Warning (332126): Node "mz80k_top|z80|asu_ci~0|datad" - Warning (332126): Node "mz80k_top|z80|asu_ci~0|combout" - Warning (332126): Node "mz80k_top|z80|comb~25|datad" - Warning (332126): Node "mz80k_top|z80|imm2~0|datad" - Warning (332126): Node "mz80k_top|z80|xy3~0|datad" - Warning (332126): Node "mz80k_top|z80|xy3~0|combout" - Warning (332126): Node "mz80k_top|z80|comb~30|datab" - Warning (332126): Node "mz80k_top|z80|xy3|datac" - Warning (332126): Node "mz80k_top|z80|xy3|combout" - Warning (332126): Node "mz80k_top|z80|sela_hl~2|datac" - Warning (332126): Node "mz80k_top|z80|sela_hl~2|combout" - Warning (332126): Node "mz80k_top|z80|sela_hl~3|datab" - Warning (332126): Node "mz80k_top|z80|i_inblock|dataa" - Warning (332126): Node "mz80k_top|z80|i_inblock|combout" - Warning (332126): Node "mz80k_top|z80|selal[2]~5|dataa" - Warning (332126): Node "mz80k_top|z80|sela_hl~3|dataa" - Warning (332126): Node "mz80k_top|z80|incdec8|datad" - Warning (332126): Node "mz80k_top|z80|incdec8|combout" - Warning (332126): Node "mz80k_top|z80|reg_load_f~2|dataa" - Warning (332126): Node "mz80k_top|z80|reg_load_f~2|combout" - Warning (332126): Node "mz80k_top|z80|comb~21|datab" - Warning (332126): Node "mz80k_top|z80|Decoder2~2|datad" - Warning (332126): Node "mz80k_top|z80|Decoder2~2|combout" - Warning (332126): Node "mz80k_top|z80|comb~19|datac" - Warning (332126): Node "mz80k_top|z80|comb~23|datab" - Warning (332126): Node "mz80k_top|z80|i_setres_hl~0|datab" - Warning (332126): Node "mz80k_top|z80|i_ldhlr~0|datab" - Warning (332126): Node "mz80k_top|z80|i_ldhlr~0|combout" - Warning (332126): Node "mz80k_top|z80|comb~18|dataa" - Warning (332126): Node "mz80k_top|z80|comb~18|combout" - Warning (332126): Node "mz80k_top|z80|reg_h|q~1|dataa" - Warning (332126): Node "mz80k_top|z80|reg_h|q~1|combout" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux0~0|dataa" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux0~0|combout" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux0~1|datab" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux0~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux16~1|dataa" - Warning (332126): Node "mz80k_top|z80|Mux16~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux16~3|datad" - Warning (332126): Node "mz80k_top|z80|Mux16~3|combout" - Warning (332126): Node "mz80k_top|cpu_data_in~41|datad" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux1~0|dataa" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux1~0|combout" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux1~1|datab" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux1~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux17~1|dataa" - Warning (332126): Node "mz80k_top|z80|Mux17~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux17~3|datab" - Warning (332126): Node "mz80k_top|z80|Mux17~3|combout" - Warning (332126): Node "mz80k_top|cpu_data_in~41|datab" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux5~0|dataa" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux5~0|combout" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux5~1|datab" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux5~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux21~1|dataa" - Warning (332126): Node "mz80k_top|z80|Mux21~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux21~3|datab" - Warning (332126): Node "mz80k_top|z80|Mux21~3|combout" - Warning (332126): Node "mz80k_top|cpu_data_in~43|datac" - Warning (332126): Node "mz80k_top|cpu_data_in~45|datab" - Warning (332126): Node "mz80k_top|cpu_data_in~45|combout" - Warning (332126): Node "mz80k_top|cpu_data_in~52|datab" - Warning (332126): Node "mz80k_top|io_e008~0|datab" - Warning (332126): Node "mz80k_top|ps2_1|always0~5|dataa" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux4~0|dataa" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux4~0|combout" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux4~1|datab" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux4~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux12~0|dataa" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux6~0|dataa" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux6~0|combout" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux6~1|datab" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux6~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux22~2|datac" - Warning (332126): Node "mz80k_top|z80|Mux22~2|combout" - Warning (332126): Node "mz80k_top|cpu_data_in~52|datac" - Warning (332126): Node "mz80k_top|z80|Mux22~4|datad" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux7~0|dataa" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux7~0|combout" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux7~1|datab" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux7~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux23~1|dataa" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux0~0|dataa" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux0~0|combout" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux0~1|datab" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux0~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux8~1|dataa" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux1~0|dataa" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux1~0|combout" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux1~1|dataa" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux1~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux9~1|dataa" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux2~0|dataa" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux2~0|combout" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux2~1|datab" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux2~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux10~1|datac" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux2~0|dataa" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux2~0|combout" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux2~1|datab" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux2~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux18~1|datac" - Warning (332126): Node "mz80k_top|z80|Mux18~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux18~3|datab" - Warning (332126): Node "mz80k_top|z80|Mux18~3|combout" - Warning (332126): Node "mz80k_top|cpu_data_in~40|datad" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux4~0|dataa" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux4~0|combout" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux4~1|datad" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux4~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux20~1|dataa" - Warning (332126): Node "mz80k_top|z80|Mux20~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux20~3|dataa" - Warning (332126): Node "mz80k_top|z80|Mux20~3|combout" - Warning (332126): Node "mz80k_top|cpu_data_in~43|datab" - Warning (332126): Node "mz80k_top|cpu_data_in~45|dataa" - Warning (332126): Node "mz80k_top|io_e008~0|datac" - Warning (332126): Node "mz80k_top|ps2_1|always0~5|datab" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux3~0|dataa" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux3~0|combout" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux3~1|datab" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux3~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux19~1|datac" - Warning (332126): Node "mz80k_top|z80|Mux19~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux19~3|dataa" - Warning (332126): Node "mz80k_top|z80|Mux19~3|combout" - Warning (332126): Node "mz80k_top|cpu_data_in~40|datab" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux3~0|dataa" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux3~0|combout" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux3~1|datab" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux3~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux11~0|datab" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux5~0|dataa" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux5~0|combout" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux5~1|datab" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux5~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux13~0|datac" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux6~0|dataa" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux6~0|combout" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux6~1|datab" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux6~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux14~0|datac" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux7~0|dataa" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux7~0|combout" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux7~1|datab" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux7~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux15~0|datac" - Warning (332126): Node "mz80k_top|z80|reg_l|q~0|datab" - Warning (332126): Node "mz80k_top|z80|reg_l|q~0|combout" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux0~1|dataa" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux0~0|datab" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux1~1|dataa" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux1~0|datab" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux5~1|dataa" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux5~0|datab" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux4~1|dataa" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux4~0|datab" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux6~1|dataa" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux6~0|datab" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux7~1|dataa" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux7~0|datab" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux0~1|dataa" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux0~0|datab" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux1~0|datab" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux1~1|datab" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux2~1|dataa" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux2~0|datab" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux2~1|dataa" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux2~0|datab" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux4~1|dataa" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux4~0|datab" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux3~1|dataa" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux3~0|datab" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux3~1|dataa" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux3~0|datab" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux5~1|dataa" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux5~0|datab" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux6~1|dataa" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux6~0|datab" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux7~1|dataa" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux7~0|datab" - Warning (332126): Node "mz80k_top|z80|reg_load_f~2|datac" - Warning (332126): Node "mz80k_top|z80|i_ldrhl~2|datab" - Warning (332126): Node "mz80k_top|z80|i_ldrhl~2|combout" - Warning (332126): Node "mz80k_top|z80|comb~18|datac" - Warning (332126): Node "mz80k_top|z80|Decoder2~6|datac" - Warning (332126): Node "mz80k_top|z80|Decoder2~6|combout" - Warning (332126): Node "mz80k_top|z80|i_inrc|datab" - Warning (332126): Node "mz80k_top|z80|i_inrc|combout" - Warning (332126): Node "mz80k_top|z80|selal[2]~4|datad" - Warning (332126): Node "mz80k_top|z80|i_ldblock|datad" - Warning (332126): Node "mz80k_top|z80|i_ldblock|combout" - Warning (332126): Node "mz80k_top|z80|sela_de~1|dataa" - Warning (332126): Node "mz80k_top|z80|sela_hl~2|dataa" - Warning (332126): Node "mz80k_top|z80|Decoder2~5|datac" - Warning (332126): Node "mz80k_top|z80|Decoder2~5|combout" - Warning (332126): Node "mz80k_top|z80|i_ldnnhl~0|datab" - Warning (332126): Node "mz80k_top|cpu_data_in[2]~26|dataa" - Warning (332126): Node "mz80k_top|cpu_data_in[2]~26|combout" - Warning (332126): Node "mz80k_top|cpu_data_in[2]~27|dataa" - Warning (332126): Node "mz80k_top|cpu_data_in[2]~27|combout" - Warning (332126): Node "mz80k_top|z80|i[2]~8|datab" - Warning (332126): Node "mz80k_top|z80|i[2]~8|combout" - Warning (332126): Node "mz80k_top|z80|Decoder2~3|datab" - Warning (332126): Node "mz80k_top|z80|comb~24|datac" - Warning (332126): Node "mz80k_top|z80|i_neg~0|datab" - Warning (332126): Node "mz80k_top|z80|Decoder2~0|datac" - Warning (332126): Node "mz80k_top|z80|Decoder2~1|dataa" - Warning (332126): Node "mz80k_top|z80|xy3~0|datac" - Warning (332126): Node "mz80k_top|z80|incdec8|datac" - Warning (332126): Node "mz80k_top|z80|Decoder2~2|datac" - Warning (332126): Node "mz80k_top|z80|Decoder2~6|datab" - Warning (332126): Node "mz80k_top|z80|Decoder2~5|datab" - Warning (332126): Node "mz80k_top|z80|Decoder2~4|datac" - Warning (332126): Node "mz80k_top|cpu_data_in[4]~19|dataa" - Warning (332126): Node "mz80k_top|cpu_data_in[4]~19|combout" - Warning (332126): Node "mz80k_top|cpu_data_in[4]~20|dataa" - Warning (332126): Node "mz80k_top|cpu_data_in[4]~20|combout" - Warning (332126): Node "mz80k_top|z80|i[4]~5|datab" - Warning (332126): Node "mz80k_top|z80|i[4]~5|combout" - Warning (332126): Node "mz80k_top|z80|Decoder1~3|datab" - Warning (332126): Node "mz80k_top|z80|Decoder1~3|combout" - Warning (332126): Node "mz80k_top|z80|asu_ci~0|datac" - Warning (332126): Node "mz80k_top|z80|imm2~0|dataa" - Warning (332126): Node "mz80k_top|z80|Decoder1~2|dataa" - Warning (332126): Node "mz80k_top|z80|comb~28|datad" - Warning (332126): Node "mz80k_top|z80|comb~28|combout" - Warning (332126): Node "mz80k_top|z80|selah[0]~2|datab" - Warning (332126): Node "mz80k_top|z80|comb~10|datab" - Warning (332126): Node "mz80k_top|z80|comb~10|combout" - Warning (332126): Node "mz80k_top|z80|comb~20|datab" - Warning (332126): Node "mz80k_top|z80|Decoder1~8|datab" - Warning (332126): Node "mz80k_top|z80|Decoder1~8|combout" - Warning (332126): Node "mz80k_top|z80|i_exsphl|datac" - Warning (332126): Node "mz80k_top|z80|comb~27|datab" - Warning (332126): Node "mz80k_top|z80|retin~2|datac" - Warning (332126): Node "mz80k_top|z80|Decoder1~7|datad" - Warning (332126): Node "mz80k_top|z80|Decoder1~7|combout" - Warning (332126): Node "mz80k_top|z80|selal[2]~4|datab" - Warning (332126): Node "mz80k_top|z80|Decoder1~0|dataa" - Warning (332126): Node "mz80k_top|z80|Decoder1~0|combout" - Warning (332126): Node "mz80k_top|z80|comb~19|datad" - Warning (332126): Node "mz80k_top|z80|comb~23|dataa" - Warning (332126): Node "mz80k_top|z80|i_ldhlr~0|datad" - Warning (332126): Node "mz80k_top|z80|reg_load_f~2|datad" - Warning (332126): Node "mz80k_top|z80|i_ldrhl~2|datad" - Warning (332126): Node "mz80k_top|z80|Decoder1~1|datad" - Warning (332126): Node "mz80k_top|z80|Decoder1~1|combout" - Warning (332126): Node "mz80k_top|z80|sela_de~0|datac" - Warning (332126): Node "mz80k_top|z80|selah[1]~4|datac" - Warning (332126): Node "mz80k_top|z80|selah[1]~4|combout" - Warning (332126): Node "mz80k_top|z80|selah[1]~5|datab" - Warning (332126): Node "mz80k_top|z80|i_outna~0|datab" - Warning (332126): Node "mz80k_top|cpu_data_in[5]~16|dataa" - Warning (332126): Node "mz80k_top|cpu_data_in[5]~16|combout" - Warning (332126): Node "mz80k_top|cpu_data_in[5]~17|datad" - Warning (332126): Node "mz80k_top|cpu_data_in[5]~17|combout" - Warning (332126): Node "mz80k_top|z80|i[5]~4|datab" - Warning (332126): Node "mz80k_top|z80|i[5]~4|combout" - Warning (332126): Node "mz80k_top|z80|Decoder1~3|dataa" - Warning (332126): Node "mz80k_top|z80|comb~17|datab" - Warning (332126): Node "mz80k_top|z80|comb~17|combout" - Warning (332126): Node "mz80k_top|z80|i_cpblock|dataa" - Warning (332126): Node "mz80k_top|z80|xy3|dataa" - Warning (332126): Node "mz80k_top|z80|i_ldblock|datab" - Warning (332126): Node "mz80k_top|z80|Decoder1~1|datab" - Warning (332126): Node "mz80k_top|z80|comb~28|datab" - Warning (332126): Node "mz80k_top|z80|comb~10|datad" - Warning (332126): Node "mz80k_top|z80|comb~29|datab" - Warning (332126): Node "mz80k_top|z80|Decoder1~8|datad" - Warning (332126): Node "mz80k_top|z80|retin~2|dataa" - Warning (332126): Node "mz80k_top|z80|Decoder1~7|datac" - Warning (332126): Node "mz80k_top|z80|Decoder1~0|datab" - Warning (332126): Node "mz80k_top|z80|Decoder1~2|datac" - Warning (332126): Node "mz80k_top|cpu_data_in[1]~13|datac" - Warning (332126): Node "mz80k_top|cpu_data_in[1]~13|combout" - Warning (332126): Node "mz80k_top|cpu_data_in[3]~33|datab" - Warning (332126): Node "mz80k_top|cpu_data_in[1]~24|datac" - Warning (332126): Node "mz80k_top|cpu_data_in[2]~26|datab" - Warning (332126): Node "mz80k_top|cpu_data_in[4]~19|datab" - Warning (332126): Node "mz80k_top|cpu_data_in[5]~16|datab" - Warning (332126): Node "mz80k_top|z80|reg_adrl|q[6]~1|datad" - Warning (332126): Node "mz80k_top|cpu_data_in[1]~12|datab" - Warning (332126): Node "mz80k_top|cpu_data_in[1]~12|combout" - Warning (332126): Node "mz80k_top|cpu_data_in[3]~34|datab" - Warning (332126): Node "mz80k_top|cpu_data_in[1]~25|datab" - Warning (332126): Node "mz80k_top|cpu_data_in[2]~27|datab" - Warning (332126): Node "mz80k_top|cpu_data_in[4]~20|datab" - Warning (332126): Node "mz80k_top|cpu_data_in[5]~17|datab" - Warning (332126): Node "mz80k_top|z80|Mux23~3|datad" - Warning (332126): Node "mz80k_top|z80|Mux23~3|combout" - Warning (332126): Node "mz80k_top|cpu_data_in~45|datad" - Warning (332126): Node "mz80k_top|io_e008~0|datad" - Warning (332126): Node "mz80k_top|z80|Mux20~0|dataa" - Warning (332126): Node "mz80k_top|z80|Mux20~0|combout" - Warning (332126): Node "mz80k_top|z80|Mux20~1|datac" - Warning (332126): Node "mz80k_top|z80|Mux20~2|datab" - Warning (332126): Node "mz80k_top|z80|Mux20~2|combout" - Warning (332126): Node "mz80k_top|z80|Mux20~3|datad" - Warning (332126): Node "mz80k_top|z80|Mux19~0|dataa" - Warning (332126): Node "mz80k_top|z80|Mux19~0|combout" - Warning (332126): Node "mz80k_top|z80|Mux19~1|dataa" - Warning (332126): Node "mz80k_top|z80|Mux19~1|datab" - Warning (332126): Node "mz80k_top|z80|Mux19~2|dataa" - Warning (332126): Node "mz80k_top|z80|Mux19~2|combout" - Warning (332126): Node "mz80k_top|z80|Mux19~3|datad" - Warning (332126): Node "mz80k_top|z80|Mux18~0|datab" - Warning (332126): Node "mz80k_top|z80|Mux18~0|combout" - Warning (332126): Node "mz80k_top|z80|Mux18~1|datab" - Warning (332126): Node "mz80k_top|z80|Mux18~2|dataa" - Warning (332126): Node "mz80k_top|z80|Mux18~2|combout" - Warning (332126): Node "mz80k_top|z80|Mux18~3|datad" - Warning (332126): Node "mz80k_top|z80|Mux17~1|datab" - Warning (332126): Node "mz80k_top|z80|Mux17~0|dataa" - Warning (332126): Node "mz80k_top|z80|Mux17~0|combout" - Warning (332126): Node "mz80k_top|z80|Mux17~1|datac" - Warning (332126): Node "mz80k_top|z80|Mux17~2|dataa" - Warning (332126): Node "mz80k_top|z80|Mux17~2|combout" - Warning (332126): Node "mz80k_top|z80|Mux17~3|datac" - Warning (332126): Node "mz80k_top|z80|Mux16~2|dataa" - Warning (332126): Node "mz80k_top|z80|Mux16~2|combout" - Warning (332126): Node "mz80k_top|z80|Mux16~3|datab" - Warning (332126): Node "mz80k_top|z80|Mux16~0|datab" - Warning (332126): Node "mz80k_top|z80|Mux16~0|combout" - Warning (332126): Node "mz80k_top|z80|Mux16~1|datac" - Warning (332126): Node "mz80k_top|z80|Mux23~2|dataa" - Warning (332126): Node "mz80k_top|z80|Mux23~2|combout" - Warning (332126): Node "mz80k_top|z80|Mux23~3|dataa" - Warning (332126): Node "mz80k_top|ps2_1|always0~6|datad" - Warning (332126): Node "mz80k_top|z80|Mux21~1|datab" - Warning (332126): Node "mz80k_top|z80|Mux21~0|dataa" - Warning (332126): Node "mz80k_top|z80|Mux21~0|combout" - Warning (332126): Node "mz80k_top|z80|Mux21~1|datac" - Warning (332126): Node "mz80k_top|z80|Mux21~2|datac" - Warning (332126): Node "mz80k_top|z80|Mux21~2|combout" - Warning (332126): Node "mz80k_top|z80|Mux21~3|datad" - Warning (332126): Node "mz80k_top|z80|Mux22~1|dataa" - Warning (332126): Node "mz80k_top|z80|Mux22~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux22~2|dataa" - Warning (332126): Node "mz80k_top|z80|Mux22~2|datab" - Warning (332126): Node "mz80k_top|z80|comb~27|datac" - Warning (332126): Node "mz80k_top|z80|sela_de~1|datac" - Warning (332126): Node "mz80k_top|z80|Decoder0~0|datab" - Warning (332126): Node "mz80k_top|z80|Decoder0~0|combout" - Warning (332126): Node "mz80k_top|z80|selah[1]~4|datab" - Warning (332126): Node "mz80k_top|z80|comb~19|dataa" - Warning (332126): Node "mz80k_top|z80|comb~22|datab" - Warning (332126): Node "mz80k_top|z80|comb~29|dataa" - Warning (332126): Node "mz80k_top|z80|i_cpblock|datac" - Warning (332126): Node "mz80k_top|z80|i_rs_hl~0|datab" - Warning (332126): Node "mz80k_top|z80|i_rs_hl~0|combout" - Warning (332126): Node "mz80k_top|z80|reg_load_f~2|datab" - Warning (332126): Node "mz80k_top|z80|i_outna~0|datad" - Warning (332126): Node "mz80k_top|z80|i_outcr|dataa" - Warning (332126): Node "mz80k_top|z80|i_outcr|combout" - Warning (332126): Node "mz80k_top|z80|selal[2]~4|dataa" - Warning (332126): Node "mz80k_top|z80|xy3|datab" - Warning (332126): Node "mz80k_top|z80|i_inrc|dataa" - Warning (332126): Node "mz80k_top|z80|i_ldrhl~3|dataa" - Warning (332126): Node "mz80k_top|z80|i_ldrhl~3|combout" - Warning (332126): Node "mz80k_top|z80|i_ldhlr~0|datac" - Warning (332126): Node "mz80k_top|z80|i_ldrhl~2|datac" - Warning (332126): Node "mz80k_top|z80|comb~68|dataa" - Warning (332126): Node "mz80k_top|z80|comb~68|combout" - Warning (332126): Node "mz80k_top|z80|comb~23|datad" - Warning (332126): Node "mz80k_top|z80|incdec8|datab" - Warning (332126): Node "mz80k_top|z80|comb~66|dataa" - Warning (332126): Node "mz80k_top|z80|comb~66|combout" - Warning (332126): Node "mz80k_top|z80|i_exsphl|datab" - Warning (332126): Node "mz80k_top|z80|selah[1]~4|datad" - Warning (332126): Node "mz80k_top|z80|comb~25|dataa" - Warning (332126): Node "mz80k_top|z80|imm1~0|dataa" - Warning (332126): Node "mz80k_top|z80|asu_ci~0|dataa" - Warning (332126): Node "mz80k_top|z80|comb~15|dataa" - Warning (332126): Node "mz80k_top|z80|imm2~0|datac" - Warning (332126): Node "mz80k_top|z80|i_ldblock|dataa" - Warning (332126): Node "mz80k_top|z80|comb~11|datab" - Warning (332126): Node "mz80k_top|z80|comb~11|combout" - Warning (332126): Node "mz80k_top|z80|comb~20|dataa" - Warning (332126): Node "mz80k_top|z80|i_lddd_nn|datad" - Warning (332126): Node "mz80k_top|z80|retin~2|datab" - Warning (332126): Node "mz80k_top|z80|i_ldnndd|datab" - Warning (332126): Node "mz80k_top|cpu_data_in[6]~30|datac" - Warning (332126): Node "mz80k_top|cpu_data_in[6]~50|datab" - Warning (332126): Node "mz80k_top|cpu_data_in[1]~49|datab" - Warning (332126): Node "mz80k_top|cpu_data_in[1]~13|datab" - Warning (332126): Node "mz80k_top|cpu_data_in[1]~12|datad" - Warning (332126): Node "mz80k_top|cpu_data_in[1]~18|datad" - Warning (332126): Node "mz80k_top|z80|Mux23~3|datac" - Warning (332126): Node "mz80k_top|z80|Mux10~5|datac" - Warning (332126): Node "mz80k_top|z80|Mux20~3|datab" - Warning (332126): Node "mz80k_top|z80|Mux12~4|dataa" - Warning (332126): Node "mz80k_top|z80|Mux19~3|datab" - Warning (332126): Node "mz80k_top|z80|Mux18~3|dataa" - Warning (332126): Node "mz80k_top|z80|Mux17~3|dataa" - Warning (332126): Node "mz80k_top|z80|Mux16~3|dataa" - Warning (332126): Node "mz80k_top|z80|Mux15~4|datac" - Warning (332126): Node "mz80k_top|z80|Mux14~4|datab" - Warning (332126): Node "mz80k_top|z80|Mux13~4|datac" - Warning (332126): Node "mz80k_top|z80|Mux9~5|datac" - Warning (332126): Node "mz80k_top|z80|Mux8~5|dataa" - Warning (332126): Node "mz80k_top|ps2_1|always0~6|datac" - Warning (332126): Node "mz80k_top|z80|Mux21~3|dataa" - Warning (332126): Node "mz80k_top|z80|Mux22~4|dataa" - Warning (332126): Node "mz80k_top|z80|Mux11~4|datad" - Warning (332126): Node "mz80k_top|z80|i_cpblock|datab" - Warning (332126): Node "mz80k_top|z80|i_rs_hl~0|datac" - Warning (332126): Node "mz80k_top|z80|i_outna~0|dataa" - Warning (332126): Node "mz80k_top|z80|i_outcr|datac" - Warning (332126): Node "mz80k_top|z80|xy3|datad" - Warning (332126): Node "mz80k_top|z80|i_inrc|datac" - Warning (332126): Node "mz80k_top|z80|i_ldrhl~3|datab" - Warning (332126): Node "mz80k_top|z80|comb~68|datab" - Warning (332126): Node "mz80k_top|z80|comb~66|datab" - Warning (332126): Node "mz80k_top|z80|i_ldblock|datac" - Warning (332126): Node "mz80k_top|z80|comb~11|datac" - Warning (332126): Node "mz80k_top|z80|i_ldnnhl~0|datac" - Warning (332126): Node "mz80k_top|z80|Decoder0~0|dataa" - Warning (332126): Node "mz80k_top|cpu_data_in[7]~31|dataa" - Warning (332126): Node "mz80k_top|cpu_data_in[6]~29|datab" - Warning (332126): Node "mz80k_top|cpu_data_in[6]~28|dataa" - Warning (332126): Node "mz80k_top|cpu_data_in[6]~50|datad" - Warning (332126): Node "mz80k_top|cpu_data_in[1]~49|dataa" - Warning (332126): Node "mz80k_top|cpu_data_in[1]~13|dataa" - Warning (332126): Node "mz80k_top|cpu_data_in[1]~12|datac" - Warning (332126): Node "mz80k_top|cpu_data_in[7]~51|datad" - Warning (332126): Node "mz80k_top|cpu_data_in[0]~23|datad" - Warning (332126): Node "mz80k_top|cpu_data_in~45|datac" - Warning (332126): Node "mz80k_top|cpu_data_in~47|datab" - Warning (332126): Node "mz80k_top|ps2_1|always0~5|datad" - Warning (332126): Node "mz80k_top|z80|Mux10~2|datab" - Warning (332126): Node "mz80k_top|z80|Mux12~2|datab" - Warning (332126): Node "mz80k_top|z80|Mux12~0|datab" - Warning (332126): Node "mz80k_top|z80|Mux12~1|datab" - Warning (332126): Node "mz80k_top|z80|Mux11~1|dataa" - Warning (332126): Node "mz80k_top|z80|Mux11~0|datac" - Warning (332126): Node "mz80k_top|z80|Mux10~3|datab" - Warning (332126): Node "mz80k_top|z80|Mux11~2|dataa" - Warning (332126): Node "mz80k_top|z80|Mux15~2|datab" - Warning (332126): Node "mz80k_top|z80|Mux15~0|dataa" - Warning (332126): Node "mz80k_top|z80|Mux15~1|datab" - Warning (332126): Node "mz80k_top|z80|Mux14~1|dataa" - Warning (332126): Node "mz80k_top|z80|Mux14~0|dataa" - Warning (332126): Node "mz80k_top|z80|Mux14~2|datab" - Warning (332126): Node "mz80k_top|z80|Mux13~0|datab" - Warning (332126): Node "mz80k_top|z80|Mux13~1|datab" - Warning (332126): Node "mz80k_top|z80|Mux13~2|datab" - Warning (332126): Node "mz80k_top|z80|Mux9~3|dataa" - Warning (332126): Node "mz80k_top|z80|Mux9~2|dataa" - Warning (332126): Node "mz80k_top|z80|Mux9~1|datac" - Warning (332126): Node "mz80k_top|z80|Mux8~2|dataa" - Warning (332126): Node "mz80k_top|z80|Mux8~1|datac" - Warning (332126): Node "mz80k_top|z80|Mux8~3|dataa" - Warning (332126): Node "mz80k_top|z80|selal[0]~3|datab" - Warning (332126): Node "mz80k_top|z80|selal[2]~6|datad" - Warning (332126): Node "mz80k_top|z80|selah[1]~4|dataa" - Warning (332126): Node "mz80k_top|z80|imm1~0|datac" - Warning (332126): Node "mz80k_top|z80|Decoder1~1|datac" - Warning (332126): Node "mz80k_top|z80|comb~24|datad" - Warning (332126): Node "mz80k_top|z80|comb~28|datac" - Warning (332126): Node "mz80k_top|z80|i_lddd_nn|dataa" - Warning (332126): Node "mz80k_top|z80|Decoder1~8|datac" - Warning (332126): Node "mz80k_top|z80|i_ldnndd|datad" - Warning (332126): Node "mz80k_top|z80|Decoder1~0|datac" - Warning (332126): Node "mz80k_top|z80|Decoder1~3|datad" - Warning (332126): Node "mz80k_top|z80|i[5]~4|dataa" - Warning (332126): Node "mz80k_top|z80|i[1]~7|dataa" - Warning (332126): Node "mz80k_top|z80|i[2]~8|dataa" - Warning (332126): Node "mz80k_top|z80|i[4]~5|dataa" - Warning (332126): Node "mz80k_top|cpu_data_in[6]~50|datac" - Warning (332126): Node "mz80k_top|cpu_data_in[7]~51|datac" - Warning (332126): Node "mz80k_top|ps2_1|always0~6|datab" - Warning (332126): Node "mz80k_top|cpu_data_in~52|datad" - Warning (332126): Node "mz80k_top|z80|selal[2]~6|datac" - Warning (332126): Node "mz80k_top|z80|Mux23~1|datab" - Warning (332126): Node "mz80k_top|z80|Mux23~0|datab" - Warning (332126): Node "mz80k_top|z80|Mux20~1|datab" - Warning (332126): Node "mz80k_top|z80|Mux20~0|datab" - Warning (332126): Node "mz80k_top|z80|Mux20~2|dataa" - Warning (332126): Node "mz80k_top|z80|Mux19~0|datab" - Warning (332126): Node "mz80k_top|z80|Mux19~2|datac" - Warning (332126): Node "mz80k_top|z80|Mux18~1|dataa" - Warning (332126): Node "mz80k_top|z80|Mux18~0|dataa" - Warning (332126): Node "mz80k_top|z80|Mux18~2|datac" - Warning (332126): Node "mz80k_top|z80|Mux17~0|datab" - Warning (332126): Node "mz80k_top|z80|Mux17~2|datab" - Warning (332126): Node "mz80k_top|z80|Mux16~2|datab" - Warning (332126): Node "mz80k_top|z80|Mux16~1|datab" - Warning (332126): Node "mz80k_top|z80|Mux16~0|dataa" - Warning (332126): Node "mz80k_top|z80|selah[1]~5|dataa" - Warning (332126): Node "mz80k_top|z80|Mux23~2|datab" - Warning (332126): Node "mz80k_top|z80|Mux21~0|datab" - Warning (332126): Node "mz80k_top|z80|Mux21~2|dataa" - Warning (332126): Node "mz80k_top|z80|Mux22~1|datab" - Warning (332126): Node "mz80k_top|z80|asu_ci~0|datab" - Warning (332126): Node "mz80k_top|z80|i_outcr|datab" - Warning (332126): Node "mz80k_top|z80|sela_hl~2|datab" - Warning (332126): Node "mz80k_top|z80|comb~24|datab" - Warning (332126): Node "mz80k_top|z80|comb~30|datac" - Warning (332126): Node "mz80k_top|z80|i_neg~0|dataa" - Warning (332126): Node "mz80k_top|z80|Decoder2~0|dataa" - Warning (332126): Node "mz80k_top|z80|Decoder2~1|datad" - Warning (332126): Node "mz80k_top|z80|Decoder2~2|datab" - Warning (332126): Node "mz80k_top|z80|Decoder2~6|datad" - Warning (332126): Node "mz80k_top|z80|Decoder2~5|dataa" - Warning (332126): Node "mz80k_top|z80|Decoder2~3|datad" - Warning (332126): Node "mz80k_top|z80|i_inblock|datab" - Warning (332126): Node "mz80k_top|cpu_data_in[6]~28|datab" - Warning (332126): Node "mz80k_top|cpu_data_in[1]~49|datad" -Critical Warning (332081): Design contains combinational loop of 816 nodes. Estimating the delays through the loop. -Info (332143): No user constrained clock uncertainty found in the design. Calling "derive_clock_uncertainty" -Info (332123): Deriving Clock Uncertainty. Please refer to report_sdc in TimeQuest to see clock uncertainties. -Info: Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON -Info: Analyzing Slow 1200mV 85C Model -Critical Warning (332148): Timing requirements not met - Info (11105): For recommendations on closing timing, run Report Timing Closure Recommendations in the TimeQuest Timing Analyzer. -Info (332146): Worst-case setup slack is -34.936 - Info (332119): Slack End Point TNS Clock - Info (332119): ========= =================== ===================== - Info (332119): -34.936 -9377.424 mz80k_top:mz80k_top|clk_count[2] - Info (332119): -32.140 -3694.987 pll|altpll_component|auto_generated|pll1|clk[0] - Info (332119): -7.401 -121.075 mz80k_top:mz80k_top|CLK_2M - Info (332119): -7.006 -594.451 SPI_SCK - Info (332119): -6.618 -110.932 mz80k_top:mz80k_top|CLK_31250 - Info (332119): -4.583 -71.203 mz80k_top:mz80k_top|i8253:i8253_1|signal1 - Info (332119): -2.023 -36.640 mz80k_top:mz80k_top|vga:vga1|counter[0] -Info (332146): Worst-case hold slack is -0.877 - Info (332119): Slack End Point TNS Clock - Info (332119): ========= =================== ===================== - Info (332119): -0.877 -2.243 mz80k_top:mz80k_top|clk_count[2] - Info (332119): -0.412 -1.310 pll|altpll_component|auto_generated|pll1|clk[0] - Info (332119): -0.029 -0.029 mz80k_top:mz80k_top|CLK_31250 - Info (332119): 0.449 0.000 SPI_SCK - Info (332119): 0.453 0.000 mz80k_top:mz80k_top|CLK_2M - Info (332119): 0.675 0.000 mz80k_top:mz80k_top|i8253:i8253_1|signal1 - Info (332119): 0.735 0.000 mz80k_top:mz80k_top|vga:vga1|counter[0] -Info (332146): Worst-case recovery slack is -2.223 - Info (332119): Slack End Point TNS Clock - Info (332119): ========= =================== ===================== - Info (332119): -2.223 -153.204 mz80k_top:mz80k_top|clk_count[2] - Info (332119): 14.024 0.000 pll|altpll_component|auto_generated|pll1|clk[0] -Info (332146): Worst-case removal slack is 0.336 - Info (332119): Slack End Point TNS Clock - Info (332119): ========= =================== ===================== - Info (332119): 0.336 0.000 mz80k_top:mz80k_top|clk_count[2] - Info (332119): 4.796 0.000 pll|altpll_component|auto_generated|pll1|clk[0] -Info (332146): Worst-case minimum pulse width slack is -3.201 - Info (332119): Slack End Point TNS Clock - Info (332119): ========= =================== ===================== - Info (332119): -3.201 -217.003 SPI_SCK - Info (332119): -1.487 -486.249 mz80k_top:mz80k_top|clk_count[2] - Info (332119): -1.487 -29.740 mz80k_top:mz80k_top|vga:vga1|counter[0] - Info (332119): -1.487 -25.279 mz80k_top:mz80k_top|CLK_2M - Info (332119): -1.487 -25.279 mz80k_top:mz80k_top|CLK_31250 - Info (332119): -1.487 -23.792 mz80k_top:mz80k_top|i8253:i8253_1|signal1 - Info (332119): 9.666 0.000 pll|altpll_component|auto_generated|pll1|clk[0] - Info (332119): 18.366 0.000 CLOCK_27 -Info: Analyzing Slow 1200mV 0C Model -Info (334003): Started post-fitting delay annotation -Info (334004): Delay annotation completed successfully -Info (332123): Deriving Clock Uncertainty. Please refer to report_sdc in TimeQuest to see clock uncertainties. -Critical Warning (332148): Timing requirements not met - Info (11105): For recommendations on closing timing, run Report Timing Closure Recommendations in the TimeQuest Timing Analyzer. -Info (332146): Worst-case setup slack is -33.231 - Info (332119): Slack End Point TNS Clock - Info (332119): ========= =================== ===================== - Info (332119): -33.231 -8921.813 mz80k_top:mz80k_top|clk_count[2] - Info (332119): -30.351 -3422.841 pll|altpll_component|auto_generated|pll1|clk[0] - Info (332119): -6.888 -112.587 mz80k_top:mz80k_top|CLK_2M - Info (332119): -6.568 -550.906 SPI_SCK - Info (332119): -6.055 -101.552 mz80k_top:mz80k_top|CLK_31250 - Info (332119): -4.189 -65.009 mz80k_top:mz80k_top|i8253:i8253_1|signal1 - Info (332119): -1.811 -32.260 mz80k_top:mz80k_top|vga:vga1|counter[0] -Info (332146): Worst-case hold slack is -0.741 - Info (332119): Slack End Point TNS Clock - Info (332119): ========= =================== ===================== - Info (332119): -0.741 -2.003 mz80k_top:mz80k_top|clk_count[2] - Info (332119): -0.535 -1.806 pll|altpll_component|auto_generated|pll1|clk[0] - Info (332119): 0.024 0.000 mz80k_top:mz80k_top|CLK_31250 - Info (332119): 0.402 0.000 SPI_SCK - Info (332119): 0.403 0.000 mz80k_top:mz80k_top|CLK_2M - Info (332119): 0.615 0.000 mz80k_top:mz80k_top|i8253:i8253_1|signal1 - Info (332119): 0.658 0.000 mz80k_top:mz80k_top|vga:vga1|counter[0] -Info (332146): Worst-case recovery slack is -2.150 - Info (332119): Slack End Point TNS Clock - Info (332119): ========= =================== ===================== - Info (332119): -2.150 -148.220 mz80k_top:mz80k_top|clk_count[2] - Info (332119): 14.497 0.000 pll|altpll_component|auto_generated|pll1|clk[0] -Info (332146): Worst-case removal slack is 0.319 - Info (332119): Slack End Point TNS Clock - Info (332119): ========= =================== ===================== - Info (332119): 0.319 0.000 mz80k_top:mz80k_top|clk_count[2] - Info (332119): 4.316 0.000 pll|altpll_component|auto_generated|pll1|clk[0] -Info (332146): Worst-case minimum pulse width slack is -3.201 - Info (332119): Slack End Point TNS Clock - Info (332119): ========= =================== ===================== - Info (332119): -3.201 -217.003 SPI_SCK - Info (332119): -1.487 -486.596 mz80k_top:mz80k_top|clk_count[2] - Info (332119): -1.487 -29.740 mz80k_top:mz80k_top|vga:vga1|counter[0] - Info (332119): -1.487 -25.279 mz80k_top:mz80k_top|CLK_2M - Info (332119): -1.487 -25.279 mz80k_top:mz80k_top|CLK_31250 - Info (332119): -1.487 -23.792 mz80k_top:mz80k_top|i8253:i8253_1|signal1 - Info (332119): 9.638 0.000 pll|altpll_component|auto_generated|pll1|clk[0] - Info (332119): 18.351 0.000 CLOCK_27 -Info: Analyzing Fast 1200mV 0C Model -Info (332123): Deriving Clock Uncertainty. Please refer to report_sdc in TimeQuest to see clock uncertainties. -Critical Warning (332148): Timing requirements not met - Info (11105): For recommendations on closing timing, run Report Timing Closure Recommendations in the TimeQuest Timing Analyzer. -Info (332146): Worst-case setup slack is -14.921 - Info (332119): Slack End Point TNS Clock - Info (332119): ========= =================== ===================== - Info (332119): -14.921 -4020.444 mz80k_top:mz80k_top|clk_count[2] - Info (332119): -14.623 -1714.893 pll|altpll_component|auto_generated|pll1|clk[0] - Info (332119): -2.558 -41.495 mz80k_top:mz80k_top|CLK_2M - Info (332119): -2.408 -184.558 SPI_SCK - Info (332119): -2.296 -38.342 mz80k_top:mz80k_top|CLK_31250 - Info (332119): -1.305 -20.020 mz80k_top:mz80k_top|i8253:i8253_1|signal1 - Info (332119): -0.305 -4.130 mz80k_top:mz80k_top|vga:vga1|counter[0] -Info (332146): Worst-case hold slack is -0.646 - Info (332119): Slack End Point TNS Clock - Info (332119): ========= =================== ===================== - Info (332119): -0.646 -4.158 mz80k_top:mz80k_top|clk_count[2] - Info (332119): -0.187 -0.187 mz80k_top:mz80k_top|CLK_31250 - Info (332119): -0.063 -0.175 pll|altpll_component|auto_generated|pll1|clk[0] - Info (332119): 0.147 0.000 SPI_SCK - Info (332119): 0.187 0.000 mz80k_top:mz80k_top|CLK_2M - Info (332119): 0.275 0.000 mz80k_top:mz80k_top|i8253:i8253_1|signal1 - Info (332119): 0.280 0.000 mz80k_top:mz80k_top|vga:vga1|counter[0] -Info (332146): Worst-case recovery slack is -0.886 - Info (332119): Slack End Point TNS Clock - Info (332119): ========= =================== ===================== - Info (332119): -0.886 -60.997 mz80k_top:mz80k_top|clk_count[2] - Info (332119): 17.326 0.000 pll|altpll_component|auto_generated|pll1|clk[0] -Info (332146): Worst-case removal slack is -0.025 - Info (332119): Slack End Point TNS Clock - Info (332119): ========= =================== ===================== - Info (332119): -0.025 -1.350 mz80k_top:mz80k_top|clk_count[2] - Info (332119): 2.184 0.000 pll|altpll_component|auto_generated|pll1|clk[0] -Info (332146): Worst-case minimum pulse width slack is -3.000 - Info (332119): Slack End Point TNS Clock - Info (332119): ========= =================== ===================== - Info (332119): -3.000 -181.072 SPI_SCK - Info (332119): -1.000 -327.000 mz80k_top:mz80k_top|clk_count[2] - Info (332119): -1.000 -20.000 mz80k_top:mz80k_top|vga:vga1|counter[0] - Info (332119): -1.000 -17.000 mz80k_top:mz80k_top|CLK_2M - Info (332119): -1.000 -17.000 mz80k_top:mz80k_top|CLK_31250 - Info (332119): -1.000 -16.000 mz80k_top:mz80k_top|i8253:i8253_1|signal1 - Info (332119): 9.746 0.000 pll|altpll_component|auto_generated|pll1|clk[0] - Info (332119): 17.928 0.000 CLOCK_27 -Info (332102): Design is not fully constrained for setup requirements -Info (332102): Design is not fully constrained for hold requirements -Info: Quartus II 64-Bit TimeQuest Timing Analyzer was successful. 0 errors, 822 warnings - Info: Peak virtual memory: 4795 megabytes - Info: Processing ended: Sun Jun 24 13:31:25 2018 - Info: Elapsed time: 00:00:10 - Info: Total CPU time (on all processors): 00:00:12 - - diff --git a/Sharp - MZ-80K_MiST/Output/mz80k_mist.sta.summary b/Sharp - MZ-80K_MiST/Output/mz80k_mist.sta.summary deleted file mode 100644 index d419547a..00000000 --- a/Sharp - MZ-80K_MiST/Output/mz80k_mist.sta.summary +++ /dev/null @@ -1,317 +0,0 @@ ------------------------------------------------------------- -TimeQuest Timing Analyzer Summary ------------------------------------------------------------- - -Type : Slow 1200mV 85C Model Setup 'mz80k_top:mz80k_top|clk_count[2]' -Slack : -34.936 -TNS : -9377.424 - -Type : Slow 1200mV 85C Model Setup 'pll|altpll_component|auto_generated|pll1|clk[0]' -Slack : -32.140 -TNS : -3694.987 - -Type : Slow 1200mV 85C Model Setup 'mz80k_top:mz80k_top|CLK_2M' -Slack : -7.401 -TNS : -121.075 - -Type : Slow 1200mV 85C Model Setup 'SPI_SCK' -Slack : -7.006 -TNS : -594.451 - -Type : Slow 1200mV 85C Model Setup 'mz80k_top:mz80k_top|CLK_31250' -Slack : -6.618 -TNS : -110.932 - -Type : Slow 1200mV 85C Model Setup 'mz80k_top:mz80k_top|i8253:i8253_1|signal1' -Slack : -4.583 -TNS : -71.203 - -Type : Slow 1200mV 85C Model Setup 'mz80k_top:mz80k_top|vga:vga1|counter[0]' -Slack : -2.023 -TNS : -36.640 - -Type : Slow 1200mV 85C Model Hold 'mz80k_top:mz80k_top|clk_count[2]' -Slack : -0.877 -TNS : -2.243 - -Type : Slow 1200mV 85C Model Hold 'pll|altpll_component|auto_generated|pll1|clk[0]' -Slack : -0.412 -TNS : -1.310 - -Type : Slow 1200mV 85C Model Hold 'mz80k_top:mz80k_top|CLK_31250' -Slack : -0.029 -TNS : -0.029 - -Type : Slow 1200mV 85C Model Hold 'SPI_SCK' -Slack : 0.449 -TNS : 0.000 - -Type : Slow 1200mV 85C Model Hold 'mz80k_top:mz80k_top|CLK_2M' -Slack : 0.453 -TNS : 0.000 - -Type : Slow 1200mV 85C Model Hold 'mz80k_top:mz80k_top|i8253:i8253_1|signal1' -Slack : 0.675 -TNS : 0.000 - -Type : Slow 1200mV 85C Model Hold 'mz80k_top:mz80k_top|vga:vga1|counter[0]' -Slack : 0.735 -TNS : 0.000 - -Type : Slow 1200mV 85C Model Recovery 'mz80k_top:mz80k_top|clk_count[2]' -Slack : -2.223 -TNS : -153.204 - -Type : Slow 1200mV 85C Model Recovery 'pll|altpll_component|auto_generated|pll1|clk[0]' -Slack : 14.024 -TNS : 0.000 - -Type : Slow 1200mV 85C Model Removal 'mz80k_top:mz80k_top|clk_count[2]' -Slack : 0.336 -TNS : 0.000 - -Type : Slow 1200mV 85C Model Removal 'pll|altpll_component|auto_generated|pll1|clk[0]' -Slack : 4.796 -TNS : 0.000 - -Type : Slow 1200mV 85C Model Minimum Pulse Width 'SPI_SCK' -Slack : -3.201 -TNS : -217.003 - -Type : Slow 1200mV 85C Model Minimum Pulse Width 'mz80k_top:mz80k_top|clk_count[2]' -Slack : -1.487 -TNS : -486.249 - -Type : Slow 1200mV 85C Model Minimum Pulse Width 'mz80k_top:mz80k_top|vga:vga1|counter[0]' -Slack : -1.487 -TNS : -29.740 - -Type : Slow 1200mV 85C Model Minimum Pulse Width 'mz80k_top:mz80k_top|CLK_2M' -Slack : -1.487 -TNS : -25.279 - -Type : Slow 1200mV 85C Model Minimum Pulse Width 'mz80k_top:mz80k_top|CLK_31250' -Slack : -1.487 -TNS : -25.279 - -Type : Slow 1200mV 85C Model Minimum Pulse Width 'mz80k_top:mz80k_top|i8253:i8253_1|signal1' -Slack : -1.487 -TNS : -23.792 - -Type : Slow 1200mV 85C Model Minimum Pulse Width 'pll|altpll_component|auto_generated|pll1|clk[0]' -Slack : 9.666 -TNS : 0.000 - -Type : Slow 1200mV 85C Model Minimum Pulse Width 'CLOCK_27' -Slack : 18.366 -TNS : 0.000 - -Type : Slow 1200mV 0C Model Setup 'mz80k_top:mz80k_top|clk_count[2]' -Slack : -33.231 -TNS : -8921.813 - -Type : Slow 1200mV 0C Model Setup 'pll|altpll_component|auto_generated|pll1|clk[0]' -Slack : -30.351 -TNS : -3422.841 - -Type : Slow 1200mV 0C Model Setup 'mz80k_top:mz80k_top|CLK_2M' -Slack : -6.888 -TNS : -112.587 - -Type : Slow 1200mV 0C Model Setup 'SPI_SCK' -Slack : -6.568 -TNS : -550.906 - -Type : Slow 1200mV 0C Model Setup 'mz80k_top:mz80k_top|CLK_31250' -Slack : -6.055 -TNS : -101.552 - -Type : Slow 1200mV 0C Model Setup 'mz80k_top:mz80k_top|i8253:i8253_1|signal1' -Slack : -4.189 -TNS : -65.009 - -Type : Slow 1200mV 0C Model Setup 'mz80k_top:mz80k_top|vga:vga1|counter[0]' -Slack : -1.811 -TNS : -32.260 - -Type : Slow 1200mV 0C Model Hold 'mz80k_top:mz80k_top|clk_count[2]' -Slack : -0.741 -TNS : -2.003 - -Type : Slow 1200mV 0C Model Hold 'pll|altpll_component|auto_generated|pll1|clk[0]' -Slack : -0.535 -TNS : -1.806 - -Type : Slow 1200mV 0C Model Hold 'mz80k_top:mz80k_top|CLK_31250' -Slack : 0.024 -TNS : 0.000 - -Type : Slow 1200mV 0C Model Hold 'SPI_SCK' -Slack : 0.402 -TNS : 0.000 - -Type : Slow 1200mV 0C Model Hold 'mz80k_top:mz80k_top|CLK_2M' -Slack : 0.403 -TNS : 0.000 - -Type : Slow 1200mV 0C Model Hold 'mz80k_top:mz80k_top|i8253:i8253_1|signal1' -Slack : 0.615 -TNS : 0.000 - -Type : Slow 1200mV 0C Model Hold 'mz80k_top:mz80k_top|vga:vga1|counter[0]' -Slack : 0.658 -TNS : 0.000 - -Type : Slow 1200mV 0C Model Recovery 'mz80k_top:mz80k_top|clk_count[2]' -Slack : -2.150 -TNS : -148.220 - -Type : Slow 1200mV 0C Model Recovery 'pll|altpll_component|auto_generated|pll1|clk[0]' -Slack : 14.497 -TNS : 0.000 - -Type : Slow 1200mV 0C Model Removal 'mz80k_top:mz80k_top|clk_count[2]' -Slack : 0.319 -TNS : 0.000 - -Type : Slow 1200mV 0C Model Removal 'pll|altpll_component|auto_generated|pll1|clk[0]' -Slack : 4.316 -TNS : 0.000 - -Type : Slow 1200mV 0C Model Minimum Pulse Width 'SPI_SCK' -Slack : -3.201 -TNS : -217.003 - -Type : Slow 1200mV 0C Model Minimum Pulse Width 'mz80k_top:mz80k_top|clk_count[2]' -Slack : -1.487 -TNS : -486.596 - -Type : Slow 1200mV 0C Model Minimum Pulse Width 'mz80k_top:mz80k_top|vga:vga1|counter[0]' -Slack : -1.487 -TNS : -29.740 - -Type : Slow 1200mV 0C Model Minimum Pulse Width 'mz80k_top:mz80k_top|CLK_2M' -Slack : -1.487 -TNS : -25.279 - -Type : Slow 1200mV 0C Model Minimum Pulse Width 'mz80k_top:mz80k_top|CLK_31250' -Slack : -1.487 -TNS : -25.279 - -Type : Slow 1200mV 0C Model Minimum Pulse Width 'mz80k_top:mz80k_top|i8253:i8253_1|signal1' -Slack : -1.487 -TNS : -23.792 - -Type : Slow 1200mV 0C Model Minimum Pulse Width 'pll|altpll_component|auto_generated|pll1|clk[0]' -Slack : 9.638 -TNS : 0.000 - -Type : Slow 1200mV 0C Model Minimum Pulse Width 'CLOCK_27' -Slack : 18.351 -TNS : 0.000 - -Type : Fast 1200mV 0C Model Setup 'mz80k_top:mz80k_top|clk_count[2]' -Slack : -14.921 -TNS : -4020.444 - -Type : Fast 1200mV 0C Model Setup 'pll|altpll_component|auto_generated|pll1|clk[0]' -Slack : -14.623 -TNS : -1714.893 - -Type : Fast 1200mV 0C Model Setup 'mz80k_top:mz80k_top|CLK_2M' -Slack : -2.558 -TNS : -41.495 - -Type : Fast 1200mV 0C Model Setup 'SPI_SCK' -Slack : -2.408 -TNS : -184.558 - -Type : Fast 1200mV 0C Model Setup 'mz80k_top:mz80k_top|CLK_31250' -Slack : -2.296 -TNS : -38.342 - -Type : Fast 1200mV 0C Model Setup 'mz80k_top:mz80k_top|i8253:i8253_1|signal1' -Slack : -1.305 -TNS : -20.020 - -Type : Fast 1200mV 0C Model Setup 'mz80k_top:mz80k_top|vga:vga1|counter[0]' -Slack : -0.305 -TNS : -4.130 - -Type : Fast 1200mV 0C Model Hold 'mz80k_top:mz80k_top|clk_count[2]' -Slack : -0.646 -TNS : -4.158 - -Type : Fast 1200mV 0C Model Hold 'mz80k_top:mz80k_top|CLK_31250' -Slack : -0.187 -TNS : -0.187 - -Type : Fast 1200mV 0C Model Hold 'pll|altpll_component|auto_generated|pll1|clk[0]' -Slack : -0.063 -TNS : -0.175 - -Type : Fast 1200mV 0C Model Hold 'SPI_SCK' -Slack : 0.147 -TNS : 0.000 - -Type : Fast 1200mV 0C Model Hold 'mz80k_top:mz80k_top|CLK_2M' -Slack : 0.187 -TNS : 0.000 - -Type : Fast 1200mV 0C Model Hold 'mz80k_top:mz80k_top|i8253:i8253_1|signal1' -Slack : 0.275 -TNS : 0.000 - -Type : Fast 1200mV 0C Model Hold 'mz80k_top:mz80k_top|vga:vga1|counter[0]' -Slack : 0.280 -TNS : 0.000 - -Type : Fast 1200mV 0C Model Recovery 'mz80k_top:mz80k_top|clk_count[2]' -Slack : -0.886 -TNS : -60.997 - -Type : Fast 1200mV 0C Model Recovery 'pll|altpll_component|auto_generated|pll1|clk[0]' -Slack : 17.326 -TNS : 0.000 - -Type : Fast 1200mV 0C Model Removal 'mz80k_top:mz80k_top|clk_count[2]' -Slack : -0.025 -TNS : -1.350 - -Type : Fast 1200mV 0C Model Removal 'pll|altpll_component|auto_generated|pll1|clk[0]' -Slack : 2.184 -TNS : 0.000 - -Type : Fast 1200mV 0C Model Minimum Pulse Width 'SPI_SCK' -Slack : -3.000 -TNS : -181.072 - -Type : Fast 1200mV 0C Model Minimum Pulse Width 'mz80k_top:mz80k_top|clk_count[2]' -Slack : -1.000 -TNS : -327.000 - -Type : Fast 1200mV 0C Model Minimum Pulse Width 'mz80k_top:mz80k_top|vga:vga1|counter[0]' -Slack : -1.000 -TNS : -20.000 - -Type : Fast 1200mV 0C Model Minimum Pulse Width 'mz80k_top:mz80k_top|CLK_2M' -Slack : -1.000 -TNS : -17.000 - -Type : Fast 1200mV 0C Model Minimum Pulse Width 'mz80k_top:mz80k_top|CLK_31250' -Slack : -1.000 -TNS : -17.000 - -Type : Fast 1200mV 0C Model Minimum Pulse Width 'mz80k_top:mz80k_top|i8253:i8253_1|signal1' -Slack : -1.000 -TNS : -16.000 - -Type : Fast 1200mV 0C Model Minimum Pulse Width 'pll|altpll_component|auto_generated|pll1|clk[0]' -Slack : 9.746 -TNS : 0.000 - -Type : Fast 1200mV 0C Model Minimum Pulse Width 'CLOCK_27' -Slack : 17.928 -TNS : 0.000 - -------------------------------------------------------------