From 2e595b7c68748a99830cd64d01b3c5d0baba7c8f Mon Sep 17 00:00:00 2001 From: Gehstock Date: Sun, 24 Jun 2018 16:16:31 +0200 Subject: [PATCH] Cleanup --- Sharp - MZ-80K_MiST/Output/mz80k_mist.asm.rpt | 128 - Sharp - MZ-80K_MiST/Output/mz80k_mist.cdf | 13 - Sharp - MZ-80K_MiST/Output/mz80k_mist.done | 1 - Sharp - MZ-80K_MiST/Output/mz80k_mist.eda.rpt | 107 - Sharp - MZ-80K_MiST/Output/mz80k_mist.fit.rpt | 7777 ------------ .../Output/mz80k_mist.fit.smsg | 8 - .../Output/mz80k_mist.fit.summary | 16 - .../Output/mz80k_mist.flow.rpt | 136 - Sharp - MZ-80K_MiST/Output/mz80k_mist.jdi | 8 - Sharp - MZ-80K_MiST/Output/mz80k_mist.map.rpt | 3094 ----- .../Output/mz80k_mist.map.smsg | 42 - .../Output/mz80k_mist.map.summary | 14 - Sharp - MZ-80K_MiST/Output/mz80k_mist.pin | 215 - Sharp - MZ-80K_MiST/Output/mz80k_mist.sof | Bin 703954 -> 0 bytes Sharp - MZ-80K_MiST/Output/mz80k_mist.sta.rpt | 10537 ---------------- .../Output/mz80k_mist.sta.summary | 317 - 16 files changed, 22413 deletions(-) delete mode 100644 Sharp - MZ-80K_MiST/Output/mz80k_mist.asm.rpt delete mode 100644 Sharp - MZ-80K_MiST/Output/mz80k_mist.cdf delete mode 100644 Sharp - MZ-80K_MiST/Output/mz80k_mist.done delete mode 100644 Sharp - MZ-80K_MiST/Output/mz80k_mist.eda.rpt delete mode 100644 Sharp - MZ-80K_MiST/Output/mz80k_mist.fit.rpt delete mode 100644 Sharp - MZ-80K_MiST/Output/mz80k_mist.fit.smsg delete mode 100644 Sharp - MZ-80K_MiST/Output/mz80k_mist.fit.summary delete mode 100644 Sharp - MZ-80K_MiST/Output/mz80k_mist.flow.rpt delete mode 100644 Sharp - MZ-80K_MiST/Output/mz80k_mist.jdi delete mode 100644 Sharp - MZ-80K_MiST/Output/mz80k_mist.map.rpt delete mode 100644 Sharp - MZ-80K_MiST/Output/mz80k_mist.map.smsg delete mode 100644 Sharp - MZ-80K_MiST/Output/mz80k_mist.map.summary delete mode 100644 Sharp - MZ-80K_MiST/Output/mz80k_mist.pin delete mode 100644 Sharp - MZ-80K_MiST/Output/mz80k_mist.sof delete mode 100644 Sharp - MZ-80K_MiST/Output/mz80k_mist.sta.rpt delete mode 100644 Sharp - MZ-80K_MiST/Output/mz80k_mist.sta.summary diff --git a/Sharp - MZ-80K_MiST/Output/mz80k_mist.asm.rpt b/Sharp - MZ-80K_MiST/Output/mz80k_mist.asm.rpt deleted file mode 100644 index d010ea41..00000000 --- a/Sharp - MZ-80K_MiST/Output/mz80k_mist.asm.rpt +++ /dev/null @@ -1,128 +0,0 @@ -Assembler report for mz80k_mist -Sun Jun 24 13:31:13 2018 -Quartus II 64-Bit Version 13.1.0 Build 162 10/23/2013 SJ Web Edition - - ---------------------- -; Table of Contents ; ---------------------- - 1. Legal Notice - 2. Assembler Summary - 3. Assembler Settings - 4. Assembler Generated Files - 5. Assembler Device Options: D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/Output/mz80k_mist.sof - 6. Assembler Device Options: D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/Output/mz80k_mist.rbf - 7. Assembler Messages - - - ----------------- -; Legal Notice ; ----------------- -Copyright (C) 1991-2013 Altera Corporation -Your use of Altera Corporation's design tools, logic functions -and other software and tools, and its AMPP partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Altera Program License -Subscription Agreement, Altera MegaCore Function License -Agreement, or other applicable license agreement, including, -without limitation, that your use is for the sole purpose of -programming logic devices manufactured by Altera and sold by -Altera or its authorized distributors. Please refer to the -applicable agreement for further details. - - - -+---------------------------------------------------------------+ -; Assembler Summary ; -+-----------------------+---------------------------------------+ -; Assembler Status ; Successful - Sun Jun 24 13:31:13 2018 ; -; Revision Name ; mz80k_mist ; -; Top-level Entity Name ; mz80k_mist ; -; Family ; Cyclone III ; -; Device ; EP3C25E144C8 ; -+-----------------------+---------------------------------------+ - - -+--------------------------------------------------------------------------------------------------------+ -; Assembler Settings ; -+-----------------------------------------------------------------------------+----------+---------------+ -; Option ; Setting ; Default Value ; -+-----------------------------------------------------------------------------+----------+---------------+ -; Generate Raw Binary File (.rbf) For Target Device ; On ; Off ; -; Use smart compilation ; Off ; Off ; -; Enable parallel Assembler and TimeQuest Timing Analyzer during compilation ; On ; On ; -; Enable compact report table ; Off ; Off ; -; Generate compressed bitstreams ; On ; On ; -; Compression mode ; Off ; Off ; -; Clock source for configuration device ; Internal ; Internal ; -; Clock frequency of the configuration device ; 10 MHZ ; 10 MHz ; -; Divide clock frequency by ; 1 ; 1 ; -; Auto user code ; On ; On ; -; Use configuration device ; Off ; Off ; -; Configuration device ; Auto ; Auto ; -; Configuration device auto user code ; Off ; Off ; -; Generate Tabular Text File (.ttf) For Target Device ; Off ; Off ; -; Generate Hexadecimal (Intel-Format) Output File (.hexout) for Target Device ; Off ; Off ; -; Hexadecimal Output File start address ; 0 ; 0 ; -; Hexadecimal Output File count direction ; Up ; Up ; -; Release clears before tri-states ; Off ; Off ; -; Auto-restart configuration after error ; On ; On ; -; Enable OCT_DONE ; Off ; Off ; -; Generate Serial Vector Format File (.svf) for Target Device ; Off ; Off ; -; Generate a JEDEC STAPL Format File (.jam) for Target Device ; Off ; Off ; -; Generate a compressed Jam STAPL Byte Code 2.0 File (.jbc) for Target Device ; Off ; Off ; -; Generate a compressed Jam STAPL Byte Code 2.0 File (.jbc) for Target Device ; On ; On ; -+-----------------------------------------------------------------------------+----------+---------------+ - - -+---------------------------------------------------------------+ -; Assembler Generated Files ; -+---------------------------------------------------------------+ -; File Name ; -+---------------------------------------------------------------+ -; D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/Output/mz80k_mist.sof ; -; D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/Output/mz80k_mist.rbf ; -+---------------------------------------------------------------+ - - -+-----------------------------------------------------------------------------------------+ -; Assembler Device Options: D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/Output/mz80k_mist.sof ; -+----------------+------------------------------------------------------------------------+ -; Option ; Setting ; -+----------------+------------------------------------------------------------------------+ -; Device ; EP3C25E144C8 ; -; JTAG usercode ; 0x003EEC1B ; -; Checksum ; 0x003EEC1B ; -+----------------+------------------------------------------------------------------------+ - - -+-----------------------------------------------------------------------------------------+ -; Assembler Device Options: D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/Output/mz80k_mist.rbf ; -+---------------------+-------------------------------------------------------------------+ -; Option ; Setting ; -+---------------------+-------------------------------------------------------------------+ -; Raw Binary File ; ; -; Compression Ratio ; 2 ; -+---------------------+-------------------------------------------------------------------+ - - -+--------------------+ -; Assembler Messages ; -+--------------------+ -Info: ******************************************************************* -Info: Running Quartus II 64-Bit Assembler - Info: Version 13.1.0 Build 162 10/23/2013 SJ Web Edition - Info: Processing started: Sun Jun 24 13:31:10 2018 -Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off mz80k_mist -c mz80k_mist -Info (115031): Writing out detailed assembly data for power analysis -Info (115030): Assembler is generating device programming files -Info: Quartus II 64-Bit Assembler was successful. 0 errors, 0 warnings - Info: Peak virtual memory: 4635 megabytes - Info: Processing ended: Sun Jun 24 13:31:13 2018 - Info: Elapsed time: 00:00:03 - Info: Total CPU time (on all processors): 00:00:03 - - diff --git a/Sharp - MZ-80K_MiST/Output/mz80k_mist.cdf b/Sharp - MZ-80K_MiST/Output/mz80k_mist.cdf deleted file mode 100644 index b7c9fc8d..00000000 --- a/Sharp - MZ-80K_MiST/Output/mz80k_mist.cdf +++ /dev/null @@ -1,13 +0,0 @@ -/* Quartus II 64-Bit Version 13.1.0 Build 162 10/23/2013 SJ Web Edition */ -JedecChain; - FileRevision(JESD32A); - DefaultMfr(6E); - - P ActionCode(Cfg) - Device PartName(EP3C25E144) Path("D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/Output/") File("mz80k_mist.sof") MfrSpec(OpMask(1)); - -ChainEnd; - -AlteraBegin; - ChainType(JTAG); -AlteraEnd; diff --git a/Sharp - MZ-80K_MiST/Output/mz80k_mist.done b/Sharp - MZ-80K_MiST/Output/mz80k_mist.done deleted file mode 100644 index 511f88ca..00000000 --- a/Sharp - MZ-80K_MiST/Output/mz80k_mist.done +++ /dev/null @@ -1 +0,0 @@ -Sun Jun 24 13:31:33 2018 diff --git a/Sharp - MZ-80K_MiST/Output/mz80k_mist.eda.rpt b/Sharp - MZ-80K_MiST/Output/mz80k_mist.eda.rpt deleted file mode 100644 index 47a6a422..00000000 --- a/Sharp - MZ-80K_MiST/Output/mz80k_mist.eda.rpt +++ /dev/null @@ -1,107 +0,0 @@ -EDA Netlist Writer report for mz80k_mist -Sun Jun 24 13:31:32 2018 -Quartus II 64-Bit Version 13.1.0 Build 162 10/23/2013 SJ Web Edition - - ---------------------- -; Table of Contents ; ---------------------- - 1. Legal Notice - 2. EDA Netlist Writer Summary - 3. Simulation Settings - 4. Simulation Generated Files - 5. EDA Netlist Writer Messages - - - ----------------- -; Legal Notice ; ----------------- -Copyright (C) 1991-2013 Altera Corporation -Your use of Altera Corporation's design tools, logic functions -and other software and tools, and its AMPP partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Altera Program License -Subscription Agreement, Altera MegaCore Function License -Agreement, or other applicable license agreement, including, -without limitation, that your use is for the sole purpose of -programming logic devices manufactured by Altera and sold by -Altera or its authorized distributors. Please refer to the -applicable agreement for further details. - - - -+-------------------------------------------------------------------+ -; EDA Netlist Writer Summary ; -+---------------------------+---------------------------------------+ -; EDA Netlist Writer Status ; Successful - Sun Jun 24 13:31:32 2018 ; -; Revision Name ; mz80k_mist ; -; Top-level Entity Name ; mz80k_mist ; -; Family ; Cyclone III ; -; Simulation Files Creation ; Successful ; -+---------------------------+---------------------------------------+ - - -+----------------------------------------------------------------------------------------------------------------------------+ -; Simulation Settings ; -+---------------------------------------------------------------------------------------------------+------------------------+ -; Option ; Setting ; -+---------------------------------------------------------------------------------------------------+------------------------+ -; Tool Name ; ModelSim-Altera (VHDL) ; -; Generate netlist for functional simulation only ; Off ; -; Time scale ; 1 ps ; -; Truncate long hierarchy paths ; Off ; -; Map illegal HDL characters ; Off ; -; Flatten buses into individual nodes ; Off ; -; Maintain hierarchy ; Off ; -; Bring out device-wide set/reset signals as ports ; Off ; -; Enable glitch filtering ; Off ; -; Do not write top level VHDL entity ; Off ; -; Disable detection of setup and hold time violations in the input registers of bi-directional pins ; Off ; -; Architecture name in VHDL output netlist ; structure ; -; Generate third-party EDA tool command script for RTL functional simulation ; Off ; -; Generate third-party EDA tool command script for gate-level simulation ; Off ; -+---------------------------------------------------------------------------------------------------+------------------------+ - - -+---------------------------------------------------------------------------------------------------+ -; Simulation Generated Files ; -+---------------------------------------------------------------------------------------------------+ -; Generated Files ; -+---------------------------------------------------------------------------------------------------+ -; D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/simulation/modelsim/mz80k_mist_8_1200mv_85c_slow.vho ; -; D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/simulation/modelsim/mz80k_mist_8_1200mv_0c_slow.vho ; -; D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/simulation/modelsim/mz80k_mist_min_1200mv_0c_fast.vho ; -; D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/simulation/modelsim/mz80k_mist.vho ; -; D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/simulation/modelsim/mz80k_mist_8_1200mv_85c_vhd_slow.sdo ; -; D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/simulation/modelsim/mz80k_mist_8_1200mv_0c_vhd_slow.sdo ; -; D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/simulation/modelsim/mz80k_mist_min_1200mv_0c_vhd_fast.sdo ; -; D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/simulation/modelsim/mz80k_mist_vhd.sdo ; -+---------------------------------------------------------------------------------------------------+ - - -+-----------------------------+ -; EDA Netlist Writer Messages ; -+-----------------------------+ -Info: ******************************************************************* -Info: Running Quartus II 64-Bit EDA Netlist Writer - Info: Version 13.1.0 Build 162 10/23/2013 SJ Web Edition - Info: Processing started: Sun Jun 24 13:31:27 2018 -Info: Command: quartus_eda --read_settings_files=off --write_settings_files=off mz80k_mist -c mz80k_mist -Info (204019): Generated file mz80k_mist_8_1200mv_85c_slow.vho in folder "D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/simulation/modelsim/" for EDA simulation tool -Info (204019): Generated file mz80k_mist_8_1200mv_0c_slow.vho in folder "D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/simulation/modelsim/" for EDA simulation tool -Info (204019): Generated file mz80k_mist_min_1200mv_0c_fast.vho in folder "D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/simulation/modelsim/" for EDA simulation tool -Info (204019): Generated file mz80k_mist.vho in folder "D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/simulation/modelsim/" for EDA simulation tool -Info (204019): Generated file mz80k_mist_8_1200mv_85c_vhd_slow.sdo in folder "D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/simulation/modelsim/" for EDA simulation tool -Info (204019): Generated file mz80k_mist_8_1200mv_0c_vhd_slow.sdo in folder "D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/simulation/modelsim/" for EDA simulation tool -Info (204019): Generated file mz80k_mist_min_1200mv_0c_vhd_fast.sdo in folder "D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/simulation/modelsim/" for EDA simulation tool -Info (204019): Generated file mz80k_mist_vhd.sdo in folder "D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/simulation/modelsim/" for EDA simulation tool -Info: Quartus II 64-Bit EDA Netlist Writer was successful. 0 errors, 0 warnings - Info: Peak virtual memory: 4636 megabytes - Info: Processing ended: Sun Jun 24 13:31:32 2018 - Info: Elapsed time: 00:00:05 - Info: Total CPU time (on all processors): 00:00:04 - - diff --git a/Sharp - MZ-80K_MiST/Output/mz80k_mist.fit.rpt b/Sharp - MZ-80K_MiST/Output/mz80k_mist.fit.rpt deleted file mode 100644 index 62ef1437..00000000 --- a/Sharp - MZ-80K_MiST/Output/mz80k_mist.fit.rpt +++ /dev/null @@ -1,7777 +0,0 @@ -Fitter report for mz80k_mist -Sun Jun 24 13:31:05 2018 -Quartus II 64-Bit Version 13.1.0 Build 162 10/23/2013 SJ Web Edition - - ---------------------- -; Table of Contents ; ---------------------- - 1. Legal Notice - 2. Fitter Summary - 3. Fitter Settings - 4. Parallel Compilation - 5. I/O Assignment Warnings - 6. Ignored Assignments - 7. Incremental Compilation Preservation Summary - 8. Incremental Compilation Partition Settings - 9. Incremental Compilation Placement Preservation - 10. Pin-Out File - 11. Fitter Resource Usage Summary - 12. Fitter Partition Statistics - 13. Input Pins - 14. Output Pins - 15. Dual Purpose and Dedicated Pins - 16. I/O Bank Usage - 17. All Package Pins - 18. PLL Summary - 19. PLL Usage - 20. Fitter Resource Utilization by Entity - 21. Delay Chain Summary - 22. Pad To Core Delay Chain Fanout - 23. Control Signals - 24. Global & Other Fast Signals - 25. Non-Global High Fan-Out Signals - 26. Fitter RAM Summary - 27. |mz80k_mist|mz80k_top:mz80k_top|vga:vga1|cg_rom:cg_rom|altsyncram:altsyncram_component|altsyncram_f7a1:auto_generated|ALTSYNCRAM - 28. |mz80k_mist|mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ALTSYNCRAM - 29. Routing Usage Summary - 30. LAB Logic Elements - 31. LAB-wide Signals - 32. LAB Signals Sourced - 33. LAB Signals Sourced Out - 34. LAB Distinct Inputs - 35. I/O Rules Summary - 36. I/O Rules Details - 37. I/O Rules Matrix - 38. Fitter Device Options - 39. Operating Settings and Conditions - 40. Estimated Delay Added for Hold Timing Summary - 41. Estimated Delay Added for Hold Timing Details - 42. Fitter Messages - 43. Fitter Suppressed Messages - - - ----------------- -; Legal Notice ; ----------------- -Copyright (C) 1991-2013 Altera Corporation -Your use of Altera Corporation's design tools, logic functions -and other software and tools, and its AMPP partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Altera Program License -Subscription Agreement, Altera MegaCore Function License -Agreement, or other applicable license agreement, including, -without limitation, that your use is for the sole purpose of -programming logic devices manufactured by Altera and sold by -Altera or its authorized distributors. Please refer to the -applicable agreement for further details. - - - -+---------------------------------------------------------------------------------+ -; Fitter Summary ; -+------------------------------------+--------------------------------------------+ -; Fitter Status ; Successful - Sun Jun 24 13:31:05 2018 ; -; Quartus II 64-Bit Version ; 13.1.0 Build 162 10/23/2013 SJ Web Edition ; -; Revision Name ; mz80k_mist ; -; Top-level Entity Name ; mz80k_mist ; -; Family ; Cyclone III ; -; Device ; EP3C25E144C8 ; -; Timing Models ; Final ; -; Total logic elements ; 3,012 / 24,624 ( 12 % ) ; -; Total combinational functions ; 2,886 / 24,624 ( 12 % ) ; -; Dedicated logic registers ; 891 / 24,624 ( 4 % ) ; -; Total registers ; 891 ; -; Total pins ; 31 / 83 ( 37 % ) ; -; Total virtual pins ; 0 ; -; Total memory bits ; 311,296 / 608,256 ( 51 % ) ; -; Embedded Multiplier 9-bit elements ; 0 / 132 ( 0 % ) ; -; Total PLLs ; 1 / 4 ( 25 % ) ; -+------------------------------------+--------------------------------------------+ - - -+------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Fitter Settings ; -+----------------------------------------------------------------------------+---------------------------------------+---------------------------------------+ -; Option ; Setting ; Default Value ; -+----------------------------------------------------------------------------+---------------------------------------+---------------------------------------+ -; Device ; EP3C25E144C8 ; ; -; Minimum Core Junction Temperature ; 0 ; ; -; Maximum Core Junction Temperature ; 85 ; ; -; Fit Attempts to Skip ; 0 ; 0.0 ; -; Device I/O Standard ; 3.3-V LVTTL ; ; -; Use smart compilation ; Off ; Off ; -; Enable parallel Assembler and TimeQuest Timing Analyzer during compilation ; On ; On ; -; Enable compact report table ; Off ; Off ; -; Auto Merge PLLs ; On ; On ; -; Router Timing Optimization Level ; Normal ; Normal ; -; Perform Clocking Topology Analysis During Routing ; Off ; Off ; -; Placement Effort Multiplier ; 1.0 ; 1.0 ; -; Router Effort Multiplier ; 1.0 ; 1.0 ; -; Optimize Hold Timing ; All Paths ; All Paths ; -; Optimize Multi-Corner Timing ; On ; On ; -; PowerPlay Power Optimization ; Normal compilation ; Normal compilation ; -; SSN Optimization ; Off ; Off ; -; Optimize Timing ; Normal compilation ; Normal compilation ; -; Optimize Timing for ECOs ; Off ; Off ; -; Regenerate full fit report during ECO compiles ; Off ; Off ; -; Optimize IOC Register Placement for Timing ; Normal ; Normal ; -; Limit to One Fitting Attempt ; Off ; Off ; -; Final Placement Optimizations ; Automatically ; Automatically ; -; Fitter Aggressive Routability Optimizations ; Automatically ; Automatically ; -; Fitter Initial Placement Seed ; 1 ; 1 ; -; PCI I/O ; Off ; Off ; -; Weak Pull-Up Resistor ; Off ; Off ; -; Enable Bus-Hold Circuitry ; Off ; Off ; -; Auto Packed Registers ; Auto ; Auto ; -; Auto Delay Chains ; On ; On ; -; Auto Delay Chains for High Fanout Input Pins ; Off ; Off ; -; Allow Single-ended Buffer for Differential-XSTL Input ; Off ; Off ; -; Treat Bidirectional Pin as Output Pin ; Off ; Off ; -; Perform Physical Synthesis for Combinational Logic for Fitting ; Off ; Off ; -; Perform Physical Synthesis for Combinational Logic for Performance ; Off ; Off ; -; Perform Register Duplication for Performance ; Off ; Off ; -; Perform Logic to Memory Mapping for Fitting ; Off ; Off ; -; Perform Register Retiming for Performance ; Off ; Off ; -; Perform Asynchronous Signal Pipelining ; Off ; Off ; -; Fitter Effort ; Auto Fit ; Auto Fit ; -; Physical Synthesis Effort Level ; Normal ; Normal ; -; Logic Cell Insertion - Logic Duplication ; Auto ; Auto ; -; Auto Register Duplication ; Auto ; Auto ; -; Auto Global Clock ; On ; On ; -; Auto Global Register Control Signals ; On ; On ; -; Reserve all unused pins ; As input tri-stated with weak pull-up ; As input tri-stated with weak pull-up ; -; Synchronizer Identification ; Off ; Off ; -; Enable Beneficial Skew Optimization ; On ; On ; -; Optimize Design for Metastability ; On ; On ; -; Force Fitter to Avoid Periphery Placement Warnings ; Off ; Off ; -; RAM Bit Reservation (Cyclone III) ; Off ; Off ; -; Enable input tri-state on active configuration pins in user mode ; Off ; Off ; -+----------------------------------------------------------------------------+---------------------------------------+---------------------------------------+ - - -+------------------------------------------+ -; Parallel Compilation ; -+----------------------------+-------------+ -; Processors ; Number ; -+----------------------------+-------------+ -; Number detected on machine ; 8 ; -; Maximum allowed ; 4 ; -; ; ; -; Average used ; 2.02 ; -; Maximum used ; 4 ; -; ; ; -; Usage by Processor ; % Time Used ; -; Processor 1 ; 100.0% ; -; Processors 2-4 ; 34.0% ; -; Processors 5-8 ; 0.0% ; -+----------------------------+-------------+ - - -+-----------------------------------+ -; I/O Assignment Warnings ; -+----------+------------------------+ -; Pin Name ; Reason ; -+----------+------------------------+ -; VGA_R[0] ; Missing drive strength ; -; VGA_R[1] ; Missing drive strength ; -; VGA_R[2] ; Missing drive strength ; -; VGA_R[3] ; Missing drive strength ; -; VGA_R[4] ; Missing drive strength ; -; VGA_R[5] ; Missing drive strength ; -; VGA_G[0] ; Missing drive strength ; -; VGA_G[1] ; Missing drive strength ; -; VGA_G[2] ; Missing drive strength ; -; VGA_G[3] ; Missing drive strength ; -; VGA_G[4] ; Missing drive strength ; -; VGA_G[5] ; Missing drive strength ; -; VGA_B[0] ; Missing drive strength ; -; VGA_B[1] ; Missing drive strength ; -; VGA_B[2] ; Missing drive strength ; -; VGA_B[3] ; Missing drive strength ; -; VGA_B[4] ; Missing drive strength ; -; VGA_B[5] ; Missing drive strength ; -; VGA_HS ; Missing drive strength ; -; VGA_VS ; Missing drive strength ; -; LED ; Missing drive strength ; -; AUDIO_L ; Missing drive strength ; -; AUDIO_R ; Missing drive strength ; -; SPI_DO ; Missing drive strength ; -+----------+------------------------+ - - -+------------------------------------------------------------------------------------------+ -; Ignored Assignments ; -+----------+----------------+--------------+--------------+---------------+----------------+ -; Name ; Ignored Entity ; Ignored From ; Ignored To ; Ignored Value ; Ignored Source ; -+----------+----------------+--------------+--------------+---------------+----------------+ -; Location ; ; ; SDRAM_A[0] ; PIN_49 ; QSF Assignment ; -; Location ; ; ; SDRAM_A[10] ; PIN_50 ; QSF Assignment ; -; Location ; ; ; SDRAM_A[11] ; PIN_30 ; QSF Assignment ; -; Location ; ; ; SDRAM_A[12] ; PIN_32 ; QSF Assignment ; -; Location ; ; ; SDRAM_A[1] ; PIN_44 ; QSF Assignment ; -; Location ; ; ; SDRAM_A[2] ; PIN_42 ; QSF Assignment ; -; Location ; ; ; SDRAM_A[3] ; PIN_39 ; QSF Assignment ; -; Location ; ; ; SDRAM_A[4] ; PIN_4 ; QSF Assignment ; -; Location ; ; ; SDRAM_A[5] ; PIN_6 ; QSF Assignment ; -; Location ; ; ; SDRAM_A[6] ; PIN_8 ; QSF Assignment ; -; Location ; ; ; SDRAM_A[7] ; PIN_10 ; QSF Assignment ; -; Location ; ; ; SDRAM_A[8] ; PIN_11 ; QSF Assignment ; -; Location ; ; ; SDRAM_A[9] ; PIN_28 ; QSF Assignment ; -; Location ; ; ; SDRAM_BA[0] ; PIN_58 ; QSF Assignment ; -; Location ; ; ; SDRAM_BA[1] ; PIN_51 ; QSF Assignment ; -; Location ; ; ; SDRAM_CKE ; PIN_33 ; QSF Assignment ; -; Location ; ; ; SDRAM_CLK ; PIN_43 ; QSF Assignment ; -; Location ; ; ; SDRAM_DQMH ; PIN_85 ; QSF Assignment ; -; Location ; ; ; SDRAM_DQML ; PIN_67 ; QSF Assignment ; -; Location ; ; ; SDRAM_DQ[0] ; PIN_83 ; QSF Assignment ; -; Location ; ; ; SDRAM_DQ[10] ; PIN_98 ; QSF Assignment ; -; Location ; ; ; SDRAM_DQ[11] ; PIN_99 ; QSF Assignment ; -; Location ; ; ; SDRAM_DQ[12] ; PIN_100 ; QSF Assignment ; -; Location ; ; ; SDRAM_DQ[13] ; PIN_101 ; QSF Assignment ; -; Location ; ; ; SDRAM_DQ[14] ; PIN_103 ; QSF Assignment ; -; Location ; ; ; SDRAM_DQ[15] ; PIN_104 ; QSF Assignment ; -; Location ; ; ; SDRAM_DQ[1] ; PIN_79 ; QSF Assignment ; -; Location ; ; ; SDRAM_DQ[2] ; PIN_77 ; QSF Assignment ; -; Location ; ; ; SDRAM_DQ[3] ; PIN_76 ; QSF Assignment ; -; Location ; ; ; SDRAM_DQ[4] ; PIN_72 ; QSF Assignment ; -; Location ; ; ; SDRAM_DQ[5] ; PIN_71 ; QSF Assignment ; -; Location ; ; ; SDRAM_DQ[6] ; PIN_69 ; QSF Assignment ; -; Location ; ; ; SDRAM_DQ[7] ; PIN_68 ; QSF Assignment ; -; Location ; ; ; SDRAM_DQ[8] ; PIN_86 ; QSF Assignment ; -; Location ; ; ; SDRAM_DQ[9] ; PIN_87 ; QSF Assignment ; -; Location ; ; ; SDRAM_nCAS ; PIN_64 ; QSF Assignment ; -; Location ; ; ; SDRAM_nCS ; PIN_59 ; QSF Assignment ; -; Location ; ; ; SDRAM_nRAS ; PIN_60 ; QSF Assignment ; -; Location ; ; ; SDRAM_nWE ; PIN_66 ; QSF Assignment ; -; Location ; ; ; UART_RX ; PIN_31 ; QSF Assignment ; -; Location ; ; ; UART_TX ; PIN_46 ; QSF Assignment ; -+----------+----------------+--------------+--------------+---------------+----------------+ - - -+---------------------------------------------------------------------------------------------------+ -; Incremental Compilation Preservation Summary ; -+---------------------+---------------------+----------------------------+--------------------------+ -; Type ; Total [A + B] ; From Design Partitions [A] ; From Rapid Recompile [B] ; -+---------------------+---------------------+----------------------------+--------------------------+ -; Placement (by node) ; ; ; ; -; -- Requested ; 0.00 % ( 0 / 3907 ) ; 0.00 % ( 0 / 3907 ) ; 0.00 % ( 0 / 3907 ) ; -; -- Achieved ; 0.00 % ( 0 / 3907 ) ; 0.00 % ( 0 / 3907 ) ; 0.00 % ( 0 / 3907 ) ; -; ; ; ; ; -; Routing (by net) ; ; ; ; -; -- Requested ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; -; -- Achieved ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; -+---------------------+---------------------+----------------------------+--------------------------+ - - -+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Incremental Compilation Partition Settings ; -+--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ -; Partition Name ; Partition Type ; Netlist Type Used ; Preservation Level Used ; Netlist Type Requested ; Preservation Level Requested ; Contents ; -+--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ -; Top ; User-created ; Source File ; N/A ; Source File ; N/A ; ; -; hard_block:auto_generated_inst ; Auto-generated ; Source File ; N/A ; Source File ; N/A ; hard_block:auto_generated_inst ; -+--------------------------------+----------------+-------------------+-------------------------+------------------------+------------------------------+--------------------------------+ - - -+------------------------------------------------------------------------------------------------------------------------------------+ -; Incremental Compilation Placement Preservation ; -+--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+ -; Partition Name ; Preservation Achieved ; Preservation Level Used ; Netlist Type Used ; Preservation Method ; Notes ; -+--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+ -; Top ; 0.00 % ( 0 / 3903 ) ; N/A ; Source File ; N/A ; ; -; hard_block:auto_generated_inst ; 0.00 % ( 0 / 4 ) ; N/A ; Source File ; N/A ; ; -+--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+ - - -+--------------+ -; Pin-Out File ; -+--------------+ -The pin-out file can be found in D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/Output/mz80k_mist.pin. - - -+--------------------------------------------------------------------------+ -; Fitter Resource Usage Summary ; -+---------------------------------------------+----------------------------+ -; Resource ; Usage ; -+---------------------------------------------+----------------------------+ -; Total logic elements ; 3,012 / 24,624 ( 12 % ) ; -; -- Combinational with no register ; 2121 ; -; -- Register only ; 126 ; -; -- Combinational with a register ; 765 ; -; ; ; -; Logic element usage by number of LUT inputs ; ; -; -- 4 input functions ; 1641 ; -; -- 3 input functions ; 721 ; -; -- <=2 input functions ; 524 ; -; -- Register only ; 126 ; -; ; ; -; Logic elements by mode ; ; -; -- normal mode ; 2361 ; -; -- arithmetic mode ; 525 ; -; ; ; -; Total registers* ; 891 / 24,964 ( 4 % ) ; -; -- Dedicated logic registers ; 891 / 24,624 ( 4 % ) ; -; -- I/O registers ; 0 / 340 ( 0 % ) ; -; ; ; -; Total LABs: partially or completely used ; 230 / 1,539 ( 15 % ) ; -; Virtual pins ; 0 ; -; I/O pins ; 31 / 83 ( 37 % ) ; -; -- Clock pins ; 4 / 8 ( 50 % ) ; -; -- Dedicated input pins ; 0 / 9 ( 0 % ) ; -; ; ; -; Global signals ; 9 ; -; M9Ks ; 38 / 66 ( 58 % ) ; -; Total block memory bits ; 311,296 / 608,256 ( 51 % ) ; -; Total block memory implementation bits ; 350,208 / 608,256 ( 58 % ) ; -; Embedded Multiplier 9-bit elements ; 0 / 132 ( 0 % ) ; -; PLLs ; 1 / 4 ( 25 % ) ; -; Global clocks ; 9 / 20 ( 45 % ) ; -; JTAGs ; 0 / 1 ( 0 % ) ; -; CRC blocks ; 0 / 1 ( 0 % ) ; -; ASMI blocks ; 0 / 1 ( 0 % ) ; -; Impedance control blocks ; 0 / 4 ( 0 % ) ; -; Average interconnect usage (total/H/V) ; 9% / 8% / 9% ; -; Peak interconnect usage (total/H/V) ; 58% / 55% / 63% ; -; Maximum fan-out ; 401 ; -; Highest non-global fan-out ; 64 ; -; Total fan-out ; 13424 ; -; Average fan-out ; 3.37 ; -+---------------------------------------------+----------------------------+ -* Register count does not include registers inside RAM blocks or DSP blocks. - - - -+------------------------------------------------------------------------------------------------------+ -; Fitter Partition Statistics ; -+---------------------------------------------+-----------------------+--------------------------------+ -; Statistic ; Top ; hard_block:auto_generated_inst ; -+---------------------------------------------+-----------------------+--------------------------------+ -; Difficulty Clustering Region ; Low ; Low ; -; ; ; ; -; Total logic elements ; 3012 / 24624 ( 12 % ) ; 0 / 24624 ( 0 % ) ; -; -- Combinational with no register ; 2121 ; 0 ; -; -- Register only ; 126 ; 0 ; -; -- Combinational with a register ; 765 ; 0 ; -; ; ; ; -; Logic element usage by number of LUT inputs ; ; ; -; -- 4 input functions ; 1641 ; 0 ; -; -- 3 input functions ; 721 ; 0 ; -; -- <=2 input functions ; 524 ; 0 ; -; -- Register only ; 126 ; 0 ; -; ; ; ; -; Logic elements by mode ; ; ; -; -- normal mode ; 2361 ; 0 ; -; -- arithmetic mode ; 525 ; 0 ; -; ; ; ; -; Total registers ; 891 ; 0 ; -; -- Dedicated logic registers ; 891 / 24624 ( 4 % ) ; 0 / 24624 ( 0 % ) ; -; -- I/O registers ; 0 ; 0 ; -; ; ; ; -; Total LABs: partially or completely used ; 230 / 1539 ( 15 % ) ; 0 / 1539 ( 0 % ) ; -; ; ; ; -; Virtual pins ; 0 ; 0 ; -; I/O pins ; 31 ; 0 ; -; Embedded Multiplier 9-bit elements ; 0 / 132 ( 0 % ) ; 0 / 132 ( 0 % ) ; -; Total memory bits ; 311296 ; 0 ; -; Total RAM block bits ; 350208 ; 0 ; -; PLL ; 0 / 4 ( 0 % ) ; 1 / 4 ( 25 % ) ; -; M9K ; 38 / 66 ( 57 % ) ; 0 / 66 ( 0 % ) ; -; Clock control block ; 8 / 24 ( 33 % ) ; 1 / 24 ( 4 % ) ; -; ; ; ; -; Connections ; ; ; -; -- Input Connections ; 403 ; 1 ; -; -- Registered Input Connections ; 399 ; 0 ; -; -- Output Connections ; 1 ; 403 ; -; -- Registered Output Connections ; 0 ; 0 ; -; ; ; ; -; Internal Connections ; ; ; -; -- Total Connections ; 13445 ; 407 ; -; -- Registered Connections ; 3525 ; 0 ; -; ; ; ; -; External Connections ; ; ; -; -- Top ; 0 ; 404 ; -; -- hard_block:auto_generated_inst ; 404 ; 0 ; -; ; ; ; -; Partition Interface ; ; ; -; -- Input Ports ; 7 ; 1 ; -; -- Output Ports ; 24 ; 2 ; -; -- Bidir Ports ; 0 ; 0 ; -; ; ; ; -; Registered Ports ; ; ; -; -- Registered Input Ports ; 0 ; 0 ; -; -- Registered Output Ports ; 0 ; 0 ; -; ; ; ; -; Port Connectivity ; ; ; -; -- Input Ports driven by GND ; 0 ; 0 ; -; -- Output Ports driven by GND ; 0 ; 0 ; -; -- Input Ports driven by VCC ; 0 ; 0 ; -; -- Output Ports driven by VCC ; 0 ; 0 ; -; -- Input Ports with no Source ; 0 ; 0 ; -; -- Output Ports with no Source ; 0 ; 0 ; -; -- Input Ports with no Fanout ; 0 ; 0 ; -; -- Output Ports with no Fanout ; 0 ; 0 ; -+---------------------------------------------+-----------------------+--------------------------------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Input Pins ; -+------------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+ -; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Z coordinate ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Input Register ; Power Up High ; PCI I/O Enabled ; Bus Hold ; Weak Pull Up ; I/O Standard ; Termination Control Block ; Location assigned by ; -+------------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+ -; CLOCK_27 ; 54 ; 4 ; 27 ; 0 ; 7 ; 1 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; -; CONF_DATA0 ; 13 ; 1 ; 0 ; 22 ; 7 ; 21 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; -; SPI_DI ; 88 ; 5 ; 53 ; 17 ; 21 ; 15 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; -; SPI_SCK ; 126 ; 7 ; 25 ; 34 ; 0 ; 133 ; 0 ; yes ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; -; SPI_SS2 ; 127 ; 7 ; 25 ; 34 ; 7 ; 0 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; -; SPI_SS3 ; 91 ; 6 ; 53 ; 17 ; 0 ; 25 ; 0 ; yes ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; -; SPI_SS4 ; 90 ; 6 ; 53 ; 17 ; 7 ; 0 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; -+------------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+ - - -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Output Pins ; -+----------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+ -; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Z coordinate ; Output Register ; Output Enable Register ; Power Up High ; Slew Rate ; PCI I/O Enabled ; Open Drain ; TRI Primitive ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Termination ; Termination Control Block ; Output Buffer Pre-emphasis ; Voltage Output Differential ; Location assigned by ; Output Enable Source ; Output Enable Group ; -+----------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+ -; AUDIO_L ; 65 ; 4 ; 38 ; 0 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; -; AUDIO_R ; 80 ; 5 ; 53 ; 9 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; -; LED ; 7 ; 1 ; 0 ; 26 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; -; SPI_DO ; 105 ; 6 ; 53 ; 24 ; 21 ; no ; no ; no ; 2 ; yes ; no ; yes ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; -; VGA_B[0] ; 115 ; 7 ; 45 ; 34 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; -; VGA_B[1] ; 120 ; 7 ; 38 ; 34 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; -; VGA_B[2] ; 121 ; 7 ; 34 ; 34 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; -; VGA_B[3] ; 125 ; 7 ; 29 ; 34 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; -; VGA_B[4] ; 132 ; 8 ; 20 ; 34 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; -; VGA_B[5] ; 133 ; 8 ; 20 ; 34 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; -; VGA_G[0] ; 106 ; 6 ; 53 ; 30 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; -; VGA_G[1] ; 110 ; 7 ; 51 ; 34 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; -; VGA_G[2] ; 111 ; 7 ; 49 ; 34 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; -; VGA_G[3] ; 112 ; 7 ; 47 ; 34 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; -; VGA_G[4] ; 113 ; 7 ; 45 ; 34 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; -; VGA_G[5] ; 114 ; 7 ; 45 ; 34 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; -; VGA_HS ; 119 ; 7 ; 38 ; 34 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; -; VGA_R[0] ; 135 ; 8 ; 18 ; 34 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; -; VGA_R[1] ; 137 ; 8 ; 16 ; 34 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; -; VGA_R[2] ; 141 ; 8 ; 7 ; 34 ; 14 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; -; VGA_R[3] ; 142 ; 8 ; 3 ; 34 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; -; VGA_R[4] ; 143 ; 8 ; 1 ; 34 ; 0 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; -; VGA_R[5] ; 144 ; 8 ; 1 ; 34 ; 7 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; -; VGA_VS ; 136 ; 8 ; 18 ; 34 ; 21 ; no ; no ; no ; 2 ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; no ; no ; User ; - ; - ; -+----------+-------+----------+--------------+--------------+--------------+-----------------+------------------------+---------------+-----------+-----------------+------------+---------------+----------+--------------+--------------+------------------+-------------+---------------------------+----------------------------+-----------------------------+----------------------+----------------------+---------------------+ - - -+------------------------------------------------------------------------------------------------------------------------+ -; Dual Purpose and Dedicated Pins ; -+----------+----------------------------------------+---------------------+------------------+---------------------------+ -; Location ; Pin Name ; Reserved As ; User Signal Name ; Pin Type ; -+----------+----------------------------------------+---------------------+------------------+---------------------------+ -; 9 ; nSTATUS ; - ; - ; Dedicated Programming Pin ; -; 12 ; DCLK ; As input tri-stated ; ~ALTERA_DCLK~ ; Dual Purpose Pin ; -; 13 ; DATA0 ; Use as regular IO ; CONF_DATA0 ; Dual Purpose Pin ; -; 14 ; nCONFIG ; - ; - ; Dedicated Programming Pin ; -; 21 ; nCE ; - ; - ; Dedicated Programming Pin ; -; 92 ; CONF_DONE ; - ; - ; Dedicated Programming Pin ; -; 94 ; MSEL0 ; - ; - ; Dedicated Programming Pin ; -; 96 ; MSEL1 ; - ; - ; Dedicated Programming Pin ; -; 97 ; MSEL2 ; - ; - ; Dedicated Programming Pin ; -; 97 ; MSEL3 ; - ; - ; Dedicated Programming Pin ; -; 106 ; DIFFIO_R1n, PADD20, DQS2R/CQ3R,CDPCLK5 ; Use as regular IO ; VGA_G[0] ; Dual Purpose Pin ; -; 120 ; DIFFIO_T19n, PADD1 ; Use as regular IO ; VGA_B[1] ; Dual Purpose Pin ; -; 121 ; DIFFIO_T17p, PADD4, DQS2T/CQ3T,DPCLK8 ; Use as regular IO ; VGA_B[2] ; Dual Purpose Pin ; -; 125 ; DIFFIO_T13p, PADD12, DQS4T/CQ5T,DPCLK9 ; Use as regular IO ; VGA_B[3] ; Dual Purpose Pin ; -; 132 ; DIFFIO_T10n, DATA2 ; Use as regular IO ; VGA_B[4] ; Dual Purpose Pin ; -; 133 ; DIFFIO_T10p, DATA3 ; Use as regular IO ; VGA_B[5] ; Dual Purpose Pin ; -; 135 ; DIFFIO_T9p, DATA4 ; Use as regular IO ; VGA_R[0] ; Dual Purpose Pin ; -; 137 ; DATA5 ; Use as regular IO ; VGA_R[1] ; Dual Purpose Pin ; -; 142 ; DATA12, DQS1T/CQ1T#,CDPCLK7 ; Use as regular IO ; VGA_R[3] ; Dual Purpose Pin ; -+----------+----------------------------------------+---------------------+------------------+---------------------------+ - - -+-------------------------------------------------------------+ -; I/O Bank Usage ; -+----------+-------------------+---------------+--------------+ -; I/O Bank ; Usage ; VCCIO Voltage ; VREF Voltage ; -+----------+-------------------+---------------+--------------+ -; 1 ; 3 / 10 ( 30 % ) ; 3.3V ; -- ; -; 2 ; 0 / 7 ( 0 % ) ; 3.3V ; -- ; -; 3 ; 0 / 10 ( 0 % ) ; 3.3V ; -- ; -; 4 ; 2 / 13 ( 15 % ) ; 3.3V ; -- ; -; 5 ; 2 / 10 ( 20 % ) ; 3.3V ; -- ; -; 6 ; 4 / 10 ( 40 % ) ; 3.3V ; -- ; -; 7 ; 12 / 12 ( 100 % ) ; 3.3V ; -- ; -; 8 ; 9 / 11 ( 82 % ) ; 3.3V ; -- ; -+----------+-------------------+---------------+--------------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; All Package Pins ; -+----------+------------+----------+---------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+ -; Location ; Pad Number ; I/O Bank ; Pin Name/Usage ; Dir. ; I/O Standard ; Voltage ; I/O Type ; User Assignment ; Bus Hold ; Weak Pull Up ; -+----------+------------+----------+---------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+ -; 1 ; ; ; VCCD_PLL3 ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; 2 ; ; ; GNDA3 ; gnd ; ; ; -- ; ; -- ; -- ; -; 3 ; ; -- ; VCCA3 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; 4 ; 5 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; 5 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; 6 ; 7 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; 7 ; 8 ; 1 ; LED ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 8 ; 9 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; 9 ; 11 ; 1 ; ^nSTATUS ; ; ; ; -- ; ; -- ; -- ; -; 10 ; 15 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; 11 ; 16 ; 1 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; 12 ; 17 ; 1 ; ~ALTERA_DCLK~ / RESERVED_INPUT ; input ; 3.3-V LVTTL ; ; Row I/O ; N ; no ; Off ; -; 13 ; 18 ; 1 ; CONF_DATA0 ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 14 ; 19 ; 1 ; ^nCONFIG ; ; ; ; -- ; ; -- ; -- ; -; 15 ; 20 ; 1 ; #TDI ; input ; ; ; -- ; ; -- ; -- ; -; 16 ; 21 ; 1 ; #TCK ; input ; ; ; -- ; ; -- ; -- ; -; 17 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 18 ; 22 ; 1 ; #TMS ; input ; ; ; -- ; ; -- ; -- ; -; 19 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 20 ; 23 ; 1 ; #TDO ; output ; ; ; -- ; ; -- ; -- ; -; 21 ; 24 ; 1 ; ^nCE ; ; ; ; -- ; ; -- ; -- ; -; 22 ; 25 ; 1 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; -; 23 ; 26 ; 1 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; -; 24 ; 27 ; 2 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; -; 25 ; 28 ; 2 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; -; 26 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 27 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 28 ; 31 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; 29 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; 30 ; 38 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; 31 ; 40 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; -- ; -- ; -; 32 ; 45 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; 33 ; 46 ; 2 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; 34 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; 35 ; ; -- ; VCCA1 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; 36 ; ; ; GNDA1 ; gnd ; ; ; -- ; ; -- ; -- ; -; 37 ; ; ; VCCD_PLL1 ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; 38 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; 39 ; 54 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 40 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 41 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 42 ; 59 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 43 ; 60 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 44 ; 61 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 45 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; 46 ; 67 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; -- ; -- ; -; 47 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 48 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 49 ; 79 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 50 ; 81 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 51 ; 82 ; 3 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 52 ; 86 ; 3 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; -; 53 ; 87 ; 3 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; -; 54 ; 88 ; 4 ; CLOCK_27 ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 55 ; 89 ; 4 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; -; 56 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 57 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 58 ; 96 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 59 ; 98 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 60 ; 99 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 61 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; 62 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 63 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 64 ; 105 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 65 ; 106 ; 4 ; AUDIO_L ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 66 ; 111 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 67 ; 112 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 68 ; 116 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 69 ; 117 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 70 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; 71 ; 119 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 72 ; 120 ; 4 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; -; 73 ; ; ; VCCD_PLL4 ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; 74 ; ; ; GNDA4 ; gnd ; ; ; -- ; ; -- ; -- ; -; 75 ; ; -- ; VCCA4 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; 76 ; 126 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; 77 ; 127 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; 78 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; 79 ; 132 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; 80 ; 134 ; 5 ; AUDIO_R ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 81 ; ; 5 ; VCCIO5 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 82 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 83 ; 138 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; 84 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; 85 ; 141 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; 86 ; 142 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; 87 ; 143 ; 5 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; 88 ; 148 ; 5 ; SPI_DI ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 89 ; 149 ; 5 ; GND+ ; ; ; ; Row I/O ; ; -- ; -- ; -; 90 ; 150 ; 6 ; SPI_SS4 ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 91 ; 151 ; 6 ; SPI_SS3 ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 92 ; 152 ; 6 ; ^CONF_DONE ; ; ; ; -- ; ; -- ; -- ; -; 93 ; ; 6 ; VCCIO6 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 94 ; 153 ; 6 ; ^MSEL0 ; ; ; ; -- ; ; -- ; -- ; -; 95 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 96 ; 154 ; 6 ; ^MSEL1 ; ; ; ; -- ; ; -- ; -- ; -; 97 ; 155 ; 6 ; ^MSEL2 ; ; ; ; -- ; ; -- ; -- ; -; 97 ; 156 ; 6 ; ^MSEL3 ; ; ; ; -- ; ; -- ; -- ; -; 98 ; 159 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; 99 ; 160 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; 100 ; 161 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; 101 ; 162 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; 102 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; 103 ; 163 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; 104 ; 164 ; 6 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Row I/O ; ; no ; On ; -; 105 ; 167 ; 6 ; SPI_DO ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 106 ; 173 ; 6 ; VGA_G[0] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 107 ; ; -- ; VCCA2 ; power ; ; 2.5V ; -- ; ; -- ; -- ; -; 108 ; ; ; GNDA2 ; gnd ; ; ; -- ; ; -- ; -- ; -; 109 ; ; ; VCCD_PLL2 ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; 110 ; 178 ; 7 ; VGA_G[1] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 111 ; 180 ; 7 ; VGA_G[2] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 112 ; 181 ; 7 ; VGA_G[3] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 113 ; 182 ; 7 ; VGA_G[4] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 114 ; 183 ; 7 ; VGA_G[5] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 115 ; 184 ; 7 ; VGA_B[0] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 116 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; 117 ; ; 7 ; VCCIO7 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 118 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 119 ; 190 ; 7 ; VGA_HS ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 120 ; 191 ; 7 ; VGA_B[1] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 121 ; 197 ; 7 ; VGA_B[2] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 122 ; ; 7 ; VCCIO7 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 123 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 124 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; 125 ; 205 ; 7 ; VGA_B[3] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 126 ; 209 ; 7 ; SPI_SCK ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 127 ; 210 ; 7 ; SPI_SS2 ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 128 ; 211 ; 8 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; -; 129 ; 212 ; 8 ; GND+ ; ; ; ; Column I/O ; ; -- ; -- ; -; 130 ; ; 8 ; VCCIO8 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 131 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 132 ; 218 ; 8 ; VGA_B[4] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 133 ; 219 ; 8 ; VGA_B[5] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 134 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; 135 ; 221 ; 8 ; VGA_R[0] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 136 ; 224 ; 8 ; VGA_VS ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 137 ; 227 ; 8 ; VGA_R[1] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 138 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; -; 139 ; ; 8 ; VCCIO8 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 140 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 141 ; 239 ; 8 ; VGA_R[2] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 142 ; 242 ; 8 ; VGA_R[3] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 143 ; 245 ; 8 ; VGA_R[4] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 144 ; 246 ; 8 ; VGA_R[5] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; EPAD ; ; ; GND ; ; ; ; -- ; ; -- ; -- ; -+----------+------------+----------+---------------------------------+--------+--------------+---------+------------+-----------------+----------+--------------+ -Note: Pin directions (input, output or bidir) are based on device operating in user mode. - - -+------------------------------------------------------------------------------------------------+ -; PLL Summary ; -+-------------------------------+----------------------------------------------------------------+ -; Name ; pll:pll|altpll:altpll_component|pll_altpll:auto_generated|pll1 ; -+-------------------------------+----------------------------------------------------------------+ -; SDC pin name ; pll|altpll_component|auto_generated|pll1 ; -; PLL mode ; Normal ; -; Compensate clock ; clock0 ; -; Compensated input/output pins ; -- ; -; Switchover type ; -- ; -; Input frequency 0 ; 27.0 MHz ; -; Input frequency 1 ; -- ; -; Nominal PFD frequency ; 9.0 MHz ; -; Nominal VCO frequency ; 450.0 MHz ; -; VCO post scale K counter ; 2 ; -; VCO frequency control ; Auto ; -; VCO phase shift step ; 277 ps ; -; VCO multiply ; -- ; -; VCO divide ; -- ; -; Freq min lock ; 18.0 MHz ; -; Freq max lock ; 39.01 MHz ; -; M VCO Tap ; 0 ; -; M Initial ; 1 ; -; M value ; 50 ; -; N value ; 3 ; -; Charge pump current ; setting 1 ; -; Loop filter resistance ; setting 19 ; -; Loop filter capacitance ; setting 0 ; -; Bandwidth ; 450 kHz to 560 kHz ; -; Bandwidth type ; Medium ; -; Real time reconfigurable ; Off ; -; Scan chain MIF file ; -- ; -; Preserve PLL counter order ; Off ; -; PLL location ; PLL_4 ; -; Inclk0 signal ; CLOCK_27 ; -; Inclk1 signal ; -- ; -; Inclk0 signal type ; Dedicated Pin ; -; Inclk1 signal type ; -- ; -+-------------------------------+----------------------------------------------------------------+ - - -+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; PLL Usage ; -+----------------------------------------------------------------------------+--------------+------+-----+------------------+-------------+------------------+------------+---------+---------------+------------+---------------+---------+---------+-------------------------------------------------+ -; Name ; Output Clock ; Mult ; Div ; Output Frequency ; Phase Shift ; Phase Shift Step ; Duty Cycle ; Counter ; Counter Value ; High / Low ; Cascade Input ; Initial ; VCO Tap ; SDC Pin Name ; -+----------------------------------------------------------------------------+--------------+------+-----+------------------+-------------+------------------+------------+---------+---------------+------------+---------------+---------+---------+-------------------------------------------------+ -; pll:pll|altpll:altpll_component|pll_altpll:auto_generated|wire_pll1_clk[0] ; clock0 ; 50 ; 27 ; 50.0 MHz ; 0 (0 ps) ; 5.00 (277 ps) ; 50/50 ; C0 ; 9 ; 5/4 Odd ; -- ; 1 ; 0 ; pll|altpll_component|auto_generated|pll1|clk[0] ; -+----------------------------------------------------------------------------+--------------+------+-----+------------------+-------------+------------------+------------+---------+---------------+------------+---------------+---------+---------+-------------------------------------------------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Fitter Resource Utilization by Entity ; -+-------------------------------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+----------------------------------------------------------------------------------------------------------------------------------+--------------+ -; Compilation Hierarchy Node ; Logic Cells ; Dedicated Logic Registers ; I/O Registers ; Memory Bits ; M9Ks ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Full Hierarchy Name ; Library Name ; -+-------------------------------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+----------------------------------------------------------------------------------------------------------------------------------+--------------+ -; |mz80k_mist ; 3012 (21) ; 891 (8) ; 0 (0) ; 311296 ; 38 ; 0 ; 0 ; 0 ; 31 ; 0 ; 2121 (13) ; 126 (7) ; 765 (2) ; |mz80k_mist ; work ; -; |mist_io:mist_io| ; 272 (272) ; 154 (154) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 117 (117) ; 45 (45) ; 110 (110) ; |mz80k_mist|mist_io:mist_io ; work ; -; |mz80k_top:mz80k_top| ; 2008 (107) ; 537 (48) ; 0 (0) ; 294912 ; 36 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1468 (57) ; 57 (0) ; 483 (49) ; |mz80k_mist|mz80k_top:mz80k_top ; work ; -; |fz80:z80| ; 1330 (625) ; 250 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1072 (615) ; 44 (0) ; 214 (30) ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80 ; work ; -; |alu:alu| ; 122 (122) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 112 (112) ; 0 (0) ; 10 (10) ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|alu:alu ; work ; -; |asu:asu| ; 79 (79) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 78 (78) ; 0 (0) ; 1 (1) ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|asu:asu ; work ; -; |reg_2:reg_adrh| ; 9 (9) ; 8 (8) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 8 (8) ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrh ; work ; -; |reg_2:reg_adrl| ; 12 (12) ; 8 (8) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 3 (3) ; 8 (8) ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrl ; work ; -; |reg_2s:reg_sph| ; 13 (13) ; 8 (8) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 5 (5) ; 4 (4) ; 4 (4) ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_sph ; work ; -; |reg_2s:reg_spl| ; 16 (16) ; 8 (8) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 8 (8) ; 4 (4) ; 4 (4) ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_spl ; work ; -; |reg_a:reg_a| ; 27 (27) ; 16 (16) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 11 (11) ; 7 (7) ; 9 (9) ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a ; work ; -; |reg_dual2:reg_b| ; 25 (25) ; 16 (16) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 9 (9) ; 5 (5) ; 11 (11) ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_b ; work ; -; |reg_dual2:reg_c| ; 23 (23) ; 16 (16) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 7 (7) ; 1 (1) ; 15 (15) ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c ; work ; -; |reg_dual2:reg_d| ; 28 (28) ; 16 (16) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 12 (12) ; 4 (4) ; 12 (12) ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d ; work ; -; |reg_dual2:reg_e| ; 28 (28) ; 16 (16) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 12 (12) ; 8 (8) ; 8 (8) ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e ; work ; -; |reg_f:reg_f| ; 35 (35) ; 12 (12) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 23 (23) ; 0 (0) ; 12 (12) ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_f:reg_f ; work ; -; |reg_pch:reg_pch| ; 32 (32) ; 8 (8) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 24 (24) ; 0 (0) ; 8 (8) ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch ; work ; -; |reg_pcl:reg_pcl| ; 30 (30) ; 8 (8) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 22 (22) ; 0 (0) ; 8 (8) ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_pcl:reg_pcl ; work ; -; |reg_quad3:reg_h| ; 58 (58) ; 32 (32) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 26 (26) ; 4 (4) ; 28 (28) ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_h ; work ; -; |reg_quad3:reg_l| ; 59 (59) ; 32 (32) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 27 (27) ; 3 (3) ; 29 (29) ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_l ; work ; -; |reg_r:reg_r| ; 16 (16) ; 8 (8) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 8 (8) ; 0 (0) ; 8 (8) ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_r:reg_r ; work ; -; |reg_simple:reg_data| ; 8 (8) ; 8 (8) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 8 (8) ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_simple:reg_data ; work ; -; |reg_simplec:reg_i| ; 9 (9) ; 8 (8) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 1 (1) ; 0 (0) ; 8 (8) ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_simplec:reg_i ; work ; -; |seq:seq| ; 89 (89) ; 19 (19) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 70 (70) ; 1 (1) ; 18 (18) ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|seq:seq ; work ; -; |i8253:i8253_1| ; 211 (211) ; 122 (122) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 88 (88) ; 8 (8) ; 115 (115) ; |mz80k_mist|mz80k_top:mz80k_top|i8253:i8253_1 ; work ; -; |monrom:mon_rom| ; 24 (0) ; 4 (0) ; 0 (0) ; 262144 ; 32 ; 0 ; 0 ; 0 ; 0 ; 0 ; 20 (0) ; 2 (0) ; 2 (0) ; |mz80k_mist|mz80k_top:mz80k_top|monrom:mon_rom ; work ; -; |altsyncram:altsyncram_component| ; 24 (0) ; 4 (0) ; 0 (0) ; 262144 ; 32 ; 0 ; 0 ; 0 ; 0 ; 0 ; 20 (0) ; 2 (0) ; 2 (0) ; |mz80k_mist|mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component ; work ; -; |altsyncram_vli1:auto_generated| ; 24 (4) ; 4 (4) ; 0 (0) ; 262144 ; 32 ; 0 ; 0 ; 0 ; 0 ; 0 ; 20 (0) ; 2 (2) ; 2 (2) ; |mz80k_mist|mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated ; work ; -; |decode_dra:decode3| ; 4 (4) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 4 (4) ; 0 (0) ; 0 (0) ; |mz80k_mist|mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|decode_dra:decode3 ; work ; -; |mux_tlb:mux2| ; 16 (16) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 16 (16) ; 0 (0) ; 0 (0) ; |mz80k_mist|mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|mux_tlb:mux2 ; work ; -; |ps2:ps2_1| ; 268 (242) ; 92 (68) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 173 (171) ; 3 (0) ; 92 (71) ; |mz80k_mist|mz80k_top:mz80k_top|ps2:ps2_1 ; work ; -; |ps2_recieve:ps2_recieve1| ; 26 (26) ; 24 (24) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2 (2) ; 3 (3) ; 21 (21) ; |mz80k_mist|mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1 ; work ; -; |ram2:ram2_2| ; 0 (0) ; 0 (0) ; 0 (0) ; 16384 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |mz80k_mist|mz80k_top:mz80k_top|ram2:ram2_2 ; work ; -; |altsyncram:altsyncram_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 16384 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |mz80k_mist|mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component ; work ; -; |altsyncram_atg1:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 16384 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |mz80k_mist|mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated ; work ; -; |vga:vga1| ; 81 (81) ; 21 (21) ; 0 (0) ; 16384 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 58 (58) ; 0 (0) ; 23 (23) ; |mz80k_mist|mz80k_top:mz80k_top|vga:vga1 ; work ; -; |cg_rom:cg_rom| ; 0 (0) ; 0 (0) ; 0 (0) ; 16384 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |mz80k_mist|mz80k_top:mz80k_top|vga:vga1|cg_rom:cg_rom ; work ; -; |altsyncram:altsyncram_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 16384 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |mz80k_mist|mz80k_top:mz80k_top|vga:vga1|cg_rom:cg_rom|altsyncram:altsyncram_component ; work ; -; |altsyncram_f7a1:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 16384 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |mz80k_mist|mz80k_top:mz80k_top|vga:vga1|cg_rom:cg_rom|altsyncram:altsyncram_component|altsyncram_f7a1:auto_generated ; work ; -; |pll:pll| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |mz80k_mist|pll:pll ; work ; -; |altpll:altpll_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |mz80k_mist|pll:pll|altpll:altpll_component ; work ; -; |pll_altpll:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |mz80k_mist|pll:pll|altpll:altpll_component|pll_altpll:auto_generated ; work ; -; |sigma_delta_dac:sigma_delta_dac| ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 6 (6) ; |mz80k_mist|sigma_delta_dac:sigma_delta_dac ; work ; -; |video_mixer:video_mixer| ; 710 (370) ; 186 (3) ; 0 (0) ; 16384 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 523 (366) ; 17 (1) ; 170 (3) ; |mz80k_mist|video_mixer:video_mixer ; work ; -; |osd:osd| ; 340 (340) ; 183 (183) ; 0 (0) ; 16384 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 157 (157) ; 16 (16) ; 167 (167) ; |mz80k_mist|video_mixer:video_mixer|osd:osd ; work ; -; |altsyncram:osd_buffer_rtl_0| ; 0 (0) ; 0 (0) ; 0 (0) ; 16384 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |mz80k_mist|video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0 ; work ; -; |altsyncram_dud1:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 16384 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |mz80k_mist|video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated ; work ; -+-------------------------------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+----------------------------------------------------------------------------------------------------------------------------------+--------------+ -Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. - - -+--------------------------------------------------------------------------------------------+ -; Delay Chain Summary ; -+------------+----------+---------------+---------------+-----------------------+-----+------+ -; Name ; Pin Type ; Pad to Core 0 ; Pad to Core 1 ; Pad to Input Register ; TCO ; TCOE ; -+------------+----------+---------------+---------------+-----------------------+-----+------+ -; VGA_R[0] ; Output ; -- ; -- ; -- ; -- ; -- ; -; VGA_R[1] ; Output ; -- ; -- ; -- ; -- ; -- ; -; VGA_R[2] ; Output ; -- ; -- ; -- ; -- ; -- ; -; VGA_R[3] ; Output ; -- ; -- ; -- ; -- ; -- ; -; VGA_R[4] ; Output ; -- ; -- ; -- ; -- ; -- ; -; VGA_R[5] ; Output ; -- ; -- ; -- ; -- ; -- ; -; VGA_G[0] ; Output ; -- ; -- ; -- ; -- ; -- ; -; VGA_G[1] ; Output ; -- ; -- ; -- ; -- ; -- ; -; VGA_G[2] ; Output ; -- ; -- ; -- ; -- ; -- ; -; VGA_G[3] ; Output ; -- ; -- ; -- ; -- ; -- ; -; VGA_G[4] ; Output ; -- ; -- ; -- ; -- ; -- ; -; VGA_G[5] ; Output ; -- ; -- ; -- ; -- ; -- ; -; VGA_B[0] ; Output ; -- ; -- ; -- ; -- ; -- ; -; VGA_B[1] ; Output ; -- ; -- ; -- ; -- ; -- ; -; VGA_B[2] ; Output ; -- ; -- ; -- ; -- ; -- ; -; VGA_B[3] ; Output ; -- ; -- ; -- ; -- ; -- ; -; VGA_B[4] ; Output ; -- ; -- ; -- ; -- ; -- ; -; VGA_B[5] ; Output ; -- ; -- ; -- ; -- ; -- ; -; VGA_HS ; Output ; -- ; -- ; -- ; -- ; -- ; -; VGA_VS ; Output ; -- ; -- ; -- ; -- ; -- ; -; LED ; Output ; -- ; -- ; -- ; -- ; -- ; -; AUDIO_L ; Output ; -- ; -- ; -- ; -- ; -- ; -; AUDIO_R ; Output ; -- ; -- ; -- ; -- ; -- ; -; SPI_DO ; Output ; -- ; -- ; -- ; -- ; -- ; -; SPI_SS2 ; Input ; -- ; -- ; -- ; -- ; -- ; -; SPI_SS4 ; Input ; -- ; -- ; -- ; -- ; -- ; -; SPI_SCK ; Input ; (0) 0 ps ; -- ; -- ; -- ; -- ; -; CONF_DATA0 ; Input ; -- ; (6) 1314 ps ; -- ; -- ; -- ; -; SPI_SS3 ; Input ; (0) 0 ps ; -- ; -- ; -- ; -- ; -; SPI_DI ; Input ; (0) 0 ps ; -- ; -- ; -- ; -- ; -; CLOCK_27 ; Input ; -- ; -- ; -- ; -- ; -- ; -+------------+----------+---------------+---------------+-----------------------+-----+------+ - - -+------------------------------------------------------------------+ -; Pad To Core Delay Chain Fanout ; -+------------------------------------+-------------------+---------+ -; Source Pin / Fanout ; Pad To Core Index ; Setting ; -+------------------------------------+-------------------+---------+ -; SPI_SS2 ; ; ; -; SPI_SS4 ; ; ; -; SPI_SCK ; ; ; -; CONF_DATA0 ; ; ; -; - mist_io:mist_io|byte_cnt[0] ; 1 ; 6 ; -; - mist_io:mist_io|bit_cnt[0] ; 1 ; 6 ; -; - mist_io:mist_io|bit_cnt[1] ; 1 ; 6 ; -; - mist_io:mist_io|bit_cnt[2] ; 1 ; 6 ; -; - SPI_DO~output ; 1 ; 6 ; -; - mist_io:mist_io|sbuf[4] ; 1 ; 6 ; -; - mist_io:mist_io|byte_cnt[1] ; 1 ; 6 ; -; - mist_io:mist_io|byte_cnt[2] ; 1 ; 6 ; -; - mist_io:mist_io|byte_cnt[3] ; 1 ; 6 ; -; - mist_io:mist_io|byte_cnt[4] ; 1 ; 6 ; -; - mist_io:mist_io|byte_cnt[5] ; 1 ; 6 ; -; - mist_io:mist_io|byte_cnt[6] ; 1 ; 6 ; -; - mist_io:mist_io|byte_cnt[7] ; 1 ; 6 ; -; - mist_io:mist_io|status[4]~0 ; 1 ; 6 ; -; - mist_io:mist_io|sbuf[2] ; 1 ; 6 ; -; - mist_io:mist_io|sbuf[3] ; 1 ; 6 ; -; - mist_io:mist_io|spi_do ; 1 ; 6 ; -; - mist_io:mist_io|sbuf[6] ; 1 ; 6 ; -; - mist_io:mist_io|sbuf[5] ; 1 ; 6 ; -; - mist_io:mist_io|sbuf[0] ; 1 ; 6 ; -; - mist_io:mist_io|sbuf[1] ; 1 ; 6 ; -; SPI_SS3 ; ; ; -; SPI_DI ; ; ; -; CLOCK_27 ; ; ; -+------------------------------------+-------------------+---------+ - - -+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Control Signals ; -+-------------------------------------------------------------------------------------------------------------------------------------+--------------------+---------+-------------------------------------------+--------+----------------------+------------------+---------------------------+ -; Name ; Location ; Fan-Out ; Usage ; Global ; Global Resource Used ; Global Line Name ; Enable Signal Source Name ; -+-------------------------------------------------------------------------------------------------------------------------------------+--------------------+---------+-------------------------------------------+--------+----------------------+------------------+---------------------------+ -; CLOCK_27 ; PIN_54 ; 1 ; Clock ; no ; -- ; -- ; -- ; -; CONF_DATA0 ; PIN_13 ; 21 ; Async. clear, Clock enable, Output enable ; no ; -- ; -- ; -- ; -; Equal1~2 ; LCCOMB_X36_Y11_N6 ; 133 ; Async. clear ; yes ; Global Clock ; GCLK19 ; -- ; -; Equal1~2 ; LCCOMB_X36_Y11_N6 ; 55 ; Clock enable, Sync. clear, Sync. load ; no ; -- ; -- ; -- ; -; SPI_SCK ; PIN_126 ; 2 ; Clock ; no ; -- ; -- ; -- ; -; SPI_SCK ; PIN_126 ; 132 ; Clock ; yes ; Global Clock ; GCLK14 ; -- ; -; SPI_SS3 ; PIN_91 ; 16 ; Async. clear ; yes ; Global Clock ; GCLK9 ; -- ; -; SPI_SS3 ; PIN_91 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; -; always0~0 ; LCCOMB_X37_Y11_N8 ; 8 ; Sync. clear ; no ; -- ; -- ; -- ; -; mist_io:mist_io|Equal15~0 ; LCCOMB_X35_Y8_N18 ; 14 ; Sync. load ; no ; -- ; -- ; -- ; -; mist_io:mist_io|always4~1 ; LCCOMB_X31_Y9_N16 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; mist_io:mist_io|but_sw[4]~1 ; LCCOMB_X38_Y11_N30 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; mist_io:mist_io|byte_cnt[0]~10 ; LCCOMB_X40_Y8_N30 ; 7 ; Clock enable ; no ; -- ; -- ; -- ; -; mist_io:mist_io|cmd[0]~0 ; LCCOMB_X38_Y11_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; mist_io:mist_io|ps2_kbd_fifo~68 ; LCCOMB_X34_Y9_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; mist_io:mist_io|ps2_kbd_fifo~69 ; LCCOMB_X36_Y9_N12 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; mist_io:mist_io|ps2_kbd_fifo~70 ; LCCOMB_X37_Y9_N24 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; mist_io:mist_io|ps2_kbd_fifo~71 ; LCCOMB_X35_Y9_N24 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; mist_io:mist_io|ps2_kbd_fifo~72 ; LCCOMB_X31_Y8_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; mist_io:mist_io|ps2_kbd_fifo~73 ; LCCOMB_X32_Y8_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; mist_io:mist_io|ps2_kbd_fifo~74 ; LCCOMB_X36_Y8_N4 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; mist_io:mist_io|ps2_kbd_fifo~75 ; LCCOMB_X36_Y8_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; mist_io:mist_io|ps2_kbd_parity~1 ; LCCOMB_X35_Y8_N24 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; mist_io:mist_io|ps2_kbd_tx_state[0]~7 ; LCCOMB_X34_Y8_N22 ; 4 ; Sync. load ; no ; -- ; -- ; -- ; -; mist_io:mist_io|status[4]~9 ; LCCOMB_X38_Y11_N28 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|CLK_2M ; FF_X25_Y33_N3 ; 17 ; Clock ; yes ; Global Clock ; GCLK13 ; -- ; -; mz80k_top:mz80k_top|CLK_31250 ; FF_X1_Y16_N15 ; 17 ; Clock ; yes ; Global Clock ; GCLK3 ; -- ; -; mz80k_top:mz80k_top|CLK_CPU ; LCCOMB_X34_Y12_N2 ; 327 ; Clock ; yes ; Global Clock ; GCLK17 ; -- ; -; mz80k_top:mz80k_top|LessThan0~1 ; LCCOMB_X25_Y33_N0 ; 5 ; Sync. clear ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|LessThan1~0 ; LCCOMB_X2_Y22_N2 ; 11 ; Sync. clear ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|always2~1 ; LCCOMB_X34_Y14_N18 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|fz80:z80|load_adrl ; LCCOMB_X29_Y17_N0 ; 8 ; Sync. load ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|fz80:z80|load_data~3 ; LCCOMB_X24_Y13_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|fz80:z80|load_h~3 ; LCCOMB_X30_Y17_N26 ; 33 ; Sync. load ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|fz80:z80|load_l~3 ; LCCOMB_X30_Y17_N12 ; 33 ; Sync. load ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrh|q[1]~14 ; LCCOMB_X29_Y17_N6 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrl|q[5]~11 ; LCCOMB_X29_Y17_N24 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_sph|q[1]~9 ; LCCOMB_X31_Y17_N20 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_spl|q[1]~12 ; LCCOMB_X27_Y12_N8 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[4]~19 ; LCCOMB_X29_Y18_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q1[4]~2 ; LCCOMB_X29_Y18_N24 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_b|q0[4]~10 ; LCCOMB_X24_Y15_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_b|q1[2]~2 ; LCCOMB_X24_Y15_N12 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q0[3]~10 ; LCCOMB_X24_Y15_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q1[1]~2 ; LCCOMB_X24_Y15_N8 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d|q0[6]~2 ; LCCOMB_X26_Y14_N8 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d|q1[6]~0 ; LCCOMB_X26_Y14_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q0[4]~1 ; LCCOMB_X23_Y13_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q1[4]~0 ; LCCOMB_X23_Y13_N24 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|fz80:z80|reg_f:reg_f|q0[6]~29 ; LCCOMB_X21_Y16_N10 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|fz80:z80|reg_f:reg_f|q1[0]~2 ; LCCOMB_X27_Y14_N6 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_h|q0[3]~9 ; LCCOMB_X20_Y15_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_h|q1[7]~8 ; LCCOMB_X21_Y18_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_h|qx[5]~2 ; LCCOMB_X21_Y18_N20 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_h|qy[1]~0 ; LCCOMB_X21_Y18_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_l|q0[7]~10 ; LCCOMB_X23_Y19_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_l|q1[4]~9 ; LCCOMB_X25_Y19_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_l|qx[2]~2 ; LCCOMB_X23_Y12_N6 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_l|qy[6]~0 ; LCCOMB_X23_Y19_N28 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|fz80:z80|reg_r:reg_r|q[2]~0 ; LCCOMB_X29_Y18_N18 ; 7 ; Clock enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|fz80:z80|reg_simplec:reg_i|q[4]~1 ; LCCOMB_X27_Y13_N4 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|ifd~4 ; LCCOMB_X25_Y13_N4 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|s_if ; LCCOMB_X31_Y14_N6 ; 29 ; Clock enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[2]~20 ; LCCOMB_X30_Y19_N8 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|wr ; LCCOMB_X34_Y17_N8 ; 15 ; Read enable, Write enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|i8253:i8253_1|always0~0 ; LCCOMB_X34_Y17_N4 ; 10 ; Sync. load ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|i8253:i8253_1|count0[0]~44 ; LCCOMB_X34_Y15_N28 ; 16 ; Sync. load ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|i8253:i8253_1|count1[3]~44 ; LCCOMB_X36_Y13_N16 ; 16 ; Sync. load ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|i8253:i8253_1|count2[0]~28 ; LCCOMB_X35_Y14_N16 ; 16 ; Sync. load ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|i8253:i8253_1|data[3]~15 ; LCCOMB_X35_Y14_N24 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|i8253:i8253_1|max0[0]~3 ; LCCOMB_X34_Y15_N20 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|i8253:i8253_1|max0[15]~2 ; LCCOMB_X34_Y15_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|i8253:i8253_1|max1[0]~3 ; LCCOMB_X26_Y18_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|i8253:i8253_1|max1[15]~2 ; LCCOMB_X26_Y18_N4 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|i8253:i8253_1|max2[0]~2 ; LCCOMB_X34_Y17_N16 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|i8253:i8253_1|max2[15]~3 ; LCCOMB_X34_Y17_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|i8253:i8253_1|mode0[5]~0 ; LCCOMB_X34_Y15_N12 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|i8253:i8253_1|mode1[5]~0 ; LCCOMB_X31_Y14_N2 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|i8253:i8253_1|mode2[5]~0 ; LCCOMB_X35_Y16_N0 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|i8253:i8253_1|read_hl0~0 ; LCCOMB_X32_Y14_N12 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; FF_X30_Y16_N9 ; 16 ; Clock ; yes ; Global Clock ; GCLK16 ; -- ; -; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|decode_dra:decode3|w_anode214w[2] ; LCCOMB_X31_Y11_N20 ; 8 ; Write enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|decode_dra:decode3|w_anode227w[2] ; LCCOMB_X31_Y11_N18 ; 8 ; Write enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|decode_dra:decode3|w_anode235w[2] ; LCCOMB_X31_Y11_N4 ; 8 ; Write enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|decode_dra:decode3|w_anode243w[2] ; LCCOMB_X31_Y11_N14 ; 8 ; Write enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|ps2:ps2_1|always0~5 ; LCCOMB_X32_Y13_N22 ; 15 ; Clock enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|dten ; FF_X39_Y13_N15 ; 12 ; Clock enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|kdata[0]~2 ; LCCOMB_X39_Y15_N4 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[4]~15 ; LCCOMB_X39_Y15_N2 ; 9 ; Clock enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|ram_en~2 ; LCCOMB_X31_Y13_N8 ; 36 ; Clock enable ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|vga:vga1|LessThan0~0 ; LCCOMB_X28_Y20_N0 ; 20 ; Clock enable, Sync. clear ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|vga:vga1|LessThan1~2 ; LCCOMB_X27_Y20_N0 ; 10 ; Sync. clear ; no ; -- ; -- ; -- ; -; mz80k_top:mz80k_top|vga:vga1|counter[0] ; FF_X1_Y16_N17 ; 20 ; Clock ; yes ; Global Clock ; GCLK2 ; -- ; -; mz80k_top:mz80k_top|vram_select ; LCCOMB_X31_Y13_N2 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; pll:pll|altpll:altpll_component|pll_altpll:auto_generated|wire_pll1_clk[0] ; PLL_4 ; 401 ; Clock ; yes ; Global Clock ; GCLK18 ; -- ; -; reset_cnt[1]~11 ; LCCOMB_X37_Y11_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; video_mixer:video_mixer|osd:osd|LessThan0~1 ; LCCOMB_X31_Y24_N6 ; 5 ; Sync. load ; no ; -- ; -- ; -- ; -; video_mixer:video_mixer|osd:osd|always0~1 ; LCCOMB_X32_Y24_N10 ; 12 ; Sync. load ; no ; -- ; -- ; -- ; -; video_mixer:video_mixer|osd:osd|always1~0 ; LCCOMB_X28_Y20_N30 ; 57 ; Clock enable, Sync. clear ; no ; -- ; -- ; -- ; -; video_mixer:video_mixer|osd:osd|always2~0 ; LCCOMB_X30_Y22_N24 ; 11 ; Sync. clear ; no ; -- ; -- ; -- ; -; video_mixer:video_mixer|osd:osd|bcnt[1]~13 ; LCCOMB_X34_Y24_N0 ; 11 ; Clock enable ; no ; -- ; -- ; -- ; -; video_mixer:video_mixer|osd:osd|ce_pix ; FF_X29_Y22_N13 ; 21 ; Clock enable ; no ; -- ; -- ; -- ; -; video_mixer:video_mixer|osd:osd|cmd[7]~0 ; LCCOMB_X32_Y24_N18 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; video_mixer:video_mixer|osd:osd|comb~4 ; LCCOMB_X32_Y24_N20 ; 2 ; Clock enable, Write enable ; no ; -- ; -- ; -- ; -; video_mixer:video_mixer|osd:osd|hs_high[9]~0 ; LCCOMB_X30_Y22_N0 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; -; video_mixer:video_mixer|osd:osd|hs_low[9]~0 ; LCCOMB_X30_Y22_N22 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; -; video_mixer:video_mixer|osd:osd|pixcnt[16]~38 ; LCCOMB_X28_Y20_N6 ; 32 ; Sync. clear ; no ; -- ; -- ; -- ; -; video_mixer:video_mixer|osd:osd|v_cnt[0]~17 ; LCCOMB_X27_Y24_N30 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; -; video_mixer:video_mixer|osd:osd|v_cnt[9]~16 ; LCCOMB_X27_Y24_N20 ; 10 ; Sync. load ; no ; -- ; -- ; -- ; -; video_mixer:video_mixer|osd:osd|vs_high[9]~0 ; LCCOMB_X27_Y24_N14 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; -; video_mixer:video_mixer|osd:osd|vs_low[9]~0 ; LCCOMB_X27_Y24_N28 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; -+-------------------------------------------------------------------------------------------------------------------------------------+--------------------+---------+-------------------------------------------+--------+----------------------+------------------+---------------------------+ - - -+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Global & Other Fast Signals ; -+----------------------------------------------------------------------------+-------------------+---------+--------------------------------------+----------------------+------------------+---------------------------+ -; Name ; Location ; Fan-Out ; Fan-Out Using Intentional Clock Skew ; Global Resource Used ; Global Line Name ; Enable Signal Source Name ; -+----------------------------------------------------------------------------+-------------------+---------+--------------------------------------+----------------------+------------------+---------------------------+ -; Equal1~2 ; LCCOMB_X36_Y11_N6 ; 133 ; 0 ; Global Clock ; GCLK19 ; -- ; -; SPI_SCK ; PIN_126 ; 132 ; 22 ; Global Clock ; GCLK14 ; -- ; -; SPI_SS3 ; PIN_91 ; 16 ; 0 ; Global Clock ; GCLK9 ; -- ; -; mz80k_top:mz80k_top|CLK_2M ; FF_X25_Y33_N3 ; 17 ; 0 ; Global Clock ; GCLK13 ; -- ; -; mz80k_top:mz80k_top|CLK_31250 ; FF_X1_Y16_N15 ; 17 ; 0 ; Global Clock ; GCLK3 ; -- ; -; mz80k_top:mz80k_top|CLK_CPU ; LCCOMB_X34_Y12_N2 ; 327 ; 8 ; Global Clock ; GCLK17 ; -- ; -; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; FF_X30_Y16_N9 ; 16 ; 5 ; Global Clock ; GCLK16 ; -- ; -; mz80k_top:mz80k_top|vga:vga1|counter[0] ; FF_X1_Y16_N17 ; 20 ; 0 ; Global Clock ; GCLK2 ; -- ; -; pll:pll|altpll:altpll_component|pll_altpll:auto_generated|wire_pll1_clk[0] ; PLL_4 ; 401 ; 96 ; Global Clock ; GCLK18 ; -- ; -+----------------------------------------------------------------------------+-------------------+---------+--------------------------------------+----------------------+------------------+---------------------------+ - - -+-----------------------------------------------------------------------------------------------------------------------------------------------+ -; Non-Global High Fan-Out Signals ; -+-------------------------------------------------------------------------------------------------------------------------------------+---------+ -; Name ; Fan-Out ; -+-------------------------------------------------------------------------------------------------------------------------------------+---------+ -; mz80k_top:mz80k_top|ps2:ps2_1|key_f0 ; 64 ; -; mz80k_top:mz80k_top|fz80:z80|i[3]~11 ; 59 ; -; video_mixer:video_mixer|osd:osd|always1~0 ; 57 ; -; Equal1~2 ; 54 ; -; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|kdata[2] ; 47 ; -; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|kdata[4] ; 46 ; -; mz80k_top:mz80k_top|fz80:z80|Mux22~4 ; 46 ; -; mz80k_top:mz80k_top|fz80:z80|Mux23~3 ; 46 ; -; mz80k_top:mz80k_top|fz80:z80|sel_exx ; 44 ; -; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|kdata[0] ; 41 ; -; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|kdata[3] ; 41 ; -; mz80k_top:mz80k_top|fz80:z80|sel2[2]~10 ; 40 ; -; mz80k_top:mz80k_top|fz80:z80|sel2[0]~6 ; 40 ; -; mz80k_top:mz80k_top|fz80:z80|i[5]~4 ; 40 ; -; Equal1~1 ; 39 ; -; Equal1~0 ; 39 ; -; mz80k_top:mz80k_top|fz80:z80|Mux20~3 ; 39 ; -; mz80k_top:mz80k_top|fz80:z80|Mux21~3 ; 39 ; -; mz80k_top:mz80k_top|fz80:z80|i[7]~10 ; 39 ; -; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|kdata[6] ; 38 ; -; mz80k_top:mz80k_top|fz80:z80|i[6]~9 ; 38 ; -; mz80k_top:mz80k_top|fz80:z80|i[4]~5 ; 38 ; -; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|kdata[1] ; 37 ; -; mz80k_top:mz80k_top|ram_en~2 ; 36 ; -; mz80k_top:mz80k_top|cpu_data_in[1]~18 ; 35 ; -; mz80k_top:mz80k_top|fz80:z80|Mux11~4 ; 34 ; -; mz80k_top:mz80k_top|fz80:z80|Mux13~4 ; 34 ; -; mz80k_top:mz80k_top|fz80:z80|Mux14~4 ; 34 ; -; mz80k_top:mz80k_top|fz80:z80|Mux15~4 ; 34 ; -; mz80k_top:mz80k_top|fz80:z80|Mux16~3 ; 34 ; -; mz80k_top:mz80k_top|fz80:z80|Mux17~3 ; 34 ; -; mz80k_top:mz80k_top|fz80:z80|Mux18~3 ; 34 ; -; mz80k_top:mz80k_top|fz80:z80|Mux19~3 ; 34 ; -; mz80k_top:mz80k_top|fz80:z80|load_h~3 ; 33 ; -; mz80k_top:mz80k_top|fz80:z80|load_l~3 ; 33 ; -; mz80k_top:mz80k_top|fz80:z80|Mux12~4 ; 33 ; -; video_mixer:video_mixer|osd:osd|pixcnt[16]~38 ; 32 ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_l|q~0 ; 32 ; -; mist_io:mist_io|byte_cnt[0] ; 32 ; -; video_mixer:video_mixer|y[4]~6 ; 31 ; -; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|kdata[5] ; 30 ; -; mist_io:mist_io|byte_cnt[1] ; 30 ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|s_if ; 29 ; -; video_mixer:video_mixer|osd:osd|osd_de~2 ; 29 ; -; mz80k_top:mz80k_top|fz80:z80|comb~66 ; 28 ; -; mist_io:mist_io|ps2_kbd_rptr[0] ; 28 ; -; mist_io:mist_io|byte_cnt[2] ; 28 ; -; mist_io:mist_io|byte_cnt[3] ; 28 ; -; mist_io:mist_io|ps2_kbd_rptr[1] ; 27 ; -; mz80k_top:mz80k_top|key_no[2] ; 27 ; -; video_mixer:video_mixer|y[3]~5 ; 27 ; -; mz80k_top:mz80k_top|fz80:z80|loada_hl~1 ; 26 ; -; mz80k_top:mz80k_top|fz80:z80|Decoder1~0 ; 26 ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|busack ; 26 ; -; mz80k_top:mz80k_top|fz80:z80|loadal ; 25 ; -; video_mixer:video_mixer|y[2]~4 ; 25 ; -; video_mixer:video_mixer|y[1]~2 ; 25 ; -; video_mixer:video_mixer|pr[4]~13 ; 24 ; -; mz80k_top:mz80k_top|fz80:z80|selah[1]~5 ; 24 ; -; mz80k_top:mz80k_top|fz80:z80|selah[0]~3 ; 24 ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|Equal10~1 ; 24 ; -; video_mixer:video_mixer|pb[3]~8 ; 24 ; -; video_mixer:video_mixer|pr[3]~11 ; 24 ; -; mist_io:mist_io|byte_cnt[4] ; 24 ; -; mist_io:mist_io|but_sw[5] ; 23 ; -; mz80k_top:mz80k_top|fz80:z80|sel_af ; 23 ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[3] ; 23 ; -; mist_io:mist_io|byte_cnt[5] ; 23 ; -; ~GND ; 22 ; -; mz80k_top:mz80k_top|fz80:z80|comb~68 ; 22 ; -; mz80k_top:mz80k_top|fz80:z80|selal[1]~1 ; 22 ; -; mz80k_top:mz80k_top|fz80:z80|i[0]~6 ; 22 ; -; video_mixer:video_mixer|pb[2]~7 ; 22 ; -; video_mixer:video_mixer|pr[2]~10 ; 22 ; -; CONF_DATA0~input ; 21 ; -; mz80k_top:mz80k_top|key_no[1] ; 21 ; -; mz80k_top:mz80k_top|fz80:z80|Mux0~1 ; 21 ; -; mz80k_top:mz80k_top|fz80:z80|Mux1~1 ; 21 ; -; mz80k_top:mz80k_top|fz80:z80|sel3[0]~0 ; 21 ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|Equal0~0 ; 21 ; -; video_mixer:video_mixer|osd:osd|ce_pix ; 21 ; -; video_mixer:video_mixer|pb[4]~10 ; 21 ; -; video_mixer:video_mixer|pb[0]~5 ; 21 ; -; video_mixer:video_mixer|pr[0]~8 ; 21 ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|icb ; 21 ; -; mz80k_top:mz80k_top|key_no[0] ; 20 ; -; mz80k_top:mz80k_top|fz80:z80|selal[0]~3 ; 20 ; -; mz80k_top:mz80k_top|vga:vga1|LessThan0~0 ; 20 ; -; mz80k_top:mz80k_top|fz80:z80|selal[2]~6 ; 19 ; -; mz80k_top:mz80k_top|fz80:z80|sel1l[3]~12 ; 19 ; -; mz80k_top:mz80k_top|fz80:z80|Decoder1~2 ; 19 ; -; mz80k_top:mz80k_top|fz80:z80|Decoder1~1 ; 19 ; -; mz80k_top:mz80k_top|fz80:z80|Decoder2~3 ; 19 ; -; mz80k_top:mz80k_top|fz80:z80|Decoder2~0 ; 19 ; -; video_mixer:video_mixer|pb[1]~6 ; 19 ; -; video_mixer:video_mixer|y[0]~1 ; 19 ; -; video_mixer:video_mixer|pr[1]~9 ; 19 ; -; video_mixer:video_mixer|osd:osd|R_out[4]~0 ; 19 ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[1] ; 19 ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[2] ; 19 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_e0 ; 18 ; -; mz80k_top:mz80k_top|fz80:z80|loada_pc~3 ; 18 ; -; mz80k_top:mz80k_top|fz80:z80|alu:alu|z[7]~62 ; 18 ; -; mz80k_top:mz80k_top|fz80:z80|i_daa ; 18 ; -; mz80k_top:mz80k_top|fz80:z80|sel1l[0]~7 ; 18 ; -; mz80k_top:mz80k_top|fz80:z80|sel1l[1]~4 ; 18 ; -; mz80k_top:mz80k_top|fz80:z80|Decoder2~2 ; 18 ; -; mz80k_top:mz80k_top|fz80:z80|i[2]~8 ; 18 ; -; mz80k_top:mz80k_top|fz80:z80|i[1]~7 ; 18 ; -; video_mixer:video_mixer|Add28~2 ; 18 ; -; mz80k_top:mz80k_top|fz80:z80|alu:alu|z[6]~76 ; 17 ; -; video_mixer:video_mixer|Add29~5 ; 17 ; -; video_mixer:video_mixer|Add27~5 ; 17 ; -; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|kdata[7] ; 17 ; -; mz80k_top:mz80k_top|fz80:z80|alu:alu|z[5]~68 ; 17 ; -; mz80k_top:mz80k_top|fz80:z80|alu:alu|z[3]~50 ; 17 ; -; mz80k_top:mz80k_top|fz80:z80|alu:alu|z[4] ; 17 ; -; mz80k_top:mz80k_top|fz80:z80|asu_i[1]~6 ; 17 ; -; mz80k_top:mz80k_top|fz80:z80|Mux2~1 ; 17 ; -; mz80k_top:mz80k_top|fz80:z80|Mux3~1 ; 17 ; -; mz80k_top:mz80k_top|fz80:z80|sel1l[2]~13 ; 17 ; -; mz80k_top:mz80k_top|fz80:z80|d_f~8 ; 17 ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|wr ; 17 ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[0] ; 17 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count1[3]~44 ; 16 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count2[0]~28 ; 16 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count0[0]~44 ; 16 ; -; mz80k_top:mz80k_top|fz80:z80|alu:alu|z[1]~40 ; 16 ; -; mz80k_top:mz80k_top|fz80:z80|alu:alu|z[0] ; 16 ; -; mz80k_top:mz80k_top|fz80:z80|sel2[1]~3 ; 16 ; -; mz80k_top:mz80k_top|fz80:z80|Mux27~4 ; 16 ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_h|q~1 ; 16 ; -; mz80k_top:mz80k_top|fz80:z80|Decoder2~4 ; 16 ; -; mz80k_top:mz80k_top|fz80:z80|self[1]~0 ; 16 ; -; SPI_DI~input ; 15 ; -; mz80k_top:mz80k_top|key_no[3] ; 15 ; -; mz80k_top:mz80k_top|ps2:ps2_1|always0~5 ; 15 ; -; mz80k_top:mz80k_top|fz80:z80|xy3 ; 15 ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|ied ; 15 ; -; mist_io:mist_io|Equal15~0 ; 14 ; -; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[10] ; 14 ; -; mz80k_top:mz80k_top|i8253:i8253_1|always0~3 ; 14 ; -; mz80k_top:mz80k_top|i8253:i8253_1|data[5]~11 ; 14 ; -; mz80k_top:mz80k_top|ps2:ps2_1|always0~4 ; 14 ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|sgate ; 14 ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|s_mr1 ; 14 ; -; mz80k_top:mz80k_top|fz80:z80|s_xor ; 14 ; -; mz80k_top:mz80k_top|fz80:z80|Mux4~1 ; 14 ; -; mz80k_top:mz80k_top|fz80:z80|Mux5~1 ; 14 ; -; mz80k_top:mz80k_top|fz80:z80|Decoder1~6 ; 14 ; -; mz80k_top:mz80k_top|fz80:z80|Decoder1~3 ; 14 ; -; mz80k_top:mz80k_top|cpu_data_in[4]~20 ; 14 ; -; video_mixer:video_mixer|osd:osd|hsD ; 14 ; -; video_mixer:video_mixer|Add27~2 ; 14 ; -; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[0] ; 13 ; -; mz80k_top:mz80k_top|fz80:z80|ec~2 ; 13 ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|Equal10~8 ; 13 ; -; mz80k_top:mz80k_top|fz80:z80|Mux7~1 ; 13 ; -; mz80k_top:mz80k_top|fz80:z80|Decoder1~8 ; 13 ; -; mz80k_top:mz80k_top|fz80:z80|self[0] ; 13 ; -; mz80k_top:mz80k_top|fz80:z80|i0 ; 13 ; -; video_mixer:video_mixer|osd:osd|hsD2 ; 13 ; -; video_mixer:video_mixer|Add29~2 ; 13 ; -; video_mixer:video_mixer|Add28~1 ; 13 ; -; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrl|q[7]~2 ; 13 ; -; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrl|q[0]~0 ; 13 ; -; mist_io:mist_io|ps2_kbd_rptr[2] ; 12 ; -; mist_io:mist_io|ps2_kbd_wptr[2] ; 12 ; -; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|dten ; 12 ; -; mz80k_top:mz80k_top|fz80:z80|alu:alu|b1[0]~6 ; 12 ; -; mz80k_top:mz80k_top|fz80:z80|sel1h[1]~0 ; 12 ; -; mz80k_top:mz80k_top|fz80:z80|Mux6~1 ; 12 ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|Equal10~5 ; 12 ; -; mz80k_top:mz80k_top|fz80:z80|i_ldnnhl~0 ; 12 ; -; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|out_address_reg_a[0] ; 12 ; -; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|out_address_reg_a[1] ; 12 ; -; mist_io:mist_io|sbuf[3] ; 12 ; -; video_mixer:video_mixer|osd:osd|always0~1 ; 12 ; -; mist_io:mist_io|sbuf[4] ; 12 ; -; video_mixer:video_mixer|pb[0]~4 ; 12 ; -; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrl|q[6]~1 ; 12 ; -; video_mixer:video_mixer|osd:osd|LessThan2~18 ; 12 ; -; mist_io:mist_io|ps2_kbd_wptr[1] ; 11 ; -; mz80k_top:mz80k_top|LessThan1~0 ; 11 ; -; mz80k_top:mz80k_top|fz80:z80|d_f~12 ; 11 ; -; mz80k_top:mz80k_top|fz80:z80|inva ; 11 ; -; mz80k_top:mz80k_top|fz80:z80|asu:asu|b1[7] ; 11 ; -; mz80k_top:mz80k_top|fz80:z80|comb~46 ; 11 ; -; mz80k_top:mz80k_top|cpu_data_in[2]~36 ; 11 ; -; mz80k_top:mz80k_top|fz80:z80|i_exsphl ; 11 ; -; mz80k_top:mz80k_top|fz80:z80|sel_rrd ; 11 ; -; mz80k_top:mz80k_top|fz80:z80|Decoder2~6 ; 11 ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|Equal10~2 ; 11 ; -; mz80k_top:mz80k_top|fz80:z80|comb~11 ; 11 ; -; mz80k_top:mz80k_top|cpu_data_in[5]~17 ; 11 ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|Equal10~0 ; 11 ; -; video_mixer:video_mixer|osd:osd|bcnt[1]~13 ; 11 ; -; mist_io:mist_io|sbuf[1] ; 11 ; -; mist_io:mist_io|sbuf[0] ; 11 ; -; mist_io:mist_io|sbuf[2] ; 11 ; -; video_mixer:video_mixer|osd:osd|always2~0 ; 11 ; -; video_mixer:video_mixer|Add27~3 ; 11 ; -; video_mixer:video_mixer|osd:osd|G_out[4]~1 ; 11 ; -; video_mixer:video_mixer|osd:osd|G_out[5]~0 ; 11 ; -; video_mixer:video_mixer|osd:osd|LessThan1~18 ; 11 ; -; mz80k_top:mz80k_top|fz80:z80|i_inblock ; 10 ; -; mz80k_top:mz80k_top|fz80:z80|dec_pc~3 ; 10 ; -; mz80k_top:mz80k_top|fz80:z80|dec_pc~0 ; 10 ; -; mz80k_top:mz80k_top|fz80:z80|load_e~2 ; 10 ; -; mz80k_top:mz80k_top|fz80:z80|load_b~2 ; 10 ; -; mz80k_top:mz80k_top|fz80:z80|load_c~1 ; 10 ; -; mz80k_top:mz80k_top|fz80:z80|load_f ; 10 ; -; mz80k_top:mz80k_top|comb~1 ; 10 ; -; mz80k_top:mz80k_top|i8253:i8253_1|always0~0 ; 10 ; -; mz80k_top:mz80k_top|cpu_data_in~44 ; 10 ; -; mz80k_top:mz80k_top|fz80:z80|alu:alu|a1[1]~0 ; 10 ; -; mz80k_top:mz80k_top|fz80:z80|s_and ; 10 ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|iff2~2 ; 10 ; -; mz80k_top:mz80k_top|fz80:z80|reg_f:reg_f|q[0]~0 ; 10 ; -; mist_io:mist_io|sbuf[5] ; 10 ; -; mz80k_top:mz80k_top|vga:vga1|LessThan1~2 ; 10 ; -; video_mixer:video_mixer|osd:osd|hs_high[9]~0 ; 10 ; -; video_mixer:video_mixer|osd:osd|hs_low[9]~0 ; 10 ; -; video_mixer:video_mixer|osd:osd|vs_high[9]~0 ; 10 ; -; video_mixer:video_mixer|osd:osd|vs_low[9]~0 ; 10 ; -; video_mixer:video_mixer|osd:osd|v_cnt[0]~17 ; 10 ; -; video_mixer:video_mixer|osd:osd|v_cnt[9]~16 ; 10 ; -; mist_io:mist_io|bit_cnt[0] ; 10 ; -; video_mixer:video_mixer|Add29~3 ; 10 ; -; video_mixer:video_mixer|pr[6]~7 ; 10 ; -; video_mixer:video_mixer|osd:osd|G_out[2]~3 ; 10 ; -; video_mixer:video_mixer|osd:osd|G_out[3]~2 ; 10 ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|idd ; 10 ; -; SPI_SS3~input ; 9 ; -; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[4]~15 ; 9 ; -; mz80k_top:mz80k_top|fz80:z80|asu:asu|z[15] ; 9 ; -; mz80k_top:mz80k_top|fz80:z80|asu:asu|z[11] ; 9 ; -; mz80k_top:mz80k_top|fz80:z80|asu:asu|z[9] ; 9 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl3[4]~3 ; 9 ; -; mz80k_top:mz80k_top|fz80:z80|load_adrh ; 9 ; -; mz80k_top:mz80k_top|fz80:z80|load_spl ; 9 ; -; mz80k_top:mz80k_top|fz80:z80|load_sph ; 9 ; -; mz80k_top:mz80k_top|fz80:z80|load_pcl~0 ; 9 ; -; mz80k_top:mz80k_top|fz80:z80|asu:asu|z[14] ; 9 ; -; mz80k_top:mz80k_top|fz80:z80|asu:asu|z[13]~17 ; 9 ; -; mz80k_top:mz80k_top|fz80:z80|asu:asu|z[10]~14 ; 9 ; -; mz80k_top:mz80k_top|fz80:z80|asu:asu|z[12]~12 ; 9 ; -; mz80k_top:mz80k_top|fz80:z80|asu:asu|z[5] ; 9 ; -; mz80k_top:mz80k_top|fz80:z80|asu:asu|z[4] ; 9 ; -; mz80k_top:mz80k_top|fz80:z80|asu:asu|z[6] ; 9 ; -; mz80k_top:mz80k_top|fz80:z80|asu:asu|z[7]~9 ; 9 ; -; mz80k_top:mz80k_top|fz80:z80|asu:asu|z[1] ; 9 ; -; mz80k_top:mz80k_top|fz80:z80|asu:asu|z[2] ; 9 ; -; mz80k_top:mz80k_top|fz80:z80|asu:asu|z[3] ; 9 ; -; mz80k_top:mz80k_top|fz80:z80|alu:alu|z[2]~33 ; 9 ; -; mz80k_top:mz80k_top|fz80:z80|alu:alu|z[2]~28 ; 9 ; -; mz80k_top:mz80k_top|fz80:z80|asu:asu|z[0] ; 9 ; -; mz80k_top:mz80k_top|fz80:z80|asu:asu|z[8] ; 9 ; -; mz80k_top:mz80k_top|fz80:z80|alu:alu|b1[2]~23 ; 9 ; -; mz80k_top:mz80k_top|fz80:z80|alu:alu|b1[5]~18 ; 9 ; -; mz80k_top:mz80k_top|cpu_data_in~46 ; 9 ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|s_imm1 ; 9 ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|s_mr2 ; 9 ; -; mz80k_top:mz80k_top|fz80:z80|alu:alu|b1[1]~12 ; 9 ; -; mz80k_top:mz80k_top|fz80:z80|alu:alu|a1[2]~1 ; 9 ; -; mz80k_top:mz80k_top|fz80:z80|r ; 9 ; -; mz80k_top:mz80k_top|fz80:z80|s_or ; 9 ; -; mz80k_top:mz80k_top|fz80:z80|rs ; 9 ; -; mz80k_top:mz80k_top|fz80:z80|alu:alu|b1[0]~8 ; 9 ; -; mz80k_top:mz80k_top|fz80:z80|sub ; 9 ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|Equal10~10 ; 9 ; -; mz80k_top:mz80k_top|fz80:z80|Equal5~0 ; 9 ; -; mz80k_top:mz80k_top|fz80:z80|i_ldblock ; 9 ; -; mz80k_top:mz80k_top|fz80:z80|incdec8 ; 9 ; -; mist_io:mist_io|sbuf[6] ; 9 ; -; mist_io:mist_io|bit_cnt[2] ; 9 ; -; video_mixer:video_mixer|Add28~0 ; 9 ; -; video_mixer:video_mixer|osd:osd|G_out[1]~5 ; 9 ; -; video_mixer:video_mixer|osd:osd|G_out[0]~4 ; 9 ; -; mist_io:mist_io|ps2_kbd_tx_state[0] ; 9 ; -; video_mixer:video_mixer|Add16~6 ; 9 ; -; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|kdata[0]~2 ; 8 ; -; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrh|q[1]~14 ; 8 ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_b|q0[4]~10 ; 8 ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_b|q1[2]~2 ; 8 ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q0[3]~10 ; 8 ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q1[1]~2 ; 8 ; -; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[4]~19 ; 8 ; -; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q1[4]~2 ; 8 ; -; mist_io:mist_io|ps2_kbd_fifo~75 ; 8 ; -; mist_io:mist_io|ps2_kbd_fifo~74 ; 8 ; -; mist_io:mist_io|ps2_kbd_fifo~73 ; 8 ; -; mist_io:mist_io|ps2_kbd_fifo~72 ; 8 ; -; mist_io:mist_io|ps2_kbd_fifo~71 ; 8 ; -; mist_io:mist_io|ps2_kbd_fifo~70 ; 8 ; -; mist_io:mist_io|ps2_kbd_fifo~69 ; 8 ; -; mist_io:mist_io|ps2_kbd_fifo~68 ; 8 ; -; mist_io:mist_io|ps2_kbd_parity~1 ; 8 ; -; mist_io:mist_io|ps2_kbd_wptr[0] ; 8 ; -; mz80k_top:mz80k_top|i8253:i8253_1|max1[0]~3 ; 8 ; -; mz80k_top:mz80k_top|i8253:i8253_1|max1[15]~2 ; 8 ; -; mz80k_top:mz80k_top|i8253:i8253_1|max2[15]~3 ; 8 ; -; mz80k_top:mz80k_top|i8253:i8253_1|max2[0]~2 ; 8 ; -; mz80k_top:mz80k_top|i8253:i8253_1|max0[0]~3 ; 8 ; -; mz80k_top:mz80k_top|i8253:i8253_1|max0[15]~2 ; 8 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl8[0]~8 ; 8 ; -; reset_cnt[1]~11 ; 8 ; -; always0~0 ; 8 ; -; mz80k_top:mz80k_top|fz80:z80|alu:alu|z[2]~69 ; 8 ; -; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrl|q[5]~11 ; 8 ; -; mz80k_top:mz80k_top|fz80:z80|load_adrl ; 8 ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_h|qy[1]~0 ; 8 ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_h|q0[3]~9 ; 8 ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_h|q1[7]~8 ; 8 ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_h|qx[5]~2 ; 8 ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_l|qy[6]~0 ; 8 ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_l|q0[7]~10 ; 8 ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_l|q1[4]~9 ; 8 ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_l|qx[2]~2 ; 8 ; -; mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_spl|q[1]~12 ; 8 ; -; mz80k_top:mz80k_top|fz80:z80|load_data~3 ; 8 ; -; mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_sph|q[1]~9 ; 8 ; -; mz80k_top:mz80k_top|fz80:z80|reg_pcl:reg_pcl|notload ; 8 ; -; mz80k_top:mz80k_top|fz80:z80|reg_simplec:reg_i|q[4]~1 ; 8 ; -; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|notload ; 8 ; -; mz80k_top:mz80k_top|fz80:z80|load_pch~0 ; 8 ; -; mz80k_top:mz80k_top|fz80:z80|load_r ; 8 ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q0[4]~1 ; 8 ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q1[4]~0 ; 8 ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d|q0[6]~2 ; 8 ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d|q1[6]~0 ; 8 ; -; mz80k_top:mz80k_top|fz80:z80|load_d~1 ; 8 ; -; mz80k_top:mz80k_top|fz80:z80|alu:alu|b1[3]~26 ; 8 ; -; mz80k_top:mz80k_top|fz80:z80|alu:alu|b1[4]~20 ; 8 ; -; mz80k_top:mz80k_top|fz80:z80|alu:alu|b1[6]~16 ; 8 ; -; mz80k_top:mz80k_top|fz80:z80|alu:alu|a1[5]~2 ; 8 ; -; mz80k_top:mz80k_top|i8253:i8253_1|data[3]~15 ; 8 ; -; mz80k_top:mz80k_top|i8253:i8253_1|data~8 ; 8 ; -; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|decode_dra:decode3|w_anode243w[2] ; 8 ; -; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|decode_dra:decode3|w_anode214w[2] ; 8 ; -; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|decode_dra:decode3|w_anode227w[2] ; 8 ; -; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|decode_dra:decode3|w_anode235w[2] ; 8 ; -; mz80k_top:mz80k_top|fz80:z80|Equal3~0 ; 8 ; -; mz80k_top:mz80k_top|fz80:z80|Equal4~0 ; 8 ; -; mz80k_top:mz80k_top|fz80:z80|asu:asu|b1[3] ; 8 ; -; mz80k_top:mz80k_top|fz80:z80|asu:asu|a1[3] ; 8 ; -; mz80k_top:mz80k_top|fz80:z80|Equal5~1 ; 8 ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|s_mw1 ; 8 ; -; mz80k_top:mz80k_top|fz80:z80|asu_i[0] ; 8 ; -; mz80k_top:mz80k_top|fz80:z80|asu:asu|comb~1 ; 8 ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|Equal10~7 ; 8 ; -; mz80k_top:mz80k_top|fz80:z80|i_cpblock ; 8 ; -; mz80k_top:mz80k_top|fz80:z80|comb~18 ; 8 ; -; mz80k_top:mz80k_top|fz80:z80|i_outcr ; 8 ; -; mz80k_top:mz80k_top|fz80:z80|Decoder2~1 ; 8 ; -; mz80k_top:mz80k_top|cpu_data_in[3]~34 ; 8 ; -; mz80k_top:mz80k_top|cpu_data_in[1]~25 ; 8 ; -; mist_io:mist_io|cmd[0]~0 ; 8 ; -; video_mixer:video_mixer|osd:osd|h_cnt[5] ; 8 ; -; video_mixer:video_mixer|osd:osd|h_cnt[6] ; 8 ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|ifd ; 8 ; -; video_mixer:video_mixer|Add8~20 ; 8 ; -; video_mixer:video_mixer|Add8~16 ; 8 ; -; mz80k_top:mz80k_top|vga:vga1|x[6] ; 8 ; -; mz80k_top:mz80k_top|vga:vga1|x[5] ; 8 ; -; video_mixer:video_mixer|Add18~4 ; 7 ; -; mist_io:mist_io|ps2_kbd_tx_state[2] ; 7 ; -; mist_io:mist_io|ps2_kbd_tx_state[1] ; 7 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl4[2]~3 ; 7 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[4]~6 ; 7 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[5]~2 ; 7 ; -; mz80k_top:mz80k_top|fz80:z80|reg_r:reg_r|q[2]~0 ; 7 ; -; mz80k_top:mz80k_top|fz80:z80|alu:alu|a1[3] ; 7 ; -; mz80k_top:mz80k_top|fz80:z80|alu:alu|a1[4]~4 ; 7 ; -; mz80k_top:mz80k_top|fz80:z80|alu:alu|a1[6]~3 ; 7 ; -; mz80k_top:mz80k_top|fz80:z80|alu:alu|a1[7] ; 7 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tble[0] ; 7 ; -; mz80k_top:mz80k_top|always2~0 ; 7 ; -; mz80k_top:mz80k_top|fz80:z80|tmp0~1 ; 7 ; -; mz80k_top:mz80k_top|fz80:z80|asu:asu|b1[1] ; 7 ; -; mz80k_top:mz80k_top|fz80:z80|asu:asu|a1[1] ; 7 ; -; mz80k_top:mz80k_top|fz80:z80|asu:asu|a1[2] ; 7 ; -; mz80k_top:mz80k_top|fz80:z80|asu:asu|b1[4] ; 7 ; -; mz80k_top:mz80k_top|fz80:z80|asu:asu|a1[4] ; 7 ; -; mz80k_top:mz80k_top|fz80:z80|i_incdec16 ; 7 ; -; mz80k_top:mz80k_top|fz80:z80|Mux10~5 ; 7 ; -; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q[3]~3 ; 7 ; -; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q[2]~2 ; 7 ; -; mz80k_top:mz80k_top|fz80:z80|reg_pcl:reg_pcl|q[1] ; 7 ; -; mz80k_top:mz80k_top|fz80:z80|comb~22 ; 7 ; -; mz80k_top:mz80k_top|fz80:z80|reg_pcl:reg_pcl|q[0] ; 7 ; -; mz80k_top:mz80k_top|fz80:z80|Decoder1~9 ; 7 ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|Equal10~3 ; 7 ; -; mz80k_top:mz80k_top|fz80:z80|load_i~0 ; 7 ; -; mz80k_top:mz80k_top|fz80:z80|i_neg~1 ; 7 ; -; mz80k_top:mz80k_top|fz80:z80|Decoder2~5 ; 7 ; -; mz80k_top:mz80k_top|fz80:z80|i_ldnndd ; 7 ; -; mz80k_top:mz80k_top|fz80:z80|sel1_tmp~4 ; 7 ; -; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q[0]~0 ; 7 ; -; mist_io:mist_io|byte_cnt[0]~10 ; 7 ; -; mist_io:mist_io|cmd[2] ; 7 ; -; mz80k_top:mz80k_top|vga:vga1|VGA_GREEN~2 ; 7 ; -; video_mixer:video_mixer|osd:osd|h_cnt[3] ; 7 ; -; video_mixer:video_mixer|osd:osd|h_cnt[2] ; 7 ; -; video_mixer:video_mixer|osd:osd|h_cnt[4] ; 7 ; -; video_mixer:video_mixer|osd:osd|h_cnt[7] ; 7 ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|start ; 7 ; -; video_mixer:video_mixer|Add26~12 ; 7 ; -; mz80k_top:mz80k_top|vga:vga1|y[6] ; 7 ; -; mz80k_top:mz80k_top|fz80:z80|reg_f:reg_f|q0[6]~29 ; 6 ; -; mz80k_top:mz80k_top|fz80:z80|reg_f:reg_f|q1[0]~2 ; 6 ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|state[2]~20 ; 6 ; -; mz80k_top:mz80k_top|fz80:z80|Mux27~19 ; 6 ; -; mist_io:mist_io|always4~1 ; 6 ; -; mist_io:mist_io|ps2_kbd_tx_state[3] ; 6 ; -; mist_io:mist_io|clk_ps2 ; 6 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[5]~3 ; 6 ; -; mz80k_top:mz80k_top|fz80:z80|dec_pc~4 ; 6 ; -; mz80k_top:mz80k_top|fz80:z80|Mux38~3 ; 6 ; -; mz80k_top:mz80k_top|fz80:z80|l ; 6 ; -; mz80k_top:mz80k_top|fz80:z80|i_daa~0 ; 6 ; -; mz80k_top:mz80k_top|i8253:i8253_1|always0~2 ; 6 ; -; mz80k_top:mz80k_top|cpu_data_in~42 ; 6 ; -; mz80k_top:mz80k_top|fz80:z80|tmp1~3 ; 6 ; -; mz80k_top:mz80k_top|fz80:z80|alu:alu|b1[7]~13 ; 6 ; -; mz80k_top:mz80k_top|fz80:z80|alu:alu|a1[0] ; 6 ; -; mz80k_top:mz80k_top|fz80:z80|asu:asu|b1[5] ; 6 ; -; mz80k_top:mz80k_top|fz80:z80|asu:asu|a1[5] ; 6 ; -; mz80k_top:mz80k_top|fz80:z80|asu:asu|b1[7]~0 ; 6 ; -; mz80k_top:mz80k_top|fz80:z80|asu:asu|comb~0 ; 6 ; -; mz80k_top:mz80k_top|fz80:z80|sub~1 ; 6 ; -; mz80k_top:mz80k_top|fz80:z80|sub~0 ; 6 ; -; mz80k_top:mz80k_top|fz80:z80|arith8~0 ; 6 ; -; mz80k_top:mz80k_top|fz80:z80|al~0 ; 6 ; -; mz80k_top:mz80k_top|fz80:z80|Mux9~5 ; 6 ; -; mz80k_top:mz80k_top|fz80:z80|mw1~2 ; 6 ; -; mz80k_top:mz80k_top|fz80:z80|Mux27~12 ; 6 ; -; mz80k_top:mz80k_top|fz80:z80|Mux27~11 ; 6 ; -; mz80k_top:mz80k_top|fz80:z80|Mux27~10 ; 6 ; -; mz80k_top:mz80k_top|cpu_data_in[4]~38 ; 6 ; -; mz80k_top:mz80k_top|cpu_data_in[1]~35 ; 6 ; -; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q[1]~1 ; 6 ; -; mz80k_top:mz80k_top|fz80:z80|reg_pcl:reg_pcl|q[4] ; 6 ; -; mz80k_top:mz80k_top|fz80:z80|reg_pcl:reg_pcl|q[2] ; 6 ; -; mz80k_top:mz80k_top|fz80:z80|sela_tmp~0 ; 6 ; -; mz80k_top:mz80k_top|fz80:z80|pv0~0 ; 6 ; -; mz80k_top:mz80k_top|fz80:z80|i_djnz~0 ; 6 ; -; mz80k_top:mz80k_top|fz80:z80|Decoder1~7 ; 6 ; -; mz80k_top:mz80k_top|fz80:z80|imm2~0 ; 6 ; -; mz80k_top:mz80k_top|fz80:z80|i_neg~0 ; 6 ; -; mz80k_top:mz80k_top|cpu_data_in[1]~12 ; 6 ; -; video_mixer:video_mixer|osd:osd|cmd[7]~0 ; 6 ; -; mist_io:mist_io|bit_cnt[1] ; 6 ; -; video_mixer:video_mixer|LessThan0~0 ; 6 ; -; mz80k_top:mz80k_top|vga:vga1|Mux0~4 ; 6 ; -; video_mixer:video_mixer|osd:osd|LessThan3~4 ; 6 ; -; video_mixer:video_mixer|osd:osd|h_cnt[1] ; 6 ; -; video_mixer:video_mixer|osd:osd|h_cnt[0] ; 6 ; -; video_mixer:video_mixer|Add16~0 ; 6 ; -; video_mixer:video_mixer|Add26~6 ; 6 ; -; mz80k_top:mz80k_top|vga:vga1|x[9] ; 6 ; -; mz80k_top:mz80k_top|vga:vga1|x[8] ; 6 ; -; mz80k_top:mz80k_top|vga:vga1|x[7] ; 6 ; -; video_mixer:video_mixer|osd:osd|v_cnt[5] ; 6 ; -; video_mixer:video_mixer|osd:osd|v_cnt[6] ; 6 ; -; video_mixer:video_mixer|osd:osd|v_cnt[7] ; 6 ; -; video_mixer:video_mixer|osd:osd|v_cnt[4] ; 6 ; -; video_mixer:video_mixer|osd:osd|v_cnt[3] ; 6 ; -; video_mixer:video_mixer|osd:osd|v_cnt[0] ; 6 ; -; video_mixer:video_mixer|osd:osd|v_cnt[1] ; 6 ; -; video_mixer:video_mixer|osd:osd|v_cnt[2] ; 6 ; -; mz80k_top:mz80k_top|fz80:z80|asu:asu|c[7]~40 ; 5 ; -; mz80k_top:mz80k_top|fz80:z80|i_outblock ; 5 ; -; mz80k_top:mz80k_top|fz80:z80|i_ldrhl~3 ; 5 ; -; mz80k_top:mz80k_top|cpu_data_in[1]~49 ; 5 ; -; mist_io:mist_io|ps2_kbd_wptr[1]~2 ; 5 ; -; mist_io:mist_io|Equal14~10 ; 5 ; -; mz80k_top:mz80k_top|LessThan0~1 ; 5 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[3]~11 ; 5 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[1]~9 ; 5 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[4]~3 ; 5 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl4[4]~0 ; 5 ; -; mz80k_top:mz80k_top|fz80:z80|d_f~20 ; 5 ; -; mz80k_top:mz80k_top|fz80:z80|clr_pch~1 ; 5 ; -; mz80k_top:mz80k_top|fz80:z80|Mux36~3 ; 5 ; -; mz80k_top:mz80k_top|fz80:z80|Mux37~3 ; 5 ; -; mz80k_top:mz80k_top|fz80:z80|q_asu_zero ; 5 ; -; mz80k_top:mz80k_top|fz80:z80|alu:alu|z~23 ; 5 ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|nextcycle~15 ; 5 ; -; mz80k_top:mz80k_top|cpu_data_in~48 ; 5 ; -; mz80k_top:mz80k_top|i8253:i8253_1|always0~1 ; 5 ; -; mz80k_top:mz80k_top|i8253:i8253_1|mode1[4] ; 5 ; -; mz80k_top:mz80k_top|i8253:i8253_1|mode1[5] ; 5 ; -; mz80k_top:mz80k_top|i8253:i8253_1|mode0[4] ; 5 ; -; mz80k_top:mz80k_top|i8253:i8253_1|mode0[5] ; 5 ; -; mz80k_top:mz80k_top|fz80:z80|asu:asu|a1[0] ; 5 ; -; mz80k_top:mz80k_top|fz80:z80|asu:asu|b1[2] ; 5 ; -; mz80k_top:mz80k_top|fz80:z80|comb~42 ; 5 ; -; mz80k_top:mz80k_top|fz80:z80|comb~37 ; 5 ; -; mz80k_top:mz80k_top|fz80:z80|i_pop~0 ; 5 ; -; mz80k_top:mz80k_top|fz80:z80|imm2~2 ; 5 ; -; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q[7]~7 ; 5 ; -; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[6] ; 5 ; -; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[5] ; 5 ; -; mz80k_top:mz80k_top|cpu_data_in[5]~39 ; 5 ; -; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q[5]~5 ; 5 ; -; mz80k_top:mz80k_top|fz80:z80|sel1h[0] ; 5 ; -; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[4] ; 5 ; -; mz80k_top:mz80k_top|cpu_data_in[3]~37 ; 5 ; -; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[3] ; 5 ; -; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[2] ; 5 ; -; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[1] ; 5 ; -; mz80k_top:mz80k_top|fz80:z80|reg_pcl:reg_pcl|q[7] ; 5 ; -; mz80k_top:mz80k_top|fz80:z80|reg_pcl:reg_pcl|q[6] ; 5 ; -; mz80k_top:mz80k_top|fz80:z80|reg_pcl:reg_pcl|q[5] ; 5 ; -; mz80k_top:mz80k_top|fz80:z80|reg_pcl:reg_pcl|q[3] ; 5 ; -; mz80k_top:mz80k_top|fz80:z80|comb~30 ; 5 ; -; mz80k_top:mz80k_top|fz80:z80|i_inrc ; 5 ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|Equal10~6 ; 5 ; -; mz80k_top:mz80k_top|fz80:z80|i_lddd_nn ; 5 ; -; mz80k_top:mz80k_top|fz80:z80|comb~27 ; 5 ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|state~4 ; 5 ; -; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[0] ; 5 ; -; mz80k_top:mz80k_top|fz80:z80|i_outna~0 ; 5 ; -; mz80k_top:mz80k_top|fz80:z80|comb~15 ; 5 ; -; mz80k_top:mz80k_top|fz80:z80|arith16~2 ; 5 ; -; mz80k_top:mz80k_top|fz80:z80|sel1_e~1 ; 5 ; -; mz80k_top:mz80k_top|cpu_data_in[1]~13 ; 5 ; -; video_mixer:video_mixer|osd:osd|LessThan0~1 ; 5 ; -; video_mixer:video_mixer|osd:osd|vsD ; 5 ; -; mist_io:mist_io|but_sw[4]~0 ; 5 ; -; mist_io:mist_io|cmd[1] ; 5 ; -; mz80k_top:mz80k_top|vga:vga1|LessThan7~1 ; 5 ; -; video_mixer:video_mixer|always1~1 ; 5 ; -; video_mixer:video_mixer|osd:osd|h_cnt[9] ; 5 ; -; video_mixer:video_mixer|osd:osd|h_cnt[8] ; 5 ; -; video_mixer:video_mixer|osd:osd|h_osd_end[5]~1 ; 5 ; -; video_mixer:video_mixer|osd:osd|h_osd_end[6]~0 ; 5 ; -; video_mixer:video_mixer|osd:osd|dsp_width[8]~0 ; 5 ; -; video_mixer:video_mixer|osd:osd|LessThan3~3 ; 5 ; -; video_mixer:video_mixer|osd:osd|dsp_height[6]~6 ; 5 ; -; video_mixer:video_mixer|osd:osd|dsp_height[9]~3 ; 5 ; -; video_mixer:video_mixer|osd:osd|cnt[3] ; 5 ; -; mist_io:mist_io|byte_cnt[7] ; 5 ; -; mist_io:mist_io|byte_cnt[6] ; 5 ; -; video_mixer:video_mixer|Add16~10 ; 5 ; -; video_mixer:video_mixer|Add8~18 ; 5 ; -; mz80k_top:mz80k_top|vga:vga1|y[0] ; 5 ; -; mz80k_top:mz80k_top|vga:vga1|y[7] ; 5 ; -; mz80k_top:mz80k_top|vga:vga1|y[9] ; 5 ; -; mz80k_top:mz80k_top|vga:vga1|y[3] ; 5 ; -; mz80k_top:mz80k_top|vga:vga1|y[2] ; 5 ; -; mz80k_top:mz80k_top|vga:vga1|y[1] ; 5 ; -; video_mixer:video_mixer|osd:osd|v_cnt[8] ; 5 ; -; video_mixer:video_mixer|osd:osd|v_cnt[9] ; 5 ; -; mist_io:mist_io|ps2_kbd_tx_state[0]~7 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|Equal13~4 ; 4 ; -; mist_io:mist_io|ps2_kbd_wptr[0]~4 ; 4 ; -; mist_io:mist_io|ps2_kbd_tx_state[1]~3 ; 4 ; -; mist_io:mist_io|ps2_kbd_data ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|write_hl2 ; 4 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl4[3]~9 ; 4 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl4[1]~5 ; 4 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[5]~2 ; 4 ; -; mz80k_top:mz80k_top|always2~1 ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|mode1[5]~0 ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|mode0[5]~0 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|c[6]~1 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|c[0]~0 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_h|q0[3]~8 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_l|q0[7]~8 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|reg_pcl:reg_pcl|c[6]~2 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|comb~59 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|Mux35~3 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|loada_bc~0 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|Mux39~3 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|cv5~0 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|alu:alu|tor[1] ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|read_hl0~0 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|comb~3 ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|mode2[4] ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|mode2[5] ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|res ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|asu:asu|b1[0] ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|Equal6~0 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|Equal10~11 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|comb~40 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|next_mw1~3 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|mr1~3 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|mr1~2 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|mr1~0 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|imm1~1 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|i_inan~0 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|Mux24~9 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|q[7] ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_h|Mux0~1 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_b|q[7]~7 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d|q[7]~7 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_h|Mux1~1 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d|q[6]~6 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q[6]~6 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|reg_f:reg_f|q[6]~4 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_h|Mux2~1 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d|q[5]~5 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_h|Mux3~1 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d|q[4]~4 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q[4]~4 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d|q[3]~3 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_h|Mux4~1 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|reg_simple:reg_data|q[5] ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|reg_f:reg_f|q[1]~1 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_h|Mux5~1 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d|q[2]~2 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_h|Mux6~1 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d|q[1]~1 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_l|Mux0~1 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q[7]~7 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_l|Mux1~1 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q[6]~6 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_l|Mux2~1 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q[5]~5 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_l|Mux3~1 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q[4]~4 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_l|Mux4~1 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q[3]~3 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_l|Mux5~1 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q[2]~2 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_l|Mux6~1 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q[1]~1 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|asu_ci~0 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_h|Mux7~1 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|reg_simple:reg_data|q[4] ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_l|Mux7~1 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q[0]~0 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|Equal10~4 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|i_rs_hl~0 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|comb~17 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|i_cpl~0 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|i_rd ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|comb~14 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d|q[0]~0 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|comb~12 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|comb~10 ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[4] ; 4 ; -; mz80k_top:mz80k_top|cpu_data_in[0]~14 ; 4 ; -; mist_io:mist_io|status[4]~9 ; 4 ; -; video_mixer:video_mixer|osd:osd|sbuf[3] ; 4 ; -; video_mixer:video_mixer|osd:osd|sbuf[5] ; 4 ; -; video_mixer:video_mixer|osd:osd|vsD2 ; 4 ; -; video_mixer:video_mixer|osd:osd|sbuf[4] ; 4 ; -; video_mixer:video_mixer|osd:osd|comb~4 ; 4 ; -; mist_io:mist_io|cmd[3] ; 4 ; -; mist_io:mist_io|cmd[0] ; 4 ; -; video_mixer:video_mixer|pb[5]~9 ; 4 ; -; video_mixer:video_mixer|pb[0]~0 ; 4 ; -; video_mixer:video_mixer|pr[6]~5 ; 4 ; -; mz80k_top:mz80k_top|vga:vga1|LessThan8~1 ; 4 ; -; mz80k_top:mz80k_top|vga:vga1|LessThan1~0 ; 4 ; -; mz80k_top:mz80k_top|vga:vga1|LessThan7~0 ; 4 ; -; video_mixer:video_mixer|osd:osd|h_osd_end[2]~5 ; 4 ; -; video_mixer:video_mixer|osd:osd|h_osd_end[4]~2 ; 4 ; -; video_mixer:video_mixer|osd:osd|comb~2 ; 4 ; -; video_mixer:video_mixer|osd:osd|Mux0~3 ; 4 ; -; video_mixer:video_mixer|osd:osd|Mux0~1 ; 4 ; -; video_mixer:video_mixer|osd:osd|dsp_height[4]~8 ; 4 ; -; video_mixer:video_mixer|osd:osd|dsp_height[5]~7 ; 4 ; -; video_mixer:video_mixer|osd:osd|dsp_height[1]~2 ; 4 ; -; video_mixer:video_mixer|osd:osd|dsp_height[2]~1 ; 4 ; -; video_mixer:video_mixer|osd:osd|dsp_height[3]~0 ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count1[3] ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count2[3] ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count2[11] ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count1[11] ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count0[3] ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count0[11] ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count1[7] ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count1[15] ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count2[15] ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count2[7] ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count0[7] ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count0[15] ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count1[6] ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count2[6] ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count2[14] ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count1[14] ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count0[6] ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count0[14] ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count1[2] ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count2[2] ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count2[10] ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count1[10] ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count0[2] ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count0[10] ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count1[1] ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count2[1] ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count2[9] ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count1[9] ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count0[1] ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count0[9] ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count1[0] ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count2[8] ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count1[8] ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count0[0] ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count0[8] ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count1[4] ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count2[4] ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count2[12] ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count1[12] ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count0[4] ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count0[12] ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count1[5] ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count1[13] ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count2[13] ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count2[5] ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count0[5] ; 4 ; -; mz80k_top:mz80k_top|i8253:i8253_1|count0[13] ; 4 ; -; video_mixer:video_mixer|osd:osd|pixsz[23] ; 4 ; -; video_mixer:video_mixer|Add16~16 ; 4 ; -; video_mixer:video_mixer|Add16~14 ; 4 ; -; video_mixer:video_mixer|Add16~8 ; 4 ; -; video_mixer:video_mixer|Add26~22 ; 4 ; -; video_mixer:video_mixer|Add26~20 ; 4 ; -; video_mixer:video_mixer|Add26~16 ; 4 ; -; video_mixer:video_mixer|Add26~14 ; 4 ; -; mz80k_top:mz80k_top|vga:vga1|x[4] ; 4 ; -; mz80k_top:mz80k_top|vga:vga1|x[1] ; 4 ; -; mz80k_top:mz80k_top|vga:vga1|x[0] ; 4 ; -; mz80k_top:mz80k_top|vga:vga1|y[8] ; 4 ; -; mz80k_top:mz80k_top|vga:vga1|y[5] ; 4 ; -; mz80k_top:mz80k_top|vga:vga1|y[4] ; 4 ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|Equal14~2 ; 3 ; -; mist_io:mist_io|ps2_kbd_r_inc ; 3 ; -; mist_io:mist_io|old_clk ; 3 ; -; mist_io:mist_io|Equal16~0 ; 3 ; -; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[10]~7 ; 3 ; -; mz80k_top:mz80k_top|i8253:i8253_1|write_hl1 ; 3 ; -; mz80k_top:mz80k_top|i8253:i8253_1|write_hl0 ; 3 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[3]~13 ; 3 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl4[2]~6 ; 3 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl3[0]~5 ; 3 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[5]~0 ; 3 ; -; mz80k_top:mz80k_top|i8253:i8253_1|read_hl1~0 ; 3 ; -; mist_io:mist_io|status[0] ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|zs0 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|qa[4] ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|pv3~0 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch|qa[1]~0 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|tmp_adr ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|reg_pcl:reg_pcl|c[6]~1 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|reg_pcl:reg_pcl|qa[6] ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|asu_zero~2 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|Mux34~3 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|asu:asu|c[10]~33 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|asu:asu|c[10]~32 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|asu:asu|c[3]~23 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|asu_ci~7 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|tmp2~1 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|alu:alu|co[6]~12 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|alu:alu|co[6]~11 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|alu:alu|c~3 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|alu:alu|tor[4] ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|comb~53 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|loada_de~1 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|loadex~0 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|load_b~0 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|comb~52 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|comb~49 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|alu:alu|b1[3]~25 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|alu:alu|z~24 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|alu:alu|tor[2] ; 3 ; -; mz80k_top:mz80k_top|i8253:i8253_1|read_hl2 ; 3 ; -; mz80k_top:mz80k_top|i8253:i8253_1|read_hl0 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|alu:alu|b1[1]~11 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|Selector4~0 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|ci ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|asu:asu|c~12 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|asu_ci~6 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|asu:asu|b1[2]~2 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|asu:asu|a1[6] ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|hv0~0 ; 3 ; -; mz80k_top:mz80k_top|vram_select ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|Equal15~8 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|i_in~0 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|Equal13~2 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|next_out~1 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|i_out ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|Mux49~2 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|comb~34 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|Equal10~9 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|asu_ci~1 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|mw1~1 ; 3 ; -; mz80k_top:mz80k_top|TP1 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|reg_f:reg_f|q[7]~5 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_b|q[6]~6 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_b|q[5]~5 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_b|q[4]~4 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|reg_f:reg_f|q[4]~3 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|Mux28~9 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|reg_simple:reg_data|q[3] ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_b|q[3]~3 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|reg_simple:reg_data|q[7] ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|Mux29~9 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|reg_simple:reg_data|q[6] ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|reg_f:reg_f|q[2]~2 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|Mux30~9 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_b|q[2]~2 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_b|q[1]~1 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q[7]~7 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q[6]~6 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q[5]~5 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q[4]~4 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q[3]~3 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q[2]~2 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q[1]~1 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|selah[0]~2 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|reg_load_f~2 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|sela_tmp3~0 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|Mux31~9 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|sel1_h~6 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_b|q[0]~0 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|i_setres_hl~0 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|i_ldhln~0 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|sel1_l~2 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q[0]~0 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|sel1l[1]~3 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[3] ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 3 ; -; mz80k_top:mz80k_top|cpu_data_in[6]~28 ; 3 ; -; mz80k_top:mz80k_top|cpu_data_in[2]~27 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[0] ; 3 ; -; mz80k_top:mz80k_top|cpu_data_in~15 ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[5] ; 3 ; -; video_mixer:video_mixer|osd:osd|sbuf[2] ; 3 ; -; video_mixer:video_mixer|osd:osd|sbuf[0] ; 3 ; -; video_mixer:video_mixer|osd:osd|sbuf[1] ; 3 ; -; video_mixer:video_mixer|osd:osd|sbuf[6] ; 3 ; -; video_mixer:video_mixer|osd:osd|Equal0~0 ; 3 ; -; mist_io:mist_io|but_sw[4]~1 ; 3 ; -; mist_io:mist_io|cmd[4] ; 3 ; -; mist_io:mist_io|status[4]~0 ; 3 ; -; mist_io:mist_io|spi_do~0 ; 3 ; -; mist_io:mist_io|Equal5~2 ; 3 ; -; video_mixer:video_mixer|Mux33~11 ; 3 ; -; video_mixer:video_mixer|VGA_B[1]~24 ; 3 ; -; video_mixer:video_mixer|VGA_B[1]~21 ; 3 ; -; video_mixer:video_mixer|VGA_B[1]~20 ; 3 ; -; video_mixer:video_mixer|y[2]~3 ; 3 ; -; video_mixer:video_mixer|Mux21~11 ; 3 ; -; video_mixer:video_mixer|VGA_R[1]~10 ; 3 ; -; video_mixer:video_mixer|VGA_R[1]~7 ; 3 ; -; video_mixer:video_mixer|VGA_R[1]~6 ; 3 ; -; video_mixer:video_mixer|pr[5]~12 ; 3 ; -; video_mixer:video_mixer|scanline ; 3 ; -; mz80k_top:mz80k_top|vga:vga1|VGA_GREEN~3 ; 3 ; -; mz80k_top:mz80k_top|vga:vga1|Add7~1 ; 3 ; -; mz80k_top:mz80k_top|vga:vga1|Add7~0 ; 3 ; -; mz80k_top:mz80k_top|vga:vga1|LessThan8~0 ; 3 ; -; video_mixer:video_mixer|osd:osd|h_osd_end[3]~6 ; 3 ; -; video_mixer:video_mixer|osd:osd|h_osd_end[0]~4 ; 3 ; -; video_mixer:video_mixer|osd:osd|h_osd_end[1]~3 ; 3 ; -; video_mixer:video_mixer|osd:osd|hs_low[4] ; 3 ; -; video_mixer:video_mixer|osd:osd|hs_high[4] ; 3 ; -; video_mixer:video_mixer|osd:osd|Mux0~4 ; 3 ; -; video_mixer:video_mixer|osd:osd|comb~1 ; 3 ; -; video_mixer:video_mixer|osd:osd|comb~0 ; 3 ; -; video_mixer:video_mixer|osd:osd|vs_low[9] ; 3 ; -; video_mixer:video_mixer|osd:osd|vs_high[9] ; 3 ; -; mz80k_top:mz80k_top|count_31250[10] ; 3 ; -; mz80k_top:mz80k_top|count_31250[9] ; 3 ; -; mz80k_top:mz80k_top|count_31250[8] ; 3 ; -; mz80k_top:mz80k_top|count_2M[3] ; 3 ; -; mz80k_top:mz80k_top|count_2M[2] ; 3 ; -; mz80k_top:mz80k_top|count_2M[1] ; 3 ; -; mz80k_top:mz80k_top|count_2M[0] ; 3 ; -; mz80k_top:mz80k_top|count_2M[4] ; 3 ; -; video_mixer:video_mixer|osd:osd|cnt[9] ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_sph|q[7] ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_sph|q[6] ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_sph|q[5] ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_sph|q[4] ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_sph|q[3] ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_sph|q[2] ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_sph|q[1] ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_spl|q[7] ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_spl|q[6] ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_spl|q[5] ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_spl|q[4] ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_spl|q[3] ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_spl|q[2] ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_spl|q[1] ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_spl|q[0] ; 3 ; -; mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_sph|q[0] ; 3 ; -; video_mixer:video_mixer|osd:osd|pixcnt[31] ; 3 ; -; video_mixer:video_mixer|osd:osd|pixcnt[30] ; 3 ; -; video_mixer:video_mixer|osd:osd|pixcnt[29] ; 3 ; -; video_mixer:video_mixer|osd:osd|pixcnt[28] ; 3 ; -; video_mixer:video_mixer|osd:osd|pixcnt[27] ; 3 ; -; video_mixer:video_mixer|osd:osd|pixcnt[26] ; 3 ; -; video_mixer:video_mixer|osd:osd|pixcnt[25] ; 3 ; -; video_mixer:video_mixer|osd:osd|pixcnt[24] ; 3 ; -; video_mixer:video_mixer|osd:osd|pixcnt[23] ; 3 ; -; video_mixer:video_mixer|osd:osd|pixcnt[22] ; 3 ; -; video_mixer:video_mixer|osd:osd|pixcnt[21] ; 3 ; -; video_mixer:video_mixer|osd:osd|pixcnt[20] ; 3 ; -; video_mixer:video_mixer|osd:osd|pixcnt[19] ; 3 ; -; video_mixer:video_mixer|osd:osd|pixcnt[18] ; 3 ; -; video_mixer:video_mixer|osd:osd|pixcnt[17] ; 3 ; -; video_mixer:video_mixer|osd:osd|pixcnt[16] ; 3 ; -; video_mixer:video_mixer|osd:osd|pixcnt[15] ; 3 ; -; video_mixer:video_mixer|osd:osd|pixcnt[14] ; 3 ; -; video_mixer:video_mixer|osd:osd|pixcnt[13] ; 3 ; -; video_mixer:video_mixer|osd:osd|pixcnt[12] ; 3 ; -; video_mixer:video_mixer|osd:osd|pixcnt[11] ; 3 ; -; video_mixer:video_mixer|osd:osd|pixcnt[10] ; 3 ; -; video_mixer:video_mixer|osd:osd|pixcnt[9] ; 3 ; -; video_mixer:video_mixer|osd:osd|pixcnt[8] ; 3 ; -; video_mixer:video_mixer|osd:osd|pixcnt[7] ; 3 ; -; video_mixer:video_mixer|osd:osd|pixcnt[6] ; 3 ; -; video_mixer:video_mixer|osd:osd|pixcnt[5] ; 3 ; -; video_mixer:video_mixer|osd:osd|pixcnt[4] ; 3 ; -; video_mixer:video_mixer|osd:osd|pixcnt[3] ; 3 ; -; video_mixer:video_mixer|osd:osd|pixcnt[2] ; 3 ; -; video_mixer:video_mixer|osd:osd|pixcnt[1] ; 3 ; -; video_mixer:video_mixer|osd:osd|pixcnt[0] ; 3 ; -; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|q_a[5] ; 3 ; -; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|q_a[6] ; 3 ; -; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|q_a[7] ; 3 ; -; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|q_a[4] ; 3 ; -; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|q_a[1] ; 3 ; -; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|q_a[2] ; 3 ; -; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|q_a[3] ; 3 ; -; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|q_a[0] ; 3 ; -; video_mixer:video_mixer|osd:osd|bcnt[10] ; 3 ; -; video_mixer:video_mixer|osd:osd|bcnt[9] ; 3 ; -; video_mixer:video_mixer|osd:osd|bcnt[8] ; 3 ; -; video_mixer:video_mixer|osd:osd|bcnt[7] ; 3 ; -; video_mixer:video_mixer|osd:osd|bcnt[6] ; 3 ; -; video_mixer:video_mixer|osd:osd|bcnt[5] ; 3 ; -; video_mixer:video_mixer|osd:osd|bcnt[4] ; 3 ; -; video_mixer:video_mixer|osd:osd|bcnt[3] ; 3 ; -; video_mixer:video_mixer|osd:osd|bcnt[2] ; 3 ; -; video_mixer:video_mixer|osd:osd|bcnt[1] ; 3 ; -; video_mixer:video_mixer|osd:osd|bcnt[0] ; 3 ; -; video_mixer:video_mixer|osd:osd|cnt[4] ; 3 ; -; video_mixer:video_mixer|osd:osd|cnt[2] ; 3 ; -; video_mixer:video_mixer|osd:osd|cnt[1] ; 3 ; -; video_mixer:video_mixer|osd:osd|cnt[0] ; 3 ; -; video_mixer:video_mixer|Add16~12 ; 3 ; -; video_mixer:video_mixer|Add16~4 ; 3 ; -; video_mixer:video_mixer|Add14~8 ; 3 ; -; video_mixer:video_mixer|Add8~14 ; 3 ; -; video_mixer:video_mixer|Add8~12 ; 3 ; -; video_mixer:video_mixer|Add8~10 ; 3 ; -; video_mixer:video_mixer|Add26~18 ; 3 ; -; video_mixer:video_mixer|Add26~10 ; 3 ; -; video_mixer:video_mixer|Add26~4 ; 3 ; -; mz80k_top:mz80k_top|vga:vga1|x[2] ; 3 ; -; video_mixer:video_mixer|osd:osd|v_osd_start[7]~2 ; 3 ; -; video_mixer:video_mixer|osd:osd|v_osd_start[6]~0 ; 3 ; -; video_mixer:video_mixer|VGA_B[1]~41 ; 2 ; -; mz80k_top:mz80k_top|i8253:i8253_1|max1[15]~4 ; 2 ; -; mz80k_top:mz80k_top|i8253:i8253_1|max2[15]~4 ; 2 ; -; mz80k_top:mz80k_top|i8253:i8253_1|max0[15]~4 ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl3[4]~12 ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl8[4]~21 ; 2 ; -; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0~26 ; 2 ; -; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0~25 ; 2 ; -; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0~24 ; 2 ; -; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0~23 ; 2 ; -; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0~22 ; 2 ; -; mz80k_top:mz80k_top|fz80:z80|reg_f:reg_f|q0~30 ; 2 ; -; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0~21 ; 2 ; -; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0~20 ; 2 ; -; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0~18 ; 2 ; -; mz80k_top:mz80k_top|fz80:z80|retin~2 ; 2 ; -; video_mixer:video_mixer|Add11~2 ; 2 ; -; video_mixer:video_mixer|VGA_R[1]~27 ; 2 ; -; mist_io:mist_io|ps2_kbd_tx_byte[7] ; 2 ; -; mist_io:mist_io|ps2_kbd_r_inc~0 ; 2 ; -; mist_io:mist_io|cnt[31] ; 2 ; -; mist_io:mist_io|cnt[30] ; 2 ; -; mist_io:mist_io|cnt[29] ; 2 ; -; mist_io:mist_io|cnt[28] ; 2 ; -; mist_io:mist_io|cnt[27] ; 2 ; -; mist_io:mist_io|cnt[26] ; 2 ; -; mist_io:mist_io|cnt[25] ; 2 ; -; mist_io:mist_io|cnt[24] ; 2 ; -; mist_io:mist_io|cnt[23] ; 2 ; -; mist_io:mist_io|cnt[22] ; 2 ; -; mist_io:mist_io|cnt[21] ; 2 ; -; mist_io:mist_io|cnt[20] ; 2 ; -; mist_io:mist_io|cnt[19] ; 2 ; -; mist_io:mist_io|cnt[18] ; 2 ; -; mist_io:mist_io|cnt[17] ; 2 ; -; mist_io:mist_io|cnt[16] ; 2 ; -; mist_io:mist_io|cnt[15] ; 2 ; -; mist_io:mist_io|cnt[14] ; 2 ; -; mist_io:mist_io|cnt[13] ; 2 ; -; mist_io:mist_io|cnt[12] ; 2 ; -+-------------------------------------------------------------------------------------------------------------------------------------+---------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Fitter RAM Summary ; -+----------------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+--------------+------------------------+-------------------------+------------------------+-------------------------+--------+-----------------------------+-----------------------------+-----------------------------+-----------------------------+---------------------+------+----------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+----------------------+-----------------+-----------------+---------------+ -; Name ; Type ; Mode ; Clock Mode ; Port A Depth ; Port A Width ; Port B Depth ; Port B Width ; Port A Input Registers ; Port A Output Registers ; Port B Input Registers ; Port B Output Registers ; Size ; Implementation Port A Depth ; Implementation Port A Width ; Implementation Port B Depth ; Implementation Port B Width ; Implementation Bits ; M9Ks ; MIF ; Location ; Mixed Width RDW Mode ; Port A RDW Mode ; Port B RDW Mode ; Fits in MLABs ; -+----------------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+--------------+------------------------+-------------------------+------------------------+-------------------------+--------+-----------------------------+-----------------------------+-----------------------------+-----------------------------+---------------------+------+----------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+----------------------+-----------------+-----------------+---------------+ -; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ALTSYNCRAM ; AUTO ; Single Port ; Single Clock ; 32768 ; 8 ; -- ; -- ; yes ; yes ; -- ; -- ; 262144 ; 32768 ; 8 ; -- ; -- ; 262144 ; 32 ; ./roms/Mon.hex ; M9K_X22_Y9_N0, M9K_X22_Y8_N0, M9K_X22_Y7_N0, M9K_X22_Y4_N0, M9K_X33_Y9_N0, M9K_X33_Y11_N0, M9K_X33_Y14_N0, M9K_X33_Y12_N0, M9K_X22_Y21_N0, M9K_X22_Y22_N0, M9K_X22_Y18_N0, M9K_X33_Y18_N0, M9K_X33_Y22_N0, M9K_X22_Y19_N0, M9K_X22_Y20_N0, M9K_X33_Y8_N0, M9K_X33_Y13_N0, M9K_X22_Y13_N0, M9K_X22_Y10_N0, M9K_X33_Y10_N0, M9K_X22_Y12_N0, M9K_X22_Y15_N0, M9K_X22_Y14_N0, M9K_X22_Y11_N0, M9K_X33_Y17_N0, M9K_X22_Y17_N0, M9K_X33_Y19_N0, M9K_X33_Y16_N0, M9K_X22_Y24_N0, M9K_X33_Y5_N0, M9K_X33_Y20_N0, M9K_X33_Y21_N0 ; Don't care ; Old data ; Old data ; No - Unknown ; -; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|ALTSYNCRAM ; AUTO ; Single Port ; Single Clock ; 2048 ; 8 ; -- ; -- ; yes ; yes ; -- ; -- ; 16384 ; 2048 ; 8 ; -- ; -- ; 16384 ; 2 ; None ; M9K_X22_Y16_N0, M9K_X33_Y15_N0 ; Don't care ; Old data ; Old data ; No - Unknown ; -; mz80k_top:mz80k_top|vga:vga1|cg_rom:cg_rom|altsyncram:altsyncram_component|altsyncram_f7a1:auto_generated|ALTSYNCRAM ; AUTO ; ROM ; Single Clock ; 2048 ; 8 ; -- ; -- ; yes ; yes ; -- ; -- ; 16384 ; 2048 ; 8 ; -- ; -- ; 16384 ; 2 ; ./roms/cg.hex ; M9K_X22_Y23_N0, M9K_X33_Y7_N0 ; Don't care ; Old data ; Old data ; No - Unknown ; -; video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Dual Clocks ; 2048 ; 8 ; 2048 ; 8 ; yes ; no ; yes ; no ; 16384 ; 2048 ; 8 ; 2048 ; 8 ; 16384 ; 2 ; None ; M9K_X33_Y23_N0, M9K_X33_Y24_N0 ; Don't care ; Old data ; Old data ; No - Unknown ; -+----------------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+--------------+------------------------+-------------------------+------------------------+-------------------------+--------+-----------------------------+-----------------------------+-----------------------------+-----------------------------+---------------------+------+----------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+----------------------+-----------------+-----------------+---------------+ -Note: Fitter may spread logical memories into multiple blocks to improve timing. The actual required RAM blocks can be found in the Fitter Resource Usage section. - - -RAM content values are presented in the following format: (Binary) (Octal) (Decimal) (Hexadecimal) -+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; |mz80k_mist|mz80k_top:mz80k_top|vga:vga1|cg_rom:cg_rom|altsyncram:altsyncram_component|altsyncram_f7a1:auto_generated|ALTSYNCRAM ; -+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Addr ; +0 ; +1 ; +2 ; +3 ; +4 ; +5 ; +6 ; +7 ; -+----------+------------------------------+------------------------------+------------------------------+------------------------------+------------------------------+------------------------------+------------------------------+------------------------------+ -;0;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8;(00011000) (30) (24) (18) ;(00100100) (44) (36) (24) ;(01000010) (102) (66) (42) ;(01111110) (176) (126) (7E) ;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(00000000) (0) (0) (00) ; -;16;(01111100) (174) (124) (7C) ;(00100010) (42) (34) (22) ;(00100010) (42) (34) (22) ;(00111100) (74) (60) (3C) ;(00100010) (42) (34) (22) ;(00100010) (42) (34) (22) ;(01111100) (174) (124) (7C) ;(00000000) (0) (0) (00) ; -;24;(00011100) (34) (28) (1C) ;(00100010) (42) (34) (22) ;(01000000) (100) (64) (40) ;(01000000) (100) (64) (40) ;(01000000) (100) (64) (40) ;(00100010) (42) (34) (22) ;(00011100) (34) (28) (1C) ;(00000000) (0) (0) (00) ; -;32;(01111000) (170) (120) (78) ;(00100100) (44) (36) (24) ;(00100010) (42) (34) (22) ;(00100010) (42) (34) (22) ;(00100010) (42) (34) (22) ;(00100100) (44) (36) (24) ;(01111000) (170) (120) (78) ;(00000000) (0) (0) (00) ; -;40;(01111110) (176) (126) (7E) ;(01000000) (100) (64) (40) ;(01000000) (100) (64) (40) ;(01111000) (170) (120) (78) ;(01000000) (100) (64) (40) ;(01000000) (100) (64) (40) ;(01111110) (176) (126) (7E) ;(00000000) (0) (0) (00) ; -;48;(01111110) (176) (126) (7E) ;(01000000) (100) (64) (40) ;(01000000) (100) (64) (40) ;(01111000) (170) (120) (78) ;(01000000) (100) (64) (40) ;(01000000) (100) (64) (40) ;(01000000) (100) (64) (40) ;(00000000) (0) (0) (00) ; -;56;(00011100) (34) (28) (1C) ;(00100010) (42) (34) (22) ;(01000000) (100) (64) (40) ;(01001110) (116) (78) (4E) ;(01000010) (102) (66) (42) ;(00100010) (42) (34) (22) ;(00011100) (34) (28) (1C) ;(00000000) (0) (0) (00) ; -;64;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(01111110) (176) (126) (7E) ;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(00000000) (0) (0) (00) ; -;72;(00011100) (34) (28) (1C) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00011100) (34) (28) (1C) ;(00000000) (0) (0) (00) ; -;80;(00001110) (16) (14) (0E) ;(00000100) (4) (4) (04) ;(00000100) (4) (4) (04) ;(00000100) (4) (4) (04) ;(00000100) (4) (4) (04) ;(01000100) (104) (68) (44) ;(00111000) (70) (56) (38) ;(00000000) (0) (0) (00) ; -;88;(01000010) (102) (66) (42) ;(01000100) (104) (68) (44) ;(01001000) (110) (72) (48) ;(01110000) (160) (112) (70) ;(01001000) (110) (72) (48) ;(01000100) (104) (68) (44) ;(01000010) (102) (66) (42) ;(00000000) (0) (0) (00) ; -;96;(01000000) (100) (64) (40) ;(01000000) (100) (64) (40) ;(01000000) (100) (64) (40) ;(01000000) (100) (64) (40) ;(01000000) (100) (64) (40) ;(01000000) (100) (64) (40) ;(01111110) (176) (126) (7E) ;(00000000) (0) (0) (00) ; -;104;(01000010) (102) (66) (42) ;(01100110) (146) (102) (66) ;(01011010) (132) (90) (5A) ;(01011010) (132) (90) (5A) ;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(00000000) (0) (0) (00) ; -;112;(01000010) (102) (66) (42) ;(01100010) (142) (98) (62) ;(01010010) (122) (82) (52) ;(01001010) (112) (74) (4A) ;(01000110) (106) (70) (46) ;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(00000000) (0) (0) (00) ; -;120;(00011000) (30) (24) (18) ;(00100100) (44) (36) (24) ;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(00100100) (44) (36) (24) ;(00011000) (30) (24) (18) ;(00000000) (0) (0) (00) ; -;128;(01111100) (174) (124) (7C) ;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(01111100) (174) (124) (7C) ;(01000000) (100) (64) (40) ;(01000000) (100) (64) (40) ;(01000000) (100) (64) (40) ;(00000000) (0) (0) (00) ; -;136;(00011000) (30) (24) (18) ;(00100100) (44) (36) (24) ;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(01001010) (112) (74) (4A) ;(00100100) (44) (36) (24) ;(00011010) (32) (26) (1A) ;(00000000) (0) (0) (00) ; -;144;(01111100) (174) (124) (7C) ;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(01111100) (174) (124) (7C) ;(01001000) (110) (72) (48) ;(01000100) (104) (68) (44) ;(01000010) (102) (66) (42) ;(00000000) (0) (0) (00) ; -;152;(00111100) (74) (60) (3C) ;(01000010) (102) (66) (42) ;(01000000) (100) (64) (40) ;(00111100) (74) (60) (3C) ;(00000010) (2) (2) (02) ;(01000010) (102) (66) (42) ;(00111100) (74) (60) (3C) ;(00000000) (0) (0) (00) ; -;160;(00111110) (76) (62) (3E) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00000000) (0) (0) (00) ; -;168;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(00111100) (74) (60) (3C) ;(00000000) (0) (0) (00) ; -;176;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(00100100) (44) (36) (24) ;(00100100) (44) (36) (24) ;(00011000) (30) (24) (18) ;(00011000) (30) (24) (18) ;(00000000) (0) (0) (00) ; -;184;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(01011010) (132) (90) (5A) ;(01011010) (132) (90) (5A) ;(01100110) (146) (102) (66) ;(01000010) (102) (66) (42) ;(00000000) (0) (0) (00) ; -;192;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(00100100) (44) (36) (24) ;(00011000) (30) (24) (18) ;(00100100) (44) (36) (24) ;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(00000000) (0) (0) (00) ; -;200;(00100010) (42) (34) (22) ;(00100010) (42) (34) (22) ;(00100010) (42) (34) (22) ;(00011100) (34) (28) (1C) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00000000) (0) (0) (00) ; -;208;(01111110) (176) (126) (7E) ;(00000010) (2) (2) (02) ;(00000100) (4) (4) (04) ;(00011000) (30) (24) (18) ;(00100000) (40) (32) (20) ;(01000000) (100) (64) (40) ;(01111110) (176) (126) (7E) ;(00000000) (0) (0) (00) ; -;216;(00001100) (14) (12) (0C) ;(00010010) (22) (18) (12) ;(00010000) (20) (16) (10) ;(00111000) (70) (56) (38) ;(00010000) (20) (16) (10) ;(00010000) (20) (16) (10) ;(00111110) (76) (62) (3E) ;(00000000) (0) (0) (00) ; -;224;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00001111) (17) (15) (0F) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;232;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(11111000) (370) (248) (F8) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;240;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00001111) (17) (15) (0F) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ; -;248;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(11111111) (377) (255) (FF) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;256;(00111100) (74) (60) (3C) ;(01000010) (102) (66) (42) ;(01000110) (106) (70) (46) ;(01011010) (132) (90) (5A) ;(01100010) (142) (98) (62) ;(01000010) (102) (66) (42) ;(00111100) (74) (60) (3C) ;(00000000) (0) (0) (00) ; -;264;(00001000) (10) (8) (08) ;(00011000) (30) (24) (18) ;(00101000) (50) (40) (28) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00111110) (76) (62) (3E) ;(00000000) (0) (0) (00) ; -;272;(00111100) (74) (60) (3C) ;(01000010) (102) (66) (42) ;(00000010) (2) (2) (02) ;(00001100) (14) (12) (0C) ;(00110000) (60) (48) (30) ;(01000000) (100) (64) (40) ;(01111110) (176) (126) (7E) ;(00000000) (0) (0) (00) ; -;280;(00111100) (74) (60) (3C) ;(01000010) (102) (66) (42) ;(00000010) (2) (2) (02) ;(00111100) (74) (60) (3C) ;(00000010) (2) (2) (02) ;(01000010) (102) (66) (42) ;(00111100) (74) (60) (3C) ;(00000000) (0) (0) (00) ; -;288;(00000100) (4) (4) (04) ;(00001100) (14) (12) (0C) ;(00010100) (24) (20) (14) ;(00100100) (44) (36) (24) ;(01111110) (176) (126) (7E) ;(00000100) (4) (4) (04) ;(00000100) (4) (4) (04) ;(00000000) (0) (0) (00) ; -;296;(01111110) (176) (126) (7E) ;(01000000) (100) (64) (40) ;(01111000) (170) (120) (78) ;(00000100) (4) (4) (04) ;(00000010) (2) (2) (02) ;(01000100) (104) (68) (44) ;(00111000) (70) (56) (38) ;(00000000) (0) (0) (00) ; -;304;(00011100) (34) (28) (1C) ;(00100000) (40) (32) (20) ;(01000000) (100) (64) (40) ;(01111100) (174) (124) (7C) ;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(00111100) (74) (60) (3C) ;(00000000) (0) (0) (00) ; -;312;(01111110) (176) (126) (7E) ;(01000010) (102) (66) (42) ;(00000100) (4) (4) (04) ;(00001000) (10) (8) (08) ;(00010000) (20) (16) (10) ;(00010000) (20) (16) (10) ;(00010000) (20) (16) (10) ;(00000000) (0) (0) (00) ; -;320;(00111100) (74) (60) (3C) ;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(00111100) (74) (60) (3C) ;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(00111100) (74) (60) (3C) ;(00000000) (0) (0) (00) ; -;328;(00111100) (74) (60) (3C) ;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(00111110) (76) (62) (3E) ;(00000010) (2) (2) (02) ;(00000100) (4) (4) (04) ;(00111000) (70) (56) (38) ;(00000000) (0) (0) (00) ; -;336;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(01111110) (176) (126) (7E) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;344;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(01111110) (176) (126) (7E) ;(00000000) (0) (0) (00) ;(01111110) (176) (126) (7E) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;352;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00001000) (10) (8) (08) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00010000) (20) (16) (10) ; -;360;(00000000) (0) (0) (00) ;(00000010) (2) (2) (02) ;(00000100) (4) (4) (04) ;(00001000) (10) (8) (08) ;(00010000) (20) (16) (10) ;(00100000) (40) (32) (20) ;(01000000) (100) (64) (40) ;(00000000) (0) (0) (00) ; -;368;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00011000) (30) (24) (18) ;(00011000) (30) (24) (18) ;(00000000) (0) (0) (00) ; -;376;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00010000) (20) (16) (10) ; -;384;(00000000) (0) (0) (00) ;(11111111) (377) (255) (FF) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;392;(01000000) (100) (64) (40) ;(01000000) (100) (64) (40) ;(01000000) (100) (64) (40) ;(01000000) (100) (64) (40) ;(01000000) (100) (64) (40) ;(01000000) (100) (64) (40) ;(01000000) (100) (64) (40) ;(01000000) (100) (64) (40) ; -;400;(10000000) (200) (128) (80) ;(10000000) (200) (128) (80) ;(10000000) (200) (128) (80) ;(10000000) (200) (128) (80) ;(10000000) (200) (128) (80) ;(10000000) (200) (128) (80) ;(10000000) (200) (128) (80) ;(11111111) (377) (255) (FF) ; -;408;(00000001) (1) (1) (01) ;(00000001) (1) (1) (01) ;(00000001) (1) (1) (01) ;(00000001) (1) (1) (01) ;(00000001) (1) (1) (01) ;(00000001) (1) (1) (01) ;(00000001) (1) (1) (01) ;(11111111) (377) (255) (FF) ; -;416;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(11111111) (377) (255) (FF) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;424;(00010000) (20) (16) (10) ;(00010000) (20) (16) (10) ;(00010000) (20) (16) (10) ;(00010000) (20) (16) (10) ;(00010000) (20) (16) (10) ;(00010000) (20) (16) (10) ;(00010000) (20) (16) (10) ;(00010000) (20) (16) (10) ; -;432;(11111111) (377) (255) (FF) ;(11111111) (377) (255) (FF) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;440;(11000000) (300) (192) (C0) ;(11000000) (300) (192) (C0) ;(11000000) (300) (192) (C0) ;(11000000) (300) (192) (C0) ;(11000000) (300) (192) (C0) ;(11000000) (300) (192) (C0) ;(11000000) (300) (192) (C0) ;(11000000) (300) (192) (C0) ; -;448;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(11111111) (377) (255) (FF) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;456;(00000100) (4) (4) (04) ;(00000100) (4) (4) (04) ;(00000100) (4) (4) (04) ;(00000100) (4) (4) (04) ;(00000100) (4) (4) (04) ;(00000100) (4) (4) (04) ;(00000100) (4) (4) (04) ;(00000100) (4) (4) (04) ; -;464;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(11111111) (377) (255) (FF) ;(11111111) (377) (255) (FF) ;(11111111) (377) (255) (FF) ;(11111111) (377) (255) (FF) ; -;472;(00001111) (17) (15) (0F) ;(00001111) (17) (15) (0F) ;(00001111) (17) (15) (0F) ;(00001111) (17) (15) (0F) ;(00001111) (17) (15) (0F) ;(00001111) (17) (15) (0F) ;(00001111) (17) (15) (0F) ;(00001111) (17) (15) (0F) ; -;480;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(11111111) (377) (255) (FF) ; -;488;(00000001) (1) (1) (01) ;(00000001) (1) (1) (01) ;(00000001) (1) (1) (01) ;(00000001) (1) (1) (01) ;(00000001) (1) (1) (01) ;(00000001) (1) (1) (01) ;(00000001) (1) (1) (01) ;(00000001) (1) (1) (01) ; -;496;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(11111111) (377) (255) (FF) ;(11111111) (377) (255) (FF) ; -;504;(00000011) (3) (3) (03) ;(00000011) (3) (3) (03) ;(00000011) (3) (3) (03) ;(00000011) (3) (3) (03) ;(00000011) (3) (3) (03) ;(00000011) (3) (3) (03) ;(00000011) (3) (3) (03) ;(00000011) (3) (3) (03) ; -;512;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;520;(00001000) (10) (8) (08) ;(00011100) (34) (28) (1C) ;(00111110) (76) (62) (3E) ;(01111111) (177) (127) (7F) ;(01111111) (177) (127) (7F) ;(00011100) (34) (28) (1C) ;(00111110) (76) (62) (3E) ;(00000000) (0) (0) (00) ; -;528;(11111111) (377) (255) (FF) ;(01111111) (177) (127) (7F) ;(00111111) (77) (63) (3F) ;(00011111) (37) (31) (1F) ;(00001111) (17) (15) (0F) ;(00000111) (7) (7) (07) ;(00000011) (3) (3) (03) ;(00000001) (1) (1) (01) ; -;536;(11111111) (377) (255) (FF) ;(11111111) (377) (255) (FF) ;(11111111) (377) (255) (FF) ;(11111111) (377) (255) (FF) ;(11111111) (377) (255) (FF) ;(11111111) (377) (255) (FF) ;(11111111) (377) (255) (FF) ;(11111111) (377) (255) (FF) ; -;544;(00001000) (10) (8) (08) ;(00011100) (34) (28) (1C) ;(00111110) (76) (62) (3E) ;(01111111) (177) (127) (7F) ;(00111110) (76) (62) (3E) ;(00011100) (34) (28) (1C) ;(00001000) (10) (8) (08) ;(00000000) (0) (0) (00) ; -;552;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00010000) (20) (16) (10) ;(00100000) (40) (32) (20) ;(01111111) (177) (127) (7F) ;(00100000) (40) (32) (20) ;(00010000) (20) (16) (10) ;(00000000) (0) (0) (00) ; -;560;(00001000) (10) (8) (08) ;(00011100) (34) (28) (1C) ;(00101010) (52) (42) (2A) ;(01111111) (177) (127) (7F) ;(00101010) (52) (42) (2A) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00000000) (0) (0) (00) ; -;568;(00000000) (0) (0) (00) ;(00111100) (74) (60) (3C) ;(01111110) (176) (126) (7E) ;(01111110) (176) (126) (7E) ;(01111110) (176) (126) (7E) ;(01111110) (176) (126) (7E) ;(00111100) (74) (60) (3C) ;(00000000) (0) (0) (00) ; -;576;(00000000) (0) (0) (00) ;(00111100) (74) (60) (3C) ;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(00111100) (74) (60) (3C) ;(00000000) (0) (0) (00) ; -;584;(00111100) (74) (60) (3C) ;(01000010) (102) (66) (42) ;(00000010) (2) (2) (02) ;(00001100) (14) (12) (0C) ;(00010000) (20) (16) (10) ;(00000000) (0) (0) (00) ;(00010000) (20) (16) (10) ;(00000000) (0) (0) (00) ; -;592;(11111111) (377) (255) (FF) ;(11000011) (303) (195) (C3) ;(10000001) (201) (129) (81) ;(10000001) (201) (129) (81) ;(10000001) (201) (129) (81) ;(10000001) (201) (129) (81) ;(11000011) (303) (195) (C3) ;(11111111) (377) (255) (FF) ; -;600;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000011) (3) (3) (03) ;(00000100) (4) (4) (04) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ; -;608;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(11000000) (300) (192) (C0) ;(00100000) (40) (32) (20) ;(00010000) (20) (16) (10) ;(00010000) (20) (16) (10) ; -;616;(10000000) (200) (128) (80) ;(11000000) (300) (192) (C0) ;(11100000) (340) (224) (E0) ;(11110000) (360) (240) (F0) ;(11111000) (370) (248) (F8) ;(11111100) (374) (252) (FC) ;(11111110) (376) (254) (FE) ;(11111111) (377) (255) (FF) ; -;624;(00000001) (1) (1) (01) ;(00000011) (3) (3) (03) ;(00000111) (7) (7) (07) ;(00001111) (17) (15) (0F) ;(00011111) (37) (31) (1F) ;(00111111) (77) (63) (3F) ;(01111111) (177) (127) (7F) ;(11111111) (377) (255) (FF) ; -;632;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00001000) (10) (8) (08) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00001000) (10) (8) (08) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;640;(00000000) (0) (0) (00) ;(00001000) (10) (8) (08) ;(00011100) (34) (28) (1C) ;(00101010) (52) (42) (2A) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00000000) (0) (0) (00) ; -;648;(00001110) (16) (14) (0E) ;(00011000) (30) (24) (18) ;(00110000) (60) (48) (30) ;(01100000) (140) (96) (60) ;(00110000) (60) (48) (30) ;(00011000) (30) (24) (18) ;(00001110) (16) (14) (0E) ;(00000000) (0) (0) (00) ; -;656;(00111100) (74) (60) (3C) ;(00100000) (40) (32) (20) ;(00100000) (40) (32) (20) ;(00100000) (40) (32) (20) ;(00100000) (40) (32) (20) ;(00100000) (40) (32) (20) ;(00111100) (74) (60) (3C) ;(00000000) (0) (0) (00) ; -;664;(00110110) (66) (54) (36) ;(01111111) (177) (127) (7F) ;(01111111) (177) (127) (7F) ;(01111111) (177) (127) (7F) ;(00111110) (76) (62) (3E) ;(00011100) (34) (28) (1C) ;(00001000) (10) (8) (08) ;(00000000) (0) (0) (00) ; -;672;(00111100) (74) (60) (3C) ;(00000100) (4) (4) (04) ;(00000100) (4) (4) (04) ;(00000100) (4) (4) (04) ;(00000100) (4) (4) (04) ;(00000100) (4) (4) (04) ;(00111100) (74) (60) (3C) ;(00000000) (0) (0) (00) ; -;680;(00011100) (34) (28) (1C) ;(00100010) (42) (34) (22) ;(01001010) (112) (74) (4A) ;(01010110) (126) (86) (56) ;(01001100) (114) (76) (4C) ;(00100000) (40) (32) (20) ;(00011110) (36) (30) (1E) ;(00000000) (0) (0) (00) ; -;688;(11111111) (377) (255) (FF) ;(11111110) (376) (254) (FE) ;(11111100) (374) (252) (FC) ;(11111000) (370) (248) (F8) ;(11110000) (360) (240) (F0) ;(11100000) (340) (224) (E0) ;(11000000) (300) (192) (C0) ;(10000000) (200) (128) (80) ; -;696;(01110000) (160) (112) (70) ;(00011000) (30) (24) (18) ;(00001100) (14) (12) (0C) ;(00000110) (6) (6) (06) ;(00001100) (14) (12) (0C) ;(00011000) (30) (24) (18) ;(01110000) (160) (112) (70) ;(00000000) (0) (0) (00) ; -;704;(00000000) (0) (0) (00) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00101010) (52) (42) (2A) ;(00011100) (34) (28) (1C) ;(00001000) (10) (8) (08) ;(00000000) (0) (0) (00) ; -;712;(00000000) (0) (0) (00) ;(01000000) (100) (64) (40) ;(00100000) (40) (32) (20) ;(00010000) (20) (16) (10) ;(00001000) (10) (8) (08) ;(00000100) (4) (4) (04) ;(00000010) (2) (2) (02) ;(00000000) (0) (0) (00) ; -;720;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000100) (4) (4) (04) ;(00000010) (2) (2) (02) ;(01111111) (177) (127) (7F) ;(00000010) (2) (2) (02) ;(00000100) (4) (4) (04) ;(00000000) (0) (0) (00) ; -;728;(11110000) (360) (240) (F0) ;(11110000) (360) (240) (F0) ;(11110000) (360) (240) (F0) ;(11110000) (360) (240) (F0) ;(00001111) (17) (15) (0F) ;(00001111) (17) (15) (0F) ;(00001111) (17) (15) (0F) ;(00001111) (17) (15) (0F) ; -;736;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00001111) (17) (15) (0F) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ; -;744;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(11111000) (370) (248) (F8) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ; -;752;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(11111000) (370) (248) (F8) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ; -;760;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(11111111) (377) (255) (FF) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ; -;768;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000001) (1) (1) (01) ;(00111110) (76) (62) (3E) ;(01010100) (124) (84) (54) ;(00010100) (24) (20) (14) ;(00010100) (24) (20) (14) ;(00000000) (0) (0) (00) ; -;776;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00001000) (10) (8) (08) ;(00000000) (0) (0) (00) ; -;784;(00100100) (44) (36) (24) ;(00100100) (44) (36) (24) ;(00100100) (44) (36) (24) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;792;(00100100) (44) (36) (24) ;(00100100) (44) (36) (24) ;(01111110) (176) (126) (7E) ;(00100100) (44) (36) (24) ;(01111110) (176) (126) (7E) ;(00100100) (44) (36) (24) ;(00100100) (44) (36) (24) ;(00000000) (0) (0) (00) ; -;800;(00001000) (10) (8) (08) ;(00011110) (36) (30) (1E) ;(00101000) (50) (40) (28) ;(00011100) (34) (28) (1C) ;(00001010) (12) (10) (0A) ;(00011100) (34) (28) (1C) ;(00001000) (10) (8) (08) ;(00000000) (0) (0) (00) ; -;808;(00000000) (0) (0) (00) ;(01100010) (142) (98) (62) ;(01100100) (144) (100) (64) ;(00001000) (10) (8) (08) ;(00010000) (20) (16) (10) ;(00100110) (46) (38) (26) ;(01000110) (106) (70) (46) ;(00000000) (0) (0) (00) ; -;816;(00110000) (60) (48) (30) ;(01001000) (110) (72) (48) ;(01001000) (110) (72) (48) ;(00110000) (60) (48) (30) ;(01001010) (112) (74) (4A) ;(01000100) (104) (68) (44) ;(00111010) (72) (58) (3A) ;(00000000) (0) (0) (00) ; -;824;(00000100) (4) (4) (04) ;(00001000) (10) (8) (08) ;(00010000) (20) (16) (10) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;832;(00000100) (4) (4) (04) ;(00001000) (10) (8) (08) ;(00010000) (20) (16) (10) ;(00010000) (20) (16) (10) ;(00010000) (20) (16) (10) ;(00001000) (10) (8) (08) ;(00000100) (4) (4) (04) ;(00000000) (0) (0) (00) ; -;840;(00100000) (40) (32) (20) ;(00010000) (20) (16) (10) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00010000) (20) (16) (10) ;(00100000) (40) (32) (20) ;(00000000) (0) (0) (00) ; -;848;(00000000) (0) (0) (00) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00111110) (76) (62) (3E) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;856;(00001000) (10) (8) (08) ;(00101010) (52) (42) (2A) ;(00011100) (34) (28) (1C) ;(00111110) (76) (62) (3E) ;(00011100) (34) (28) (1C) ;(00101010) (52) (42) (2A) ;(00001000) (10) (8) (08) ;(00000000) (0) (0) (00) ; -;864;(00001111) (17) (15) (0F) ;(00001111) (17) (15) (0F) ;(00001111) (17) (15) (0F) ;(00001111) (17) (15) (0F) ;(11110000) (360) (240) (F0) ;(11110000) (360) (240) (F0) ;(11110000) (360) (240) (F0) ;(11110000) (360) (240) (F0) ; -;872;(10000001) (201) (129) (81) ;(01000010) (102) (66) (42) ;(00100100) (44) (36) (24) ;(00011000) (30) (24) (18) ;(00011000) (30) (24) (18) ;(00100100) (44) (36) (24) ;(01000010) (102) (66) (42) ;(10000001) (201) (129) (81) ; -;880;(00010000) (20) (16) (10) ;(00010000) (20) (16) (10) ;(00100000) (40) (32) (20) ;(11000000) (300) (192) (C0) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;888;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00000100) (4) (4) (04) ;(00000011) (3) (3) (03) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;896;(11111111) (377) (255) (FF) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;904;(10000000) (200) (128) (80) ;(10000000) (200) (128) (80) ;(10000000) (200) (128) (80) ;(10000000) (200) (128) (80) ;(10000000) (200) (128) (80) ;(10000000) (200) (128) (80) ;(10000000) (200) (128) (80) ;(10000000) (200) (128) (80) ; -;912;(11111111) (377) (255) (FF) ;(10000000) (200) (128) (80) ;(10000000) (200) (128) (80) ;(10000000) (200) (128) (80) ;(10000000) (200) (128) (80) ;(10000000) (200) (128) (80) ;(10000000) (200) (128) (80) ;(10000000) (200) (128) (80) ; -;920;(11111111) (377) (255) (FF) ;(00000001) (1) (1) (01) ;(00000001) (1) (1) (01) ;(00000001) (1) (1) (01) ;(00000001) (1) (1) (01) ;(00000001) (1) (1) (01) ;(00000001) (1) (1) (01) ;(00000001) (1) (1) (01) ; -;928;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(11111111) (377) (255) (FF) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;936;(00100000) (40) (32) (20) ;(00100000) (40) (32) (20) ;(00100000) (40) (32) (20) ;(00100000) (40) (32) (20) ;(00100000) (40) (32) (20) ;(00100000) (40) (32) (20) ;(00100000) (40) (32) (20) ;(00100000) (40) (32) (20) ; -;944;(00000001) (1) (1) (01) ;(00000010) (2) (2) (02) ;(00000100) (4) (4) (04) ;(00001000) (10) (8) (08) ;(00010000) (20) (16) (10) ;(00100000) (40) (32) (20) ;(01000000) (100) (64) (40) ;(10000000) (200) (128) (80) ; -;952;(10000000) (200) (128) (80) ;(01000000) (100) (64) (40) ;(00100000) (40) (32) (20) ;(00010000) (20) (16) (10) ;(00001000) (10) (8) (08) ;(00000100) (4) (4) (04) ;(00000010) (2) (2) (02) ;(00000001) (1) (1) (01) ; -;960;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(11111111) (377) (255) (FF) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;968;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ; -;976;(11111111) (377) (255) (FF) ;(11111111) (377) (255) (FF) ;(11111111) (377) (255) (FF) ;(11111111) (377) (255) (FF) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;984;(11110000) (360) (240) (F0) ;(11110000) (360) (240) (F0) ;(11110000) (360) (240) (F0) ;(11110000) (360) (240) (F0) ;(11110000) (360) (240) (F0) ;(11110000) (360) (240) (F0) ;(11110000) (360) (240) (F0) ;(11110000) (360) (240) (F0) ; -;992;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(11111111) (377) (255) (FF) ;(00000000) (0) (0) (00) ; -;1000;(00000010) (2) (2) (02) ;(00000010) (2) (2) (02) ;(00000010) (2) (2) (02) ;(00000010) (2) (2) (02) ;(00000010) (2) (2) (02) ;(00000010) (2) (2) (02) ;(00000010) (2) (2) (02) ;(00000010) (2) (2) (02) ; -;1008;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(11111111) (377) (255) (FF) ;(11111111) (377) (255) (FF) ;(11111111) (377) (255) (FF) ; -;1016;(00000111) (7) (7) (07) ;(00000111) (7) (7) (07) ;(00000111) (7) (7) (07) ;(00000111) (7) (7) (07) ;(00000111) (7) (7) (07) ;(00000111) (7) (7) (07) ;(00000111) (7) (7) (07) ;(00000111) (7) (7) (07) ; -;1024;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;1032;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00111000) (70) (56) (38) ;(00000100) (4) (4) (04) ;(00111100) (74) (60) (3C) ;(01000100) (104) (68) (44) ;(00111010) (72) (58) (3A) ;(00000000) (0) (0) (00) ; -;1040;(01000000) (100) (64) (40) ;(01000000) (100) (64) (40) ;(01011100) (134) (92) (5C) ;(01100010) (142) (98) (62) ;(01000010) (102) (66) (42) ;(01100010) (142) (98) (62) ;(01011100) (134) (92) (5C) ;(00000000) (0) (0) (00) ; -;1048;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00111100) (74) (60) (3C) ;(01000010) (102) (66) (42) ;(01000000) (100) (64) (40) ;(01000010) (102) (66) (42) ;(00111100) (74) (60) (3C) ;(00000000) (0) (0) (00) ; -;1056;(00000010) (2) (2) (02) ;(00000010) (2) (2) (02) ;(00111010) (72) (58) (3A) ;(01000110) (106) (70) (46) ;(01000010) (102) (66) (42) ;(01000110) (106) (70) (46) ;(00111010) (72) (58) (3A) ;(00000000) (0) (0) (00) ; -;1064;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00111100) (74) (60) (3C) ;(01000010) (102) (66) (42) ;(01111110) (176) (126) (7E) ;(01000000) (100) (64) (40) ;(00111100) (74) (60) (3C) ;(00000000) (0) (0) (00) ; -;1072;(00001100) (14) (12) (0C) ;(00010010) (22) (18) (12) ;(00010000) (20) (16) (10) ;(01111100) (174) (124) (7C) ;(00010000) (20) (16) (10) ;(00010000) (20) (16) (10) ;(00010000) (20) (16) (10) ;(00000000) (0) (0) (00) ; -;1080;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00111010) (72) (58) (3A) ;(01000110) (106) (70) (46) ;(01000110) (106) (70) (46) ;(00111010) (72) (58) (3A) ;(00000010) (2) (2) (02) ;(00111100) (74) (60) (3C) ; -;1088;(01000000) (100) (64) (40) ;(01000000) (100) (64) (40) ;(01011100) (134) (92) (5C) ;(01100010) (142) (98) (62) ;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(00000000) (0) (0) (00) ; -;1096;(00001000) (10) (8) (08) ;(00000000) (0) (0) (00) ;(00011000) (30) (24) (18) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00011100) (34) (28) (1C) ;(00000000) (0) (0) (00) ; -;1104;(00000100) (4) (4) (04) ;(00000000) (0) (0) (00) ;(00001100) (14) (12) (0C) ;(00000100) (4) (4) (04) ;(00000100) (4) (4) (04) ;(00000100) (4) (4) (04) ;(01000100) (104) (68) (44) ;(00111000) (70) (56) (38) ; -;1112;(01000000) (100) (64) (40) ;(01000000) (100) (64) (40) ;(01000100) (104) (68) (44) ;(01001000) (110) (72) (48) ;(01010000) (120) (80) (50) ;(01101000) (150) (104) (68) ;(01000100) (104) (68) (44) ;(00000000) (0) (0) (00) ; -;1120;(00011000) (30) (24) (18) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00011100) (34) (28) (1C) ;(00000000) (0) (0) (00) ; -;1128;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(01110110) (166) (118) (76) ;(01001001) (111) (73) (49) ;(01001001) (111) (73) (49) ;(01001001) (111) (73) (49) ;(01001001) (111) (73) (49) ;(00000000) (0) (0) (00) ; -;1136;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(01011100) (134) (92) (5C) ;(01100010) (142) (98) (62) ;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(00000000) (0) (0) (00) ; -;1144;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00111100) (74) (60) (3C) ;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(00111100) (74) (60) (3C) ;(00000000) (0) (0) (00) ; -;1152;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(01011100) (134) (92) (5C) ;(01100010) (142) (98) (62) ;(01100010) (142) (98) (62) ;(01011100) (134) (92) (5C) ;(01000000) (100) (64) (40) ;(01000000) (100) (64) (40) ; -;1160;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00111010) (72) (58) (3A) ;(01000110) (106) (70) (46) ;(01000110) (106) (70) (46) ;(00111010) (72) (58) (3A) ;(00000010) (2) (2) (02) ;(00000010) (2) (2) (02) ; -;1168;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(01011100) (134) (92) (5C) ;(01100010) (142) (98) (62) ;(01000000) (100) (64) (40) ;(01000000) (100) (64) (40) ;(01000000) (100) (64) (40) ;(00000000) (0) (0) (00) ; -;1176;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00111110) (76) (62) (3E) ;(01000000) (100) (64) (40) ;(00111100) (74) (60) (3C) ;(00000010) (2) (2) (02) ;(01111100) (174) (124) (7C) ;(00000000) (0) (0) (00) ; -;1184;(00010000) (20) (16) (10) ;(00010000) (20) (16) (10) ;(01111100) (174) (124) (7C) ;(00010000) (20) (16) (10) ;(00010000) (20) (16) (10) ;(00010010) (22) (18) (12) ;(00001100) (14) (12) (0C) ;(00000000) (0) (0) (00) ; -;1192;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(00111100) (74) (60) (3C) ;(00000000) (0) (0) (00) ; -;1200;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(00100100) (44) (36) (24) ;(00011000) (30) (24) (18) ;(00000000) (0) (0) (00) ; -;1208;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(01000001) (101) (65) (41) ;(01001001) (111) (73) (49) ;(01001001) (111) (73) (49) ;(01001001) (111) (73) (49) ;(00110110) (66) (54) (36) ;(00000000) (0) (0) (00) ; -;1216;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(01000100) (104) (68) (44) ;(00101000) (50) (40) (28) ;(00010000) (20) (16) (10) ;(00101000) (50) (40) (28) ;(01000100) (104) (68) (44) ;(00000000) (0) (0) (00) ; -;1224;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(01000110) (106) (70) (46) ;(00111010) (72) (58) (3A) ;(00000010) (2) (2) (02) ;(00111100) (74) (60) (3C) ; -;1232;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(01111110) (176) (126) (7E) ;(00000100) (4) (4) (04) ;(00011000) (30) (24) (18) ;(00100000) (40) (32) (20) ;(01111110) (176) (126) (7E) ;(00000000) (0) (0) (00) ; -;1240;(00100100) (44) (36) (24) ;(00000000) (0) (0) (00) ;(00111000) (70) (56) (38) ;(00000100) (4) (4) (04) ;(00111100) (74) (60) (3C) ;(01000100) (104) (68) (44) ;(00111010) (72) (58) (3A) ;(00000000) (0) (0) (00) ; -;1248;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000001) (1) (1) (01) ;(00000010) (2) (2) (02) ;(00000100) (4) (4) (04) ;(00001000) (10) (8) (08) ;(00010000) (20) (16) (10) ; -;1256;(00000011) (3) (3) (03) ;(00011100) (34) (28) (1C) ;(01100000) (140) (96) (60) ;(10000000) (200) (128) (80) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;1264;(11000000) (300) (192) (C0) ;(00111000) (70) (56) (38) ;(00000110) (6) (6) (06) ;(00000001) (1) (1) (01) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;1272;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(10000000) (200) (128) (80) ;(01000000) (100) (64) (40) ;(00100000) (40) (32) (20) ;(00010000) (20) (16) (10) ;(00001000) (10) (8) (08) ; -;1280;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(11000000) (300) (192) (C0) ;(00110000) (60) (48) (30) ;(00001100) (14) (12) (0C) ;(00000011) (3) (3) (03) ; -;1288;(00000000) (0) (0) (00) ;(11111111) (377) (255) (FF) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(11111111) (377) (255) (FF) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;1296;(01000100) (104) (68) (44) ;(01000100) (104) (68) (44) ;(01000100) (104) (68) (44) ;(01000100) (104) (68) (44) ;(01000100) (104) (68) (44) ;(01000100) (104) (68) (44) ;(01000100) (104) (68) (44) ;(01000100) (104) (68) (44) ; -;1304;(01000100) (104) (68) (44) ;(11111111) (377) (255) (FF) ;(01000100) (104) (68) (44) ;(01000100) (104) (68) (44) ;(01000100) (104) (68) (44) ;(11111111) (377) (255) (FF) ;(01000100) (104) (68) (44) ;(01000100) (104) (68) (44) ; -;1312;(00100010) (42) (34) (22) ;(01000100) (104) (68) (44) ;(10001000) (210) (136) (88) ;(00010001) (21) (17) (11) ;(00100010) (42) (34) (22) ;(01000100) (104) (68) (44) ;(10001000) (210) (136) (88) ;(00010001) (21) (17) (11) ; -;1320;(10001000) (210) (136) (88) ;(01000100) (104) (68) (44) ;(00100010) (42) (34) (22) ;(00010001) (21) (17) (11) ;(10001000) (210) (136) (88) ;(01000100) (104) (68) (44) ;(00100010) (42) (34) (22) ;(00010001) (21) (17) (11) ; -;1328;(10101010) (252) (170) (AA) ;(01000100) (104) (68) (44) ;(10101010) (252) (170) (AA) ;(00010001) (21) (17) (11) ;(10101010) (252) (170) (AA) ;(01000100) (104) (68) (44) ;(10101010) (252) (170) (AA) ;(00010001) (21) (17) (11) ; -;1336;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000011) (3) (3) (03) ;(00001100) (14) (12) (0C) ;(00110000) (60) (48) (30) ;(11000000) (300) (192) (C0) ; -;1344;(00000011) (3) (3) (03) ;(00001100) (14) (12) (0C) ;(00110000) (60) (48) (30) ;(11000000) (300) (192) (C0) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;1352;(11000000) (300) (192) (C0) ;(00110000) (60) (48) (30) ;(00001100) (14) (12) (0C) ;(00000011) (3) (3) (03) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;1360;(00111000) (70) (56) (38) ;(01000100) (104) (68) (44) ;(01000100) (104) (68) (44) ;(01001010) (112) (74) (4A) ;(01000010) (102) (66) (42) ;(01010010) (122) (82) (52) ;(01001100) (114) (76) (4C) ;(00000000) (0) (0) (00) ; -;1368;(00000000) (0) (0) (00) ;(00100010) (42) (34) (22) ;(00000000) (0) (0) (00) ;(00100010) (42) (34) (22) ;(00100010) (42) (34) (22) ;(00100010) (42) (34) (22) ;(00011100) (34) (28) (1C) ;(00000000) (0) (0) (00) ; -;1376;(00000000) (0) (0) (00) ;(00100010) (42) (34) (22) ;(00000000) (0) (0) (00) ;(00011100) (34) (28) (1C) ;(00100010) (42) (34) (22) ;(00100010) (42) (34) (22) ;(00011100) (34) (28) (1C) ;(00000000) (0) (0) (00) ; -;1384;(01000010) (102) (66) (42) ;(00000000) (0) (0) (00) ;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(00111100) (74) (60) (3C) ;(00000000) (0) (0) (00) ; -;1392;(01000010) (102) (66) (42) ;(00011000) (30) (24) (18) ;(00100100) (44) (36) (24) ;(01000010) (102) (66) (42) ;(01111110) (176) (126) (7E) ;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(00000000) (0) (0) (00) ; -;1400;(01000010) (102) (66) (42) ;(00011000) (30) (24) (18) ;(00100100) (44) (36) (24) ;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(00100100) (44) (36) (24) ;(00011000) (30) (24) (18) ;(00000000) (0) (0) (00) ; -;1408;(00010000) (20) (16) (10) ;(00100000) (40) (32) (20) ;(00100000) (40) (32) (20) ;(01000000) (100) (64) (40) ;(01000000) (100) (64) (40) ;(01000000) (100) (64) (40) ;(10000000) (200) (128) (80) ;(10000000) (200) (128) (80) ; -;1416;(00000001) (1) (1) (01) ;(00000110) (6) (6) (06) ;(00011000) (30) (24) (18) ;(00100000) (40) (32) (20) ;(00100000) (40) (32) (20) ;(01000000) (100) (64) (40) ;(01000000) (100) (64) (40) ;(10000000) (200) (128) (80) ; -;1424;(10000000) (200) (128) (80) ;(01100000) (140) (96) (60) ;(00011000) (30) (24) (18) ;(00000100) (4) (4) (04) ;(00000100) (4) (4) (04) ;(00000010) (2) (2) (02) ;(00000010) (2) (2) (02) ;(00000001) (1) (1) (01) ; -;1432;(00001000) (10) (8) (08) ;(00000100) (4) (4) (04) ;(00000100) (4) (4) (04) ;(00000010) (2) (2) (02) ;(00000010) (2) (2) (02) ;(00000010) (2) (2) (02) ;(00000001) (1) (1) (01) ;(00000001) (1) (1) (01) ; -;1440;(10000000) (200) (128) (80) ;(10000000) (200) (128) (80) ;(01000000) (100) (64) (40) ;(01000000) (100) (64) (40) ;(01000000) (100) (64) (40) ;(00100000) (40) (32) (20) ;(00100000) (40) (32) (20) ;(00010000) (20) (16) (10) ; -;1448;(10000000) (200) (128) (80) ;(01000000) (100) (64) (40) ;(01000000) (100) (64) (40) ;(00100000) (40) (32) (20) ;(00100000) (40) (32) (20) ;(00011000) (30) (24) (18) ;(00000110) (6) (6) (06) ;(00000001) (1) (1) (01) ; -;1456;(00000001) (1) (1) (01) ;(00000010) (2) (2) (02) ;(00000010) (2) (2) (02) ;(00000100) (4) (4) (04) ;(00000100) (4) (4) (04) ;(00011000) (30) (24) (18) ;(01100000) (140) (96) (60) ;(10000000) (200) (128) (80) ; -;1464;(00000001) (1) (1) (01) ;(00000001) (1) (1) (01) ;(00000010) (2) (2) (02) ;(00000010) (2) (2) (02) ;(00000010) (2) (2) (02) ;(00000100) (4) (4) (04) ;(00000100) (4) (4) (04) ;(00001000) (10) (8) (08) ; -;1472;(00010000) (20) (16) (10) ;(00001000) (10) (8) (08) ;(00000100) (4) (4) (04) ;(00000010) (2) (2) (02) ;(00000001) (1) (1) (01) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;1480;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(10000000) (200) (128) (80) ;(01100000) (140) (96) (60) ;(00011100) (34) (28) (1C) ;(00000011) (3) (3) (03) ; -;1488;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000001) (1) (1) (01) ;(00000110) (6) (6) (06) ;(00111000) (70) (56) (38) ;(11000000) (300) (192) (C0) ; -;1496;(00001000) (10) (8) (08) ;(00010000) (20) (16) (10) ;(00100000) (40) (32) (20) ;(01000000) (100) (64) (40) ;(10000000) (200) (128) (80) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;1504;(00100010) (42) (34) (22) ;(00010100) (24) (20) (14) ;(00111110) (76) (62) (3E) ;(00001000) (10) (8) (08) ;(00111110) (76) (62) (3E) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00000000) (0) (0) (00) ; -;1512;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(11111111) (377) (255) (FF) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ; -;1520;(00100100) (44) (36) (24) ;(00100100) (44) (36) (24) ;(00100100) (44) (36) (24) ;(00100100) (44) (36) (24) ;(11000011) (303) (195) (C3) ;(10000001) (201) (129) (81) ;(01000010) (102) (66) (42) ;(00111100) (74) (60) (3C) ; -;1528;(00000000) (0) (0) (00) ;(00111100) (74) (60) (3C) ;(01111010) (172) (122) (7A) ;(10101001) (251) (169) (A9) ;(10101001) (251) (169) (A9) ;(01111010) (172) (122) (7A) ;(00111100) (74) (60) (3C) ;(00000000) (0) (0) (00) ; -;1536;(00011100) (34) (28) (1C) ;(00011100) (34) (28) (1C) ;(00111110) (76) (62) (3E) ;(00011100) (34) (28) (1C) ;(00001000) (10) (8) (08) ;(00000000) (0) (0) (00) ;(00111110) (76) (62) (3E) ;(00000000) (0) (0) (00) ; -;1544;(11111111) (377) (255) (FF) ;(11110111) (367) (247) (F7) ;(11110111) (367) (247) (F7) ;(11110111) (367) (247) (F7) ;(11010101) (325) (213) (D5) ;(11100011) (343) (227) (E3) ;(11110111) (367) (247) (F7) ;(11111111) (377) (255) (FF) ; -;1552;(11111111) (377) (255) (FF) ;(11110111) (367) (247) (F7) ;(11100011) (343) (227) (E3) ;(11010101) (325) (213) (D5) ;(11110111) (367) (247) (F7) ;(11110111) (367) (247) (F7) ;(11110111) (367) (247) (F7) ;(11111111) (377) (255) (FF) ; -;1560;(11111111) (377) (255) (FF) ;(11111111) (377) (255) (FF) ;(11110111) (367) (247) (F7) ;(11111011) (373) (251) (FB) ;(10000001) (201) (129) (81) ;(11111011) (373) (251) (FB) ;(11110111) (367) (247) (F7) ;(11111111) (377) (255) (FF) ; -;1568;(11111111) (377) (255) (FF) ;(11111111) (377) (255) (FF) ;(11101111) (357) (239) (EF) ;(11011111) (337) (223) (DF) ;(10000001) (201) (129) (81) ;(11011111) (337) (223) (DF) ;(11101111) (357) (239) (EF) ;(11111111) (377) (255) (FF) ; -;1576;(10111101) (275) (189) (BD) ;(10111101) (275) (189) (BD) ;(10111101) (275) (189) (BD) ;(10000001) (201) (129) (81) ;(10111101) (275) (189) (BD) ;(10111101) (275) (189) (BD) ;(10111101) (275) (189) (BD) ;(11111111) (377) (255) (FF) ; -;1584;(11100011) (343) (227) (E3) ;(11011101) (335) (221) (DD) ;(10111111) (277) (191) (BF) ;(10111111) (277) (191) (BF) ;(10111111) (277) (191) (BF) ;(11011101) (335) (221) (DD) ;(11100011) (343) (227) (E3) ;(11111111) (377) (255) (FF) ; -;1592;(00011000) (30) (24) (18) ;(00100100) (44) (36) (24) ;(01111110) (176) (126) (7E) ;(11111111) (377) (255) (FF) ;(01011010) (132) (90) (5A) ;(00100100) (44) (36) (24) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;1600;(11100000) (340) (224) (E0) ;(01000111) (107) (71) (47) ;(01000010) (102) (66) (42) ;(01111110) (176) (126) (7E) ;(01000010) (102) (66) (42) ;(01000111) (107) (71) (47) ;(11100000) (340) (224) (E0) ;(00000000) (0) (0) (00) ; -;1608;(00100010) (42) (34) (22) ;(00111110) (76) (62) (3E) ;(00101010) (52) (42) (2A) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(01001001) (111) (73) (49) ;(01111111) (177) (127) (7F) ;(01000001) (101) (65) (41) ; -;1616;(00011100) (34) (28) (1C) ;(00011100) (34) (28) (1C) ;(00001000) (10) (8) (08) ;(00111110) (76) (62) (3E) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00010100) (24) (20) (14) ;(00100010) (42) (34) (22) ; -;1624;(00000000) (0) (0) (00) ;(00010001) (21) (17) (11) ;(11010010) (322) (210) (D2) ;(11111100) (374) (252) (FC) ;(11010010) (322) (210) (D2) ;(00010001) (21) (17) (11) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;1632;(00000000) (0) (0) (00) ;(10001000) (210) (136) (88) ;(01001011) (113) (75) (4B) ;(00111111) (77) (63) (3F) ;(01001011) (113) (75) (4B) ;(10001000) (210) (136) (88) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;1640;(00100010) (42) (34) (22) ;(00010100) (24) (20) (14) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00111110) (76) (62) (3E) ;(00001000) (10) (8) (08) ;(00011100) (34) (28) (1C) ;(00011100) (34) (28) (1C) ; -;1648;(00111100) (74) (60) (3C) ;(01111110) (176) (126) (7E) ;(11111111) (377) (255) (FF) ;(11011011) (333) (219) (DB) ;(11111111) (377) (255) (FF) ;(01100111) (147) (103) (67) ;(01111110) (176) (126) (7E) ;(00111100) (74) (60) (3C) ; -;1656;(00111100) (74) (60) (3C) ;(01000010) (102) (66) (42) ;(10000001) (201) (129) (81) ;(10100101) (245) (165) (A5) ;(10000001) (201) (129) (81) ;(10011001) (231) (153) (99) ;(01000010) (102) (66) (42) ;(00111100) (74) (60) (3C) ; -;1664;(10101010) (252) (170) (AA) ;(01010101) (125) (85) (55) ;(10101010) (252) (170) (AA) ;(01010101) (125) (85) (55) ;(10101010) (252) (170) (AA) ;(01010101) (125) (85) (55) ;(10101010) (252) (170) (AA) ;(01010101) (125) (85) (55) ; -;1672;(00001010) (12) (10) (0A) ;(00000101) (5) (5) (05) ;(00001010) (12) (10) (0A) ;(00000101) (5) (5) (05) ;(00001010) (12) (10) (0A) ;(00000101) (5) (5) (05) ;(00001010) (12) (10) (0A) ;(00000101) (5) (5) (05) ; -;1680;(10100000) (240) (160) (A0) ;(01010000) (120) (80) (50) ;(10100000) (240) (160) (A0) ;(01010000) (120) (80) (50) ;(10100000) (240) (160) (A0) ;(01010000) (120) (80) (50) ;(10100000) (240) (160) (A0) ;(01010000) (120) (80) (50) ; -;1688;(10101010) (252) (170) (AA) ;(01010101) (125) (85) (55) ;(10101010) (252) (170) (AA) ;(01010101) (125) (85) (55) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;1696;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(10101010) (252) (170) (AA) ;(01010101) (125) (85) (55) ;(10101010) (252) (170) (AA) ;(01010101) (125) (85) (55) ; -;1704;(10101010) (252) (170) (AA) ;(01010100) (124) (84) (54) ;(10101000) (250) (168) (A8) ;(01010000) (120) (80) (50) ;(10100000) (240) (160) (A0) ;(01000000) (100) (64) (40) ;(10000000) (200) (128) (80) ;(00000000) (0) (0) (00) ; -;1712;(10101010) (252) (170) (AA) ;(01010101) (125) (85) (55) ;(00101010) (52) (42) (2A) ;(00010101) (25) (21) (15) ;(00001010) (12) (10) (0A) ;(00000101) (5) (5) (05) ;(00000010) (2) (2) (02) ;(00000001) (1) (1) (01) ; -;1720;(10000000) (200) (128) (80) ;(01000000) (100) (64) (40) ;(10100000) (240) (160) (A0) ;(01010000) (120) (80) (50) ;(10101000) (250) (168) (A8) ;(01010100) (124) (84) (54) ;(10101010) (252) (170) (AA) ;(01010101) (125) (85) (55) ; -;1728;(00000000) (0) (0) (00) ;(00000001) (1) (1) (01) ;(00000010) (2) (2) (02) ;(00000101) (5) (5) (05) ;(00001010) (12) (10) (0A) ;(00010101) (25) (21) (15) ;(00101010) (52) (42) (2A) ;(01010101) (125) (85) (55) ; -;1736;(10000000) (200) (128) (80) ;(10000000) (200) (128) (80) ;(01000000) (100) (64) (40) ;(01000000) (100) (64) (40) ;(00100000) (40) (32) (20) ;(00100000) (40) (32) (20) ;(00010000) (20) (16) (10) ;(00010000) (20) (16) (10) ; -;1744;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00000100) (4) (4) (04) ;(00000100) (4) (4) (04) ;(00000010) (2) (2) (02) ;(00000010) (2) (2) (02) ;(00000001) (1) (1) (01) ;(00000001) (1) (1) (01) ; -;1752;(00111000) (70) (56) (38) ;(00101000) (50) (40) (28) ;(00111000) (70) (56) (38) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;1760;(00000000) (0) (0) (00) ;(01010100) (124) (84) (54) ;(00101010) (52) (42) (2A) ;(01010100) (124) (84) (54) ;(00101010) (52) (42) (2A) ;(01010100) (124) (84) (54) ;(00101010) (52) (42) (2A) ;(00000000) (0) (0) (00) ; -;1768;(00000001) (1) (1) (01) ;(00000001) (1) (1) (01) ;(00000010) (2) (2) (02) ;(00000010) (2) (2) (02) ;(00000100) (4) (4) (04) ;(00000100) (4) (4) (04) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ; -;1776;(00010000) (20) (16) (10) ;(00010000) (20) (16) (10) ;(00100000) (40) (32) (20) ;(00100000) (40) (32) (20) ;(01000000) (100) (64) (40) ;(01000000) (100) (64) (40) ;(10000000) (200) (128) (80) ;(10000000) (200) (128) (80) ; -;1784;(00000000) (0) (0) (00) ;(11000000) (300) (192) (C0) ;(11001000) (310) (200) (C8) ;(01010100) (124) (84) (54) ;(01010100) (124) (84) (54) ;(01010101) (125) (85) (55) ;(00100010) (42) (34) (22) ;(00000000) (0) (0) (00) ; -;1792;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000010) (2) (2) (02) ;(11111111) (377) (255) (FF) ;(00000010) (2) (2) (02) ; -;1800;(00000010) (2) (2) (02) ;(00000010) (2) (2) (02) ;(00000010) (2) (2) (02) ;(00000010) (2) (2) (02) ;(00000010) (2) (2) (02) ;(00000010) (2) (2) (02) ;(00000111) (7) (7) (07) ;(00000010) (2) (2) (02) ; -;1808;(00000010) (2) (2) (02) ;(00000010) (2) (2) (02) ;(00000010) (2) (2) (02) ;(00000010) (2) (2) (02) ;(00000010) (2) (2) (02) ;(00000010) (2) (2) (02) ;(11111111) (377) (255) (FF) ;(00000010) (2) (2) (02) ; -;1816;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00100000) (40) (32) (20) ;(01010000) (120) (80) (50) ;(10001000) (210) (136) (88) ;(00000101) (5) (5) (05) ;(00000010) (2) (2) (02) ;(00000000) (0) (0) (00) ; -;1824;(00000000) (0) (0) (00) ;(00001110) (16) (14) (0E) ;(00010001) (21) (17) (11) ;(00100010) (42) (34) (22) ;(11000100) (304) (196) (C4) ;(00000100) (4) (4) (04) ;(00000010) (2) (2) (02) ;(00000001) (1) (1) (01) ; -;1832;(00000000) (0) (0) (00) ;(11111111) (377) (255) (FF) ;(00000000) (0) (0) (00) ;(10000001) (201) (129) (81) ;(01000010) (102) (66) (42) ;(01000010) (102) (66) (42) ;(10000001) (201) (129) (81) ;(00000000) (0) (0) (00) ; -;1840;(00000000) (0) (0) (00) ;(01110000) (160) (112) (70) ;(10001000) (210) (136) (88) ;(01000100) (104) (68) (44) ;(00100011) (43) (35) (23) ;(00100000) (40) (32) (20) ;(01000000) (100) (64) (40) ;(10000000) (200) (128) (80) ; -;1848;(00000000) (0) (0) (00) ;(11000100) (304) (196) (C4) ;(10100100) (244) (164) (A4) ;(10010100) (224) (148) (94) ;(10001111) (217) (143) (8F) ;(10010100) (224) (148) (94) ;(10100100) (244) (164) (A4) ;(11000100) (304) (196) (C4) ; -;1856;(00000000) (0) (0) (00) ;(00100011) (43) (35) (23) ;(00100101) (45) (37) (25) ;(01001001) (111) (73) (49) ;(11110001) (361) (241) (F1) ;(01001001) (111) (73) (49) ;(00100101) (45) (37) (25) ;(00100011) (43) (35) (23) ; -;1864;(10001000) (210) (136) (88) ;(10010000) (220) (144) (90) ;(10100000) (240) (160) (A0) ;(11000000) (300) (192) (C0) ;(11000000) (300) (192) (C0) ;(10101000) (250) (168) (A8) ;(10011000) (230) (152) (98) ;(10111000) (270) (184) (B8) ; -;1872;(10101000) (250) (168) (A8) ;(10110000) (260) (176) (B0) ;(10111000) (270) (184) (B8) ;(11000000) (300) (192) (C0) ;(11000000) (300) (192) (C0) ;(10100000) (240) (160) (A0) ;(10010000) (220) (144) (90) ;(10001000) (210) (136) (88) ; -;1880;(10000000) (200) (128) (80) ;(01000000) (100) (64) (40) ;(00100000) (40) (32) (20) ;(00010000) (20) (16) (10) ;(00011111) (37) (31) (1F) ;(00100000) (40) (32) (20) ;(01000000) (100) (64) (40) ;(10000000) (200) (128) (80) ; -;1888;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00100100) (44) (36) (24) ;(00100100) (44) (36) (24) ;(11100111) (347) (231) (E7) ;(00100100) (44) (36) (24) ;(00100100) (44) (36) (24) ;(00000000) (0) (0) (00) ; -;1896;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ;(00111110) (76) (62) (3E) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00111110) (76) (62) (3E) ;(00001000) (10) (8) (08) ;(00001000) (10) (8) (08) ; -;1904;(00001000) (10) (8) (08) ;(00010000) (20) (16) (10) ;(00100000) (40) (32) (20) ;(00010000) (20) (16) (10) ;(00001000) (10) (8) (08) ;(00000100) (4) (4) (04) ;(00000010) (2) (2) (02) ;(00000100) (4) (4) (04) ; -;1912;(01010101) (125) (85) (55) ;(10101010) (252) (170) (AA) ;(01010101) (125) (85) (55) ;(10101010) (252) (170) (AA) ;(01010101) (125) (85) (55) ;(10101010) (252) (170) (AA) ;(01010101) (125) (85) (55) ;(10101010) (252) (170) (AA) ; -;1920;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;1928;(00000000) (0) (0) (00) ;(01110000) (160) (112) (70) ;(01110000) (160) (112) (70) ;(01110000) (160) (112) (70) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;1936;(00000000) (0) (0) (00) ;(00000111) (7) (7) (07) ;(00000111) (7) (7) (07) ;(00000111) (7) (7) (07) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;1944;(00000000) (0) (0) (00) ;(01110111) (167) (119) (77) ;(01110111) (167) (119) (77) ;(01110111) (167) (119) (77) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;1952;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(01110000) (160) (112) (70) ;(01110000) (160) (112) (70) ;(01110000) (160) (112) (70) ; -;1960;(00000000) (0) (0) (00) ;(01110000) (160) (112) (70) ;(01110000) (160) (112) (70) ;(01110000) (160) (112) (70) ;(00000000) (0) (0) (00) ;(01110000) (160) (112) (70) ;(01110000) (160) (112) (70) ;(01110000) (160) (112) (70) ; -;1968;(00000000) (0) (0) (00) ;(00000111) (7) (7) (07) ;(00000111) (7) (7) (07) ;(00000111) (7) (7) (07) ;(00000000) (0) (0) (00) ;(01110000) (160) (112) (70) ;(01110000) (160) (112) (70) ;(01110000) (160) (112) (70) ; -;1976;(00000000) (0) (0) (00) ;(01110111) (167) (119) (77) ;(01110111) (167) (119) (77) ;(01110111) (167) (119) (77) ;(00000000) (0) (0) (00) ;(01110000) (160) (112) (70) ;(01110000) (160) (112) (70) ;(01110000) (160) (112) (70) ; -;1984;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000111) (7) (7) (07) ;(00000111) (7) (7) (07) ;(00000111) (7) (7) (07) ; -;1992;(00000000) (0) (0) (00) ;(01110000) (160) (112) (70) ;(01110000) (160) (112) (70) ;(01110000) (160) (112) (70) ;(00000000) (0) (0) (00) ;(00000111) (7) (7) (07) ;(00000111) (7) (7) (07) ;(00000111) (7) (7) (07) ; -;2000;(00000000) (0) (0) (00) ;(00000111) (7) (7) (07) ;(00000111) (7) (7) (07) ;(00000111) (7) (7) (07) ;(00000000) (0) (0) (00) ;(00000111) (7) (7) (07) ;(00000111) (7) (7) (07) ;(00000111) (7) (7) (07) ; -;2008;(00000000) (0) (0) (00) ;(01110111) (167) (119) (77) ;(01110111) (167) (119) (77) ;(01110111) (167) (119) (77) ;(00000000) (0) (0) (00) ;(00000111) (7) (7) (07) ;(00000111) (7) (7) (07) ;(00000111) (7) (7) (07) ; -;2016;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(01110111) (167) (119) (77) ;(01110111) (167) (119) (77) ;(01110111) (167) (119) (77) ; -;2024;(00000000) (0) (0) (00) ;(01110000) (160) (112) (70) ;(01110000) (160) (112) (70) ;(01110000) (160) (112) (70) ;(00000000) (0) (0) (00) ;(01110111) (167) (119) (77) ;(01110111) (167) (119) (77) ;(01110111) (167) (119) (77) ; -;2032;(00000000) (0) (0) (00) ;(00000111) (7) (7) (07) ;(00000111) (7) (7) (07) ;(00000111) (7) (7) (07) ;(00000000) (0) (0) (00) ;(01110111) (167) (119) (77) ;(01110111) (167) (119) (77) ;(01110111) (167) (119) (77) ; -;2040;(00000000) (0) (0) (00) ;(01110111) (167) (119) (77) ;(01110111) (167) (119) (77) ;(01110111) (167) (119) (77) ;(00000000) (0) (0) (00) ;(01110111) (167) (119) (77) ;(01110111) (167) (119) (77) ;(01110111) (167) (119) (77) ; - - -RAM content values are presented in the following format: (Binary) (Octal) (Decimal) (Hexadecimal) -+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; |mz80k_mist|mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ALTSYNCRAM ; -+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Addr ; +0 ; +1 ; +2 ; +3 ; +4 ; +5 ; +6 ; +7 ; -+----------+------------------------------+------------------------------+------------------------------+------------------------------+------------------------------+------------------------------+------------------------------+------------------------------+ -;0;(11000011) (303) (195) (C3) ;(01001010) (112) (74) (4A) ;(00000000) (0) (0) (00) ;(11000011) (303) (195) (C3) ;(11100110) (346) (230) (E6) ;(00000111) (7) (7) (07) ;(11000011) (303) (195) (C3) ;(00001110) (16) (14) (0E) ; -;8;(00001001) (11) (9) (09) ;(11000011) (303) (195) (C3) ;(00011000) (30) (24) (18) ;(00001001) (11) (9) (09) ;(11000011) (303) (195) (C3) ;(00100000) (40) (32) (20) ;(00001001) (11) (9) (09) ;(11000011) (303) (195) (C3) ; -;16;(00100110) (46) (38) (26) ;(00001001) (11) (9) (09) ;(11000011) (303) (195) (C3) ;(00110101) (65) (53) (35) ;(00001001) (11) (9) (09) ;(11000011) (303) (195) (C3) ;(10000001) (201) (129) (81) ;(00001001) (11) (9) (09) ; -;24;(11000011) (303) (195) (C3) ;(10011001) (231) (153) (99) ;(00001001) (11) (9) (09) ;(11000011) (303) (195) (C3) ;(10111101) (275) (189) (BD) ;(00001000) (10) (8) (08) ;(11000011) (303) (195) (C3) ;(00110010) (62) (50) (32) ; -;32;(00001010) (12) (10) (0A) ;(11000011) (303) (195) (C3) ;(00110110) (66) (54) (36) ;(00000100) (4) (4) (04) ;(11000011) (303) (195) (C3) ;(01110101) (165) (117) (75) ;(00000100) (4) (4) (04) ;(11000011) (303) (195) (C3) ; -;40;(11011000) (330) (216) (D8) ;(00000100) (4) (4) (04) ;(11000011) (303) (195) (C3) ;(11111000) (370) (248) (F8) ;(00000100) (4) (4) (04) ;(11000011) (303) (195) (C3) ;(10001000) (210) (136) (88) ;(00000101) (5) (5) (05) ; -;48;(11000011) (303) (195) (C3) ;(11000111) (307) (199) (C7) ;(00000001) (1) (1) (01) ;(11000011) (303) (195) (C3) ;(00001000) (10) (8) (08) ;(00000011) (3) (3) (03) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;56;(11000011) (303) (195) (C3) ;(00111000) (70) (56) (38) ;(00010000) (20) (16) (10) ;(11000011) (303) (195) (C3) ;(01011000) (130) (88) (58) ;(00000011) (3) (3) (03) ;(11000011) (303) (195) (C3) ;(11100101) (345) (229) (E5) ; -;64;(00000010) (2) (2) (02) ;(11000011) (303) (195) (C3) ;(11111010) (372) (250) (FA) ;(00000010) (2) (2) (02) ;(11000011) (303) (195) (C3) ;(10101011) (253) (171) (AB) ;(00000010) (2) (2) (02) ;(11000011) (303) (195) (C3) ; -;72;(10111110) (276) (190) (BE) ;(00000010) (2) (2) (02) ;(00110001) (61) (49) (31) ;(11110000) (360) (240) (F0) ;(00010000) (20) (16) (10) ;(11101101) (355) (237) (ED) ;(01010110) (126) (86) (56) ;(11001101) (315) (205) (CD) ; -;80;(11001001) (311) (201) (C9) ;(00001111) (17) (15) (0F) ;(00111110) (76) (62) (3E) ;(00010110) (26) (22) (16) ;(11001101) (315) (205) (CD) ;(00010010) (22) (18) (12) ;(00000000) (0) (0) (00) ;(00000110) (6) (6) (06) ; -;88;(00111100) (74) (60) (3C) ;(00100001) (41) (33) (21) ;(01110000) (160) (112) (70) ;(00010001) (21) (17) (11) ;(11001101) (315) (205) (CD) ;(11011000) (330) (216) (D8) ;(00001111) (17) (15) (0F) ;(00100001) (41) (33) (21) ; -;96;(10010010) (222) (146) (92) ;(00000011) (3) (3) (03) ;(00111110) (76) (62) (3E) ;(11000011) (303) (195) (C3) ;(00110010) (62) (50) (32) ;(00111000) (70) (56) (38) ;(00010000) (20) (16) (10) ;(00100010) (42) (34) (22) ; -;104;(00111001) (71) (57) (39) ;(00010000) (20) (16) (10) ;(00111110) (76) (62) (3E) ;(00000100) (4) (4) (04) ;(00110010) (62) (50) (32) ;(10011110) (236) (158) (9E) ;(00010001) (21) (17) (11) ;(00111100) (74) (60) (3C) ; -;112;(00110010) (62) (50) (32) ;(10011111) (237) (159) (9F) ;(00010001) (21) (17) (11) ;(11001101) (315) (205) (CD) ;(10111110) (276) (190) (BE) ;(00000010) (2) (2) (02) ;(11001101) (315) (205) (CD) ;(00001001) (11) (9) (09) ; -;120;(00000000) (0) (0) (00) ;(00010001) (21) (17) (11) ;(01000001) (101) (65) (41) ;(00000001) (1) (1) (01) ;(11001101) (315) (205) (CD) ;(00010101) (25) (21) (15) ;(00000000) (0) (0) (00) ;(11000011) (303) (195) (C3) ; -;128;(01101011) (153) (107) (6B) ;(00000001) (1) (1) (01) ;(11001101) (315) (205) (CD) ;(00001001) (11) (9) (09) ;(00000000) (0) (0) (00) ;(00111110) (76) (62) (3E) ;(00101010) (52) (42) (2A) ;(11001101) (315) (205) (CD) ; -;136;(00010010) (22) (18) (12) ;(00000000) (0) (0) (00) ;(00010001) (21) (17) (11) ;(10100011) (243) (163) (A3) ;(00010001) (21) (17) (11) ;(11001101) (315) (205) (CD) ;(00000011) (3) (3) (03) ;(00000000) (0) (0) (00) ; -;144;(00011010) (32) (26) (1A) ;(11111110) (376) (254) (FE) ;(00011011) (33) (27) (1B) ;(11001010) (312) (202) (CA) ;(10000010) (202) (130) (82) ;(00000000) (0) (0) (00) ;(11111110) (376) (254) (FE) ;(00101010) (52) (42) (2A) ; -;152;(00100000) (40) (32) (20) ;(00000001) (1) (1) (01) ;(00010011) (23) (19) (13) ;(00100001) (41) (33) (21) ;(10010110) (226) (150) (96) ;(00000001) (1) (1) (01) ;(00000110) (6) (6) (06) ;(00000100) (4) (4) (04) ; -;160;(11001101) (315) (205) (CD) ;(10000000) (200) (128) (80) ;(00000001) (1) (1) (01) ;(11001010) (312) (202) (CA) ;(11001111) (317) (207) (CF) ;(00000000) (0) (0) (00) ;(00100001) (41) (33) (21) ;(10011010) (232) (154) (9A) ; -;168;(00000001) (1) (1) (01) ;(11001101) (315) (205) (CD) ;(10000000) (200) (128) (80) ;(00000001) (1) (1) (01) ;(11001010) (312) (202) (CA) ;(01011001) (131) (89) (59) ;(00000001) (1) (1) (01) ;(00100001) (41) (33) (21) ; -;176;(10011110) (236) (158) (9E) ;(00000001) (1) (1) (01) ;(00000110) (6) (6) (06) ;(00000010) (2) (2) (02) ;(11001101) (315) (205) (CD) ;(10000000) (200) (128) (80) ;(00000001) (1) (1) (01) ;(11001010) (312) (202) (CA) ; -;184;(01101011) (153) (107) (6B) ;(00000001) (1) (1) (01) ;(00100001) (41) (33) (21) ;(10100000) (240) (160) (A0) ;(00000001) (1) (1) (01) ;(11001101) (315) (205) (CD) ;(10000000) (200) (128) (80) ;(00000001) (1) (1) (01) ; -;192;(11001010) (312) (202) (CA) ;(01110011) (163) (115) (73) ;(00000001) (1) (1) (01) ;(00100001) (41) (33) (21) ;(10100010) (242) (162) (A2) ;(00000001) (1) (1) (01) ;(11001101) (315) (205) (CD) ;(10000000) (200) (128) (80) ; -;200;(00000001) (1) (1) (01) ;(11001010) (312) (202) (CA) ;(01110111) (167) (119) (77) ;(00000001) (1) (1) (01) ;(11000011) (303) (195) (C3) ;(10000010) (202) (130) (82) ;(00000000) (0) (0) (00) ;(00010011) (23) (19) (13) ; -;208;(00010011) (23) (19) (13) ;(00010011) (23) (19) (13) ;(00010011) (23) (19) (13) ;(00011010) (32) (26) (1A) ;(11111110) (376) (254) (FE) ;(00001101) (15) (13) (0D) ;(11000010) (302) (194) (C2) ;(00000100) (4) (4) (04) ; -;216;(00000001) (1) (1) (01) ;(11001101) (315) (205) (CD) ;(11011000) (330) (216) (D8) ;(00000100) (4) (4) (04) ;(11011010) (332) (218) (DA) ;(10100100) (244) (164) (A4) ;(00000001) (1) (1) (01) ;(11001101) (315) (205) (CD) ; -;224;(00001001) (11) (9) (09) ;(00000000) (0) (0) (00) ;(00010001) (21) (17) (11) ;(00111000) (70) (56) (38) ;(00000001) (1) (1) (01) ;(11001101) (315) (205) (CD) ;(00010101) (25) (21) (15) ;(00000000) (0) (0) (00) ; -;232;(00010001) (21) (17) (11) ;(11110001) (361) (241) (F1) ;(00010000) (20) (16) (10) ;(00100001) (41) (33) (21) ;(00010000) (20) (16) (10) ;(00000000) (0) (0) (00) ;(00011001) (31) (25) (19) ;(00110110) (66) (54) (36) ; -;240;(00001101) (15) (13) (0D) ;(11001101) (315) (205) (CD) ;(00010101) (25) (21) (15) ;(00000000) (0) (0) (00) ;(11001101) (315) (205) (CD) ;(11111000) (370) (248) (F8) ;(00000100) (4) (4) (04) ;(11011010) (332) (218) (DA) ; -;248;(10100100) (244) (164) (A4) ;(00000001) (1) (1) (01) ;(00101010) (52) (42) (2A) ;(00000110) (6) (6) (06) ;(00010001) (21) (17) (11) ;(01111100) (174) (124) (7C) ;(11111110) (376) (254) (FE) ;(00010010) (22) (18) (12) ; -;256;(11011010) (332) (218) (DA) ;(10000010) (202) (130) (82) ;(00000000) (0) (0) (00) ;(11101001) (351) (233) (E9) ;(11010101) (325) (213) (D5) ;(11001101) (315) (205) (CD) ;(11011000) (330) (216) (D8) ;(00000100) (4) (4) (04) ; -;264;(11011010) (332) (218) (DA) ;(10100100) (244) (164) (A4) ;(00000001) (1) (1) (01) ;(11001101) (315) (205) (CD) ;(00001001) (11) (9) (09) ;(00000000) (0) (0) (00) ;(00010001) (21) (17) (11) ;(00110001) (61) (49) (31) ; -;272;(00000001) (1) (1) (01) ;(11001101) (315) (205) (CD) ;(00010101) (25) (21) (15) ;(00000000) (0) (0) (00) ;(00010001) (21) (17) (11) ;(11110001) (361) (241) (F1) ;(00010000) (20) (16) (10) ;(00100001) (41) (33) (21) ; -;280;(00010000) (20) (16) (10) ;(00000000) (0) (0) (00) ;(00011001) (31) (25) (19) ;(00110110) (66) (54) (36) ;(00001101) (15) (13) (0D) ;(11001101) (315) (205) (CD) ;(00010101) (25) (21) (15) ;(00000000) (0) (0) (00) ; -;288;(11010001) (321) (209) (D1) ;(11010101) (325) (213) (D5) ;(00100001) (41) (33) (21) ;(11110001) (361) (241) (F1) ;(00010000) (20) (16) (10) ;(00000110) (6) (6) (06) ;(00010000) (20) (16) (10) ;(11001101) (315) (205) (CD) ; -;296;(10000000) (200) (128) (80) ;(00000001) (1) (1) (01) ;(11000010) (302) (194) (C2) ;(00000101) (5) (5) (05) ;(00000001) (1) (1) (01) ;(11010001) (321) (209) (D1) ;(11000011) (303) (195) (C3) ;(11011111) (337) (223) (DF) ; -;304;(00000000) (0) (0) (00) ;(01000110) (106) (70) (46) ;(01001111) (117) (79) (4F) ;(01010101) (125) (85) (55) ;(01001110) (116) (78) (4E) ;(01000100) (104) (68) (44) ;(00100000) (40) (32) (20) ;(00001101) (15) (13) (0D) ; -;312;(01001100) (114) (76) (4C) ;(01001111) (117) (79) (4F) ;(01000001) (101) (65) (41) ;(01000100) (104) (68) (44) ;(01001001) (111) (73) (49) ;(01001110) (116) (78) (4E) ;(01000111) (107) (71) (47) ;(00100000) (40) (32) (20) ; -;320;(00001101) (15) (13) (0D) ;(00101010) (52) (42) (2A) ;(00101010) (52) (42) (2A) ;(00100000) (40) (32) (20) ;(00100000) (40) (32) (20) ;(01001101) (115) (77) (4D) ;(01001111) (117) (79) (4F) ;(01001110) (116) (78) (4E) ; -;328;(01001001) (111) (73) (49) ;(01010100) (124) (84) (54) ;(01001111) (117) (79) (4F) ;(01010010) (122) (82) (52) ;(00100000) (40) (32) (20) ;(01010011) (123) (83) (53) ;(01010000) (120) (80) (50) ;(00101101) (55) (45) (2D) ; -;336;(00110001) (61) (49) (31) ;(00110000) (60) (48) (30) ;(00110000) (60) (48) (30) ;(00110010) (62) (50) (32) ;(00100000) (40) (32) (20) ;(00100000) (40) (32) (20) ;(00101010) (52) (42) (2A) ;(00101010) (52) (42) (2A) ; -;344;(00001101) (15) (13) (0D) ;(00010011) (23) (19) (13) ;(00010011) (23) (19) (13) ;(00010011) (23) (19) (13) ;(00010011) (23) (19) (13) ;(00011010) (32) (26) (1A) ;(11111110) (376) (254) (FE) ;(00100100) (44) (36) (24) ; -;352;(11000010) (302) (194) (C2) ;(10000010) (202) (130) (82) ;(00000000) (0) (0) (00) ;(00010011) (23) (19) (13) ;(11001101) (315) (205) (CD) ;(00010000) (20) (16) (10) ;(00000100) (4) (4) (04) ;(11011010) (332) (218) (DA) ; -;360;(10000010) (202) (130) (82) ;(00000000) (0) (0) (00) ;(11101001) (351) (233) (E9) ;(00111110) (76) (62) (3E) ;(11111111) (377) (255) (FF) ;(00110010) (62) (50) (32) ;(10011101) (235) (157) (9D) ;(00010001) (21) (17) (11) ; -;368;(11000011) (303) (195) (C3) ;(10000010) (202) (130) (82) ;(00000000) (0) (0) (00) ;(10101111) (257) (175) (AF) ;(11000011) (303) (195) (C3) ;(01101101) (155) (109) (6D) ;(00000001) (1) (1) (01) ;(00100001) (41) (33) (21) ; -;376;(00000000) (0) (0) (00) ;(11110000) (360) (240) (F0) ;(01111110) (176) (126) (7E) ;(10110111) (267) (183) (B7) ;(11000010) (302) (194) (C2) ;(10000010) (202) (130) (82) ;(00000000) (0) (0) (00) ;(11101001) (351) (233) (E9) ; -;384;(11000101) (305) (197) (C5) ;(11010101) (325) (213) (D5) ;(11100101) (345) (229) (E5) ;(00011010) (32) (26) (1A) ;(10111110) (276) (190) (BE) ;(00100000) (40) (32) (20) ;(00001011) (13) (11) (0B) ;(00000101) (5) (5) (05) ; -;392;(00101000) (50) (40) (28) ;(00001000) (10) (8) (08) ;(11111110) (376) (254) (FE) ;(00001101) (15) (13) (0D) ;(00101000) (50) (40) (28) ;(00000100) (4) (4) (04) ;(00010011) (23) (19) (13) ;(00100011) (43) (35) (23) ; -;400;(00011000) (30) (24) (18) ;(11110001) (361) (241) (F1) ;(11100001) (341) (225) (E1) ;(11010001) (321) (209) (D1) ;(11000001) (301) (193) (C1) ;(11001001) (311) (201) (C9) ;(01001100) (114) (76) (4C) ;(01001111) (117) (79) (4F) ; -;408;(01000001) (101) (65) (41) ;(01000100) (104) (68) (44) ;(01000111) (107) (71) (47) ;(01001111) (117) (79) (4F) ;(01010100) (124) (84) (54) ;(01001111) (117) (79) (4F) ;(01010011) (123) (83) (53) ;(01010011) (123) (83) (53) ; -;416;(01010011) (123) (83) (53) ;(01000111) (107) (71) (47) ;(01000110) (106) (70) (46) ;(01000100) (104) (68) (44) ;(11111110) (376) (254) (FE) ;(00000010) (2) (2) (02) ;(11001010) (312) (202) (CA) ;(10000010) (202) (130) (82) ; -;424;(00000000) (0) (0) (00) ;(11001101) (315) (205) (CD) ;(00001001) (11) (9) (09) ;(00000000) (0) (0) (00) ;(00010001) (21) (17) (11) ;(10110101) (265) (181) (B5) ;(00000001) (1) (1) (01) ;(11001101) (315) (205) (CD) ; -;432;(00010101) (25) (21) (15) ;(00000000) (0) (0) (00) ;(11000011) (303) (195) (C3) ;(10000010) (202) (130) (82) ;(00000000) (0) (0) (00) ;(00100010) (42) (34) (22) ;(01000011) (103) (67) (43) ;(01001000) (110) (72) (48) ; -;440;(01000101) (105) (69) (45) ;(01000011) (103) (67) (43) ;(01001011) (113) (75) (4B) ;(00100000) (40) (32) (20) ;(01010011) (123) (83) (53) ;(01010101) (125) (85) (55) ;(01001101) (115) (77) (4D) ;(00100000) (40) (32) (20) ; -;448;(01000101) (105) (69) (45) ;(01010010) (122) (82) (52) ;(01010010) (122) (82) (52) ;(01001111) (117) (79) (4F) ;(01010010) (122) (82) (52) ;(00100010) (42) (34) (22) ;(00001101) (15) (13) (0D) ;(11000101) (305) (197) (C5) ; -;456;(11010101) (325) (213) (D5) ;(11100101) (345) (229) (E5) ;(00111110) (76) (62) (3E) ;(00000010) (2) (2) (02) ;(00110010) (62) (50) (32) ;(10100000) (240) (160) (A0) ;(00010001) (21) (17) (11) ;(00000110) (6) (6) (06) ; -;464;(00000001) (1) (1) (01) ;(00011010) (32) (26) (1A) ;(11111110) (376) (254) (FE) ;(00001101) (15) (13) (0D) ;(00101000) (50) (40) (28) ;(00000010) (2) (2) (02) ;(11111110) (376) (254) (FE) ;(11001000) (310) (200) (C8) ; -;472;(11001010) (312) (202) (CA) ;(00010000) (20) (16) (10) ;(00000010) (2) (2) (02) ;(11111110) (376) (254) (FE) ;(11001111) (317) (207) (CF) ;(11001010) (312) (202) (CA) ;(00000011) (3) (3) (03) ;(00000010) (2) (2) (02) ; -;480;(11111110) (376) (254) (FE) ;(11010111) (327) (215) (D7) ;(11001010) (312) (202) (CA) ;(00001100) (14) (12) (0C) ;(00000010) (2) (2) (02) ;(11111110) (376) (254) (FE) ;(00100011) (43) (35) (23) ;(00100001) (41) (33) (21) ; -;488;(01110001) (161) (113) (71) ;(00000010) (2) (2) (02) ;(00100000) (40) (32) (20) ;(00000100) (4) (4) (04) ;(00100001) (41) (33) (21) ;(10001001) (211) (137) (89) ;(00000010) (2) (2) (02) ;(00010011) (23) (19) (13) ; -;496;(11001101) (315) (205) (CD) ;(00011100) (34) (28) (1C) ;(00000010) (2) (2) (02) ;(11011010) (332) (218) (DA) ;(11010001) (321) (209) (D1) ;(00000001) (1) (1) (01) ;(11001101) (315) (205) (CD) ;(11001000) (310) (200) (C8) ; -;504;(00000010) (2) (2) (02) ;(11011010) (332) (218) (DA) ;(00010011) (23) (19) (13) ;(00000010) (2) (2) (02) ;(11001101) (315) (205) (CD) ;(10101011) (253) (171) (AB) ;(00000010) (2) (2) (02) ;(01000001) (101) (65) (41) ; -;512;(11000011) (303) (195) (C3) ;(11010001) (321) (209) (D1) ;(00000001) (1) (1) (01) ;(00111110) (76) (62) (3E) ;(00000011) (3) (3) (03) ;(00110010) (62) (50) (32) ;(10100000) (240) (160) (A0) ;(00010001) (21) (17) (11) ; -;520;(00010011) (23) (19) (13) ;(11000011) (303) (195) (C3) ;(11010001) (321) (209) (D1) ;(00000001) (1) (1) (01) ;(00111110) (76) (62) (3E) ;(00000001) (1) (1) (01) ;(00011000) (30) (24) (18) ;(11110101) (365) (245) (F5) ; -;528;(11001101) (315) (205) (CD) ;(11001000) (310) (200) (C8) ;(00000010) (2) (2) (02) ;(11110101) (365) (245) (F5) ;(11001101) (315) (205) (CD) ;(10111110) (276) (190) (BE) ;(00000010) (2) (2) (02) ;(11110001) (361) (241) (F1) ; -;536;(11100001) (341) (225) (E1) ;(11010001) (321) (209) (D1) ;(11000001) (301) (193) (C1) ;(11001001) (311) (201) (C9) ;(11000101) (305) (197) (C5) ;(00000110) (6) (6) (06) ;(00001000) (10) (8) (08) ;(00011010) (32) (26) (1A) ; -;544;(10111110) (276) (190) (BE) ;(00101000) (50) (40) (28) ;(00001001) (11) (9) (09) ;(00100011) (43) (35) (23) ;(00100011) (43) (35) (23) ;(00100011) (43) (35) (23) ;(00010000) (20) (16) (10) ;(11111000) (370) (248) (F8) ; -;552;(00110111) (67) (55) (37) ;(00010011) (23) (19) (13) ;(11000001) (301) (193) (C1) ;(11001001) (311) (201) (C9) ;(00100011) (43) (35) (23) ;(11010101) (325) (213) (D5) ;(01011110) (136) (94) (5E) ;(00100011) (43) (35) (23) ; -;560;(01010110) (126) (86) (56) ;(11101011) (353) (235) (EB) ;(01111100) (174) (124) (7C) ;(10110111) (267) (183) (B7) ;(00101000) (50) (40) (28) ;(00001001) (11) (9) (09) ;(00111010) (72) (58) (3A) ;(10100000) (240) (160) (A0) ; -;568;(00010001) (21) (17) (11) ;(00111101) (75) (61) (3D) ;(00101000) (50) (40) (28) ;(00000011) (3) (3) (03) ;(00101001) (51) (41) (29) ;(00011000) (30) (24) (18) ;(11111010) (372) (250) (FA) ;(00100010) (42) (34) (22) ; -;576;(10100001) (241) (161) (A1) ;(00010001) (21) (17) (11) ;(00111110) (76) (62) (3E) ;(00000010) (2) (2) (02) ;(00110010) (62) (50) (32) ;(10100000) (240) (160) (A0) ;(00010001) (21) (17) (11) ;(11010001) (321) (209) (D1) ; -;584;(00010011) (23) (19) (13) ;(00011010) (32) (26) (1A) ;(01000111) (107) (71) (47) ;(11100110) (346) (230) (E6) ;(11110000) (360) (240) (F0) ;(11111110) (376) (254) (FE) ;(00110000) (60) (48) (30) ;(00101000) (50) (40) (28) ; -;592;(00000101) (5) (5) (05) ;(00111010) (72) (58) (3A) ;(10011111) (237) (159) (9F) ;(00010001) (21) (17) (11) ;(00011000) (30) (24) (18) ;(00000111) (7) (7) (07) ;(00010011) (23) (19) (13) ;(01111000) (170) (120) (78) ; -;600;(11100110) (346) (230) (E6) ;(00001111) (17) (15) (0F) ;(00110010) (62) (50) (32) ;(10011111) (237) (159) (9F) ;(00010001) (21) (17) (11) ;(01001111) (117) (79) (4F) ;(00000110) (6) (6) (06) ;(00000000) (0) (0) (00) ; -;608;(00100001) (41) (33) (21) ;(10100001) (241) (161) (A1) ;(00000010) (2) (2) (02) ;(00001001) (11) (9) (09) ;(01001110) (116) (78) (4E) ;(00111010) (72) (58) (3A) ;(10011110) (236) (158) (9E) ;(00010001) (21) (17) (11) ; -;616;(01000111) (107) (71) (47) ;(10101111) (257) (175) (AF) ;(10000001) (201) (129) (81) ;(00010000) (20) (16) (10) ;(11111101) (375) (253) (FD) ;(11000001) (301) (193) (C1) ;(01001111) (117) (79) (4F) ;(10101111) (257) (175) (AF) ; -;624;(11001001) (311) (201) (C9) ;(01000011) (103) (67) (43) ;(01110111) (167) (119) (77) ;(00000111) (7) (7) (07) ;(01000100) (104) (68) (44) ;(10100111) (247) (167) (A7) ;(00000110) (6) (6) (06) ;(01000101) (105) (69) (45) ; -;632;(11101101) (355) (237) (ED) ;(00000101) (5) (5) (05) ;(01000110) (106) (70) (46) ;(10011000) (230) (152) (98) ;(00000101) (5) (5) (05) ;(01000111) (107) (71) (47) ;(11111100) (374) (252) (FC) ;(00000100) (4) (4) (04) ; -;640;(01000001) (101) (65) (41) ;(01110001) (161) (113) (71) ;(00000100) (4) (4) (04) ;(01000010) (102) (66) (42) ;(11110101) (365) (245) (F5) ;(00000011) (3) (3) (03) ;(01010010) (122) (82) (52) ;(00000000) (0) (0) (00) ; -;648;(00000000) (0) (0) (00) ;(01000011) (103) (67) (43) ;(00001100) (14) (12) (0C) ;(00000111) (7) (7) (07) ;(01000100) (104) (68) (44) ;(01000111) (107) (71) (47) ;(00000110) (6) (6) (06) ;(01000101) (105) (69) (45) ; -;656;(10011000) (230) (152) (98) ;(00000101) (5) (5) (05) ;(01000110) (106) (70) (46) ;(01001000) (110) (72) (48) ;(00000101) (5) (5) (05) ;(01000111) (107) (71) (47) ;(10110100) (264) (180) (B4) ;(00000100) (4) (4) (04) ; -;664;(01000001) (101) (65) (41) ;(00110001) (61) (49) (31) ;(00000100) (4) (4) (04) ;(01000010) (102) (66) (42) ;(10111011) (273) (187) (BB) ;(00000011) (3) (3) (03) ;(01010010) (122) (82) (52) ;(00000000) (0) (0) (00) ; -;672;(00000000) (0) (0) (00) ;(00000001) (1) (1) (01) ;(00000010) (2) (2) (02) ;(00000011) (3) (3) (03) ;(00000100) (4) (4) (04) ;(00000110) (6) (6) (06) ;(00001000) (10) (8) (08) ;(00001100) (14) (12) (0C) ; -;680;(00010000) (20) (16) (10) ;(00011000) (30) (24) (18) ;(00100000) (40) (32) (20) ;(00101010) (52) (42) (2A) ;(10100001) (241) (161) (A1) ;(00010001) (21) (17) (11) ;(01111100) (174) (124) (7C) ;(10110111) (267) (183) (B7) ; -;688;(00101000) (50) (40) (28) ;(00001100) (14) (12) (0C) ;(11010101) (325) (213) (D5) ;(11101011) (353) (235) (EB) ;(00100001) (41) (33) (21) ;(00000100) (4) (4) (04) ;(11100000) (340) (224) (E0) ;(01110011) (163) (115) (73) ; -;696;(01110010) (162) (114) (72) ;(00111110) (76) (62) (3E) ;(00000001) (1) (1) (01) ;(11010001) (321) (209) (D1) ;(00011000) (30) (24) (18) ;(00000110) (6) (6) (06) ;(00111110) (76) (62) (3E) ;(00110100) (64) (52) (34) ; -;704;(00110010) (62) (50) (32) ;(00000111) (7) (7) (07) ;(11100000) (340) (224) (E0) ;(10101111) (257) (175) (AF) ;(00110010) (62) (50) (32) ;(00001000) (10) (8) (08) ;(11100000) (340) (224) (E0) ;(11001001) (311) (201) (C9) ; -;712;(00100001) (41) (33) (21) ;(00000000) (0) (0) (00) ;(11100000) (340) (224) (E0) ;(00110110) (66) (54) (36) ;(11111001) (371) (249) (F9) ;(00100011) (43) (35) (23) ;(01111110) (176) (126) (7E) ;(11100110) (346) (230) (E6) ; -;720;(00001000) (10) (8) (08) ;(00100000) (40) (32) (20) ;(00000010) (2) (2) (02) ;(00110111) (67) (55) (37) ;(11001001) (311) (201) (C9) ;(00111010) (72) (58) (3A) ;(00001000) (10) (8) (08) ;(11100000) (340) (224) (E0) ; -;728;(00001111) (17) (15) (0F) ;(00111000) (70) (56) (38) ;(11111010) (372) (250) (FA) ;(00111010) (72) (58) (3A) ;(00001000) (10) (8) (08) ;(11100000) (340) (224) (E0) ;(00001111) (17) (15) (0F) ;(00110000) (60) (48) (30) ; -;736;(11111010) (372) (250) (FA) ;(00010000) (20) (16) (10) ;(11110010) (362) (242) (F2) ;(10101111) (257) (175) (AF) ;(11001001) (311) (201) (C9) ;(11000101) (305) (197) (C5) ;(11100101) (345) (229) (E5) ;(00100001) (41) (33) (21) ; -;744;(01110001) (161) (113) (71) ;(00000100) (4) (4) (04) ;(11001101) (315) (205) (CD) ;(10101110) (256) (174) (AE) ;(00000010) (2) (2) (02) ;(00000110) (6) (6) (06) ;(00110010) (62) (50) (32) ;(10101111) (257) (175) (AF) ; -;752;(11001101) (315) (205) (CD) ;(01011011) (133) (91) (5B) ;(00000111) (7) (7) (07) ;(00010000) (20) (16) (10) ;(11111010) (372) (250) (FA) ;(11100001) (341) (225) (E1) ;(11000001) (301) (193) (C1) ;(11000011) (303) (195) (C3) ; -;760;(10111110) (276) (190) (BE) ;(00000010) (2) (2) (02) ;(11110101) (365) (245) (F5) ;(11000101) (305) (197) (C5) ;(11100110) (346) (230) (E6) ;(00001111) (17) (15) (0F) ;(01000111) (107) (71) (47) ;(00111110) (76) (62) (3E) ; -;768;(00001000) (10) (8) (08) ;(10010000) (220) (144) (90) ;(00110010) (62) (50) (32) ;(10011110) (236) (158) (9E) ;(00010001) (21) (17) (11) ;(11000001) (301) (193) (C1) ;(11110001) (361) (241) (F1) ;(11001001) (311) (201) (C9) ; -;776;(11110011) (363) (243) (F3) ;(11000101) (305) (197) (C5) ;(11010101) (325) (213) (D5) ;(11100101) (345) (229) (E5) ;(00110010) (62) (50) (32) ;(10011011) (233) (155) (9B) ;(00010001) (21) (17) (11) ;(00111110) (76) (62) (3E) ; -;784;(11110000) (360) (240) (F0) ;(00110010) (62) (50) (32) ;(10011100) (234) (156) (9C) ;(00010001) (21) (17) (11) ;(00100001) (41) (33) (21) ;(11000000) (300) (192) (C0) ;(10101000) (250) (168) (A8) ;(10101111) (257) (175) (AF) ; -;792;(11101101) (355) (237) (ED) ;(01010010) (122) (82) (52) ;(11100101) (345) (229) (E5) ;(00100011) (43) (35) (23) ;(11101011) (353) (235) (EB) ;(00111110) (76) (62) (3E) ;(01110100) (164) (116) (74) ;(00110010) (62) (50) (32) ; -;800;(00000111) (7) (7) (07) ;(11100000) (340) (224) (E0) ;(00111110) (76) (62) (3E) ;(10110000) (260) (176) (B0) ;(00110010) (62) (50) (32) ;(00000111) (7) (7) (07) ;(11100000) (340) (224) (E0) ;(00100001) (41) (33) (21) ; -;808;(00000110) (6) (6) (06) ;(11100000) (340) (224) (E0) ;(01110011) (163) (115) (73) ;(01110010) (162) (114) (72) ;(00101011) (53) (43) (2B) ;(00110110) (66) (54) (36) ;(00001010) (12) (10) (0A) ;(00110110) (66) (54) (36) ; -;816;(00000000) (0) (0) (00) ;(00111110) (76) (62) (3E) ;(10000000) (200) (128) (80) ;(00110010) (62) (50) (32) ;(00000111) (7) (7) (07) ;(11100000) (340) (224) (E0) ;(00100011) (43) (35) (23) ;(01001110) (116) (78) (4E) ; -;824;(01111110) (176) (126) (7E) ;(10111010) (272) (186) (BA) ;(00100000) (40) (32) (20) ;(11111011) (373) (251) (FB) ;(01111001) (171) (121) (79) ;(10111011) (273) (187) (BB) ;(00100000) (40) (32) (20) ;(11110111) (367) (247) (F7) ; -;832;(00101011) (53) (43) (2B) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00110110) (66) (54) (36) ;(00010010) (22) (18) (12) ;(00110110) (66) (54) (36) ;(01111010) (172) (122) (7A) ; -;840;(00100011) (43) (35) (23) ;(11010001) (321) (209) (D1) ;(01001110) (116) (78) (4E) ;(01111110) (176) (126) (7E) ;(10111010) (272) (186) (BA) ;(00100000) (40) (32) (20) ;(11111011) (373) (251) (FB) ;(01111001) (171) (121) (79) ; -;848;(10111011) (273) (187) (BB) ;(00100000) (40) (32) (20) ;(11110111) (367) (247) (F7) ;(11100001) (341) (225) (E1) ;(11010001) (321) (209) (D1) ;(11000001) (301) (193) (C1) ;(11111011) (373) (251) (FB) ;(11001001) (311) (201) (C9) ; -;856;(11100101) (345) (229) (E5) ;(00111110) (76) (62) (3E) ;(10000000) (200) (128) (80) ;(00110010) (62) (50) (32) ;(00000111) (7) (7) (07) ;(11100000) (340) (224) (E0) ;(00100001) (41) (33) (21) ;(00000110) (6) (6) (06) ; -;864;(11100000) (340) (224) (E0) ;(11110011) (363) (243) (F3) ;(01011110) (136) (94) (5E) ;(01010110) (126) (86) (56) ;(11111011) (373) (251) (FB) ;(01111011) (173) (123) (7B) ;(10110010) (262) (178) (B2) ;(11001010) (312) (202) (CA) ; -;872;(01111001) (171) (121) (79) ;(00000011) (3) (3) (03) ;(10101111) (257) (175) (AF) ;(00100001) (41) (33) (21) ;(11000000) (300) (192) (C0) ;(10101000) (250) (168) (A8) ;(11101101) (355) (237) (ED) ;(01010010) (122) (82) (52) ; -;880;(11011010) (332) (218) (DA) ;(10000011) (203) (131) (83) ;(00000011) (3) (3) (03) ;(11101011) (353) (235) (EB) ;(00111010) (72) (58) (3A) ;(10011011) (233) (155) (9B) ;(00010001) (21) (17) (11) ;(11100001) (341) (225) (E1) ; -;888;(11001001) (311) (201) (C9) ;(00010001) (21) (17) (11) ;(11000000) (300) (192) (C0) ;(10101000) (250) (168) (A8) ;(00111010) (72) (58) (3A) ;(10011011) (233) (155) (9B) ;(00010001) (21) (17) (11) ;(11101110) (356) (238) (EE) ; -;896;(00000001) (1) (1) (01) ;(11100001) (341) (225) (E1) ;(11001001) (311) (201) (C9) ;(11110011) (363) (243) (F3) ;(00100001) (41) (33) (21) ;(00000110) (6) (6) (06) ;(11100000) (340) (224) (E0) ;(01111110) (176) (126) (7E) ; -;904;(00101111) (57) (47) (2F) ;(01011111) (137) (95) (5F) ;(01111110) (176) (126) (7E) ;(00101111) (57) (47) (2F) ;(01010111) (127) (87) (57) ;(11111011) (373) (251) (FB) ;(00010011) (23) (19) (13) ;(11000011) (303) (195) (C3) ; -;912;(01111100) (174) (124) (7C) ;(00000011) (3) (3) (03) ;(11110101) (365) (245) (F5) ;(11000101) (305) (197) (C5) ;(11010101) (325) (213) (D5) ;(11100101) (345) (229) (E5) ;(00111010) (72) (58) (3A) ;(10011011) (233) (155) (9B) ; -;920;(00010001) (21) (17) (11) ;(11101110) (356) (238) (EE) ;(00000001) (1) (1) (01) ;(00110010) (62) (50) (32) ;(10011011) (233) (155) (9B) ;(00010001) (21) (17) (11) ;(00111110) (76) (62) (3E) ;(10000000) (200) (128) (80) ; -;928;(00110010) (62) (50) (32) ;(00000111) (7) (7) (07) ;(11100000) (340) (224) (E0) ;(00100001) (41) (33) (21) ;(00000110) (6) (6) (06) ;(11100000) (340) (224) (E0) ;(01011110) (136) (94) (5E) ;(01010110) (126) (86) (56) ; -;936;(00100001) (41) (33) (21) ;(11000000) (300) (192) (C0) ;(10101000) (250) (168) (A8) ;(00011001) (31) (25) (19) ;(00101011) (53) (43) (2B) ;(00101011) (53) (43) (2B) ;(11101011) (353) (235) (EB) ;(00100001) (41) (33) (21) ; -;944;(00000110) (6) (6) (06) ;(11100000) (340) (224) (E0) ;(01110011) (163) (115) (73) ;(01110010) (162) (114) (72) ;(11100001) (341) (225) (E1) ;(11010001) (321) (209) (D1) ;(11000001) (301) (193) (C1) ;(11110001) (361) (241) (F1) ; -;952;(11111011) (373) (251) (FB) ;(11001001) (311) (201) (C9) ;(01111100) (174) (124) (7C) ;(11001101) (315) (205) (CD) ;(11000011) (303) (195) (C3) ;(00000011) (3) (3) (03) ;(01111101) (175) (125) (7D) ;(11001101) (315) (205) (CD) ; -;960;(11000011) (303) (195) (C3) ;(00000011) (3) (3) (03) ;(11001001) (311) (201) (C9) ;(11110101) (365) (245) (F5) ;(11100110) (346) (230) (E6) ;(11110000) (360) (240) (F0) ;(00001111) (17) (15) (0F) ;(00001111) (17) (15) (0F) ; -;968;(00001111) (17) (15) (0F) ;(00001111) (17) (15) (0F) ;(11001101) (315) (205) (CD) ;(11011010) (332) (218) (DA) ;(00000011) (3) (3) (03) ;(11001101) (315) (205) (CD) ;(00010010) (22) (18) (12) ;(00000000) (0) (0) (00) ; -;976;(11110001) (361) (241) (F1) ;(11100110) (346) (230) (E6) ;(00001111) (17) (15) (0F) ;(11001101) (315) (205) (CD) ;(11011010) (332) (218) (DA) ;(00000011) (3) (3) (03) ;(11001101) (315) (205) (CD) ;(00010010) (22) (18) (12) ; -;984;(00000000) (0) (0) (00) ;(11001001) (311) (201) (C9) ;(11010101) (325) (213) (D5) ;(11100101) (345) (229) (E5) ;(00100001) (41) (33) (21) ;(11101001) (351) (233) (E9) ;(00000011) (3) (3) (03) ;(11100110) (346) (230) (E6) ; -;992;(00001111) (17) (15) (0F) ;(01011111) (137) (95) (5F) ;(00010110) (26) (22) (16) ;(00000000) (0) (0) (00) ;(00011001) (31) (25) (19) ;(01111110) (176) (126) (7E) ;(11100001) (341) (225) (E1) ;(11010001) (321) (209) (D1) ; -;1000;(11001001) (311) (201) (C9) ;(00110000) (60) (48) (30) ;(00110001) (61) (49) (31) ;(00110010) (62) (50) (32) ;(00110011) (63) (51) (33) ;(00110100) (64) (52) (34) ;(00110101) (65) (53) (35) ;(00110110) (66) (54) (36) ; -;1008;(00110111) (67) (55) (37) ;(00111000) (70) (56) (38) ;(00111001) (71) (57) (39) ;(01000001) (101) (65) (41) ;(01000010) (102) (66) (42) ;(01000011) (103) (67) (43) ;(01000100) (104) (68) (44) ;(01000101) (105) (69) (45) ; -;1016;(01000110) (106) (70) (46) ;(11000101) (305) (197) (C5) ;(11100101) (345) (229) (E5) ;(00000001) (1) (1) (01) ;(00000000) (0) (0) (00) ;(00010000) (20) (16) (10) ;(00100001) (41) (33) (21) ;(11101001) (351) (233) (E9) ; -;1024;(00000011) (3) (3) (03) ;(10111110) (276) (190) (BE) ;(00100000) (40) (32) (20) ;(00000011) (3) (3) (03) ;(01111001) (171) (121) (79) ;(00011000) (30) (24) (18) ;(00000110) (6) (6) (06) ;(00100011) (43) (35) (23) ; -;1032;(00001100) (14) (12) (0C) ;(00000101) (5) (5) (05) ;(00100000) (40) (32) (20) ;(11110101) (365) (245) (F5) ;(00110111) (67) (55) (37) ;(11100001) (341) (225) (E1) ;(11000001) (301) (193) (C1) ;(11001001) (311) (201) (C9) ; -;1040;(11010101) (325) (213) (D5) ;(11001101) (315) (205) (CD) ;(00011111) (37) (31) (1F) ;(00000100) (4) (4) (04) ;(00111000) (70) (56) (38) ;(00000111) (7) (7) (07) ;(01100111) (147) (103) (67) ;(11001101) (315) (205) (CD) ; -;1048;(00011111) (37) (31) (1F) ;(00000100) (4) (4) (04) ;(00111000) (70) (56) (38) ;(00000001) (1) (1) (01) ;(01101111) (157) (111) (6F) ;(11010001) (321) (209) (D1) ;(11001001) (311) (201) (C9) ;(11000101) (305) (197) (C5) ; -;1056;(00011010) (32) (26) (1A) ;(00010011) (23) (19) (13) ;(11001101) (315) (205) (CD) ;(11111001) (371) (249) (F9) ;(00000011) (3) (3) (03) ;(00111000) (70) (56) (38) ;(00001101) (15) (13) (0D) ;(00000111) (7) (7) (07) ; -;1064;(00000111) (7) (7) (07) ;(00000111) (7) (7) (07) ;(00000111) (7) (7) (07) ;(01001111) (117) (79) (4F) ;(00011010) (32) (26) (1A) ;(00010011) (23) (19) (13) ;(11001101) (315) (205) (CD) ;(11111001) (371) (249) (F9) ; -;1072;(00000011) (3) (3) (03) ;(00111000) (70) (56) (38) ;(00000001) (1) (1) (01) ;(10110001) (261) (177) (B1) ;(11000001) (301) (193) (C1) ;(11001001) (311) (201) (C9) ;(11110011) (363) (243) (F3) ;(11010101) (325) (213) (D5) ; -;1080;(11000101) (305) (197) (C5) ;(11100101) (345) (229) (E5) ;(00010110) (26) (22) (16) ;(11010111) (327) (215) (D7) ;(00011110) (36) (30) (1E) ;(11001100) (314) (204) (CC) ;(00100001) (41) (33) (21) ;(11110000) (360) (240) (F0) ; -;1088;(00010000) (20) (16) (10) ;(00000001) (1) (1) (01) ;(10000000) (200) (128) (80) ;(00000000) (0) (0) (00) ;(11001101) (315) (205) (CD) ;(00110011) (63) (51) (33) ;(00000111) (7) (7) (07) ;(11001101) (315) (205) (CD) ; -;1096;(10110010) (262) (178) (B2) ;(00000110) (6) (6) (06) ;(11011010) (332) (218) (DA) ;(01100011) (143) (99) (63) ;(00000101) (5) (5) (05) ;(01111011) (173) (123) (7B) ;(11111110) (376) (254) (FE) ;(11001100) (314) (204) (CC) ; -;1104;(00100000) (40) (32) (20) ;(00010001) (21) (17) (11) ;(11001101) (315) (205) (CD) ;(00001001) (11) (9) (09) ;(00000000) (0) (0) (00) ;(11010101) (325) (213) (D5) ;(00010001) (21) (17) (11) ;(01101100) (154) (108) (6C) ; -;1112;(00000100) (4) (4) (04) ;(11001101) (315) (205) (CD) ;(00010101) (25) (21) (15) ;(00000000) (0) (0) (00) ;(00010001) (21) (17) (11) ;(11110001) (361) (241) (F1) ;(00010000) (20) (16) (10) ;(11001101) (315) (205) (CD) ; -;1120;(00010101) (25) (21) (15) ;(00000000) (0) (0) (00) ;(11010001) (321) (209) (D1) ;(11001101) (315) (205) (CD) ;(10111000) (270) (184) (B8) ;(00000111) (7) (7) (07) ;(11001101) (315) (205) (CD) ;(10001101) (215) (141) (8D) ; -;1128;(00000100) (4) (4) (04) ;(11000011) (303) (195) (C3) ;(01100011) (143) (99) (63) ;(00000101) (5) (5) (05) ;(01010111) (127) (87) (57) ;(01010010) (122) (82) (52) ;(01001001) (111) (73) (49) ;(01010100) (124) (84) (54) ; -;1136;(01001001) (111) (73) (49) ;(01001110) (116) (78) (4E) ;(01000111) (107) (71) (47) ;(00100000) (40) (32) (20) ;(00001101) (15) (13) (0D) ;(11110011) (363) (243) (F3) ;(11010101) (325) (213) (D5) ;(11000101) (305) (197) (C5) ; -;1144;(11100101) (345) (229) (E5) ;(00010110) (26) (22) (16) ;(11010111) (327) (215) (D7) ;(00011110) (36) (30) (1E) ;(01010011) (123) (83) (53) ;(00101010) (52) (42) (2A) ;(00000010) (2) (2) (02) ;(00010001) (21) (17) (11) ; -;1152;(11100101) (345) (229) (E5) ;(11000001) (301) (193) (C1) ;(00101010) (52) (42) (2A) ;(00000100) (4) (4) (04) ;(00010001) (21) (17) (11) ;(01111000) (170) (120) (78) ;(10110001) (261) (177) (B1) ;(11001010) (312) (202) (CA) ; -;1160;(11010100) (324) (212) (D4) ;(00000100) (4) (4) (04) ;(11000011) (303) (195) (C3) ;(01000100) (104) (68) (44) ;(00000100) (4) (4) (04) ;(11010101) (325) (213) (D5) ;(11000101) (305) (197) (C5) ;(11100101) (345) (229) (E5) ; -;1168;(00010110) (26) (22) (16) ;(00000010) (2) (2) (02) ;(00111110) (76) (62) (3E) ;(11111001) (371) (249) (F9) ;(00110010) (62) (50) (32) ;(00000000) (0) (0) (00) ;(11100000) (340) (224) (E0) ;(01111110) (176) (126) (7E) ; -;1176;(11001101) (315) (205) (CD) ;(10100101) (245) (165) (A5) ;(00000111) (7) (7) (07) ;(00111010) (72) (58) (3A) ;(00000001) (1) (1) (01) ;(11100000) (340) (224) (E0) ;(11100110) (346) (230) (E6) ;(00001000) (10) (8) (08) ; -;1184;(11000010) (302) (194) (C2) ;(10100111) (247) (167) (A7) ;(00000100) (4) (4) (04) ;(00110111) (67) (55) (37) ;(11000011) (303) (195) (C3) ;(11010100) (324) (212) (D4) ;(00000100) (4) (4) (04) ;(00100011) (43) (35) (23) ; -;1192;(00001011) (13) (11) (0B) ;(01111000) (170) (120) (78) ;(10110001) (261) (177) (B1) ;(11000010) (302) (194) (C2) ;(10010111) (227) (151) (97) ;(00000100) (4) (4) (04) ;(00101010) (52) (42) (2A) ;(10010111) (227) (151) (97) ; -;1200;(00010001) (21) (17) (11) ;(01111100) (174) (124) (7C) ;(11001101) (315) (205) (CD) ;(10100101) (245) (165) (A5) ;(00000111) (7) (7) (07) ;(01111101) (175) (125) (7D) ;(11001101) (315) (205) (CD) ;(10100101) (245) (165) (A5) ; -;1208;(00000111) (7) (7) (07) ;(11001101) (315) (205) (CD) ;(10000000) (200) (128) (80) ;(00000111) (7) (7) (07) ;(00010101) (25) (21) (15) ;(11000010) (302) (194) (C2) ;(11000100) (304) (196) (C4) ;(00000100) (4) (4) (04) ; -;1216;(10110111) (267) (183) (B7) ;(11000011) (303) (195) (C3) ;(11010100) (324) (212) (D4) ;(00000100) (4) (4) (04) ;(00000110) (6) (6) (06) ;(00000000) (0) (0) (00) ;(11001101) (315) (205) (CD) ;(01100111) (147) (103) (67) ; -;1224;(00000111) (7) (7) (07) ;(00000101) (5) (5) (05) ;(11000010) (302) (194) (C2) ;(11000110) (306) (198) (C6) ;(00000100) (4) (4) (04) ;(11100001) (341) (225) (E1) ;(11000001) (301) (193) (C1) ;(11000101) (305) (197) (C5) ; -;1232;(11100101) (345) (229) (E5) ;(11000011) (303) (195) (C3) ;(10010111) (227) (151) (97) ;(00000100) (4) (4) (04) ;(11100001) (341) (225) (E1) ;(11000001) (301) (193) (C1) ;(11010001) (321) (209) (D1) ;(11001001) (311) (201) (C9) ; -;1240;(11110011) (363) (243) (F3) ;(11010101) (325) (213) (D5) ;(11000101) (305) (197) (C5) ;(11100101) (345) (229) (E5) ;(00010110) (26) (22) (16) ;(11010010) (322) (210) (D2) ;(00011110) (36) (30) (1E) ;(11001100) (314) (204) (CC) ; -;1248;(00000001) (1) (1) (01) ;(10000000) (200) (128) (80) ;(00000000) (0) (0) (00) ;(00100001) (41) (33) (21) ;(11110000) (360) (240) (F0) ;(00010000) (20) (16) (10) ;(11001101) (315) (205) (CD) ;(10110010) (262) (178) (B2) ; -;1256;(00000110) (6) (6) (06) ;(11011010) (332) (218) (DA) ;(10000010) (202) (130) (82) ;(00000101) (5) (5) (05) ;(11001101) (315) (205) (CD) ;(01011110) (136) (94) (5E) ;(00000110) (6) (6) (06) ;(11011010) (332) (218) (DA) ; -;1264;(10000010) (202) (130) (82) ;(00000101) (5) (5) (05) ;(11001101) (315) (205) (CD) ;(00010000) (20) (16) (10) ;(00000101) (5) (5) (05) ;(11000011) (303) (195) (C3) ;(01100011) (143) (99) (63) ;(00000101) (5) (5) (05) ; -;1272;(11110011) (363) (243) (F3) ;(11010101) (325) (213) (D5) ;(11000101) (305) (197) (C5) ;(11100101) (345) (229) (E5) ;(00010110) (26) (22) (16) ;(11010010) (322) (210) (D2) ;(00011110) (36) (30) (1E) ;(01010011) (123) (83) (53) ; -;1280;(00101010) (52) (42) (2A) ;(00000010) (2) (2) (02) ;(00010001) (21) (17) (11) ;(11100101) (345) (229) (E5) ;(11000001) (301) (193) (C1) ;(00101010) (52) (42) (2A) ;(00000100) (4) (4) (04) ;(00010001) (21) (17) (11) ; -;1288;(01111000) (170) (120) (78) ;(10110001) (261) (177) (B1) ;(11001010) (312) (202) (CA) ;(01100011) (143) (99) (63) ;(00000101) (5) (5) (05) ;(11000011) (303) (195) (C3) ;(11100110) (346) (230) (E6) ;(00000100) (4) (4) (04) ; -;1296;(11010101) (325) (213) (D5) ;(11000101) (305) (197) (C5) ;(11100101) (345) (229) (E5) ;(00100110) (46) (38) (26) ;(00000010) (2) (2) (02) ;(00000001) (1) (1) (01) ;(00000001) (1) (1) (01) ;(11100000) (340) (224) (E0) ; -;1304;(00010001) (21) (17) (11) ;(00000010) (2) (2) (02) ;(11100000) (340) (224) (E0) ;(11001101) (315) (205) (CD) ;(00000001) (1) (1) (01) ;(00000110) (6) (6) (06) ;(11011010) (332) (218) (DA) ;(10000010) (202) (130) (82) ; -;1312;(00000101) (5) (5) (05) ;(11001101) (315) (205) (CD) ;(01100000) (140) (96) (60) ;(00000111) (7) (7) (07) ;(11001101) (315) (205) (CD) ;(01100000) (140) (96) (60) ;(00000111) (7) (7) (07) ;(11001101) (315) (205) (CD) ; -;1320;(01100000) (140) (96) (60) ;(00000111) (7) (7) (07) ;(00011010) (32) (26) (1A) ;(11100110) (346) (230) (E6) ;(00100000) (40) (32) (20) ;(11001010) (312) (202) (CA) ;(00011011) (33) (27) (1B) ;(00000101) (5) (5) (05) ; -;1328;(01010100) (124) (84) (54) ;(00100001) (41) (33) (21) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00100010) (42) (34) (22) ;(10010111) (227) (151) (97) ;(00010001) (21) (17) (11) ;(11100001) (341) (225) (E1) ; -;1336;(11000001) (301) (193) (C1) ;(11000101) (305) (197) (C5) ;(11100101) (345) (229) (E5) ;(11001101) (315) (205) (CD) ;(00100100) (44) (36) (24) ;(00000110) (6) (6) (06) ;(11011010) (332) (218) (DA) ;(10000010) (202) (130) (82) ; -;1344;(00000101) (5) (5) (05) ;(01110111) (167) (119) (77) ;(00100011) (43) (35) (23) ;(00001011) (13) (11) (0B) ;(01111000) (170) (120) (78) ;(10110001) (261) (177) (B1) ;(11000010) (302) (194) (C2) ;(00111011) (73) (59) (3B) ; -;1352;(00000101) (5) (5) (05) ;(00101010) (52) (42) (2A) ;(10010111) (227) (151) (97) ;(00010001) (21) (17) (11) ;(11001101) (315) (205) (CD) ;(00100100) (44) (36) (24) ;(00000110) (6) (6) (06) ;(11011010) (332) (218) (DA) ; -;1360;(10000010) (202) (130) (82) ;(00000101) (5) (5) (05) ;(01011111) (137) (95) (5F) ;(11001101) (315) (205) (CD) ;(00100100) (44) (36) (24) ;(00000110) (6) (6) (06) ;(11011010) (332) (218) (DA) ;(10000010) (202) (130) (82) ; -;1368;(00000101) (5) (5) (05) ;(10111101) (275) (189) (BD) ;(11000010) (302) (194) (C2) ;(01110100) (164) (116) (74) ;(00000101) (5) (5) (05) ;(01111011) (173) (123) (7B) ;(10111100) (274) (188) (BC) ;(11000010) (302) (194) (C2) ; -;1376;(01110100) (164) (116) (74) ;(00000101) (5) (5) (05) ;(10101111) (257) (175) (AF) ;(11100001) (341) (225) (E1) ;(11000001) (301) (193) (C1) ;(11010001) (321) (209) (D1) ;(11001101) (315) (205) (CD) ;(00000000) (0) (0) (00) ; -;1384;(00000111) (7) (7) (07) ;(11110101) (365) (245) (F5) ;(00111010) (72) (58) (3A) ;(10011100) (234) (156) (9C) ;(00010001) (21) (17) (11) ;(11111110) (376) (254) (FE) ;(11110000) (360) (240) (F0) ;(00100000) (40) (32) (20) ; -;1392;(00000001) (1) (1) (01) ;(11111011) (373) (251) (FB) ;(11110001) (361) (241) (F1) ;(11001001) (311) (201) (C9) ;(00010101) (25) (21) (15) ;(11001010) (312) (202) (CA) ;(01111100) (174) (124) (7C) ;(00000101) (5) (5) (05) ; -;1400;(01100010) (142) (98) (62) ;(11000011) (303) (195) (C3) ;(00010101) (25) (21) (15) ;(00000101) (5) (5) (05) ;(00111110) (76) (62) (3E) ;(00000001) (1) (1) (01) ;(00110111) (67) (55) (37) ;(11000011) (303) (195) (C3) ; -;1408;(01100011) (143) (99) (63) ;(00000101) (5) (5) (05) ;(00111110) (76) (62) (3E) ;(00000010) (2) (2) (02) ;(00110111) (67) (55) (37) ;(11000011) (303) (195) (C3) ;(01100011) (143) (99) (63) ;(00000101) (5) (5) (05) ; -;1416;(11110011) (363) (243) (F3) ;(11010101) (325) (213) (D5) ;(11000101) (305) (197) (C5) ;(11100101) (345) (229) (E5) ;(00101010) (52) (42) (2A) ;(00000010) (2) (2) (02) ;(00010001) (21) (17) (11) ;(11100101) (345) (229) (E5) ; -;1424;(11000001) (301) (193) (C1) ;(00101010) (52) (42) (2A) ;(00000100) (4) (4) (04) ;(00010001) (21) (17) (11) ;(00010110) (26) (22) (16) ;(11010010) (322) (210) (D2) ;(00011110) (36) (30) (1E) ;(01010011) (123) (83) (53) ; -;1432;(01111000) (170) (120) (78) ;(10110001) (261) (177) (B1) ;(11001010) (312) (202) (CA) ;(01100011) (143) (99) (63) ;(00000101) (5) (5) (05) ;(11001101) (315) (205) (CD) ;(00110011) (63) (51) (33) ;(00000111) (7) (7) (07) ; -;1440;(11001101) (315) (205) (CD) ;(10110010) (262) (178) (B2) ;(00000110) (6) (6) (06) ;(11011010) (332) (218) (DA) ;(10000010) (202) (130) (82) ;(00000101) (5) (5) (05) ;(11001101) (315) (205) (CD) ;(01011110) (136) (94) (5E) ; -;1448;(00000110) (6) (6) (06) ;(11011010) (332) (218) (DA) ;(10000010) (202) (130) (82) ;(00000101) (5) (5) (05) ;(11001101) (315) (205) (CD) ;(10110010) (262) (178) (B2) ;(00000101) (5) (5) (05) ;(11000011) (303) (195) (C3) ; -;1456;(01100011) (143) (99) (63) ;(00000101) (5) (5) (05) ;(11010101) (325) (213) (D5) ;(11000101) (305) (197) (C5) ;(11100101) (345) (229) (E5) ;(00100110) (46) (38) (26) ;(00000010) (2) (2) (02) ;(00000001) (1) (1) (01) ; -;1464;(00000001) (1) (1) (01) ;(11100000) (340) (224) (E0) ;(00010001) (21) (17) (11) ;(00000010) (2) (2) (02) ;(11100000) (340) (224) (E0) ;(11001101) (315) (205) (CD) ;(00000001) (1) (1) (01) ;(00000110) (6) (6) (06) ; -;1472;(11011010) (332) (218) (DA) ;(10000010) (202) (130) (82) ;(00000101) (5) (5) (05) ;(11001101) (315) (205) (CD) ;(01100000) (140) (96) (60) ;(00000111) (7) (7) (07) ;(11001101) (315) (205) (CD) ;(01100000) (140) (96) (60) ; -;1480;(00000111) (7) (7) (07) ;(11001101) (315) (205) (CD) ;(01100000) (140) (96) (60) ;(00000111) (7) (7) (07) ;(00011010) (32) (26) (1A) ;(11100110) (346) (230) (E6) ;(00100000) (40) (32) (20) ;(11001010) (312) (202) (CA) ; -;1488;(10111101) (275) (189) (BD) ;(00000101) (5) (5) (05) ;(01010100) (124) (84) (54) ;(11100001) (341) (225) (E1) ;(11000001) (301) (193) (C1) ;(11000101) (305) (197) (C5) ;(11100101) (345) (229) (E5) ;(11001101) (315) (205) (CD) ; -;1496;(00100100) (44) (36) (24) ;(00000110) (6) (6) (06) ;(11011010) (332) (218) (DA) ;(10000010) (202) (130) (82) ;(00000101) (5) (5) (05) ;(10111110) (276) (190) (BE) ;(11000010) (302) (194) (C2) ;(01111100) (174) (124) (7C) ; -;1504;(00000101) (5) (5) (05) ;(00100011) (43) (35) (23) ;(00001011) (13) (11) (0B) ;(01111000) (170) (120) (78) ;(10110001) (261) (177) (B1) ;(11000010) (302) (194) (C2) ;(11010111) (327) (215) (D7) ;(00000101) (5) (5) (05) ; -;1512;(00101010) (52) (42) (2A) ;(10011001) (231) (153) (99) ;(00010001) (21) (17) (11) ;(11001101) (315) (205) (CD) ;(00100100) (44) (36) (24) ;(00000110) (6) (6) (06) ;(10111100) (274) (188) (BC) ;(11000010) (302) (194) (C2) ; -;1520;(01111100) (174) (124) (7C) ;(00000101) (5) (5) (05) ;(11001101) (315) (205) (CD) ;(00100100) (44) (36) (24) ;(00000110) (6) (6) (06) ;(10111101) (275) (189) (BD) ;(11000010) (302) (194) (C2) ;(01111100) (174) (124) (7C) ; -;1528;(00000101) (5) (5) (05) ;(00010101) (25) (21) (15) ;(11001010) (312) (202) (CA) ;(01100010) (142) (98) (62) ;(00000101) (5) (5) (05) ;(01100010) (142) (98) (62) ;(11000011) (303) (195) (C3) ;(10110111) (267) (183) (B7) ; -;1536;(00000101) (5) (5) (05) ;(00111110) (76) (62) (3E) ;(11111001) (371) (249) (F9) ;(00110010) (62) (50) (32) ;(00000000) (0) (0) (00) ;(11100000) (340) (224) (E0) ;(00000000) (0) (0) (00) ;(00001010) (12) (10) (0A) ; -;1544;(11100110) (346) (230) (E6) ;(00001000) (10) (8) (08) ;(11000010) (302) (194) (C2) ;(00001111) (17) (15) (0F) ;(00000110) (6) (6) (06) ;(00110111) (67) (55) (37) ;(11001001) (311) (201) (C9) ;(00011010) (32) (26) (1A) ; -;1552;(11100110) (346) (230) (E6) ;(00100000) (40) (32) (20) ;(11000010) (302) (194) (C2) ;(00000111) (7) (7) (07) ;(00000110) (6) (6) (06) ;(00001010) (12) (10) (0A) ;(11100110) (346) (230) (E6) ;(00001000) (10) (8) (08) ; -;1560;(11000010) (302) (194) (C2) ;(00011101) (35) (29) (1D) ;(00000110) (6) (6) (06) ;(00110111) (67) (55) (37) ;(11001001) (311) (201) (C9) ;(00011010) (32) (26) (1A) ;(11100110) (346) (230) (E6) ;(00100000) (40) (32) (20) ; -;1568;(11001010) (312) (202) (CA) ;(00010101) (25) (21) (15) ;(00000110) (6) (6) (06) ;(11001001) (311) (201) (C9) ;(11000101) (305) (197) (C5) ;(11010101) (325) (213) (D5) ;(11100101) (345) (229) (E5) ;(00100001) (41) (33) (21) ; -;1576;(00000000) (0) (0) (00) ;(00001000) (10) (8) (08) ;(00000001) (1) (1) (01) ;(00000001) (1) (1) (01) ;(11100000) (340) (224) (E0) ;(00010001) (21) (17) (11) ;(00000010) (2) (2) (02) ;(11100000) (340) (224) (E0) ; -;1584;(11001101) (315) (205) (CD) ;(00000001) (1) (1) (01) ;(00000110) (6) (6) (06) ;(11011010) (332) (218) (DA) ;(01011010) (132) (90) (5A) ;(00000110) (6) (6) (06) ;(11001101) (315) (205) (CD) ;(01100000) (140) (96) (60) ; -;1592;(00000111) (7) (7) (07) ;(11001101) (315) (205) (CD) ;(01100000) (140) (96) (60) ;(00000111) (7) (7) (07) ;(11001101) (315) (205) (CD) ;(01100000) (140) (96) (60) ;(00000111) (7) (7) (07) ;(00011010) (32) (26) (1A) ; -;1600;(11100110) (346) (230) (E6) ;(00100000) (40) (32) (20) ;(11001010) (312) (202) (CA) ;(01001111) (117) (79) (4F) ;(00000110) (6) (6) (06) ;(11100101) (345) (229) (E5) ;(00101010) (52) (42) (2A) ;(10010111) (227) (151) (97) ; -;1608;(00010001) (21) (17) (11) ;(00100011) (43) (35) (23) ;(00100010) (42) (34) (22) ;(10010111) (227) (151) (97) ;(00010001) (21) (17) (11) ;(11100001) (341) (225) (E1) ;(00110111) (67) (55) (37) ;(01111101) (175) (125) (7D) ; -;1616;(00010111) (27) (23) (17) ;(01101111) (157) (111) (6F) ;(00100101) (45) (37) (25) ;(11000010) (302) (194) (C2) ;(00110000) (60) (48) (30) ;(00000110) (6) (6) (06) ;(11001101) (315) (205) (CD) ;(00000001) (1) (1) (01) ; -;1624;(00000110) (6) (6) (06) ;(01111101) (175) (125) (7D) ;(11100001) (341) (225) (E1) ;(11010001) (321) (209) (D1) ;(11000001) (301) (193) (C1) ;(11001001) (311) (201) (C9) ;(11000101) (305) (197) (C5) ;(11010101) (325) (213) (D5) ; -;1632;(11100101) (345) (229) (E5) ;(00100001) (41) (33) (21) ;(00101000) (50) (40) (28) ;(00101000) (50) (40) (28) ;(01111011) (173) (123) (7B) ;(11111110) (376) (254) (FE) ;(11001100) (314) (204) (CC) ;(11001010) (312) (202) (CA) ; -;1640;(01101101) (155) (109) (6D) ;(00000110) (6) (6) (06) ;(00100001) (41) (33) (21) ;(00010100) (24) (20) (14) ;(00010100) (24) (20) (14) ;(00100010) (42) (34) (22) ;(10010101) (225) (149) (95) ;(00010001) (21) (17) (11) ; -;1648;(00000001) (1) (1) (01) ;(00000001) (1) (1) (01) ;(11100000) (340) (224) (E0) ;(00010001) (21) (17) (11) ;(00000010) (2) (2) (02) ;(11100000) (340) (224) (E0) ;(00101010) (52) (42) (2A) ;(10010101) (225) (149) (95) ; -;1656;(00010001) (21) (17) (11) ;(11001101) (315) (205) (CD) ;(00000001) (1) (1) (01) ;(00000110) (6) (6) (06) ;(11011010) (332) (218) (DA) ;(10101110) (256) (174) (AE) ;(00000110) (6) (6) (06) ;(11001101) (315) (205) (CD) ; -;1664;(01100000) (140) (96) (60) ;(00000111) (7) (7) (07) ;(11001101) (315) (205) (CD) ;(01100000) (140) (96) (60) ;(00000111) (7) (7) (07) ;(11001101) (315) (205) (CD) ;(01100000) (140) (96) (60) ;(00000111) (7) (7) (07) ; -;1672;(00011010) (32) (26) (1A) ;(11100110) (346) (230) (E6) ;(00100000) (40) (32) (20) ;(11001010) (312) (202) (CA) ;(01110110) (166) (118) (76) ;(00000110) (6) (6) (06) ;(00100101) (45) (37) (25) ;(11000010) (302) (194) (C2) ; -;1680;(01111001) (171) (121) (79) ;(00000110) (6) (6) (06) ;(11001101) (315) (205) (CD) ;(00000001) (1) (1) (01) ;(00000110) (6) (6) (06) ;(11011010) (332) (218) (DA) ;(10101110) (256) (174) (AE) ;(00000110) (6) (6) (06) ; -;1688;(11001101) (315) (205) (CD) ;(01100000) (140) (96) (60) ;(00000111) (7) (7) (07) ;(11001101) (315) (205) (CD) ;(01100000) (140) (96) (60) ;(00000111) (7) (7) (07) ;(11001101) (315) (205) (CD) ;(01100000) (140) (96) (60) ; -;1696;(00000111) (7) (7) (07) ;(00011010) (32) (26) (1A) ;(11100110) (346) (230) (E6) ;(00100000) (40) (32) (20) ;(11000010) (302) (194) (C2) ;(01110110) (166) (118) (76) ;(00000110) (6) (6) (06) ;(00101101) (55) (45) (2D) ; -;1704;(11000010) (302) (194) (C2) ;(10010010) (222) (146) (92) ;(00000110) (6) (6) (06) ;(11001101) (315) (205) (CD) ;(00000001) (1) (1) (01) ;(00000110) (6) (6) (06) ;(11100001) (341) (225) (E1) ;(11010001) (321) (209) (D1) ; -;1712;(11000001) (301) (193) (C1) ;(11001001) (311) (201) (C9) ;(11000101) (305) (197) (C5) ;(11010101) (325) (213) (D5) ;(11100101) (345) (229) (E5) ;(00001110) (16) (14) (0E) ;(00001010) (12) (10) (0A) ;(00111010) (72) (58) (3A) ; -;1720;(00000010) (2) (2) (02) ;(11100000) (340) (224) (E0) ;(11100110) (346) (230) (E6) ;(00010000) (20) (16) (10) ;(11001010) (312) (202) (CA) ;(11000100) (304) (196) (C4) ;(00000110) (6) (6) (06) ;(10101111) (257) (175) (AF) ; -;1728;(11100001) (341) (225) (E1) ;(11010001) (321) (209) (D1) ;(11000001) (301) (193) (C1) ;(11001001) (311) (201) (C9) ;(00111110) (76) (62) (3E) ;(00000110) (6) (6) (06) ;(00110010) (62) (50) (32) ;(00000011) (3) (3) (03) ; -;1736;(11100000) (340) (224) (E0) ;(00111110) (76) (62) (3E) ;(00000111) (7) (7) (07) ;(00110010) (62) (50) (32) ;(00000011) (3) (3) (03) ;(11100000) (340) (224) (E0) ;(00001101) (15) (13) (0D) ;(11000010) (302) (194) (C2) ; -;1744;(10110111) (267) (183) (B7) ;(00000110) (6) (6) (06) ;(11001101) (315) (205) (CD) ;(00001001) (11) (9) (09) ;(00000000) (0) (0) (00) ;(01111010) (172) (122) (7A) ;(11111110) (376) (254) (FE) ;(11010111) (327) (215) (D7) ; -;1752;(00101000) (50) (40) (28) ;(00001000) (10) (8) (08) ;(00010001) (21) (17) (11) ;(00100010) (42) (34) (22) ;(00000111) (7) (7) (07) ;(11001101) (315) (205) (CD) ;(00011000) (30) (24) (18) ;(00000000) (0) (0) (00) ; -;1760;(00011000) (30) (24) (18) ;(00001100) (14) (12) (0C) ;(00010001) (21) (17) (11) ;(00101001) (51) (41) (29) ;(00000111) (7) (7) (07) ;(11001101) (315) (205) (CD) ;(00011000) (30) (24) (18) ;(00000000) (0) (0) (00) ; -;1768;(00010001) (21) (17) (11) ;(00100100) (44) (36) (24) ;(00000111) (7) (7) (07) ;(11001101) (315) (205) (CD) ;(00011000) (30) (24) (18) ;(00000000) (0) (0) (00) ;(00111010) (72) (58) (3A) ;(00000010) (2) (2) (02) ; -;1776;(11100000) (340) (224) (E0) ;(11100110) (346) (230) (E6) ;(00010000) (20) (16) (10) ;(11000010) (302) (194) (C2) ;(10111111) (277) (191) (BF) ;(00000110) (6) (6) (06) ;(11001101) (315) (205) (CD) ;(01000100) (104) (68) (44) ; -;1784;(00001010) (12) (10) (0A) ;(11000010) (302) (194) (C2) ;(11101110) (356) (238) (EE) ;(00000110) (6) (6) (06) ;(00110111) (67) (55) (37) ;(11000011) (303) (195) (C3) ;(11000000) (300) (192) (C0) ;(00000110) (6) (6) (06) ; -;1792;(11110101) (365) (245) (F5) ;(11000101) (305) (197) (C5) ;(11010101) (325) (213) (D5) ;(00000110) (6) (6) (06) ;(00001010) (12) (10) (0A) ;(00111010) (72) (58) (3A) ;(00000010) (2) (2) (02) ;(11100000) (340) (224) (E0) ; -;1800;(11100110) (346) (230) (E6) ;(00010000) (20) (16) (10) ;(00100000) (40) (32) (20) ;(00000100) (4) (4) (04) ;(11010001) (321) (209) (D1) ;(11000001) (301) (193) (C1) ;(11110001) (361) (241) (F1) ;(11001001) (311) (201) (C9) ; -;1808;(00111110) (76) (62) (3E) ;(00000110) (6) (6) (06) ;(00110010) (62) (50) (32) ;(00000011) (3) (3) (03) ;(11100000) (340) (224) (E0) ;(00111110) (76) (62) (3E) ;(00000111) (7) (7) (07) ;(00110010) (62) (50) (32) ; -;1816;(00000011) (3) (3) (03) ;(11100000) (340) (224) (E0) ;(00000101) (5) (5) (05) ;(11000010) (302) (194) (C2) ;(00000101) (5) (5) (05) ;(00000111) (7) (7) (07) ;(11010001) (321) (209) (D1) ;(11000001) (301) (193) (C1) ; -;1824;(11110001) (361) (241) (F1) ;(11001001) (311) (201) (C9) ;(01111111) (177) (127) (7F) ;(00100000) (40) (32) (20) ;(01010000) (120) (80) (50) ;(01001100) (114) (76) (4C) ;(01000001) (101) (65) (41) ;(01011001) (131) (89) (59) ; -;1832;(00001101) (15) (13) (0D) ;(01111111) (177) (127) (7F) ;(00100000) (40) (32) (20) ;(01010010) (122) (82) (52) ;(01000101) (105) (69) (45) ;(01000011) (103) (67) (43) ;(01001111) (117) (79) (4F) ;(01010010) (122) (82) (52) ; -;1840;(01000100) (104) (68) (44) ;(00101110) (56) (46) (2E) ;(00001101) (15) (13) (0D) ;(11000101) (305) (197) (C5) ;(11010101) (325) (213) (D5) ;(11100101) (345) (229) (E5) ;(00010001) (21) (17) (11) ;(00000000) (0) (0) (00) ; -;1848;(00000000) (0) (0) (00) ;(01111000) (170) (120) (78) ;(10110001) (261) (177) (B1) ;(00100000) (40) (32) (20) ;(00001011) (13) (11) (0B) ;(11101011) (353) (235) (EB) ;(00100010) (42) (34) (22) ;(10010111) (227) (151) (97) ; -;1856;(00010001) (21) (17) (11) ;(00100010) (42) (34) (22) ;(10011001) (231) (153) (99) ;(00010001) (21) (17) (11) ;(11100001) (341) (225) (E1) ;(11010001) (321) (209) (D1) ;(11000001) (301) (193) (C1) ;(11001001) (311) (201) (C9) ; -;1864;(01111110) (176) (126) (7E) ;(11100101) (345) (229) (E5) ;(00100110) (46) (38) (26) ;(00001000) (10) (8) (08) ;(00000111) (7) (7) (07) ;(00110000) (60) (48) (30) ;(00000001) (1) (1) (01) ;(00010011) (23) (19) (13) ; -;1872;(00100101) (45) (37) (25) ;(00100000) (40) (32) (20) ;(11111001) (371) (249) (F9) ;(11100001) (341) (225) (E1) ;(00100011) (43) (35) (23) ;(00001011) (13) (11) (0B) ;(11000011) (303) (195) (C3) ;(00111001) (71) (57) (39) ; -;1880;(00000111) (7) (7) (07) ;(00111110) (76) (62) (3E) ;(00001110) (16) (14) (0E) ;(00111101) (75) (61) (3D) ;(11000010) (302) (194) (C2) ;(01011011) (133) (91) (5B) ;(00000111) (7) (7) (07) ;(11001001) (311) (201) (C9) ; -;1888;(00111110) (76) (62) (3E) ;(00001101) (15) (13) (0D) ;(00111101) (75) (61) (3D) ;(11000010) (302) (194) (C2) ;(01100010) (142) (98) (62) ;(00000111) (7) (7) (07) ;(11001001) (311) (201) (C9) ;(11110101) (365) (245) (F5) ; -;1896;(00111110) (76) (62) (3E) ;(00000011) (3) (3) (03) ;(00110010) (62) (50) (32) ;(00000011) (3) (3) (03) ;(11100000) (340) (224) (E0) ;(11001101) (315) (205) (CD) ;(01011001) (131) (89) (59) ;(00000111) (7) (7) (07) ; -;1904;(11001101) (315) (205) (CD) ;(01011001) (131) (89) (59) ;(00000111) (7) (7) (07) ;(00111110) (76) (62) (3E) ;(00000010) (2) (2) (02) ;(00110010) (62) (50) (32) ;(00000011) (3) (3) (03) ;(11100000) (340) (224) (E0) ; -;1912;(11001101) (315) (205) (CD) ;(01011001) (131) (89) (59) ;(00000111) (7) (7) (07) ;(11001101) (315) (205) (CD) ;(01011001) (131) (89) (59) ;(00000111) (7) (7) (07) ;(11110001) (361) (241) (F1) ;(11001001) (311) (201) (C9) ; -;1920;(11110101) (365) (245) (F5) ;(00111110) (76) (62) (3E) ;(00000011) (3) (3) (03) ;(00110010) (62) (50) (32) ;(00000011) (3) (3) (03) ;(11100000) (340) (224) (E0) ;(11001101) (315) (205) (CD) ;(01011001) (131) (89) (59) ; -;1928;(00000111) (7) (7) (07) ;(11001101) (315) (205) (CD) ;(01011001) (131) (89) (59) ;(00000111) (7) (7) (07) ;(11001101) (315) (205) (CD) ;(01011001) (131) (89) (59) ;(00000111) (7) (7) (07) ;(11001101) (315) (205) (CD) ; -;1936;(01011001) (131) (89) (59) ;(00000111) (7) (7) (07) ;(00111110) (76) (62) (3E) ;(00000010) (2) (2) (02) ;(00110010) (62) (50) (32) ;(00000011) (3) (3) (03) ;(11100000) (340) (224) (E0) ;(11001101) (315) (205) (CD) ; -;1944;(01011001) (131) (89) (59) ;(00000111) (7) (7) (07) ;(11001101) (315) (205) (CD) ;(01011001) (131) (89) (59) ;(00000111) (7) (7) (07) ;(11001101) (315) (205) (CD) ;(01011001) (131) (89) (59) ;(00000111) (7) (7) (07) ; -;1952;(11001101) (315) (205) (CD) ;(01100000) (140) (96) (60) ;(00000111) (7) (7) (07) ;(11110001) (361) (241) (F1) ;(11001001) (311) (201) (C9) ;(11000101) (305) (197) (C5) ;(00000110) (6) (6) (06) ;(00001000) (10) (8) (08) ; -;1960;(11001101) (315) (205) (CD) ;(10000000) (200) (128) (80) ;(00000111) (7) (7) (07) ;(00000111) (7) (7) (07) ;(11011100) (334) (220) (DC) ;(10000000) (200) (128) (80) ;(00000111) (7) (7) (07) ;(11010100) (324) (212) (D4) ; -;1968;(01100111) (147) (103) (67) ;(00000111) (7) (7) (07) ;(00000101) (5) (5) (05) ;(11000010) (302) (194) (C2) ;(10101011) (253) (171) (AB) ;(00000111) (7) (7) (07) ;(11000001) (301) (193) (C1) ;(11001001) (311) (201) (C9) ; -;1976;(11000101) (305) (197) (C5) ;(11010101) (325) (213) (D5) ;(01111011) (173) (123) (7B) ;(00000001) (1) (1) (01) ;(11110000) (360) (240) (F0) ;(01010101) (125) (85) (55) ;(00010001) (21) (17) (11) ;(00101000) (50) (40) (28) ; -;1984;(00101000) (50) (40) (28) ;(11111110) (376) (254) (FE) ;(11001100) (314) (204) (CC) ;(11001010) (312) (202) (CA) ;(11001100) (314) (204) (CC) ;(00000111) (7) (7) (07) ;(00000001) (1) (1) (01) ;(11111000) (370) (248) (F8) ; -;1992;(00101010) (52) (42) (2A) ;(00010001) (21) (17) (11) ;(00010100) (24) (20) (14) ;(00010100) (24) (20) (14) ;(11001101) (315) (205) (CD) ;(01100111) (147) (103) (67) ;(00000111) (7) (7) (07) ;(00001011) (13) (11) (0B) ; -;2000;(01111000) (170) (120) (78) ;(10110001) (261) (177) (B1) ;(00100000) (40) (32) (20) ;(11111000) (370) (248) (F8) ;(11001101) (315) (205) (CD) ;(10000000) (200) (128) (80) ;(00000111) (7) (7) (07) ;(00010101) (25) (21) (15) ; -;2008;(00100000) (40) (32) (20) ;(11111010) (372) (250) (FA) ;(11001101) (315) (205) (CD) ;(01100111) (147) (103) (67) ;(00000111) (7) (7) (07) ;(00011101) (35) (29) (1D) ;(00100000) (40) (32) (20) ;(11111010) (372) (250) (FA) ; -;2016;(11001101) (315) (205) (CD) ;(10000000) (200) (128) (80) ;(00000111) (7) (7) (07) ;(11010001) (321) (209) (D1) ;(11000001) (301) (193) (C1) ;(11001001) (311) (201) (C9) ;(11110101) (365) (245) (F5) ;(11000101) (305) (197) (C5) ; -;2024;(11100101) (345) (229) (E5) ;(11010101) (325) (213) (D5) ;(10101111) (257) (175) (AF) ;(00110010) (62) (50) (32) ;(10010011) (223) (147) (93) ;(00010001) (21) (17) (11) ;(11001101) (315) (205) (CD) ;(10110011) (263) (179) (B3) ; -;2032;(00001001) (11) (9) (09) ;(01000111) (107) (71) (47) ;(00111010) (72) (58) (3A) ;(10011101) (235) (157) (9D) ;(00010001) (21) (17) (11) ;(10110111) (267) (183) (B7) ;(11001100) (314) (204) (CC) ;(11100101) (345) (229) (E5) ; -;2040;(00000010) (2) (2) (02) ;(01111000) (170) (120) (78) ;(11100110) (346) (230) (E6) ;(11110000) (360) (240) (F0) ;(11111110) (376) (254) (FE) ;(11000000) (300) (192) (C0) ;(11000010) (302) (194) (C2) ;(10100110) (246) (166) (A6) ; -;2048;(00001000) (10) (8) (08) ;(01111000) (170) (120) (78) ;(11111110) (376) (254) (FE) ;(11001101) (315) (205) (CD) ;(11001010) (312) (202) (CA) ;(01011011) (133) (91) (5B) ;(00001000) (10) (8) (08) ;(11111110) (376) (254) (FE) ; -;2056;(11001001) (311) (201) (C9) ;(11001010) (312) (202) (CA) ;(00101011) (53) (43) (2B) ;(00001000) (10) (8) (08) ;(11111110) (376) (254) (FE) ;(11001010) (312) (202) (CA) ;(11001010) (312) (202) (CA) ;(00101011) (53) (43) (2B) ; -;2064;(00001000) (10) (8) (08) ;(11111110) (376) (254) (FE) ;(11001011) (313) (203) (CB) ;(11001010) (312) (202) (CA) ;(10110011) (263) (179) (B3) ;(00001000) (10) (8) (08) ;(11111110) (376) (254) (FE) ;(11001000) (310) (200) (C8) ; -;2072;(11001010) (312) (202) (CA) ;(00111000) (70) (56) (38) ;(00001000) (10) (8) (08) ;(11111110) (376) (254) (FE) ;(11000111) (307) (199) (C7) ;(11001010) (312) (202) (CA) ;(00101011) (53) (43) (2B) ;(00001000) (10) (8) (08) ; -;2080;(00111010) (72) (58) (3A) ;(10010011) (223) (147) (93) ;(00010001) (21) (17) (11) ;(10110111) (267) (183) (B7) ;(11111010) (372) (250) (FA) ;(01000010) (102) (66) (42) ;(00001000) (10) (8) (08) ;(11000010) (302) (194) (C2) ; -;2088;(00110001) (61) (49) (31) ;(00001000) (10) (8) (08) ;(01111000) (170) (120) (78) ;(11001101) (315) (205) (CD) ;(11011100) (334) (220) (DC) ;(00001101) (15) (13) (0D) ;(11000011) (303) (195) (C3) ;(11101110) (356) (238) (EE) ; -;2096;(00000111) (7) (7) (07) ;(00111101) (75) (61) (3D) ;(00110010) (62) (50) (32) ;(10010011) (223) (147) (93) ;(00010001) (21) (17) (11) ;(11000011) (303) (195) (C3) ;(01000010) (102) (66) (42) ;(00001000) (10) (8) (08) ; -;2104;(00111010) (72) (58) (3A) ;(10010011) (223) (147) (93) ;(00010001) (21) (17) (11) ;(00111100) (74) (60) (3C) ;(00110010) (62) (50) (32) ;(10010011) (223) (147) (93) ;(00010001) (21) (17) (11) ;(11000011) (303) (195) (C3) ; -;2112;(00101010) (52) (42) (2A) ;(00001000) (10) (8) (08) ;(01111000) (170) (120) (78) ;(11001101) (315) (205) (CD) ;(10100110) (246) (166) (A6) ;(00001101) (15) (13) (0D) ;(11001101) (315) (205) (CD) ;(10110101) (265) (181) (B5) ; -;2120;(00001101) (15) (13) (0D) ;(11111110) (376) (254) (FE) ;(01100010) (142) (98) (62) ;(11000010) (302) (194) (C2) ;(11101110) (356) (238) (EE) ;(00000111) (7) (7) (07) ;(00111010) (72) (58) (3A) ;(10010011) (223) (147) (93) ; -;2128;(00010001) (21) (17) (11) ;(11100110) (346) (230) (E6) ;(10000000) (200) (128) (80) ;(11101110) (356) (238) (EE) ;(10000000) (200) (128) (80) ;(00110010) (62) (50) (32) ;(10010011) (223) (147) (93) ;(00010001) (21) (17) (11) ; -;2136;(11000011) (303) (195) (C3) ;(11101110) (356) (238) (EE) ;(00000111) (7) (7) (07) ;(00101010) (52) (42) (2A) ;(01110001) (161) (113) (71) ;(00010001) (21) (17) (11) ;(01011100) (134) (92) (5C) ;(00010110) (26) (22) (16) ; -;2144;(00000000) (0) (0) (00) ;(00100001) (41) (33) (21) ;(01110011) (163) (115) (73) ;(00010001) (21) (17) (11) ;(00011001) (31) (25) (19) ;(11101011) (353) (235) (EB) ;(00011010) (32) (26) (1A) ;(10110111) (267) (183) (B7) ; -;2152;(00000001) (1) (1) (01) ;(00101000) (50) (40) (28) ;(00000000) (0) (0) (00) ;(00101010) (52) (42) (2A) ;(01110001) (161) (113) (71) ;(00010001) (21) (17) (11) ;(11000010) (302) (194) (C2) ;(01111010) (172) (122) (7A) ; -;2160;(00001000) (10) (8) (08) ;(00010011) (23) (19) (13) ;(00011010) (32) (26) (1A) ;(10110111) (267) (183) (B7) ;(11001010) (312) (202) (CA) ;(01111101) (175) (125) (7D) ;(00001000) (10) (8) (08) ;(11000011) (303) (195) (C3) ; -;2168;(01111011) (173) (123) (7B) ;(00001000) (10) (8) (08) ;(00100101) (45) (37) (25) ;(00001110) (16) (14) (0E) ;(01010000) (120) (80) (50) ;(00101110) (56) (46) (2E) ;(00000000) (0) (0) (00) ;(11001101) (315) (205) (CD) ; -;2176;(10110100) (264) (180) (B4) ;(00001111) (17) (15) (0F) ;(11010001) (321) (209) (D1) ;(11010101) (325) (213) (D5) ;(11000101) (305) (197) (C5) ;(11001101) (315) (205) (CD) ;(10100110) (246) (166) (A6) ;(00001101) (15) (13) (0D) ; -;2184;(11101101) (355) (237) (ED) ;(10110000) (260) (176) (B0) ;(11000001) (301) (193) (C1) ;(11100001) (341) (225) (E1) ;(11100101) (345) (229) (E5) ;(01000001) (101) (65) (41) ;(01111110) (176) (126) (7E) ;(11001101) (315) (205) (CD) ; -;2192;(11001110) (316) (206) (CE) ;(00001011) (13) (11) (0B) ;(01110111) (167) (119) (77) ;(00100011) (43) (35) (23) ;(00010000) (20) (16) (10) ;(11111000) (370) (248) (F8) ;(00110110) (66) (54) (36) ;(00001101) (15) (13) (0D) ; -;2200;(00101011) (53) (43) (2B) ;(01111110) (176) (126) (7E) ;(11111110) (376) (254) (FE) ;(00100000) (40) (32) (20) ;(00101000) (50) (40) (28) ;(11111000) (370) (248) (F8) ;(11001101) (315) (205) (CD) ;(00000110) (6) (6) (06) ; -;2208;(00000000) (0) (0) (00) ;(11010001) (321) (209) (D1) ;(11100001) (341) (225) (E1) ;(11000001) (301) (193) (C1) ;(11110001) (361) (241) (F1) ;(11001001) (311) (201) (C9) ;(00111010) (72) (58) (3A) ;(10010011) (223) (147) (93) ; -;2216;(00010001) (21) (17) (11) ;(10110111) (267) (183) (B7) ;(11111010) (372) (250) (FA) ;(01000010) (102) (66) (42) ;(00001000) (10) (8) (08) ;(11001010) (312) (202) (CA) ;(01000010) (102) (66) (42) ;(00001000) (10) (8) (08) ; -;2224;(11000011) (303) (195) (C3) ;(00110001) (61) (49) (31) ;(00001000) (10) (8) (08) ;(11100001) (341) (225) (E1) ;(11100101) (345) (229) (E5) ;(00110110) (66) (54) (36) ;(00011011) (33) (27) (1B) ;(00100011) (43) (35) (23) ; -;2232;(00110110) (66) (54) (36) ;(00001101) (15) (13) (0D) ;(11000011) (303) (195) (C3) ;(10011110) (236) (158) (9E) ;(00001000) (10) (8) (08) ;(11001101) (315) (205) (CD) ;(11001010) (312) (202) (CA) ;(00001000) (10) (8) (08) ; -;2240;(11111110) (376) (254) (FE) ;(11110000) (360) (240) (F0) ;(00100000) (40) (32) (20) ;(00000010) (2) (2) (02) ;(10101111) (257) (175) (AF) ;(11001001) (311) (201) (C9) ;(11001101) (315) (205) (CD) ;(11001110) (316) (206) (CE) ; -;2248;(00001011) (13) (11) (0B) ;(11001001) (311) (201) (C9) ;(11000101) (305) (197) (C5) ;(11010101) (325) (213) (D5) ;(11100101) (345) (229) (E5) ;(11001101) (315) (205) (CD) ;(01010000) (120) (80) (50) ;(00001010) (12) (10) (0A) ; -;2256;(01111000) (170) (120) (78) ;(00000111) (7) (7) (07) ;(00111000) (70) (56) (38) ;(00000110) (6) (6) (06) ;(00111110) (76) (62) (3E) ;(11110000) (360) (240) (F0) ;(11100001) (341) (225) (E1) ;(11010001) (321) (209) (D1) ; -;2264;(11000001) (301) (193) (C1) ;(11001001) (311) (201) (C9) ;(00000111) (7) (7) (07) ;(11010010) (322) (210) (D2) ;(11101100) (354) (236) (EC) ;(00001000) (10) (8) (08) ;(00000110) (6) (6) (06) ;(00000000) (0) (0) (00) ; -;2272;(00100001) (41) (33) (21) ;(00001000) (10) (8) (08) ;(00000000) (0) (0) (00) ;(00001001) (11) (9) (09) ;(00010001) (21) (17) (11) ;(11001001) (311) (201) (C9) ;(00001010) (12) (10) (0A) ;(00011001) (31) (25) (19) ; -;2280;(01111110) (176) (126) (7E) ;(11000011) (303) (195) (C3) ;(11010110) (326) (214) (D6) ;(00001000) (10) (8) (08) ;(00111010) (72) (58) (3A) ;(01110000) (160) (112) (70) ;(00010001) (21) (17) (11) ;(10110111) (267) (183) (B7) ; -;2288;(11000010) (302) (194) (C2) ;(11111101) (375) (253) (FD) ;(00001000) (10) (8) (08) ;(00000110) (6) (6) (06) ;(00000000) (0) (0) (00) ;(00100001) (41) (33) (21) ;(11001001) (311) (201) (C9) ;(00001010) (12) (10) (0A) ; -;2296;(00001001) (11) (9) (09) ;(01111110) (176) (126) (7E) ;(11000011) (303) (195) (C3) ;(11010110) (326) (214) (D6) ;(00001000) (10) (8) (08) ;(01111001) (171) (121) (79) ;(11100110) (346) (230) (E6) ;(11110000) (360) (240) (F0) ; -;2304;(00001111) (17) (15) (0F) ;(01000111) (107) (71) (47) ;(01111001) (171) (121) (79) ;(11100110) (346) (230) (E6) ;(00001111) (17) (15) (0F) ;(10000000) (200) (128) (80) ;(11000110) (306) (198) (C6) ;(10100000) (240) (160) (A0) ; -;2312;(01101111) (157) (111) (6F) ;(00100110) (46) (38) (26) ;(00000000) (0) (0) (00) ;(11000011) (303) (195) (C3) ;(11100100) (344) (228) (E4) ;(00001000) (10) (8) (08) ;(10101111) (257) (175) (AF) ;(00110010) (62) (50) (32) ; -;2320;(10010100) (224) (148) (94) ;(00010001) (21) (17) (11) ;(00111110) (76) (62) (3E) ;(11001101) (315) (205) (CD) ;(11001101) (315) (205) (CD) ;(11011100) (334) (220) (DC) ;(00001101) (15) (13) (0D) ;(11001001) (311) (201) (C9) ; -;2328;(00111010) (72) (58) (3A) ;(10010100) (224) (148) (94) ;(00010001) (21) (17) (11) ;(10110111) (267) (183) (B7) ;(11001000) (310) (200) (C8) ;(11000011) (303) (195) (C3) ;(00000110) (6) (6) (06) ;(00000000) (0) (0) (00) ; -;2336;(00111110) (76) (62) (3E) ;(00100000) (40) (32) (20) ;(11001101) (315) (205) (CD) ;(00110101) (65) (53) (35) ;(00001001) (11) (9) (09) ;(11001001) (311) (201) (C9) ;(11001101) (315) (205) (CD) ;(00001100) (14) (12) (0C) ; -;2344;(00000000) (0) (0) (00) ;(00111010) (72) (58) (3A) ;(10010100) (224) (148) (94) ;(00010001) (21) (17) (11) ;(10110111) (267) (183) (B7) ;(11001000) (310) (200) (C8) ;(11010110) (326) (214) (D6) ;(00001010) (12) (10) (0A) ; -;2352;(00111000) (70) (56) (38) ;(11110100) (364) (244) (F4) ;(00100000) (40) (32) (20) ;(11111010) (372) (250) (FA) ;(11001001) (311) (201) (C9) ;(11111110) (376) (254) (FE) ;(00001101) (15) (13) (0D) ;(11001010) (312) (202) (CA) ; -;2360;(00001110) (16) (14) (0E) ;(00001001) (11) (9) (09) ;(11000101) (305) (197) (C5) ;(01001111) (117) (79) (4F) ;(01000111) (107) (71) (47) ;(11001101) (315) (205) (CD) ;(10100110) (246) (166) (A6) ;(00001101) (15) (13) (0D) ; -;2368;(11001101) (315) (205) (CD) ;(01000110) (106) (70) (46) ;(00001001) (11) (9) (09) ;(01111000) (170) (120) (78) ;(11000001) (301) (193) (C1) ;(11001001) (311) (201) (C9) ;(01111001) (171) (121) (79) ;(11001101) (315) (205) (CD) ; -;2376;(10111001) (271) (185) (B9) ;(00001011) (13) (11) (0B) ;(01001111) (117) (79) (4F) ;(11100110) (346) (230) (E6) ;(11110000) (360) (240) (F0) ;(11111110) (376) (254) (FE) ;(11110000) (360) (240) (F0) ;(11001000) (310) (200) (C8) ; -;2384;(11111110) (376) (254) (FE) ;(11000000) (300) (192) (C0) ;(01111001) (171) (121) (79) ;(11000010) (302) (194) (C2) ;(01110000) (160) (112) (70) ;(00001001) (11) (9) (09) ;(11111110) (376) (254) (FE) ;(11000111) (307) (199) (C7) ; -;2392;(11010010) (322) (210) (D2) ;(01110000) (160) (112) (70) ;(00001001) (11) (9) (09) ;(11001101) (315) (205) (CD) ;(11011100) (334) (220) (DC) ;(00001101) (15) (13) (0D) ;(11111110) (376) (254) (FE) ;(11000011) (303) (195) (C3) ; -;2400;(11001010) (312) (202) (CA) ;(01110011) (163) (115) (73) ;(00001001) (11) (9) (09) ;(11111110) (376) (254) (FE) ;(11000101) (305) (197) (C5) ;(11001010) (312) (202) (CA) ;(01101011) (153) (107) (6B) ;(00001001) (11) (9) (09) ; -;2408;(11111110) (376) (254) (FE) ;(11000110) (306) (198) (C6) ;(11000000) (300) (192) (C0) ;(10101111) (257) (175) (AF) ;(00110010) (62) (50) (32) ;(10010100) (224) (148) (94) ;(00010001) (21) (17) (11) ;(11001001) (311) (201) (C9) ; -;2416;(11001101) (315) (205) (CD) ;(10110101) (265) (181) (B5) ;(00001101) (15) (13) (0D) ;(00111010) (72) (58) (3A) ;(10010100) (224) (148) (94) ;(00010001) (21) (17) (11) ;(00111100) (74) (60) (3C) ;(11111110) (376) (254) (FE) ; -;2424;(01010000) (120) (80) (50) ;(00111000) (70) (56) (38) ;(00000010) (2) (2) (02) ;(11010110) (326) (214) (D6) ;(01010000) (120) (80) (50) ;(00110010) (62) (50) (32) ;(10010100) (224) (148) (94) ;(00010001) (21) (17) (11) ; -;2432;(11001001) (311) (201) (C9) ;(11110101) (365) (245) (F5) ;(11000101) (305) (197) (C5) ;(11010101) (325) (213) (D5) ;(00000110) (6) (6) (06) ;(00000101) (5) (5) (05) ;(11001101) (315) (205) (CD) ;(10100110) (246) (166) (A6) ; -;2440;(00001101) (15) (13) (0D) ;(00011010) (32) (26) (1A) ;(11111110) (376) (254) (FE) ;(00001101) (15) (13) (0D) ;(11001010) (312) (202) (CA) ;(11011111) (337) (223) (DF) ;(00001111) (17) (15) (0F) ;(01001111) (117) (79) (4F) ; -;2448;(11001101) (315) (205) (CD) ;(01000110) (106) (70) (46) ;(00001001) (11) (9) (09) ;(00010011) (23) (19) (13) ;(00010000) (20) (16) (10) ;(11110011) (363) (243) (F3) ;(11000011) (303) (195) (C3) ;(10000100) (204) (132) (84) ; -;2456;(00001001) (11) (9) (09) ;(11110101) (365) (245) (F5) ;(11000101) (305) (197) (C5) ;(11010101) (325) (213) (D5) ;(00000110) (6) (6) (06) ;(00000101) (5) (5) (05) ;(11001101) (315) (205) (CD) ;(10100110) (246) (166) (A6) ; -;2464;(00001101) (15) (13) (0D) ;(00011010) (32) (26) (1A) ;(11111110) (376) (254) (FE) ;(00001101) (15) (13) (0D) ;(11001010) (312) (202) (CA) ;(11011111) (337) (223) (DF) ;(00001111) (17) (15) (0F) ;(11001101) (315) (205) (CD) ; -;2472;(10111001) (271) (185) (B9) ;(00001011) (13) (11) (0B) ;(11001101) (315) (205) (CD) ;(01110000) (160) (112) (70) ;(00001001) (11) (9) (09) ;(00010011) (23) (19) (13) ;(00010000) (20) (16) (10) ;(11110001) (361) (241) (F1) ; -;2480;(11000011) (303) (195) (C3) ;(10011100) (234) (156) (9C) ;(00001001) (11) (9) (09) ;(11000101) (305) (197) (C5) ;(11010101) (325) (213) (D5) ;(11100101) (345) (229) (E5) ;(11001101) (315) (205) (CD) ;(10110001) (261) (177) (B1) ; -;2488;(00001111) (17) (15) (0F) ;(11001101) (315) (205) (CD) ;(10100110) (246) (166) (A6) ;(00001101) (15) (13) (0D) ;(01111110) (176) (126) (7E) ;(00110010) (62) (50) (32) ;(10001110) (216) (142) (8E) ;(00010001) (21) (17) (11) ; -;2496;(00100010) (42) (34) (22) ;(10001111) (217) (143) (8F) ;(00010001) (21) (17) (11) ;(00100001) (41) (33) (21) ;(10010010) (222) (146) (92) ;(00010001) (21) (17) (11) ;(00110110) (66) (54) (36) ;(11101111) (357) (239) (EF) ; -;2504;(10101111) (257) (175) (AF) ;(00110010) (62) (50) (32) ;(00000000) (0) (0) (00) ;(11100000) (340) (224) (E0) ;(00110010) (62) (50) (32) ;(10010001) (221) (145) (91) ;(00010001) (21) (17) (11) ;(00101111) (57) (47) (2F) ; -;2512;(00110010) (62) (50) (32) ;(00000000) (0) (0) (00) ;(11100000) (340) (224) (E0) ;(00010110) (26) (22) (16) ;(00010100) (24) (20) (14) ;(11001101) (315) (205) (CD) ;(11111111) (377) (255) (FF) ;(00001001) (11) (9) (09) ; -;2520;(11001101) (315) (205) (CD) ;(01010000) (120) (80) (50) ;(00001010) (12) (10) (0A) ;(01111000) (170) (120) (78) ;(00000111) (7) (7) (07) ;(11011010) (332) (218) (DA) ;(11010011) (323) (211) (D3) ;(00001001) (11) (9) (09) ; -;2528;(00010101) (25) (21) (15) ;(11000010) (302) (194) (C2) ;(11010101) (325) (213) (D5) ;(00001001) (11) (9) (09) ;(11001101) (315) (205) (CD) ;(11111111) (377) (255) (FF) ;(00001001) (11) (9) (09) ;(11001101) (315) (205) (CD) ; -;2536;(11001010) (312) (202) (CA) ;(00001000) (10) (8) (08) ;(11111110) (376) (254) (FE) ;(11110000) (360) (240) (F0) ;(11001010) (312) (202) (CA) ;(11100100) (344) (228) (E4) ;(00001001) (11) (9) (09) ;(11110101) (365) (245) (F5) ; -;2544;(11001101) (315) (205) (CD) ;(10100110) (246) (166) (A6) ;(00001101) (15) (13) (0D) ;(00111010) (72) (58) (3A) ;(10001110) (216) (142) (8E) ;(00010001) (21) (17) (11) ;(00101010) (52) (42) (2A) ;(10001111) (217) (143) (8F) ; -;2552;(00010001) (21) (17) (11) ;(01110111) (167) (119) (77) ;(11110001) (361) (241) (F1) ;(11100001) (341) (225) (E1) ;(11010001) (321) (209) (D1) ;(11000001) (301) (193) (C1) ;(11001001) (311) (201) (C9) ;(11110101) (365) (245) (F5) ; -;2560;(11100101) (345) (229) (E5) ;(00111010) (72) (58) (3A) ;(00000010) (2) (2) (02) ;(11100000) (340) (224) (E0) ;(00000111) (7) (7) (07) ;(00000111) (7) (7) (07) ;(11011010) (332) (218) (DA) ;(00100101) (45) (37) (25) ; -;2568;(00001010) (12) (10) (0A) ;(00111010) (72) (58) (3A) ;(10010001) (221) (145) (91) ;(00010001) (21) (17) (11) ;(00001111) (17) (15) (0F) ;(11011010) (332) (218) (DA) ;(00100010) (42) (34) (22) ;(00001010) (12) (10) (0A) ; -;2576;(00111010) (72) (58) (3A) ;(10010010) (222) (146) (92) ;(00010001) (21) (17) (11) ;(00101010) (52) (42) (2A) ;(10001111) (217) (143) (8F) ;(00010001) (21) (17) (11) ;(11001101) (315) (205) (CD) ;(10100110) (246) (166) (A6) ; -;2584;(00001101) (15) (13) (0D) ;(01110111) (167) (119) (77) ;(00111010) (72) (58) (3A) ;(10010001) (221) (145) (91) ;(00010001) (21) (17) (11) ;(11101110) (356) (238) (EE) ;(00000001) (1) (1) (01) ;(00110010) (62) (50) (32) ; -;2592;(10010001) (221) (145) (91) ;(00010001) (21) (17) (11) ;(11100001) (341) (225) (E1) ;(11110001) (361) (241) (F1) ;(11001001) (311) (201) (C9) ;(00111010) (72) (58) (3A) ;(10010001) (221) (145) (91) ;(00010001) (21) (17) (11) ; -;2600;(00001111) (17) (15) (0F) ;(11010010) (322) (210) (D2) ;(00100010) (42) (34) (22) ;(00001010) (12) (10) (0A) ;(00111010) (72) (58) (3A) ;(10001110) (216) (142) (8E) ;(00010001) (21) (17) (11) ;(11000011) (303) (195) (C3) ; -;2608;(00010011) (23) (19) (13) ;(00001010) (12) (10) (0A) ;(00111110) (76) (62) (3E) ;(11111000) (370) (248) (F8) ;(00110010) (62) (50) (32) ;(00000000) (0) (0) (00) ;(11100000) (340) (224) (E0) ;(00000000) (0) (0) (00) ; -;2616;(00111010) (72) (58) (3A) ;(00000001) (1) (1) (01) ;(11100000) (340) (224) (E0) ;(00101111) (57) (47) (2F) ;(11100110) (346) (230) (E6) ;(00100001) (41) (33) (21) ;(11000010) (302) (194) (C2) ;(01000100) (104) (68) (44) ; -;2624;(00001010) (12) (10) (0A) ;(11000110) (306) (198) (C6) ;(00000001) (1) (1) (01) ;(11001001) (311) (201) (C9) ;(00111110) (76) (62) (3E) ;(11111001) (371) (249) (F9) ;(00110010) (62) (50) (32) ;(00000000) (0) (0) (00) ; -;2632;(11100000) (340) (224) (E0) ;(00000000) (0) (0) (00) ;(00111010) (72) (58) (3A) ;(00000001) (1) (1) (01) ;(11100000) (340) (224) (E0) ;(11100110) (346) (230) (E6) ;(00001000) (10) (8) (08) ;(11001001) (311) (201) (C9) ; -;2640;(11010101) (325) (213) (D5) ;(11100101) (345) (229) (E5) ;(00000110) (6) (6) (06) ;(11111010) (372) (250) (FA) ;(00010110) (26) (22) (16) ;(00000000) (0) (0) (00) ;(00000101) (5) (5) (05) ;(01111000) (170) (120) (78) ; -;2648;(00110010) (62) (50) (32) ;(00000000) (0) (0) (00) ;(11100000) (340) (224) (E0) ;(11111110) (376) (254) (FE) ;(11101111) (357) (239) (EF) ;(11000010) (302) (194) (C2) ;(01100100) (144) (100) (64) ;(00001010) (12) (10) (0A) ; -;2656;(01000010) (102) (66) (42) ;(11010001) (321) (209) (D1) ;(11100001) (341) (225) (E1) ;(11001001) (311) (201) (C9) ;(11111110) (376) (254) (FE) ;(11111000) (370) (248) (F8) ;(11001010) (312) (202) (CA) ;(10110010) (262) (178) (B2) ; -;2664;(00001010) (12) (10) (0A) ;(00111010) (72) (58) (3A) ;(00000001) (1) (1) (01) ;(11100000) (340) (224) (E0) ;(00101111) (57) (47) (2F) ;(10110111) (267) (183) (B7) ;(11001010) (312) (202) (CA) ;(01010110) (126) (86) (56) ; -;2672;(00001010) (12) (10) (0A) ;(01011111) (137) (95) (5F) ;(01111010) (172) (122) (7A) ;(11110110) (366) (246) (F6) ;(10000000) (200) (128) (80) ;(01010111) (127) (87) (57) ;(00100001) (41) (33) (21) ;(10101101) (255) (173) (AD) ; -;2680;(00001010) (12) (10) (0A) ;(01111000) (170) (120) (78) ;(11100110) (346) (230) (E6) ;(00001111) (17) (15) (0F) ;(00000111) (7) (7) (07) ;(00000111) (7) (7) (07) ;(00000111) (7) (7) (07) ;(00000111) (7) (7) (07) ; -;2688;(01001111) (117) (79) (4F) ;(01111011) (173) (123) (7B) ;(00000111) (7) (7) (07) ;(00110000) (60) (48) (30) ;(00000011) (3) (3) (03) ;(00111110) (76) (62) (3E) ;(00000111) (7) (7) (07) ;(11101001) (351) (233) (E9) ; -;2696;(00000111) (7) (7) (07) ;(00110000) (60) (48) (30) ;(00000011) (3) (3) (03) ;(00111110) (76) (62) (3E) ;(00000110) (6) (6) (06) ;(11101001) (351) (233) (E9) ;(00000111) (7) (7) (07) ;(00110000) (60) (48) (30) ; -;2704;(00000011) (3) (3) (03) ;(00111110) (76) (62) (3E) ;(00000101) (5) (5) (05) ;(11101001) (351) (233) (E9) ;(00000111) (7) (7) (07) ;(00110000) (60) (48) (30) ;(00000011) (3) (3) (03) ;(00111110) (76) (62) (3E) ; -;2712;(00000100) (4) (4) (04) ;(11101001) (351) (233) (E9) ;(00000111) (7) (7) (07) ;(00110000) (60) (48) (30) ;(00000011) (3) (3) (03) ;(00111110) (76) (62) (3E) ;(00000011) (3) (3) (03) ;(11101001) (351) (233) (E9) ; -;2720;(00000111) (7) (7) (07) ;(00110000) (60) (48) (30) ;(00000011) (3) (3) (03) ;(00111110) (76) (62) (3E) ;(00000010) (2) (2) (02) ;(11101001) (351) (233) (E9) ;(00000111) (7) (7) (07) ;(00110000) (60) (48) (30) ; -;2728;(00000011) (3) (3) (03) ;(00111110) (76) (62) (3E) ;(00000001) (1) (1) (01) ;(11101001) (351) (233) (E9) ;(10101111) (257) (175) (AF) ;(10000001) (201) (129) (81) ;(01001111) (117) (79) (4F) ;(11000011) (303) (195) (C3) ; -;2736;(01010110) (126) (86) (56) ;(00001010) (12) (10) (0A) ;(00111010) (72) (58) (3A) ;(00000001) (1) (1) (01) ;(11100000) (340) (224) (E0) ;(00101111) (57) (47) (2F) ;(01011111) (137) (95) (5F) ;(11100110) (346) (230) (E6) ; -;2744;(00100001) (41) (33) (21) ;(11001010) (312) (202) (CA) ;(11000000) (300) (192) (C0) ;(00001010) (12) (10) (0A) ;(01111010) (172) (122) (7A) ;(11110110) (366) (246) (F6) ;(01000000) (100) (64) (40) ;(01010111) (127) (87) (57) ; -;2752;(01111011) (173) (123) (7B) ;(11100110) (346) (230) (E6) ;(11011110) (336) (222) (DE) ;(11001010) (312) (202) (CA) ;(01010110) (126) (86) (56) ;(00001010) (12) (10) (0A) ;(11000011) (303) (195) (C3) ;(01110001) (161) (113) (71) ; -;2760;(00001010) (12) (10) (0A) ;(00100001) (41) (33) (21) ;(00100011) (43) (35) (23) ;(00100101) (45) (37) (25) ;(00100111) (47) (39) (27) ;(00101001) (51) (41) (29) ;(00101010) (52) (42) (2A) ;(00011101) (35) (29) (1D) ; -;2768;(00011111) (37) (31) (1F) ;(01100001) (141) (97) (61) ;(01100011) (143) (99) (63) ;(01100101) (145) (101) (65) ;(01100111) (147) (103) (67) ;(01101001) (151) (105) (69) ;(01101010) (152) (106) (6A) ;(01011101) (135) (93) (5D) ; -;2776;(01011111) (137) (95) (5F) ;(00100010) (42) (34) (22) ;(00100100) (44) (36) (24) ;(00100110) (46) (38) (26) ;(00101000) (50) (40) (28) ;(00100000) (40) (32) (20) ;(00011100) (34) (28) (1C) ;(00011110) (36) (30) (1E) ; -;2784;(11010001) (321) (209) (D1) ;(01100010) (142) (98) (62) ;(01100100) (144) (100) (64) ;(01100110) (146) (102) (66) ;(01101000) (150) (104) (68) ;(01100000) (140) (96) (60) ;(01011100) (134) (92) (5C) ;(01011110) (136) (94) (5E) ; -;2792;(11010000) (320) (208) (D0) ;(00010001) (21) (17) (11) ;(00000101) (5) (5) (05) ;(00010100) (24) (20) (14) ;(00010101) (25) (21) (15) ;(00001111) (17) (15) (0F) ;(00101011) (53) (43) (2B) ;(00110001) (61) (49) (31) ; -;2800;(00110011) (63) (51) (33) ;(01010001) (121) (81) (51) ;(01000101) (105) (69) (45) ;(01010100) (124) (84) (54) ;(01010101) (125) (85) (55) ;(01001111) (117) (79) (4F) ;(01101011) (153) (107) (6B) ;(01110001) (161) (113) (71) ; -;2808;(01110011) (163) (115) (73) ;(00010111) (27) (23) (17) ;(00010010) (22) (18) (12) ;(00011001) (31) (25) (19) ;(00001001) (11) (9) (09) ;(00010000) (20) (16) (10) ;(00110000) (60) (48) (30) ;(00110010) (62) (50) (32) ; -;2816;(11010011) (323) (211) (D3) ;(01010111) (127) (87) (57) ;(01010010) (122) (82) (52) ;(01011001) (131) (89) (59) ;(01001001) (111) (73) (49) ;(01010000) (120) (80) (50) ;(01110000) (160) (112) (70) ;(01110010) (162) (114) (72) ; -;2824;(11010010) (322) (210) (D2) ;(00000001) (1) (1) (01) ;(00000100) (4) (4) (04) ;(00000111) (7) (7) (07) ;(00001010) (12) (10) (0A) ;(00001100) (14) (12) (0C) ;(00011011) (33) (27) (1B) ;(00110101) (65) (53) (35) ; -;2832;(00110111) (67) (55) (37) ;(01000001) (101) (65) (41) ;(01000100) (104) (68) (44) ;(01000111) (107) (71) (47) ;(01001010) (112) (74) (4A) ;(01001100) (114) (76) (4C) ;(01011011) (133) (91) (5B) ;(01110101) (165) (117) (75) ; -;2840;(01110111) (167) (119) (77) ;(00010011) (23) (19) (13) ;(00000110) (6) (6) (06) ;(00001000) (10) (8) (08) ;(00001011) (13) (11) (0B) ;(00101100) (54) (44) (2C) ;(00110100) (64) (52) (34) ;(00110110) (66) (54) (36) ; -;2848;(11010101) (325) (213) (D5) ;(01010011) (123) (83) (53) ;(01000110) (106) (70) (46) ;(01001000) (110) (72) (48) ;(01001011) (113) (75) (4B) ;(01101100) (154) (108) (6C) ;(01110100) (164) (116) (74) ;(01110110) (166) (118) (76) ; -;2856;(11010100) (324) (212) (D4) ;(00011010) (32) (26) (1A) ;(00000011) (3) (3) (03) ;(00000010) (2) (2) (02) ;(00001101) (15) (13) (0D) ;(00101110) (56) (46) (2E) ;(11001001) (311) (201) (C9) ;(00111001) (71) (57) (39) ; -;2864;(00111011) (73) (59) (3B) ;(01011010) (132) (90) (5A) ;(01000011) (103) (67) (43) ;(01000010) (102) (66) (42) ;(01001101) (115) (77) (4D) ;(01101110) (156) (110) (6E) ;(11001010) (312) (202) (CA) ;(01111001) (171) (121) (79) ; -;2872;(01111011) (173) (123) (7B) ;(00011000) (30) (24) (18) ;(00010110) (26) (22) (16) ;(00001110) (16) (14) (0E) ;(00101111) (57) (47) (2F) ;(00101101) (55) (45) (2D) ;(00111000) (70) (56) (38) ;(00111010) (72) (58) (3A) ; -;2880;(11010111) (327) (215) (D7) ;(01011000) (130) (88) (58) ;(01010110) (126) (86) (56) ;(01001110) (116) (78) (4E) ;(01101111) (157) (111) (6F) ;(01101101) (155) (109) (6D) ;(01111000) (170) (120) (78) ;(01111010) (172) (122) (7A) ; -;2888;(11010110) (326) (214) (D6) ;(11110000) (360) (240) (F0) ;(11000111) (307) (199) (C7) ;(11110000) (360) (240) (F0) ;(11000011) (303) (195) (C3) ;(11001101) (315) (205) (CD) ;(11110000) (360) (240) (F0) ;(00111101) (75) (61) (3D) ; -;2896;(00111111) (77) (63) (3F) ;(11110000) (360) (240) (F0) ;(11001000) (310) (200) (C8) ;(11110000) (360) (240) (F0) ;(11000100) (304) (196) (C4) ;(11001101) (315) (205) (CD) ;(11110000) (360) (240) (F0) ;(01111101) (175) (125) (7D) ; -;2904;(01111111) (177) (127) (7F) ;(11000101) (305) (197) (C5) ;(00000000) (0) (0) (00) ;(11000001) (301) (193) (C1) ;(11110000) (360) (240) (F0) ;(11110000) (360) (240) (F0) ;(00111100) (74) (60) (3C) ;(00111110) (76) (62) (3E) ; -;2912;(11011100) (334) (220) (DC) ;(11000110) (306) (198) (C6) ;(00000000) (0) (0) (00) ;(11000010) (302) (194) (C2) ;(11001011) (313) (203) (CB) ;(11110000) (360) (240) (F0) ;(01111100) (174) (124) (7C) ;(01111110) (176) (126) (7E) ; -;2920;(11011000) (330) (216) (D8) ;(10100001) (241) (161) (A1) ;(10100011) (243) (163) (A3) ;(10100101) (245) (165) (A5) ;(10100111) (247) (167) (A7) ;(10101001) (251) (169) (A9) ;(10101010) (252) (170) (AA) ;(10011101) (235) (157) (9D) ; -;2928;(10011111) (237) (159) (9F) ;(10100010) (242) (162) (A2) ;(10100100) (244) (164) (A4) ;(10100110) (246) (166) (A6) ;(10101000) (250) (168) (A8) ;(10100000) (240) (160) (A0) ;(10011100) (234) (156) (9C) ;(10011110) (236) (158) (9E) ; -;2936;(11011101) (335) (221) (DD) ;(10010001) (221) (145) (91) ;(10000101) (205) (133) (85) ;(10010100) (224) (148) (94) ;(10010101) (225) (149) (95) ;(10001111) (217) (143) (8F) ;(10101011) (253) (171) (AB) ;(10110001) (261) (177) (B1) ; -;2944;(10110011) (263) (179) (B3) ;(10010111) (227) (151) (97) ;(10010010) (222) (146) (92) ;(10011001) (231) (153) (99) ;(10001001) (211) (137) (89) ;(10010000) (220) (144) (90) ;(10110000) (260) (176) (B0) ;(10110010) (262) (178) (B2) ; -;2952;(11011110) (336) (222) (DE) ;(10000001) (201) (129) (81) ;(10000100) (204) (132) (84) ;(10000111) (207) (135) (87) ;(10001010) (212) (138) (8A) ;(10001100) (214) (140) (8C) ;(10011011) (233) (155) (9B) ;(10110101) (265) (181) (B5) ; -;2960;(10110111) (267) (183) (B7) ;(10010011) (223) (147) (93) ;(10000110) (206) (134) (86) ;(10001000) (210) (136) (88) ;(10001011) (213) (139) (8B) ;(10101100) (254) (172) (AC) ;(10110100) (264) (180) (B4) ;(10110110) (266) (182) (B6) ; -;2968;(11011001) (331) (217) (D9) ;(10011010) (232) (154) (9A) ;(10000011) (203) (131) (83) ;(10000010) (202) (130) (82) ;(10001101) (215) (141) (8D) ;(10101110) (256) (174) (AE) ;(11001001) (311) (201) (C9) ;(10111001) (271) (185) (B9) ; -;2976;(10111011) (273) (187) (BB) ;(10011000) (230) (152) (98) ;(10010110) (226) (150) (96) ;(10001110) (216) (142) (8E) ;(10101111) (257) (175) (AF) ;(10101101) (255) (173) (AD) ;(10111000) (270) (184) (B8) ;(10111010) (272) (186) (BA) ; -;2984;(11011010) (332) (218) (DA) ;(11110000) (360) (240) (F0) ;(11000111) (307) (199) (C7) ;(11110000) (360) (240) (F0) ;(11000011) (303) (195) (C3) ;(11001101) (315) (205) (CD) ;(11110000) (360) (240) (F0) ;(10111101) (275) (189) (BD) ; -;2992;(10111111) (277) (191) (BF) ;(11000101) (305) (197) (C5) ;(00000000) (0) (0) (00) ;(11000001) (301) (193) (C1) ;(11110000) (360) (240) (F0) ;(11110000) (360) (240) (F0) ;(10111100) (274) (188) (BC) ;(10111110) (276) (190) (BE) ; -;3000;(11011011) (333) (219) (DB) ;(11010110) (326) (214) (D6) ;(00010000) (20) (16) (10) ;(11010010) (322) (210) (D2) ;(11000001) (301) (193) (C1) ;(00001011) (13) (11) (0B) ;(00111110) (76) (62) (3E) ;(11110000) (360) (240) (F0) ; -;3008;(11001001) (311) (201) (C9) ;(11000101) (305) (197) (C5) ;(11100101) (345) (229) (E5) ;(00100001) (41) (33) (21) ;(11010110) (326) (214) (D6) ;(00001011) (13) (11) (0B) ;(01001111) (117) (79) (4F) ;(00000110) (6) (6) (06) ; -;3016;(00000000) (0) (0) (00) ;(00001001) (11) (9) (09) ;(01111110) (176) (126) (7E) ;(11100001) (341) (225) (E1) ;(11000001) (301) (193) (C1) ;(11001001) (311) (201) (C9) ;(11000101) (305) (197) (C5) ;(11100101) (345) (229) (E5) ; -;3024;(00100001) (41) (33) (21) ;(11000110) (306) (198) (C6) ;(00001100) (14) (12) (0C) ;(11000011) (303) (195) (C3) ;(11000110) (306) (198) (C6) ;(00001011) (13) (11) (0B) ;(11110000) (360) (240) (F0) ;(11000001) (301) (193) (C1) ; -;3032;(11000010) (302) (194) (C2) ;(11000011) (303) (195) (C3) ;(11000100) (304) (196) (C4) ;(11000101) (305) (197) (C5) ;(11000110) (306) (198) (C6) ;(11110000) (360) (240) (F0) ;(11110000) (360) (240) (F0) ;(11110000) (360) (240) (F0) ; -;3040;(11110000) (360) (240) (F0) ;(11110000) (360) (240) (F0) ;(11110000) (360) (240) (F0) ;(11110000) (360) (240) (F0) ;(11110000) (360) (240) (F0) ;(11110000) (360) (240) (F0) ;(00000000) (0) (0) (00) ;(01100001) (141) (97) (61) ; -;3048;(01100010) (142) (98) (62) ;(01100011) (143) (99) (63) ;(01100100) (144) (100) (64) ;(01100101) (145) (101) (65) ;(01100110) (146) (102) (66) ;(01100111) (147) (103) (67) ;(01101000) (150) (104) (68) ;(01101001) (151) (105) (69) ; -;3056;(01101011) (153) (107) (6B) ;(01101010) (152) (106) (6A) ;(00101111) (57) (47) (2F) ;(00101010) (52) (42) (2A) ;(00101110) (56) (46) (2E) ;(00101101) (55) (45) (2D) ;(00100000) (40) (32) (20) ;(00100001) (41) (33) (21) ; -;3064;(00100010) (42) (34) (22) ;(00100011) (43) (35) (23) ;(00100100) (44) (36) (24) ;(00100101) (45) (37) (25) ;(00100110) (46) (38) (26) ;(00100111) (47) (39) (27) ;(00101000) (50) (40) (28) ;(00101001) (51) (41) (29) ; -;3072;(01001111) (117) (79) (4F) ;(00101100) (54) (44) (2C) ;(01010001) (121) (81) (51) ;(00101011) (53) (43) (2B) ;(01010111) (127) (87) (57) ;(01001001) (111) (73) (49) ;(01010101) (125) (85) (55) ;(00000001) (1) (1) (01) ; -;3080;(00000010) (2) (2) (02) ;(00000011) (3) (3) (03) ;(00000100) (4) (4) (04) ;(00000101) (5) (5) (05) ;(00000110) (6) (6) (06) ;(00000111) (7) (7) (07) ;(00001000) (10) (8) (08) ;(00001001) (11) (9) (09) ; -;3088;(00001010) (12) (10) (0A) ;(00001011) (13) (11) (0B) ;(00001100) (14) (12) (0C) ;(00001101) (15) (13) (0D) ;(00001110) (16) (14) (0E) ;(00001111) (17) (15) (0F) ;(00010000) (20) (16) (10) ;(00010001) (21) (17) (11) ; -;3096;(00010010) (22) (18) (12) ;(00010011) (23) (19) (13) ;(00010100) (24) (20) (14) ;(00010101) (25) (21) (15) ;(00010110) (26) (22) (16) ;(00010111) (27) (23) (17) ;(00011000) (30) (24) (18) ;(00011001) (31) (25) (19) ; -;3104;(00011010) (32) (26) (1A) ;(01010010) (122) (82) (52) ;(01011001) (131) (89) (59) ;(01010100) (124) (84) (54) ;(01010000) (120) (80) (50) ;(01000101) (105) (69) (45) ;(11000111) (307) (199) (C7) ;(11001000) (310) (200) (C8) ; -;3112;(11001001) (311) (201) (C9) ;(11001010) (312) (202) (CA) ;(11001011) (313) (203) (CB) ;(11001100) (314) (204) (CC) ;(11001101) (315) (205) (CD) ;(11001110) (316) (206) (CE) ;(11001111) (317) (207) (CF) ;(11011111) (337) (223) (DF) ; -;3120;(11100111) (347) (231) (E7) ;(11101000) (350) (232) (E8) ;(11101001) (351) (233) (E9) ;(11101010) (352) (234) (EA) ;(11101100) (354) (236) (EC) ;(11101101) (355) (237) (ED) ;(11010000) (320) (208) (D0) ;(11010001) (321) (209) (D1) ; -;3128;(11010010) (322) (210) (D2) ;(11010011) (323) (211) (D3) ;(11010100) (324) (212) (D4) ;(11010101) (325) (213) (D5) ;(11010110) (326) (214) (D6) ;(11010111) (327) (215) (D7) ;(11011000) (330) (216) (D8) ;(11011001) (331) (217) (D9) ; -;3136;(11011010) (332) (218) (DA) ;(11011011) (333) (219) (DB) ;(11011100) (334) (220) (DC) ;(11011101) (335) (221) (DD) ;(11011110) (336) (222) (DE) ;(11000000) (300) (192) (C0) ;(00000000) (0) (0) (00) ;(10111101) (275) (189) (BD) ; -;3144;(10011101) (235) (157) (9D) ;(10110001) (261) (177) (B1) ;(10110101) (265) (181) (B5) ;(10111001) (271) (185) (B9) ;(10110100) (264) (180) (B4) ;(10011110) (236) (158) (9E) ;(10110010) (262) (178) (B2) ;(10110110) (266) (182) (B6) ; -;3152;(10111010) (272) (186) (BA) ;(10111110) (276) (190) (BE) ;(10011111) (237) (159) (9F) ;(10110011) (263) (179) (B3) ;(10110111) (267) (183) (B7) ;(10111011) (273) (187) (BB) ;(10111111) (277) (191) (BF) ;(10100011) (243) (163) (A3) ; -;3160;(10000101) (205) (133) (85) ;(10100100) (244) (164) (A4) ;(10100101) (245) (165) (A5) ;(10100110) (246) (166) (A6) ;(10010100) (224) (148) (94) ;(10000111) (207) (135) (87) ;(10001000) (210) (136) (88) ;(10011100) (234) (156) (9C) ; -;3168;(10000010) (202) (130) (82) ;(10011000) (230) (152) (98) ;(10000100) (204) (132) (84) ;(10010010) (222) (146) (92) ;(10010000) (220) (144) (90) ;(10000011) (203) (131) (83) ;(10010001) (221) (145) (91) ;(10000001) (201) (129) (81) ; -;3176;(10011010) (232) (154) (9A) ;(10010111) (227) (151) (97) ;(10010011) (223) (147) (93) ;(10010101) (225) (149) (95) ;(10001001) (211) (137) (89) ;(10100001) (241) (161) (A1) ;(10101111) (257) (175) (AF) ;(10001011) (213) (139) (8B) ; -;3184;(10000110) (206) (134) (86) ;(10010110) (226) (150) (96) ;(10100010) (242) (162) (A2) ;(10101011) (253) (171) (AB) ;(10101010) (252) (170) (AA) ;(10001010) (212) (138) (8A) ;(10001110) (216) (142) (8E) ;(10110000) (260) (176) (B0) ; -;3192;(10101101) (255) (173) (AD) ;(10001101) (215) (141) (8D) ;(10100111) (247) (167) (A7) ;(10101000) (250) (168) (A8) ;(10101001) (251) (169) (A9) ;(10001111) (217) (143) (8F) ;(10001100) (214) (140) (8C) ;(10101110) (256) (174) (AE) ; -;3200;(10101100) (254) (172) (AC) ;(10011011) (233) (155) (9B) ;(10100000) (240) (160) (A0) ;(10011001) (231) (153) (99) ;(10111100) (274) (188) (BC) ;(10111000) (270) (184) (B8) ;(00000000) (0) (0) (00) ;(00111011) (73) (59) (3B) ; -;3208;(00111010) (72) (58) (3A) ;(01110000) (160) (112) (70) ;(00111100) (74) (60) (3C) ;(01110001) (161) (113) (71) ;(01011010) (132) (90) (5A) ;(00111101) (75) (61) (3D) ;(01000011) (103) (67) (43) ;(01010110) (126) (86) (56) ; -;3216;(00111111) (77) (63) (3F) ;(00011110) (36) (30) (1E) ;(01001010) (112) (74) (4A) ;(00011100) (34) (28) (1C) ;(01011101) (135) (93) (5D) ;(00111110) (76) (62) (3E) ;(01011100) (134) (92) (5C) ;(00011111) (37) (31) (1F) ; -;3224;(01011111) (137) (95) (5F) ;(01011110) (136) (94) (5E) ;(00110111) (67) (55) (37) ;(01111011) (173) (123) (7B) ;(01111111) (177) (127) (7F) ;(00110110) (66) (54) (36) ;(01111010) (172) (122) (7A) ;(01111110) (176) (126) (7E) ; -;3232;(00110011) (63) (51) (33) ;(01001011) (113) (75) (4B) ;(01001100) (114) (76) (4C) ;(00011101) (35) (29) (1D) ;(01101100) (154) (108) (6C) ;(01011011) (133) (91) (5B) ;(01111000) (170) (120) (78) ;(01000001) (101) (65) (41) ; -;3240;(00110101) (65) (53) (35) ;(00110100) (64) (52) (34) ;(01110100) (164) (116) (74) ;(00110000) (60) (48) (30) ;(00111000) (70) (56) (38) ;(01110101) (165) (117) (75) ;(00111001) (71) (57) (39) ;(01001101) (115) (77) (4D) ; -;3248;(01101111) (157) (111) (6F) ;(01101110) (156) (110) (6E) ;(00110010) (62) (50) (32) ;(01110111) (167) (119) (77) ;(01110110) (166) (118) (76) ;(01110010) (162) (114) (72) ;(01110011) (163) (115) (73) ;(01000111) (107) (71) (47) ; -;3256;(01111100) (174) (124) (7C) ;(01010011) (123) (83) (53) ;(00110001) (61) (49) (31) ;(01001110) (116) (78) (4E) ;(01101101) (155) (109) (6D) ;(01001000) (110) (72) (48) ;(01000110) (106) (70) (46) ;(01111101) (175) (125) (7D) ; -;3264;(01000100) (104) (68) (44) ;(00011011) (33) (27) (1B) ;(01011000) (130) (88) (58) ;(01111001) (171) (121) (79) ;(01000010) (102) (66) (42) ;(01100000) (140) (96) (60) ;(00100000) (40) (32) (20) ;(01000001) (101) (65) (41) ; -;3272;(01000010) (102) (66) (42) ;(01000011) (103) (67) (43) ;(01000100) (104) (68) (44) ;(01000101) (105) (69) (45) ;(01000110) (106) (70) (46) ;(01000111) (107) (71) (47) ;(01001000) (110) (72) (48) ;(01001001) (111) (73) (49) ; -;3280;(01001010) (112) (74) (4A) ;(01001011) (113) (75) (4B) ;(01001100) (114) (76) (4C) ;(01001101) (115) (77) (4D) ;(01001110) (116) (78) (4E) ;(01001111) (117) (79) (4F) ;(01010000) (120) (80) (50) ;(01010001) (121) (81) (51) ; -;3288;(01010010) (122) (82) (52) ;(01010011) (123) (83) (53) ;(01010100) (124) (84) (54) ;(01010101) (125) (85) (55) ;(01010110) (126) (86) (56) ;(01010111) (127) (87) (57) ;(01011000) (130) (88) (58) ;(01011001) (131) (89) (59) ; -;3296;(01011010) (132) (90) (5A) ;(11111011) (373) (251) (FB) ;(11001101) (315) (205) (CD) ;(11011101) (335) (221) (DD) ;(11001011) (313) (203) (CB) ;(11010001) (321) (209) (D1) ;(00110000) (60) (48) (30) ;(00110001) (61) (49) (31) ; -;3304;(00110010) (62) (50) (32) ;(00110011) (63) (51) (33) ;(00110100) (64) (52) (34) ;(00110101) (65) (53) (35) ;(00110110) (66) (54) (36) ;(00110111) (67) (55) (37) ;(00111000) (70) (56) (38) ;(00111001) (71) (57) (39) ; -;3312;(00101101) (55) (45) (2D) ;(00111101) (75) (61) (3D) ;(00111011) (73) (59) (3B) ;(00101111) (57) (47) (2F) ;(00101110) (56) (46) (2E) ;(00101100) (54) (44) (2C) ;(11100101) (345) (229) (E5) ;(11110100) (364) (244) (F4) ; -;3320;(11101100) (354) (236) (EC) ;(11011010) (332) (218) (DA) ;(11100011) (343) (227) (E3) ;(11100010) (342) (226) (E2) ;(11010111) (327) (215) (D7) ;(11010100) (324) (212) (D4) ;(11100110) (346) (230) (E6) ;(11101000) (350) (232) (E8) ; -;3328;(11000010) (302) (194) (C2) ;(11000001) (301) (193) (C1) ;(11000100) (304) (196) (C4) ;(11000111) (307) (199) (C7) ;(11001111) (317) (207) (CF) ;(11001010) (312) (202) (CA) ;(00100000) (40) (32) (20) ;(11100001) (341) (225) (E1) ; -;3336;(11111110) (376) (254) (FE) ;(11001000) (310) (200) (C8) ;(11111010) (372) (250) (FA) ;(01011111) (137) (95) (5F) ;(11111000) (370) (248) (F8) ;(11110001) (361) (241) (F1) ;(11110111) (367) (247) (F7) ;(00111111) (77) (63) (3F) ; -;3344;(11001100) (314) (204) (CC) ;(11011011) (333) (219) (DB) ;(11011100) (334) (220) (DC) ;(11101001) (351) (233) (E9) ;(11110101) (365) (245) (F5) ;(00111010) (72) (58) (3A) ;(01011110) (136) (94) (5E) ;(00111100) (74) (60) (3C) ; -;3352;(01011011) (133) (91) (5B) ;(11110011) (363) (243) (F3) ;(01011101) (135) (93) (5D) ;(01000000) (100) (64) (40) ;(11001001) (311) (201) (C9) ;(00111110) (76) (62) (3E) ;(11111100) (374) (252) (FC) ;(01011100) (134) (92) (5C) ; -;3360;(11000110) (306) (198) (C6) ;(11011111) (337) (223) (DF) ;(11010000) (320) (208) (D0) ;(11001110) (316) (206) (CE) ;(11010011) (323) (211) (D3) ;(11010010) (322) (210) (D2) ;(11111111) (377) (255) (FF) ;(00100001) (41) (33) (21) ; -;3368;(00100010) (42) (34) (22) ;(00100011) (43) (35) (23) ;(00100100) (44) (36) (24) ;(00100101) (45) (37) (25) ;(00100110) (46) (38) (26) ;(00100111) (47) (39) (27) ;(00101000) (50) (40) (28) ;(00101001) (51) (41) (29) ; -;3376;(00101011) (53) (43) (2B) ;(00101010) (52) (42) (2A) ;(11011110) (336) (222) (DE) ;(11110110) (366) (246) (F6) ;(11101011) (353) (235) (EB) ;(11101010) (352) (234) (EA) ;(11000011) (303) (195) (C3) ;(11000101) (305) (197) (C5) ; -;3384;(11101111) (357) (239) (EF) ;(11110000) (360) (240) (F0) ;(11100100) (344) (228) (E4) ;(11100111) (347) (231) (E7) ;(11101110) (356) (238) (EE) ;(11101101) (355) (237) (ED) ;(11100000) (340) (224) (E0) ;(11111101) (375) (253) (FD) ; -;3392;(11011000) (330) (216) (D8) ;(11010101) (325) (213) (D5) ;(11110010) (362) (242) (F2) ;(11111001) (371) (249) (F9) ;(11011001) (331) (217) (D9) ;(11010110) (326) (214) (D6) ;(00100000) (40) (32) (20) ;(10100001) (241) (161) (A1) ; -;3400;(10011010) (232) (154) (9A) ;(10011111) (237) (159) (9F) ;(10011100) (234) (156) (9C) ;(10010010) (222) (146) (92) ;(10101010) (252) (170) (AA) ;(10010111) (227) (151) (97) ;(10011000) (230) (152) (98) ;(10100110) (246) (166) (A6) ; -;3408;(10101111) (257) (175) (AF) ;(10101001) (251) (169) (A9) ;(10111000) (270) (184) (B8) ;(10110011) (263) (179) (B3) ;(10110000) (260) (176) (B0) ;(10110111) (267) (183) (B7) ;(10011110) (236) (158) (9E) ;(10100000) (240) (160) (A0) ; -;3416;(10011101) (235) (157) (9D) ;(10100100) (244) (164) (A4) ;(10010110) (226) (150) (96) ;(10100101) (245) (165) (A5) ;(10101011) (253) (171) (AB) ;(10100011) (243) (163) (A3) ;(10011011) (233) (155) (9B) ;(10111101) (275) (189) (BD) ; -;3424;(10100010) (242) (162) (A2) ;(10111011) (273) (187) (BB) ;(10011001) (231) (153) (99) ;(10000010) (202) (130) (82) ;(10000111) (207) (135) (87) ;(10001100) (214) (140) (8C) ;(10111100) (274) (188) (BC) ;(10100111) (247) (167) (A7) ; -;3432;(10101100) (254) (172) (AC) ;(10010001) (221) (145) (91) ;(10010011) (223) (147) (93) ;(10010100) (224) (148) (94) ;(10010101) (225) (149) (95) ;(10110100) (264) (180) (B4) ;(10110101) (265) (181) (B5) ;(10110110) (266) (182) (B6) ; -;3440;(10101110) (256) (174) (AE) ;(10101101) (255) (173) (AD) ;(10111010) (272) (186) (BA) ;(10110010) (262) (178) (B2) ;(10111001) (271) (185) (B9) ;(10101000) (250) (168) (A8) ;(10110001) (261) (177) (B1) ;(10000011) (203) (131) (83) ; -;3448;(10001000) (210) (136) (88) ;(10001101) (215) (141) (8D) ;(10000110) (206) (134) (86) ;(10000100) (204) (132) (84) ;(10001001) (211) (137) (89) ;(10001110) (216) (142) (8E) ;(10111111) (277) (191) (BF) ;(10000101) (205) (133) (85) ; -;3456;(10001010) (212) (138) (8A) ;(10001111) (217) (143) (8F) ;(10111110) (276) (190) (BE) ;(10000001) (201) (129) (81) ;(10001011) (213) (139) (8B) ;(10010000) (220) (144) (90) ;(01111111) (177) (127) (7F) ;(00010001) (21) (17) (11) ; -;3464;(00010010) (22) (18) (12) ;(00010011) (23) (19) (13) ;(00010100) (24) (20) (14) ;(00010101) (25) (21) (15) ;(00010110) (26) (22) (16) ;(01100000) (140) (96) (60) ;(01100001) (141) (97) (61) ;(01100010) (142) (98) (62) ; -;3472;(01100011) (143) (99) (63) ;(01100100) (144) (100) (64) ;(01100101) (145) (101) (65) ;(01100110) (146) (102) (66) ;(01100111) (147) (103) (67) ;(01101000) (150) (104) (68) ;(01110000) (160) (112) (70) ;(01110001) (161) (113) (71) ; -;3480;(01110010) (162) (114) (72) ;(01110011) (163) (115) (73) ;(01110100) (164) (116) (74) ;(01110101) (165) (117) (75) ;(01110110) (166) (118) (76) ;(01110111) (167) (119) (77) ;(01111000) (170) (120) (78) ;(01111001) (171) (121) (79) ; -;3488;(01111010) (172) (122) (7A) ;(01111011) (173) (123) (7B) ;(01111100) (174) (124) (7C) ;(01111101) (175) (125) (7D) ;(01111110) (176) (126) (7E) ;(01101001) (151) (105) (69) ;(11110101) (365) (245) (F5) ;(00111010) (72) (58) (3A) ; -;3496;(00000010) (2) (2) (02) ;(11100000) (340) (224) (E0) ;(00000111) (7) (7) (07) ;(00110000) (60) (48) (30) ;(11111010) (372) (250) (FA) ;(00111010) (72) (58) (3A) ;(00000010) (2) (2) (02) ;(11100000) (340) (224) (E0) ; -;3504;(00000111) (7) (7) (07) ;(00111000) (70) (56) (38) ;(11111010) (372) (250) (FA) ;(11110001) (361) (241) (F1) ;(11001001) (311) (201) (C9) ;(11110101) (365) (245) (F5) ;(11000101) (305) (197) (C5) ;(11010101) (325) (213) (D5) ; -;3512;(11100101) (345) (229) (E5) ;(01000111) (107) (71) (47) ;(11001101) (315) (205) (CD) ;(10110001) (261) (177) (B1) ;(00001111) (17) (15) (0F) ;(01110000) (160) (112) (70) ;(00101010) (52) (42) (2A) ;(01110001) (161) (113) (71) ; -;3520;(00010001) (21) (17) (11) ;(01111101) (175) (125) (7D) ;(11111110) (376) (254) (FE) ;(00100111) (47) (39) (27) ;(11000010) (302) (194) (C2) ;(10010000) (220) (144) (90) ;(00001110) (16) (14) (0E) ;(01011100) (134) (92) (5C) ; -;3528;(00010110) (26) (22) (16) ;(00000000) (0) (0) (00) ;(00100001) (41) (33) (21) ;(01110011) (163) (115) (73) ;(00010001) (21) (17) (11) ;(00011001) (31) (25) (19) ;(01111110) (176) (126) (7E) ;(10110111) (267) (183) (B7) ; -;3536;(11000010) (302) (194) (C2) ;(10010000) (220) (144) (90) ;(00001110) (16) (14) (0E) ;(00100011) (43) (35) (23) ;(00110110) (66) (54) (36) ;(00000001) (1) (1) (01) ;(00100011) (43) (35) (23) ;(00110110) (66) (54) (36) ; -;3544;(00000000) (0) (0) (00) ;(11000011) (303) (195) (C3) ;(10010000) (220) (144) (90) ;(00001110) (16) (14) (0E) ;(11110101) (365) (245) (F5) ;(11000101) (305) (197) (C5) ;(11010101) (325) (213) (D5) ;(11100101) (345) (229) (E5) ; -;3552;(01000111) (107) (71) (47) ;(11100110) (346) (230) (E6) ;(11110000) (360) (240) (F0) ;(11111110) (376) (254) (FE) ;(11000000) (300) (192) (C0) ;(11000010) (302) (194) (C2) ;(11011110) (336) (222) (DE) ;(00001111) (17) (15) (0F) ; -;3560;(10101000) (250) (168) (A8) ;(00000111) (7) (7) (07) ;(00000111) (7) (7) (07) ;(01001111) (117) (79) (4F) ;(00000110) (6) (6) (06) ;(00000000) (0) (0) (00) ;(00100001) (41) (33) (21) ;(11110011) (363) (243) (F3) ; -;3568;(00001101) (15) (13) (0D) ;(00001001) (11) (9) (09) ;(11101001) (351) (233) (E9) ;(11000011) (303) (195) (C3) ;(00110010) (62) (50) (32) ;(00001110) (16) (14) (0E) ;(00000000) (0) (0) (00) ;(11000011) (303) (195) (C3) ; -;3576;(01110100) (164) (116) (74) ;(00001110) (16) (14) (0E) ;(00000000) (0) (0) (00) ;(11000011) (303) (195) (C3) ;(10000100) (204) (132) (84) ;(00001110) (16) (14) (0E) ;(00000000) (0) (0) (00) ;(11000011) (303) (195) (C3) ; -;3584;(10010000) (220) (144) (90) ;(00001110) (16) (14) (0E) ;(00000000) (0) (0) (00) ;(11000011) (303) (195) (C3) ;(10101110) (256) (174) (AE) ;(00001110) (16) (14) (0E) ;(00000000) (0) (0) (00) ;(11000011) (303) (195) (C3) ; -;3592;(10111111) (277) (191) (BF) ;(00001110) (16) (14) (0E) ;(00000000) (0) (0) (00) ;(11000011) (303) (195) (C3) ;(11000101) (305) (197) (C5) ;(00001110) (16) (14) (0E) ;(00000000) (0) (0) (00) ;(11000011) (303) (195) (C3) ; -;3600;(11111000) (370) (248) (F8) ;(00001110) (16) (14) (0E) ;(00000000) (0) (0) (00) ;(11000011) (303) (195) (C3) ;(01001001) (111) (73) (49) ;(00001111) (17) (15) (0F) ;(00000000) (0) (0) (00) ;(11000011) (303) (195) (C3) ; -;3608;(11100001) (341) (225) (E1) ;(00001110) (16) (14) (0E) ;(00000000) (0) (0) (00) ;(11000011) (303) (195) (C3) ;(11101110) (356) (238) (EE) ;(00001110) (16) (14) (0E) ;(00000000) (0) (0) (00) ;(11000011) (303) (195) (C3) ; -;3616;(11011110) (336) (222) (DE) ;(00001111) (17) (15) (0F) ;(00000000) (0) (0) (00) ;(11000011) (303) (195) (C3) ;(11011110) (336) (222) (DE) ;(00001111) (17) (15) (0F) ;(00000000) (0) (0) (00) ;(11000011) (303) (195) (C3) ; -;3624;(10001011) (213) (139) (8B) ;(00001111) (17) (15) (0F) ;(00000000) (0) (0) (00) ;(11000011) (303) (195) (C3) ;(11011110) (336) (222) (DE) ;(00001111) (17) (15) (0F) ;(00000000) (0) (0) (00) ;(11000011) (303) (195) (C3) ; -;3632;(11011110) (336) (222) (DE) ;(00001111) (17) (15) (0F) ;(11001101) (315) (205) (CD) ;(10100110) (246) (166) (A6) ;(00001101) (15) (13) (0D) ;(10101111) (257) (175) (AF) ;(00110010) (62) (50) (32) ;(00000011) (3) (3) (03) ; -;3640;(11100000) (340) (224) (E0) ;(00000001) (1) (1) (01) ;(11000000) (300) (192) (C0) ;(00000011) (3) (3) (03) ;(00010001) (21) (17) (11) ;(00000000) (0) (0) (00) ;(11010000) (320) (208) (D0) ;(00100001) (41) (33) (21) ; -;3648;(00101000) (50) (40) (28) ;(11010000) (320) (208) (D0) ;(11101101) (355) (237) (ED) ;(10110000) (260) (176) (B0) ;(11101011) (353) (235) (EB) ;(00000110) (6) (6) (06) ;(00101000) (50) (40) (28) ;(11001101) (315) (205) (CD) ; -;3656;(11011000) (330) (216) (D8) ;(00001111) (17) (15) (0F) ;(00000001) (1) (1) (01) ;(00011010) (32) (26) (1A) ;(00000000) (0) (0) (00) ;(00010001) (21) (17) (11) ;(01110011) (163) (115) (73) ;(00010001) (21) (17) (11) ; -;3664;(00100001) (41) (33) (21) ;(01110011) (163) (115) (73) ;(00010001) (21) (17) (11) ;(00100011) (43) (35) (23) ;(11101101) (355) (237) (ED) ;(10110000) (260) (176) (B0) ;(00110110) (66) (54) (36) ;(00000000) (0) (0) (00) ; -;3672;(00111010) (72) (58) (3A) ;(01110011) (163) (115) (73) ;(00010001) (21) (17) (11) ;(10110111) (267) (183) (B7) ;(11000010) (302) (194) (C2) ;(01101010) (152) (106) (6A) ;(00001110) (16) (14) (0E) ;(11001101) (315) (205) (CD) ; -;3680;(10100110) (246) (166) (A6) ;(00001101) (15) (13) (0D) ;(00111110) (76) (62) (3E) ;(00000001) (1) (1) (01) ;(00110010) (62) (50) (32) ;(00000011) (3) (3) (03) ;(11100000) (340) (224) (E0) ;(11000011) (303) (195) (C3) ; -;3688;(11011110) (336) (222) (DE) ;(00001111) (17) (15) (0F) ;(00101010) (52) (42) (2A) ;(01110001) (161) (113) (71) ;(00010001) (21) (17) (11) ;(00100101) (45) (37) (25) ;(00100010) (42) (34) (22) ;(01110001) (161) (113) (71) ; -;3696;(00010001) (21) (17) (11) ;(11000011) (303) (195) (C3) ;(00111001) (71) (57) (39) ;(00001110) (16) (14) (0E) ;(00101010) (52) (42) (2A) ;(01110001) (161) (113) (71) ;(00010001) (21) (17) (11) ;(01111100) (174) (124) (7C) ; -;3704;(11111110) (376) (254) (FE) ;(00011000) (30) (24) (18) ;(11001010) (312) (202) (CA) ;(00110010) (62) (50) (32) ;(00001110) (16) (14) (0E) ;(00100100) (44) (36) (24) ;(00100010) (42) (34) (22) ;(01110001) (161) (113) (71) ; -;3712;(00010001) (21) (17) (11) ;(11000011) (303) (195) (C3) ;(11011110) (336) (222) (DE) ;(00001111) (17) (15) (0F) ;(00101010) (52) (42) (2A) ;(01110001) (161) (113) (71) ;(00010001) (21) (17) (11) ;(01111100) (174) (124) (7C) ; -;3720;(10110111) (267) (183) (B7) ;(11001010) (312) (202) (CA) ;(11011110) (336) (222) (DE) ;(00001111) (17) (15) (0F) ;(00100101) (45) (37) (25) ;(11000011) (303) (195) (C3) ;(01111110) (176) (126) (7E) ;(00001110) (16) (14) (0E) ; -;3728;(00101010) (52) (42) (2A) ;(01110001) (161) (113) (71) ;(00010001) (21) (17) (11) ;(01111101) (175) (125) (7D) ;(11111110) (376) (254) (FE) ;(00100111) (47) (39) (27) ;(11010010) (322) (210) (D2) ;(10011101) (235) (157) (9D) ; -;3736;(00001110) (16) (14) (0E) ;(00101100) (54) (44) (2C) ;(11000011) (303) (195) (C3) ;(01111110) (176) (126) (7E) ;(00001110) (16) (14) (0E) ;(00101110) (56) (46) (2E) ;(00000000) (0) (0) (00) ;(00100100) (44) (36) (24) ; -;3744;(01111100) (174) (124) (7C) ;(11111110) (376) (254) (FE) ;(00011001) (31) (25) (19) ;(11011010) (332) (218) (DA) ;(01111110) (176) (126) (7E) ;(00001110) (16) (14) (0E) ;(00100110) (46) (38) (26) ;(00011000) (30) (24) (18) ; -;3752;(00100010) (42) (34) (22) ;(01110001) (161) (113) (71) ;(00010001) (21) (17) (11) ;(11000011) (303) (195) (C3) ;(00110010) (62) (50) (32) ;(00001110) (16) (14) (0E) ;(00101010) (52) (42) (2A) ;(01110001) (161) (113) (71) ; -;3760;(00010001) (21) (17) (11) ;(01111101) (175) (125) (7D) ;(10110111) (267) (183) (B7) ;(00101000) (50) (40) (28) ;(00000100) (4) (4) (04) ;(00101101) (55) (45) (2D) ;(11000011) (303) (195) (C3) ;(01111110) (176) (126) (7E) ; -;3768;(00001110) (16) (14) (0E) ;(00101110) (56) (46) (2E) ;(00100111) (47) (39) (27) ;(00100101) (45) (37) (25) ;(11110010) (362) (242) (F2) ;(01111110) (176) (126) (7E) ;(00001110) (16) (14) (0E) ;(00100001) (41) (33) (21) ; -;3776;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(11000011) (303) (195) (C3) ;(01111110) (176) (126) (7E) ;(00001110) (16) (14) (0E) ;(11001101) (315) (205) (CD) ;(10100110) (246) (166) (A6) ;(00001101) (15) (13) (0D) ; -;3784;(00001110) (16) (14) (0E) ;(00011001) (31) (25) (19) ;(00100001) (41) (33) (21) ;(00000000) (0) (0) (00) ;(11010000) (320) (208) (D0) ;(00000110) (6) (6) (06) ;(00101000) (50) (40) (28) ;(11001101) (315) (205) (CD) ; -;3792;(11011000) (330) (216) (D8) ;(00001111) (17) (15) (0F) ;(00001101) (15) (13) (0D) ;(11000010) (302) (194) (C2) ;(11001101) (315) (205) (CD) ;(00001110) (16) (14) (0E) ;(00100001) (41) (33) (21) ;(01110011) (163) (115) (73) ; -;3800;(00010001) (21) (17) (11) ;(00000110) (6) (6) (06) ;(00011011) (33) (27) (1B) ;(11001101) (315) (205) (CD) ;(11011000) (330) (216) (D8) ;(00001111) (17) (15) (0F) ;(11000011) (303) (195) (C3) ;(10111111) (277) (191) (BF) ; -;3808;(00001110) (16) (14) (0E) ;(00111110) (76) (62) (3E) ;(00000101) (5) (5) (05) ;(00110010) (62) (50) (32) ;(00000011) (3) (3) (03) ;(11100000) (340) (224) (E0) ;(00111110) (76) (62) (3E) ;(00000000) (0) (0) (00) ; -;3816;(00110010) (62) (50) (32) ;(01110000) (160) (112) (70) ;(00010001) (21) (17) (11) ;(11000011) (303) (195) (C3) ;(11011110) (336) (222) (DE) ;(00001111) (17) (15) (0F) ;(00111110) (76) (62) (3E) ;(00000100) (4) (4) (04) ; -;3824;(00110010) (62) (50) (32) ;(00000011) (3) (3) (03) ;(11100000) (340) (224) (E0) ;(00111110) (76) (62) (3E) ;(00000001) (1) (1) (01) ;(11000011) (303) (195) (C3) ;(11101000) (350) (232) (E8) ;(00001110) (16) (14) (0E) ; -;3832;(00101010) (52) (42) (2A) ;(01110001) (161) (113) (71) ;(00010001) (21) (17) (11) ;(01111100) (174) (124) (7C) ;(10110101) (265) (181) (B5) ;(11001010) (312) (202) (CA) ;(11011110) (336) (222) (DE) ;(00001111) (17) (15) (0F) ; -;3840;(01111101) (175) (125) (7D) ;(10110111) (267) (183) (B7) ;(11000010) (302) (194) (C2) ;(00011101) (35) (29) (1D) ;(00001111) (17) (15) (0F) ;(01011100) (134) (92) (5C) ;(00010110) (26) (22) (16) ;(00000000) (0) (0) (00) ; -;3848;(00100001) (41) (33) (21) ;(01110011) (163) (115) (73) ;(00010001) (21) (17) (11) ;(00011001) (31) (25) (19) ;(01111110) (176) (126) (7E) ;(10110111) (267) (183) (B7) ;(11000010) (302) (194) (C2) ;(00011101) (35) (29) (1D) ; -;3856;(00001111) (17) (15) (0F) ;(11001101) (315) (205) (CD) ;(10110001) (261) (177) (B1) ;(00001111) (17) (15) (0F) ;(11001101) (315) (205) (CD) ;(10100110) (246) (166) (A6) ;(00001101) (15) (13) (0D) ;(00101011) (53) (43) (2B) ; -;3864;(00110110) (66) (54) (36) ;(00000000) (0) (0) (00) ;(11000011) (303) (195) (C3) ;(10101110) (256) (174) (AE) ;(00001110) (16) (14) (0E) ;(00101010) (52) (42) (2A) ;(01110001) (161) (113) (71) ;(00010001) (21) (17) (11) ; -;3872;(01011100) (134) (92) (5C) ;(00011100) (34) (28) (1C) ;(00010110) (26) (22) (16) ;(00000000) (0) (0) (00) ;(00100001) (41) (33) (21) ;(01110011) (163) (115) (73) ;(00010001) (21) (17) (11) ;(00011001) (31) (25) (19) ; -;3880;(01111110) (176) (126) (7E) ;(01000111) (107) (71) (47) ;(10110111) (267) (183) (B7) ;(00111110) (76) (62) (3E) ;(00101000) (50) (40) (28) ;(00101000) (50) (40) (28) ;(00000010) (2) (2) (02) ;(00111110) (76) (62) (3E) ; -;3888;(01010000) (120) (80) (50) ;(00101010) (52) (42) (2A) ;(01110001) (161) (113) (71) ;(00010001) (21) (17) (11) ;(10010101) (225) (149) (95) ;(01001111) (117) (79) (4F) ;(00000110) (6) (6) (06) ;(00000000) (0) (0) (00) ; -;3896;(11001101) (315) (205) (CD) ;(10110001) (261) (177) (B1) ;(00001111) (17) (15) (0F) ;(11100101) (345) (229) (E5) ;(11010001) (321) (209) (D1) ;(00011011) (33) (27) (1B) ;(11001101) (315) (205) (CD) ;(10100110) (246) (166) (A6) ; -;3904;(00001101) (15) (13) (0D) ;(11101101) (355) (237) (ED) ;(10110000) (260) (176) (B0) ;(00101011) (53) (43) (2B) ;(00110110) (66) (54) (36) ;(00000000) (0) (0) (00) ;(11000011) (303) (195) (C3) ;(10101110) (256) (174) (AE) ; -;3912;(00001110) (16) (14) (0E) ;(00101010) (52) (42) (2A) ;(01110001) (161) (113) (71) ;(00010001) (21) (17) (11) ;(01011100) (134) (92) (5C) ;(00011100) (34) (28) (1C) ;(00010110) (26) (22) (16) ;(00000000) (0) (0) (00) ; -;3920;(00100001) (41) (33) (21) ;(01110011) (163) (115) (73) ;(00010001) (21) (17) (11) ;(00011001) (31) (25) (19) ;(01111110) (176) (126) (7E) ;(10110111) (267) (183) (B7) ;(00001110) (16) (14) (0E) ;(00000000) (0) (0) (00) ; -;3928;(00101010) (52) (42) (2A) ;(01110001) (161) (113) (71) ;(00010001) (21) (17) (11) ;(00101110) (56) (46) (2E) ;(00100111) (47) (39) (27) ;(00101000) (50) (40) (28) ;(00000010) (2) (2) (02) ;(00100100) (44) (36) (24) ; -;3936;(00001100) (14) (12) (0C) ;(11001101) (315) (205) (CD) ;(10110100) (264) (180) (B4) ;(00001111) (17) (15) (0F) ;(01111110) (176) (126) (7E) ;(10110111) (267) (183) (B7) ;(11000010) (302) (194) (C2) ;(11011110) (336) (222) (DE) ; -;3944;(00001111) (17) (15) (0F) ;(11100101) (345) (229) (E5) ;(00101010) (52) (42) (2A) ;(01110001) (161) (113) (71) ;(00010001) (21) (17) (11) ;(00111110) (76) (62) (3E) ;(00100111) (47) (39) (27) ;(10010101) (225) (149) (95) ; -;3952;(01000111) (107) (71) (47) ;(01111001) (171) (121) (79) ;(10110111) (267) (183) (B7) ;(00101000) (50) (40) (28) ;(00000100) (4) (4) (04) ;(00111110) (76) (62) (3E) ;(00101000) (50) (40) (28) ;(10000000) (200) (128) (80) ; -;3960;(01000111) (107) (71) (47) ;(11010001) (321) (209) (D1) ;(11010101) (325) (213) (D5) ;(11100001) (341) (225) (E1) ;(00101011) (53) (43) (2B) ;(11001101) (315) (205) (CD) ;(10100110) (246) (166) (A6) ;(00001101) (15) (13) (0D) ; -;3968;(01111110) (176) (126) (7E) ;(00010010) (22) (18) (12) ;(00110110) (66) (54) (36) ;(00000000) (0) (0) (00) ;(00101011) (53) (43) (2B) ;(00011011) (33) (27) (1B) ;(00010000) (20) (16) (10) ;(11111000) (370) (248) (F8) ; -;3976;(11000011) (303) (195) (C3) ;(11011110) (336) (222) (DE) ;(00001111) (17) (15) (0F) ;(00101010) (52) (42) (2A) ;(01110001) (161) (113) (71) ;(00010001) (21) (17) (11) ;(01011100) (134) (92) (5C) ;(00011100) (34) (28) (1C) ; -;3984;(00010110) (26) (22) (16) ;(00000000) (0) (0) (00) ;(00100001) (41) (33) (21) ;(01110011) (163) (115) (73) ;(00010001) (21) (17) (11) ;(00011001) (31) (25) (19) ;(01111110) (176) (126) (7E) ;(10110111) (267) (183) (B7) ; -;3992;(00101010) (52) (42) (2A) ;(01110001) (161) (113) (71) ;(00010001) (21) (17) (11) ;(11001010) (312) (202) (CA) ;(10011101) (235) (157) (9D) ;(00001110) (16) (14) (0E) ;(00101110) (56) (46) (2E) ;(00000000) (0) (0) (00) ; -;4000;(01111100) (174) (124) (7C) ;(11111110) (376) (254) (FE) ;(00010111) (27) (23) (17) ;(00101000) (50) (40) (28) ;(00000101) (5) (5) (05) ;(00100100) (44) (36) (24) ;(00100100) (44) (36) (24) ;(11000011) (303) (195) (C3) ; -;4008;(01111110) (176) (126) (7E) ;(00001110) (16) (14) (0E) ;(00100100) (44) (36) (24) ;(00100010) (42) (34) (22) ;(01110001) (161) (113) (71) ;(00010001) (21) (17) (11) ;(11000011) (303) (195) (C3) ;(00110010) (62) (50) (32) ; -;4016;(00001110) (16) (14) (0E) ;(00101010) (52) (42) (2A) ;(01110001) (161) (113) (71) ;(00010001) (21) (17) (11) ;(11000101) (305) (197) (C5) ;(11010101) (325) (213) (D5) ;(11100101) (345) (229) (E5) ;(11000001) (301) (193) (C1) ; -;4024;(00010001) (21) (17) (11) ;(00101000) (50) (40) (28) ;(00000000) (0) (0) (00) ;(00100001) (41) (33) (21) ;(11011000) (330) (216) (D8) ;(11001111) (317) (207) (CF) ;(00011001) (31) (25) (19) ;(00000101) (5) (5) (05) ; -;4032;(11110010) (362) (242) (F2) ;(10111110) (276) (190) (BE) ;(00001111) (17) (15) (0F) ;(00000110) (6) (6) (06) ;(00000000) (0) (0) (00) ;(00001001) (11) (9) (09) ;(11010001) (321) (209) (D1) ;(11000001) (301) (193) (C1) ; -;4040;(11001001) (311) (201) (C9) ;(00100001) (41) (33) (21) ;(00000011) (3) (3) (03) ;(11100000) (340) (224) (E0) ;(00110110) (66) (54) (36) ;(10001010) (212) (138) (8A) ;(00110110) (66) (54) (36) ;(00000111) (7) (7) (07) ; -;4048;(00110110) (66) (54) (36) ;(00000101) (5) (5) (05) ;(00111110) (76) (62) (3E) ;(00000001) (1) (1) (01) ;(00110010) (62) (50) (32) ;(00000011) (3) (3) (03) ;(11100000) (340) (224) (E0) ;(11001001) (311) (201) (C9) ; -;4056;(10101111) (257) (175) (AF) ;(01110111) (167) (119) (77) ;(00100011) (43) (35) (23) ;(00010000) (20) (16) (10) ;(11111100) (374) (252) (FC) ;(11001001) (311) (201) (C9) ;(11100001) (341) (225) (E1) ;(11010001) (321) (209) (D1) ; -;4064;(11000001) (301) (193) (C1) ;(11110001) (361) (241) (F1) ;(11001001) (311) (201) (C9) ;(10101110) (256) (174) (AE) ;(11001101) (315) (205) (CD) ;(11101110) (356) (238) (EE) ;(11111111) (377) (255) (FF) ;(10101110) (256) (174) (AE) ; -;4072;(11111110) (376) (254) (FE) ;(10101100) (254) (172) (AC) ;(11011110) (336) (222) (DE) ;(01001110) (116) (78) (4E) ;(11111111) (377) (255) (FF) ;(10101110) (256) (174) (AE) ;(11011111) (337) (223) (DF) ;(10101110) (256) (174) (AE) ; -;4080;(11011111) (337) (223) (DF) ;(00101111) (57) (47) (2F) ;(11111111) (377) (255) (FF) ;(00100110) (46) (38) (26) ;(01111101) (175) (125) (7D) ;(11111110) (376) (254) (FE) ;(11111101) (375) (253) (FD) ;(11101110) (356) (238) (EE) ; -;4088;(11111101) (375) (253) (FD) ;(10101100) (254) (172) (AC) ;(11011111) (337) (223) (DF) ;(01111110) (176) (126) (7E) ;(11011111) (337) (223) (DF) ;(10101110) (256) (174) (AE) ;(11011111) (337) (223) (DF) ;(11111111) (377) (255) (FF) ; -;4096;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4104;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4112;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4120;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4128;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4136;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4144;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4152;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4160;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4168;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4176;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4184;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4192;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4200;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4208;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4216;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4224;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4232;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4240;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4248;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4256;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4264;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4272;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4280;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4288;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4296;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4304;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4312;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4320;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4328;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4336;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4344;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4352;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4360;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4368;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4376;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4384;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4392;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4400;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4408;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4416;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4424;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4432;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4440;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4448;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4456;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4464;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4472;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4480;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4488;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4496;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4504;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4512;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4520;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4528;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4536;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4544;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4552;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4560;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4568;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4576;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4584;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4592;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4600;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4608;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4616;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4624;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4632;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4640;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4648;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4656;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4664;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4672;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4680;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4688;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4696;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4704;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4712;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4720;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4728;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4736;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4744;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4752;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4760;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4768;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4776;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4784;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4792;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4800;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4808;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4816;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4824;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4832;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4840;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4848;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4856;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4864;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4872;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4880;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4888;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4896;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4904;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4912;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4920;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4928;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4936;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4944;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4952;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4960;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4968;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4976;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4984;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;4992;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5000;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5008;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5016;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5024;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5032;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5040;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5048;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5056;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5064;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5072;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5080;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5088;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5096;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5104;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5112;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5120;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5128;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5136;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5144;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5152;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5160;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5168;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5176;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5184;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5192;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5200;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5208;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5216;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5224;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5232;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5240;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5248;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5256;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5264;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5272;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5280;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5288;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5296;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5304;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5312;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5320;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5328;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5336;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5344;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5352;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5360;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5368;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5376;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5384;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5392;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5400;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5408;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5416;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5424;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5432;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5440;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5448;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5456;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5464;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5472;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5480;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5488;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5496;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5504;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5512;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5520;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5528;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5536;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5544;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5552;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5560;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5568;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5576;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5584;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5592;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5600;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5608;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5616;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5624;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5632;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5640;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5648;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5656;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5664;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5672;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5680;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5688;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5696;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5704;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5712;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5720;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5728;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5736;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5744;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5752;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5760;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5768;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5776;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5784;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5792;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5800;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5808;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5816;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5824;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5832;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5840;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5848;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5856;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5864;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5872;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5880;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5888;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5896;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5904;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5912;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5920;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5928;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5936;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5944;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5952;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5960;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5968;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5976;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5984;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;5992;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6000;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6008;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6016;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6024;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6032;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6040;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6048;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6056;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6064;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6072;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6080;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6088;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6096;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6104;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6112;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6120;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6128;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6136;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6144;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6152;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6160;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6168;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6176;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6184;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6192;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6200;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6208;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6216;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6224;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6232;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6240;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6248;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6256;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6264;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6272;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6280;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6288;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6296;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6304;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6312;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6320;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6328;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6336;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6344;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6352;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6360;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6368;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6376;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6384;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6392;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6400;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6408;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6416;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6424;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6432;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6440;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6448;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6456;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6464;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6472;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6480;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6488;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6496;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6504;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6512;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6520;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6528;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6536;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6544;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6552;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6560;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6568;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6576;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6584;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6592;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6600;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6608;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6616;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6624;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6632;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6640;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6648;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6656;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6664;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6672;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6680;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6688;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6696;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6704;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6712;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6720;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6728;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6736;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6744;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6752;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6760;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6768;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6776;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6784;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6792;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6800;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6808;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6816;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6824;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6832;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6840;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6848;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6856;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6864;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6872;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6880;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6888;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6896;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6904;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6912;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6920;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6928;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6936;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6944;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6952;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6960;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6968;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6976;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6984;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;6992;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7000;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7008;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7016;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7024;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7032;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7040;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7048;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7056;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7064;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7072;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7080;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7088;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7096;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7104;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7112;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7120;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7128;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7136;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7144;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7152;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7160;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7168;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7176;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7184;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7192;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7200;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7208;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7216;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7224;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7232;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7240;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7248;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7256;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7264;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7272;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7280;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7288;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7296;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7304;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7312;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7320;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7328;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7336;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7344;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7352;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7360;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7368;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7376;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7384;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7392;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7400;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7408;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7416;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7424;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7432;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7440;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7448;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7456;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7464;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7472;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7480;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7488;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7496;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7504;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7512;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7520;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7528;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7536;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7544;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7552;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7560;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7568;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7576;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7584;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7592;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7600;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7608;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7616;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7624;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7632;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7640;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7648;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7656;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7664;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7672;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7680;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7688;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7696;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7704;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7712;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7720;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7728;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7736;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7744;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7752;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7760;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7768;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7776;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7784;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7792;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7800;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7808;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7816;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7824;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7832;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7840;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7848;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7856;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7864;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7872;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7880;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7888;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7896;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7904;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7912;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7920;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7928;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7936;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7944;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7952;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7960;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7968;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7976;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7984;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;7992;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8000;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8008;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8016;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8024;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8032;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8040;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8048;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8056;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8064;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8072;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8080;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8088;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8096;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8104;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8112;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8120;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8128;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8136;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8144;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8152;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8160;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8168;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8176;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8184;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8192;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8200;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8208;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8216;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8224;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8232;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8240;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8248;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8256;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8264;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8272;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8280;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8288;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8296;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8304;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8312;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8320;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8328;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8336;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8344;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8352;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8360;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8368;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8376;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8384;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8392;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8400;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8408;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8416;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8424;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8432;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8440;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8448;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8456;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8464;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8472;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8480;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8488;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8496;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8504;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8512;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8520;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8528;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8536;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8544;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8552;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8560;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8568;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8576;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8584;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8592;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8600;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8608;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8616;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8624;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8632;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8640;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8648;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8656;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8664;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8672;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8680;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8688;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8696;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8704;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8712;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8720;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8728;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8736;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8744;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8752;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8760;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8768;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8776;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8784;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8792;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8800;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8808;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8816;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8824;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8832;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8840;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8848;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8856;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8864;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8872;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8880;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8888;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8896;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8904;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8912;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8920;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8928;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8936;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8944;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8952;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8960;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8968;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8976;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8984;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;8992;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9000;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9008;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9016;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9024;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9032;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9040;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9048;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9056;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9064;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9072;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9080;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9088;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9096;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9104;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9112;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9120;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9128;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9136;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9144;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9152;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9160;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9168;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9176;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9184;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9192;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9200;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9208;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9216;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9224;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9232;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9240;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9248;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9256;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9264;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9272;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9280;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9288;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9296;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9304;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9312;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9320;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9328;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9336;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9344;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9352;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9360;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9368;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9376;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9384;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9392;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9400;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9408;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9416;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9424;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9432;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9440;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9448;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9456;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9464;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9472;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9480;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9488;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9496;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9504;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9512;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9520;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9528;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9536;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9544;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9552;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9560;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9568;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9576;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9584;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9592;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9600;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9608;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9616;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9624;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9632;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9640;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9648;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9656;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9664;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9672;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9680;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9688;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9696;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9704;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9712;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9720;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9728;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9736;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9744;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9752;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9760;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9768;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9776;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9784;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9792;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9800;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9808;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9816;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9824;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9832;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9840;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9848;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9856;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9864;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9872;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9880;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9888;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9896;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9904;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9912;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9920;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9928;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9936;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9944;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9952;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9960;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9968;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9976;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9984;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;9992;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10000;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10008;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10016;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10024;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10032;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10040;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10048;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10056;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10064;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10072;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10080;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10088;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10096;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10104;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10112;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10120;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10128;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10136;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10144;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10152;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10160;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10168;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10176;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10184;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10192;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10200;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10208;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10216;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10224;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10232;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10240;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10248;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10256;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10264;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10272;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10280;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10288;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10296;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10304;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10312;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10320;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10328;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10336;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10344;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10352;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10360;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10368;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10376;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10384;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10392;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10400;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10408;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10416;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10424;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10432;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10440;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10448;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10456;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10464;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10472;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10480;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10488;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10496;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10504;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10512;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10520;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10528;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10536;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10544;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10552;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10560;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10568;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10576;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10584;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10592;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10600;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10608;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10616;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10624;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10632;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10640;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10648;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10656;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10664;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10672;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10680;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10688;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10696;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10704;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10712;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10720;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10728;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10736;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10744;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10752;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10760;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10768;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10776;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10784;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10792;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10800;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10808;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10816;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10824;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10832;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10840;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10848;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10856;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10864;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10872;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10880;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10888;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10896;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10904;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10912;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10920;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10928;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10936;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10944;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10952;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10960;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10968;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10976;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10984;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;10992;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11000;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11008;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11016;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11024;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11032;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11040;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11048;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11056;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11064;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11072;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11080;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11088;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11096;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11104;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11112;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11120;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11128;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11136;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11144;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11152;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11160;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11168;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11176;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11184;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11192;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11200;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11208;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11216;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11224;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11232;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11240;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11248;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11256;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11264;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11272;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11280;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11288;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11296;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11304;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11312;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11320;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11328;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11336;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11344;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11352;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11360;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11368;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11376;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11384;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11392;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11400;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11408;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11416;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11424;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11432;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11440;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11448;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11456;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11464;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11472;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11480;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11488;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11496;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11504;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11512;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11520;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11528;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11536;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11544;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11552;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11560;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11568;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11576;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11584;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11592;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11600;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11608;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11616;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11624;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11632;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11640;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11648;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11656;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11664;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11672;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11680;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11688;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11696;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11704;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11712;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11720;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11728;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11736;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11744;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11752;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11760;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11768;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11776;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11784;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11792;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11800;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11808;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11816;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11824;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11832;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11840;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11848;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11856;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11864;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11872;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11880;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11888;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11896;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11904;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11912;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11920;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11928;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11936;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11944;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11952;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11960;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11968;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11976;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11984;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;11992;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12000;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12008;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12016;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12024;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12032;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12040;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12048;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12056;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12064;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12072;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12080;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12088;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12096;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12104;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12112;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12120;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12128;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12136;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12144;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12152;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12160;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12168;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12176;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12184;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12192;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12200;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12208;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12216;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12224;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12232;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12240;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12248;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12256;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12264;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12272;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12280;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12288;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12296;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12304;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12312;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12320;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12328;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12336;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12344;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12352;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12360;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12368;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12376;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12384;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12392;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12400;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12408;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12416;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12424;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12432;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12440;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12448;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12456;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12464;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12472;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12480;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12488;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12496;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12504;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12512;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12520;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12528;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12536;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12544;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12552;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12560;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12568;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12576;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12584;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12592;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12600;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12608;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12616;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12624;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12632;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12640;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12648;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12656;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12664;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12672;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12680;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12688;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12696;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12704;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12712;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12720;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12728;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12736;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12744;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12752;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12760;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12768;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12776;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12784;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12792;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12800;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12808;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12816;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12824;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12832;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12840;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12848;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12856;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12864;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12872;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12880;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12888;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12896;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12904;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12912;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12920;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12928;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12936;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12944;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12952;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12960;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12968;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12976;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12984;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;12992;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13000;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13008;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13016;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13024;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13032;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13040;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13048;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13056;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13064;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13072;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13080;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13088;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13096;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13104;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13112;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13120;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13128;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13136;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13144;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13152;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13160;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13168;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13176;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13184;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13192;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13200;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13208;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13216;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13224;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13232;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13240;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13248;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13256;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13264;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13272;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13280;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13288;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13296;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13304;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13312;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13320;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13328;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13336;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13344;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13352;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13360;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13368;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13376;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13384;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13392;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13400;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13408;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13416;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13424;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13432;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13440;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13448;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13456;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13464;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13472;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13480;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13488;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13496;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13504;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13512;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13520;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13528;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13536;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13544;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13552;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13560;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13568;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13576;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13584;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13592;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13600;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13608;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13616;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13624;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13632;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13640;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13648;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13656;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13664;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13672;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13680;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13688;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13696;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13704;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13712;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13720;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13728;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13736;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13744;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13752;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13760;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13768;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13776;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13784;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13792;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13800;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13808;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13816;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13824;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13832;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13840;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13848;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13856;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13864;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13872;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13880;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13888;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13896;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13904;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13912;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13920;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13928;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13936;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13944;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13952;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13960;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13968;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13976;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13984;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;13992;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14000;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14008;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14016;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14024;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14032;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14040;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14048;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14056;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14064;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14072;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14080;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14088;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14096;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14104;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14112;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14120;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14128;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14136;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14144;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14152;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14160;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14168;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14176;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14184;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14192;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14200;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14208;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14216;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14224;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14232;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14240;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14248;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14256;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14264;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14272;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14280;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14288;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14296;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14304;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14312;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14320;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14328;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14336;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14344;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14352;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14360;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14368;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14376;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14384;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14392;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14400;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14408;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14416;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14424;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14432;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14440;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14448;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14456;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14464;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14472;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14480;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14488;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14496;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14504;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14512;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14520;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14528;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14536;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14544;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14552;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14560;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14568;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14576;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14584;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14592;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14600;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14608;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14616;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14624;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14632;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14640;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14648;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14656;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14664;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14672;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14680;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14688;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14696;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14704;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14712;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14720;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14728;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14736;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14744;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14752;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14760;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14768;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14776;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14784;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14792;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14800;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14808;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14816;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14824;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14832;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14840;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14848;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14856;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14864;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14872;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14880;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14888;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14896;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14904;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14912;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14920;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14928;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14936;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14944;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14952;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14960;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14968;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14976;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14984;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;14992;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15000;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15008;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15016;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15024;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15032;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15040;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15048;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15056;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15064;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15072;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15080;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15088;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15096;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15104;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15112;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15120;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15128;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15136;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15144;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15152;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15160;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15168;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15176;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15184;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15192;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15200;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15208;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15216;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15224;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15232;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15240;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15248;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15256;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15264;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15272;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15280;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15288;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15296;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15304;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15312;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15320;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15328;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15336;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15344;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15352;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15360;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15368;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15376;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15384;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15392;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15400;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15408;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15416;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15424;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15432;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15440;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15448;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15456;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15464;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15472;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15480;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15488;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15496;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15504;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15512;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15520;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15528;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15536;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15544;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15552;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15560;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15568;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15576;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15584;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15592;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15600;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15608;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15616;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15624;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15632;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15640;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15648;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15656;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15664;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15672;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15680;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15688;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15696;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15704;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15712;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15720;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15728;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15736;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15744;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15752;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15760;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15768;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15776;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15784;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15792;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15800;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15808;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15816;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15824;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15832;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15840;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15848;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15856;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15864;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15872;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15880;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15888;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15896;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15904;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15912;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15920;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15928;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15936;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15944;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15952;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15960;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15968;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15976;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15984;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;15992;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16000;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16008;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16016;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16024;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16032;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16040;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16048;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16056;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16064;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16072;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16080;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16088;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16096;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16104;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16112;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16120;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16128;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16136;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16144;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16152;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16160;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16168;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16176;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16184;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16192;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16200;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16208;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16216;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16224;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16232;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16240;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16248;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16256;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16264;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16272;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16280;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16288;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16296;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16304;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16312;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16320;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16328;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16336;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16344;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16352;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16360;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16368;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16376;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16384;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16392;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16400;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16408;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16416;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16424;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16432;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16440;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16448;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16456;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16464;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16472;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16480;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16488;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16496;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16504;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16512;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16520;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16528;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16536;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16544;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16552;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16560;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16568;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16576;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16584;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16592;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16600;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16608;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16616;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16624;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16632;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16640;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16648;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16656;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16664;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16672;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16680;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16688;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16696;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16704;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16712;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16720;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16728;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16736;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16744;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16752;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16760;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16768;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16776;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16784;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16792;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16800;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16808;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16816;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16824;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16832;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16840;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16848;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16856;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16864;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16872;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16880;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16888;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16896;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16904;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16912;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16920;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16928;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16936;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16944;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16952;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16960;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16968;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16976;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16984;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;16992;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17000;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17008;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17016;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17024;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17032;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17040;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17048;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17056;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17064;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17072;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17080;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17088;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17096;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17104;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17112;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17120;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17128;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17136;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17144;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17152;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17160;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17168;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17176;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17184;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17192;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17200;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17208;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17216;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17224;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17232;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17240;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17248;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17256;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17264;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17272;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17280;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17288;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17296;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17304;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17312;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17320;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17328;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17336;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17344;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17352;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17360;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17368;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17376;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17384;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17392;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17400;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17408;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17416;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17424;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17432;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17440;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17448;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17456;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17464;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17472;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17480;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17488;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17496;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17504;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17512;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17520;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17528;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17536;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17544;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17552;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17560;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17568;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17576;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17584;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17592;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17600;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17608;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17616;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17624;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17632;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17640;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17648;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17656;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17664;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17672;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17680;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17688;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17696;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17704;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17712;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17720;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17728;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17736;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17744;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17752;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17760;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17768;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17776;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17784;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17792;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17800;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17808;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17816;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17824;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17832;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17840;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17848;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17856;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17864;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17872;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17880;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17888;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17896;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17904;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17912;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17920;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17928;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17936;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17944;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17952;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17960;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17968;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17976;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17984;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;17992;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18000;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18008;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18016;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18024;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18032;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18040;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18048;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18056;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18064;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18072;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18080;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18088;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18096;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18104;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18112;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18120;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18128;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18136;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18144;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18152;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18160;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18168;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18176;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18184;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18192;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18200;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18208;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18216;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18224;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18232;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18240;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18248;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18256;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18264;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18272;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18280;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18288;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18296;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18304;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18312;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18320;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18328;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18336;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18344;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18352;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18360;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18368;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18376;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18384;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18392;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18400;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18408;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18416;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18424;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18432;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18440;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18448;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18456;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18464;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18472;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18480;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18488;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18496;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18504;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18512;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18520;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18528;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18536;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18544;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18552;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18560;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18568;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18576;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18584;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18592;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18600;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18608;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18616;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18624;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18632;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18640;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18648;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18656;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18664;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18672;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18680;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18688;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18696;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18704;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18712;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18720;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18728;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18736;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18744;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18752;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18760;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18768;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18776;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18784;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18792;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18800;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18808;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18816;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18824;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18832;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18840;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18848;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18856;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18864;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18872;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18880;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18888;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18896;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18904;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18912;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18920;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18928;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18936;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18944;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18952;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18960;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18968;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18976;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18984;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;18992;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19000;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19008;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19016;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19024;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19032;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19040;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19048;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19056;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19064;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19072;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19080;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19088;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19096;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19104;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19112;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19120;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19128;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19136;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19144;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19152;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19160;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19168;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19176;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19184;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19192;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19200;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19208;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19216;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19224;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19232;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19240;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19248;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19256;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19264;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19272;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19280;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19288;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19296;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19304;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19312;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19320;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19328;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19336;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19344;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19352;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19360;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19368;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19376;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19384;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19392;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19400;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19408;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19416;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19424;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19432;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19440;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19448;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19456;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19464;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19472;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19480;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19488;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19496;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19504;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19512;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19520;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19528;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19536;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19544;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19552;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19560;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19568;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19576;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19584;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19592;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19600;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19608;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19616;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19624;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19632;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19640;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19648;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19656;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19664;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19672;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19680;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19688;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19696;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19704;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19712;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19720;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19728;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19736;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19744;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19752;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19760;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19768;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19776;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19784;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19792;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19800;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19808;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19816;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19824;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19832;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19840;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19848;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19856;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19864;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19872;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19880;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19888;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19896;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19904;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19912;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19920;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19928;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19936;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19944;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19952;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19960;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19968;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19976;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19984;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;19992;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20000;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20008;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20016;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20024;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20032;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20040;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20048;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20056;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20064;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20072;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20080;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20088;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20096;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20104;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20112;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20120;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20128;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20136;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20144;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20152;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20160;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20168;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20176;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20184;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20192;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20200;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20208;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20216;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20224;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20232;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20240;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20248;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20256;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20264;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20272;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20280;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20288;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20296;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20304;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20312;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20320;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20328;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20336;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20344;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20352;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20360;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20368;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20376;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20384;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20392;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20400;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20408;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20416;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20424;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20432;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20440;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20448;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20456;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20464;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20472;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20480;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20488;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20496;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20504;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20512;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20520;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20528;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20536;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20544;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20552;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20560;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20568;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20576;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20584;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20592;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20600;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20608;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20616;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20624;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20632;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20640;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20648;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20656;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20664;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20672;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20680;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20688;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20696;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20704;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20712;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20720;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20728;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20736;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20744;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20752;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20760;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20768;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20776;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20784;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20792;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20800;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20808;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20816;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20824;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20832;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20840;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20848;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20856;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20864;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20872;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20880;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20888;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20896;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20904;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20912;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20920;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20928;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20936;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20944;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20952;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20960;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20968;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20976;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20984;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;20992;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21000;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21008;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21016;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21024;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21032;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21040;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21048;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21056;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21064;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21072;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21080;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21088;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21096;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21104;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21112;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21120;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21128;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21136;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21144;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21152;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21160;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21168;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21176;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21184;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21192;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21200;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21208;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21216;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21224;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21232;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21240;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21248;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21256;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21264;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21272;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21280;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21288;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21296;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21304;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21312;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21320;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21328;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21336;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21344;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21352;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21360;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21368;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21376;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21384;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21392;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21400;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21408;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21416;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21424;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21432;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21440;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21448;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21456;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21464;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21472;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21480;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21488;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21496;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21504;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21512;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21520;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21528;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21536;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21544;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21552;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21560;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21568;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21576;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21584;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21592;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21600;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21608;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21616;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21624;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21632;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21640;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21648;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21656;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21664;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21672;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21680;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21688;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21696;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21704;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21712;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21720;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21728;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21736;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21744;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21752;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21760;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21768;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21776;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21784;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21792;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21800;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21808;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21816;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21824;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21832;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21840;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21848;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21856;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21864;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21872;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21880;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21888;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21896;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21904;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21912;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21920;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21928;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21936;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21944;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21952;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21960;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21968;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21976;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21984;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;21992;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22000;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22008;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22016;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22024;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22032;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22040;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22048;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22056;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22064;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22072;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22080;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22088;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22096;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22104;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22112;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22120;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22128;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22136;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22144;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22152;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22160;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22168;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22176;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22184;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22192;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22200;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22208;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22216;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22224;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22232;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22240;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22248;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22256;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22264;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22272;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22280;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22288;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22296;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22304;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22312;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22320;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22328;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22336;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22344;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22352;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22360;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22368;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22376;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22384;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22392;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22400;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22408;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22416;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22424;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22432;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22440;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22448;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22456;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22464;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22472;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22480;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22488;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22496;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22504;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22512;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22520;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22528;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22536;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22544;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22552;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22560;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22568;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22576;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22584;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22592;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22600;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22608;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22616;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22624;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22632;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22640;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22648;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22656;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22664;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22672;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22680;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22688;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22696;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22704;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22712;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22720;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22728;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22736;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22744;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22752;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22760;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22768;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22776;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22784;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22792;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22800;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22808;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22816;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22824;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22832;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22840;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22848;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22856;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22864;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22872;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22880;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22888;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22896;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22904;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22912;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22920;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22928;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22936;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22944;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22952;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22960;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22968;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22976;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22984;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;22992;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23000;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23008;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23016;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23024;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23032;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23040;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23048;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23056;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23064;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23072;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23080;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23088;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23096;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23104;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23112;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23120;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23128;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23136;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23144;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23152;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23160;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23168;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23176;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23184;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23192;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23200;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23208;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23216;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23224;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23232;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23240;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23248;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23256;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23264;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23272;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23280;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23288;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23296;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23304;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23312;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23320;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23328;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23336;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23344;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23352;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23360;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23368;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23376;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23384;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23392;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23400;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23408;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23416;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23424;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23432;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23440;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23448;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23456;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23464;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23472;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23480;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23488;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23496;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23504;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23512;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23520;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23528;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23536;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23544;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23552;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23560;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23568;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23576;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23584;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23592;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23600;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23608;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23616;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23624;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23632;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23640;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23648;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23656;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23664;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23672;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23680;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23688;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23696;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23704;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23712;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23720;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23728;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23736;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23744;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23752;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23760;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23768;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23776;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23784;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23792;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23800;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23808;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23816;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23824;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23832;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23840;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23848;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23856;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23864;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23872;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23880;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23888;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23896;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23904;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23912;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23920;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23928;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23936;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23944;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23952;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23960;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23968;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23976;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23984;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;23992;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24000;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24008;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24016;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24024;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24032;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24040;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24048;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24056;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24064;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24072;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24080;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24088;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24096;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24104;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24112;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24120;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24128;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24136;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24144;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24152;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24160;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24168;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24176;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24184;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24192;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24200;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24208;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24216;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24224;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24232;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24240;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24248;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24256;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24264;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24272;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24280;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24288;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24296;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24304;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24312;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24320;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24328;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24336;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24344;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24352;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24360;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24368;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24376;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24384;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24392;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24400;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24408;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24416;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24424;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24432;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24440;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24448;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24456;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24464;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24472;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24480;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24488;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24496;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24504;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24512;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24520;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24528;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24536;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24544;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24552;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24560;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24568;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24576;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24584;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24592;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24600;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24608;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24616;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24624;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24632;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24640;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24648;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24656;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24664;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24672;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24680;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24688;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24696;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24704;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24712;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24720;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24728;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24736;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24744;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24752;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24760;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24768;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24776;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24784;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24792;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24800;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24808;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24816;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24824;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24832;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24840;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24848;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24856;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24864;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24872;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24880;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24888;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24896;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24904;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24912;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24920;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24928;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24936;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24944;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24952;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24960;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24968;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24976;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24984;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;24992;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25000;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25008;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25016;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25024;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25032;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25040;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25048;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25056;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25064;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25072;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25080;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25088;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25096;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25104;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25112;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25120;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25128;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25136;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25144;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25152;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25160;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25168;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25176;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25184;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25192;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25200;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25208;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25216;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25224;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25232;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25240;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25248;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25256;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25264;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25272;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25280;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25288;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25296;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25304;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25312;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25320;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25328;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25336;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25344;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25352;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25360;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25368;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25376;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25384;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25392;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25400;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25408;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25416;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25424;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25432;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25440;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25448;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25456;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25464;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25472;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25480;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25488;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25496;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25504;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25512;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25520;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25528;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25536;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25544;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25552;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25560;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25568;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25576;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25584;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25592;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25600;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25608;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25616;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25624;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25632;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25640;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25648;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25656;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25664;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25672;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25680;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25688;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25696;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25704;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25712;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25720;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25728;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25736;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25744;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25752;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25760;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25768;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25776;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25784;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25792;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25800;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25808;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25816;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25824;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25832;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25840;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25848;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25856;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25864;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25872;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25880;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25888;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25896;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25904;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25912;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25920;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25928;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25936;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25944;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25952;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25960;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25968;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25976;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25984;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;25992;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26000;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26008;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26016;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26024;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26032;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26040;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26048;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26056;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26064;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26072;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26080;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26088;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26096;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26104;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26112;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26120;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26128;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26136;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26144;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26152;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26160;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26168;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26176;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26184;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26192;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26200;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26208;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26216;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26224;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26232;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26240;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26248;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26256;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26264;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26272;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26280;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26288;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26296;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26304;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26312;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26320;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26328;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26336;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26344;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26352;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26360;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26368;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26376;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26384;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26392;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26400;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26408;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26416;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26424;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26432;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26440;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26448;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26456;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26464;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26472;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26480;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26488;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26496;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26504;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26512;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26520;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26528;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26536;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26544;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26552;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26560;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26568;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26576;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26584;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26592;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26600;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26608;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26616;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26624;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26632;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26640;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26648;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26656;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26664;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26672;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26680;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26688;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26696;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26704;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26712;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26720;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26728;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26736;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26744;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26752;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26760;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26768;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26776;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26784;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26792;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26800;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26808;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26816;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26824;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26832;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26840;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26848;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26856;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26864;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26872;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26880;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26888;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26896;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26904;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26912;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26920;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26928;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26936;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26944;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26952;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26960;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26968;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26976;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26984;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;26992;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27000;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27008;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27016;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27024;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27032;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27040;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27048;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27056;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27064;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27072;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27080;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27088;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27096;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27104;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27112;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27120;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27128;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27136;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27144;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27152;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27160;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27168;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27176;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27184;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27192;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27200;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27208;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27216;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27224;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27232;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27240;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27248;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27256;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27264;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27272;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27280;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27288;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27296;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27304;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27312;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27320;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27328;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27336;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27344;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27352;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27360;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27368;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27376;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27384;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27392;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27400;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27408;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27416;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27424;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27432;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27440;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27448;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27456;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27464;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27472;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27480;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27488;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27496;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27504;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27512;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27520;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27528;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27536;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27544;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27552;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27560;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27568;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27576;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27584;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27592;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27600;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27608;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27616;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27624;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27632;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27640;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27648;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27656;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27664;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27672;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27680;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27688;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27696;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27704;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27712;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27720;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27728;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27736;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27744;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27752;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27760;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27768;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27776;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27784;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27792;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27800;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27808;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27816;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27824;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27832;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27840;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27848;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27856;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27864;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27872;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27880;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27888;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27896;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27904;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27912;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27920;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27928;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27936;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27944;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27952;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27960;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27968;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27976;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27984;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;27992;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28000;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28008;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28016;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28024;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28032;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28040;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28048;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28056;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28064;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28072;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28080;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28088;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28096;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28104;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28112;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28120;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28128;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28136;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28144;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28152;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28160;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28168;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28176;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28184;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28192;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28200;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28208;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28216;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28224;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28232;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28240;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28248;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28256;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28264;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28272;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28280;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28288;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28296;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28304;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28312;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28320;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28328;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28336;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28344;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28352;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28360;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28368;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28376;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28384;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28392;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28400;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28408;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28416;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28424;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28432;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28440;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28448;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28456;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28464;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28472;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28480;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28488;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28496;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28504;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28512;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28520;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28528;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28536;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28544;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28552;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28560;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28568;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28576;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28584;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28592;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28600;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28608;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28616;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28624;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28632;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28640;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28648;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28656;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28664;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28672;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28680;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28688;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28696;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28704;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28712;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28720;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28728;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28736;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28744;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28752;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28760;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28768;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28776;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28784;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28792;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28800;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28808;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28816;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28824;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28832;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28840;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28848;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28856;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28864;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28872;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28880;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28888;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28896;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28904;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28912;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28920;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28928;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28936;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28944;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28952;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28960;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28968;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28976;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28984;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;28992;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29000;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29008;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29016;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29024;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29032;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29040;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29048;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29056;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29064;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29072;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29080;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29088;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29096;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29104;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29112;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29120;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29128;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29136;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29144;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29152;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29160;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29168;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29176;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29184;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29192;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29200;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29208;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29216;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29224;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29232;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29240;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29248;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29256;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29264;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29272;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29280;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29288;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29296;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29304;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29312;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29320;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29328;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29336;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29344;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29352;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29360;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29368;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29376;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29384;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29392;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29400;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29408;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29416;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29424;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29432;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29440;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29448;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29456;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29464;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29472;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29480;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29488;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29496;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29504;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29512;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29520;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29528;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29536;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29544;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29552;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29560;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29568;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29576;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29584;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29592;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29600;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29608;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29616;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29624;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29632;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29640;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29648;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29656;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29664;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29672;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29680;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29688;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29696;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29704;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29712;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29720;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29728;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29736;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29744;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29752;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29760;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29768;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29776;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29784;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29792;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29800;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29808;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29816;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29824;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29832;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29840;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29848;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29856;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29864;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29872;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29880;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29888;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29896;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29904;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29912;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29920;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29928;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29936;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29944;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29952;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29960;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29968;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29976;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29984;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;29992;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30000;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30008;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30016;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30024;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30032;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30040;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30048;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30056;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30064;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30072;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30080;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30088;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30096;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30104;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30112;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30120;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30128;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30136;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30144;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30152;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30160;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30168;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30176;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30184;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30192;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30200;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30208;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30216;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30224;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30232;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30240;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30248;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30256;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30264;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30272;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30280;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30288;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30296;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30304;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30312;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30320;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30328;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30336;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30344;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30352;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30360;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30368;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30376;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30384;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30392;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30400;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30408;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30416;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30424;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30432;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30440;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30448;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30456;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30464;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30472;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30480;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30488;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30496;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30504;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30512;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30520;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30528;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30536;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30544;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30552;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30560;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30568;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30576;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30584;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30592;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30600;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30608;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30616;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30624;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30632;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30640;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30648;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30656;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30664;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30672;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30680;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30688;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30696;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30704;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30712;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30720;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30728;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30736;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30744;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30752;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30760;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30768;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30776;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30784;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30792;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30800;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30808;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30816;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30824;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30832;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30840;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30848;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30856;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30864;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30872;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30880;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30888;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30896;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30904;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30912;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30920;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30928;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30936;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30944;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30952;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30960;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30968;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30976;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30984;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;30992;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31000;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31008;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31016;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31024;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31032;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31040;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31048;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31056;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31064;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31072;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31080;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31088;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31096;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31104;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31112;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31120;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31128;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31136;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31144;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31152;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31160;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31168;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31176;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31184;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31192;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31200;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31208;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31216;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31224;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31232;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31240;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31248;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31256;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31264;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31272;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31280;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31288;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31296;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31304;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31312;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31320;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31328;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31336;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31344;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31352;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31360;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31368;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31376;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31384;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31392;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31400;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31408;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31416;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31424;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31432;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31440;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31448;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31456;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31464;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31472;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31480;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31488;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31496;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31504;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31512;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31520;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31528;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31536;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31544;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31552;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31560;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31568;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31576;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31584;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31592;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31600;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31608;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31616;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31624;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31632;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31640;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31648;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31656;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31664;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31672;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31680;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31688;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31696;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31704;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31712;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31720;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31728;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31736;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31744;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31752;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31760;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31768;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31776;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31784;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31792;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31800;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31808;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31816;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31824;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31832;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31840;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31848;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31856;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31864;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31872;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31880;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31888;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31896;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31904;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31912;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31920;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31928;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31936;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31944;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31952;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31960;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31968;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31976;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31984;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;31992;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32000;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32008;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32016;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32024;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32032;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32040;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32048;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32056;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32064;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32072;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32080;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32088;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32096;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32104;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32112;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32120;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32128;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32136;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32144;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32152;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32160;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32168;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32176;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32184;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32192;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32200;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32208;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32216;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32224;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32232;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32240;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32248;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32256;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32264;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32272;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32280;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32288;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32296;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32304;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32312;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32320;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32328;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32336;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32344;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32352;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32360;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32368;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32376;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32384;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32392;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32400;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32408;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32416;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32424;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32432;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32440;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32448;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32456;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32464;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32472;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32480;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32488;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32496;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32504;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32512;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32520;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32528;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32536;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32544;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32552;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32560;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32568;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32576;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32584;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32592;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32600;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32608;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32616;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32624;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32632;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32640;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32648;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32656;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32664;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32672;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32680;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32688;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32696;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32704;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32712;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32720;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32728;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32736;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32744;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32752;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; -;32760;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ;(00000000) (0) (0) (00) ; - - -+------------------------------------------------+ -; Routing Usage Summary ; -+-----------------------+------------------------+ -; Routing Resource Type ; Usage ; -+-----------------------+------------------------+ -; Block interconnects ; 5,530 / 71,559 ( 8 % ) ; -; C16 interconnects ; 193 / 2,597 ( 7 % ) ; -; C4 interconnects ; 4,092 / 46,848 ( 9 % ) ; -; Direct links ; 709 / 71,559 ( < 1 % ) ; -; Global clocks ; 9 / 20 ( 45 % ) ; -; Local interconnects ; 1,732 / 24,624 ( 7 % ) ; -; R24 interconnects ; 183 / 2,496 ( 7 % ) ; -; R4 interconnects ; 5,061 / 62,424 ( 8 % ) ; -+-----------------------+------------------------+ - - -+-----------------------------------------------------------------------------+ -; LAB Logic Elements ; -+---------------------------------------------+-------------------------------+ -; Number of Logic Elements (Average = 13.10) ; Number of LABs (Total = 230) ; -+---------------------------------------------+-------------------------------+ -; 1 ; 7 ; -; 2 ; 6 ; -; 3 ; 5 ; -; 4 ; 5 ; -; 5 ; 6 ; -; 6 ; 3 ; -; 7 ; 2 ; -; 8 ; 3 ; -; 9 ; 5 ; -; 10 ; 8 ; -; 11 ; 6 ; -; 12 ; 6 ; -; 13 ; 8 ; -; 14 ; 10 ; -; 15 ; 35 ; -; 16 ; 115 ; -+---------------------------------------------+-------------------------------+ - - -+--------------------------------------------------------------------+ -; LAB-wide Signals ; -+------------------------------------+-------------------------------+ -; LAB-wide Signals (Average = 1.41) ; Number of LABs (Total = 230) ; -+------------------------------------+-------------------------------+ -; 1 Async. clear ; 35 ; -; 1 Clock ; 146 ; -; 1 Clock enable ; 65 ; -; 1 Sync. clear ; 13 ; -; 1 Sync. load ; 18 ; -; 2 Clock enables ; 38 ; -; 2 Clocks ; 9 ; -+------------------------------------+-------------------------------+ - - -+------------------------------------------------------------------------------+ -; LAB Signals Sourced ; -+----------------------------------------------+-------------------------------+ -; Number of Signals Sourced (Average = 16.41) ; Number of LABs (Total = 230) ; -+----------------------------------------------+-------------------------------+ -; 0 ; 0 ; -; 1 ; 5 ; -; 2 ; 5 ; -; 3 ; 5 ; -; 4 ; 4 ; -; 5 ; 4 ; -; 6 ; 4 ; -; 7 ; 3 ; -; 8 ; 4 ; -; 9 ; 5 ; -; 10 ; 10 ; -; 11 ; 5 ; -; 12 ; 3 ; -; 13 ; 5 ; -; 14 ; 4 ; -; 15 ; 13 ; -; 16 ; 37 ; -; 17 ; 16 ; -; 18 ; 21 ; -; 19 ; 6 ; -; 20 ; 15 ; -; 21 ; 9 ; -; 22 ; 5 ; -; 23 ; 9 ; -; 24 ; 3 ; -; 25 ; 4 ; -; 26 ; 7 ; -; 27 ; 4 ; -; 28 ; 4 ; -; 29 ; 2 ; -; 30 ; 1 ; -; 31 ; 0 ; -; 32 ; 8 ; -+----------------------------------------------+-------------------------------+ - - -+---------------------------------------------------------------------------------+ -; LAB Signals Sourced Out ; -+-------------------------------------------------+-------------------------------+ -; Number of Signals Sourced Out (Average = 8.26) ; Number of LABs (Total = 230) ; -+-------------------------------------------------+-------------------------------+ -; 0 ; 0 ; -; 1 ; 14 ; -; 2 ; 16 ; -; 3 ; 13 ; -; 4 ; 11 ; -; 5 ; 14 ; -; 6 ; 14 ; -; 7 ; 9 ; -; 8 ; 23 ; -; 9 ; 19 ; -; 10 ; 30 ; -; 11 ; 20 ; -; 12 ; 9 ; -; 13 ; 11 ; -; 14 ; 5 ; -; 15 ; 4 ; -; 16 ; 14 ; -; 17 ; 1 ; -; 18 ; 1 ; -; 19 ; 1 ; -; 20 ; 1 ; -+-------------------------------------------------+-------------------------------+ - - -+------------------------------------------------------------------------------+ -; LAB Distinct Inputs ; -+----------------------------------------------+-------------------------------+ -; Number of Distinct Inputs (Average = 19.46) ; Number of LABs (Total = 230) ; -+----------------------------------------------+-------------------------------+ -; 0 ; 0 ; -; 1 ; 2 ; -; 2 ; 5 ; -; 3 ; 6 ; -; 4 ; 10 ; -; 5 ; 7 ; -; 6 ; 3 ; -; 7 ; 6 ; -; 8 ; 7 ; -; 9 ; 8 ; -; 10 ; 6 ; -; 11 ; 8 ; -; 12 ; 4 ; -; 13 ; 6 ; -; 14 ; 9 ; -; 15 ; 4 ; -; 16 ; 11 ; -; 17 ; 4 ; -; 18 ; 5 ; -; 19 ; 3 ; -; 20 ; 5 ; -; 21 ; 9 ; -; 22 ; 4 ; -; 23 ; 2 ; -; 24 ; 2 ; -; 25 ; 6 ; -; 26 ; 5 ; -; 27 ; 6 ; -; 28 ; 6 ; -; 29 ; 10 ; -; 30 ; 3 ; -; 31 ; 17 ; -; 32 ; 18 ; -; 33 ; 17 ; -; 34 ; 4 ; -; 35 ; 2 ; -+----------------------------------------------+-------------------------------+ - - -+------------------------------------------+ -; I/O Rules Summary ; -+----------------------------------+-------+ -; I/O Rules Statistic ; Total ; -+----------------------------------+-------+ -; Total I/O Rules ; 30 ; -; Number of I/O Rules Passed ; 9 ; -; Number of I/O Rules Failed ; 0 ; -; Number of I/O Rules Unchecked ; 0 ; -; Number of I/O Rules Inapplicable ; 21 ; -+----------------------------------+-------+ - - -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; I/O Rules Details ; -+--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+------+-------------------+ -; Status ; ID ; Category ; Rule Description ; Severity ; Information ; Area ; Extra Information ; -+--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+------+-------------------+ -; Pass ; IO_000001 ; Capacity Checks ; Number of pins in an I/O bank should not exceed the number of locations available. ; Critical ; 0 such failures found. ; I/O ; ; -; Inapplicable ; IO_000002 ; Capacity Checks ; Number of clocks in an I/O bank should not exceed the number of clocks available. ; Critical ; No Global Signal assignments found. ; I/O ; ; -; Pass ; IO_000003 ; Capacity Checks ; Number of pins in a Vrefgroup should not exceed the number of locations available. ; Critical ; 0 such failures found. ; I/O ; ; -; Inapplicable ; IO_000004 ; Voltage Compatibility Checks ; The I/O bank should support the requested VCCIO. ; Critical ; No IOBANK_VCCIO assignments found. ; I/O ; ; -; Inapplicable ; IO_000005 ; Voltage Compatibility Checks ; The I/O bank should not have competing VREF values. ; Critical ; No VREF I/O Standard assignments found. ; I/O ; ; -; Pass ; IO_000006 ; Voltage Compatibility Checks ; The I/O bank should not have competing VCCIO values. ; Critical ; 0 such failures found. ; I/O ; ; -; Pass ; IO_000007 ; Valid Location Checks ; Checks for unavailable locations. ; Critical ; 0 such failures found. ; I/O ; ; -; Inapplicable ; IO_000008 ; Valid Location Checks ; Checks for reserved locations. ; Critical ; No reserved LogicLock region found. ; I/O ; ; -; Pass ; IO_000009 ; I/O Properties Checks for One I/O ; The location should support the requested I/O standard. ; Critical ; 0 such failures found. ; I/O ; ; -; Pass ; IO_000010 ; I/O Properties Checks for One I/O ; The location should support the requested I/O direction. ; Critical ; 0 such failures found. ; I/O ; ; -; Inapplicable ; IO_000011 ; I/O Properties Checks for One I/O ; The location should support the requested Current Strength. ; Critical ; No Current Strength assignments found. ; I/O ; ; -; Inapplicable ; IO_000012 ; I/O Properties Checks for One I/O ; The location should support the requested On Chip Termination value. ; Critical ; No Termination assignments found. ; I/O ; ; -; Inapplicable ; IO_000013 ; I/O Properties Checks for One I/O ; The location should support the requested Bus Hold value. ; Critical ; No Enable Bus-Hold Circuitry assignments found. ; I/O ; ; -; Inapplicable ; IO_000014 ; I/O Properties Checks for One I/O ; The location should support the requested Weak Pull Up value. ; Critical ; No Weak Pull-Up Resistor assignments found. ; I/O ; ; -; Pass ; IO_000015 ; I/O Properties Checks for One I/O ; The location should support the requested PCI Clamp Diode. ; Critical ; 0 such failures found. ; I/O ; ; -; Inapplicable ; IO_000018 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Current Strength. ; Critical ; No Current Strength assignments found. ; I/O ; ; -; Inapplicable ; IO_000019 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested On Chip Termination value. ; Critical ; No Termination assignments found. ; I/O ; ; -; Pass ; IO_000020 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested PCI Clamp Diode. ; Critical ; 0 such failures found. ; I/O ; ; -; Inapplicable ; IO_000021 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Weak Pull Up value. ; Critical ; No Weak Pull-Up Resistor assignments found. ; I/O ; ; -; Inapplicable ; IO_000022 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Bus Hold value. ; Critical ; No Enable Bus-Hold Circuitry assignments found. ; I/O ; ; -; Inapplicable ; IO_000023 ; I/O Properties Checks for One I/O ; The I/O standard should support the Open Drain value. ; Critical ; No open drain assignments found. ; I/O ; ; -; Inapplicable ; IO_000024 ; I/O Properties Checks for One I/O ; The I/O direction should support the On Chip Termination value. ; Critical ; No Termination assignments found. ; I/O ; ; -; Inapplicable ; IO_000026 ; I/O Properties Checks for One I/O ; On Chip Termination and Current Strength should not be used at the same time. ; Critical ; No Current Strength or Termination assignments found. ; I/O ; ; -; Inapplicable ; IO_000027 ; I/O Properties Checks for One I/O ; Weak Pull Up and Bus Hold should not be used at the same time. ; Critical ; No Enable Bus-Hold Circuitry or Weak Pull-Up Resistor assignments found. ; I/O ; ; -; Inapplicable ; IO_000045 ; I/O Properties Checks for One I/O ; The I/O standard should support the requested Slew Rate value. ; Critical ; No Slew Rate assignments found. ; I/O ; ; -; Inapplicable ; IO_000046 ; I/O Properties Checks for One I/O ; The location should support the requested Slew Rate value. ; Critical ; No Slew Rate assignments found. ; I/O ; ; -; Inapplicable ; IO_000047 ; I/O Properties Checks for One I/O ; On Chip Termination and Slew Rate should not be used at the same time. ; Critical ; No Slew Rate assignments found. ; I/O ; ; -; Pass ; IO_000033 ; Electromigration Checks ; Current density for consecutive I/Os should not exceed 240mA for row I/Os and 240mA for column I/Os. ; Critical ; 0 such failures found. ; I/O ; ; -; Inapplicable ; IO_000034 ; SI Related Distance Checks ; Single-ended outputs should be 5 LAB row(s) away from a differential I/O. ; High ; No Differential I/O Standard assignments found. ; I/O ; ; -; Inapplicable ; IO_000042 ; SI Related SSO Limit Checks ; No more than 20 outputs are allowed in a VREF group when VREF is being read from. ; High ; No VREF I/O Standard assignments found. ; I/O ; ; -+--------------+-----------+-----------------------------------+------------------------------------------------------------------------------------------------------+----------+--------------------------------------------------------------------------+------+-------------------+ - - -+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; I/O Rules Matrix ; -+--------------------+-----------+--------------+-----------+--------------+--------------+-----------+-----------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+ -; Pin/Rules ; IO_000001 ; IO_000002 ; IO_000003 ; IO_000004 ; IO_000005 ; IO_000006 ; IO_000007 ; IO_000008 ; IO_000009 ; IO_000010 ; IO_000011 ; IO_000012 ; IO_000013 ; IO_000014 ; IO_000015 ; IO_000018 ; IO_000019 ; IO_000020 ; IO_000021 ; IO_000022 ; IO_000023 ; IO_000024 ; IO_000026 ; IO_000027 ; IO_000045 ; IO_000046 ; IO_000047 ; IO_000033 ; IO_000034 ; IO_000042 ; -+--------------------+-----------+--------------+-----------+--------------+--------------+-----------+-----------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+ -; Total Pass ; 31 ; 0 ; 31 ; 0 ; 0 ; 31 ; 31 ; 0 ; 31 ; 31 ; 0 ; 0 ; 0 ; 0 ; 8 ; 0 ; 0 ; 8 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 31 ; 0 ; 0 ; -; Total Unchecked ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; Total Inapplicable ; 0 ; 31 ; 0 ; 31 ; 31 ; 0 ; 0 ; 31 ; 0 ; 0 ; 31 ; 31 ; 31 ; 31 ; 23 ; 31 ; 31 ; 23 ; 31 ; 31 ; 31 ; 31 ; 31 ; 31 ; 31 ; 31 ; 31 ; 0 ; 31 ; 31 ; -; Total Fail ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; VGA_R[0] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VGA_R[1] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VGA_R[2] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VGA_R[3] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VGA_R[4] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VGA_R[5] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VGA_G[0] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VGA_G[1] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VGA_G[2] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VGA_G[3] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VGA_G[4] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VGA_G[5] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VGA_B[0] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VGA_B[1] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VGA_B[2] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VGA_B[3] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VGA_B[4] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VGA_B[5] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VGA_HS ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; VGA_VS ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; LED ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; AUDIO_L ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; AUDIO_R ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; SPI_DO ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; SPI_SS2 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; SPI_SS4 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; SPI_SCK ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; CONF_DATA0 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; SPI_SS3 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; SPI_DI ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; CLOCK_27 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -+--------------------+-----------+--------------+-----------+--------------+--------------+-----------+-----------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+ - - -+----------------------------------------------------------------------------------------+ -; Fitter Device Options ; -+------------------------------------------------------------------+---------------------+ -; Option ; Setting ; -+------------------------------------------------------------------+---------------------+ -; Enable user-supplied start-up clock (CLKUSR) ; Off ; -; Enable device-wide reset (DEV_CLRn) ; Off ; -; Enable device-wide output enable (DEV_OE) ; Off ; -; Enable INIT_DONE output ; Off ; -; Configuration scheme ; Passive Serial ; -; Error detection CRC ; Off ; -; Enable open drain on CRC_ERROR pin ; Off ; -; Enable input tri-state on active configuration pins in user mode ; Off ; -; Configuration Voltage Level ; Auto ; -; Force Configuration Voltage Level ; On ; -; nCEO ; Unreserved ; -; Data[0] ; Unreserved ; -; Data[1]/ASDO ; Unreserved ; -; Data[7..2] ; Unreserved ; -; FLASH_nCE/nCSO ; Unreserved ; -; Other Active Parallel pins ; Unreserved ; -; DCLK ; As input tri-stated ; -; Base pin-out file on sameframe device ; Off ; -+------------------------------------------------------------------+---------------------+ - - -+------------------------------------+ -; Operating Settings and Conditions ; -+---------------------------+--------+ -; Setting ; Value ; -+---------------------------+--------+ -; Nominal Core Voltage ; 1.20 V ; -; Low Junction Temperature ; 0 °C ; -; High Junction Temperature ; 85 °C ; -+---------------------------+--------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Estimated Delay Added for Hold Timing Summary ; -+--------------------------------------------------------------------------------------------------------------------------+----------------------------------------------------------------------------------+-------------------+ -; Source Clock(s) ; Destination Clock(s) ; Delay Added in ns ; -+--------------------------------------------------------------------------------------------------------------------------+----------------------------------------------------------------------------------+-------------------+ -; pll|altpll_component|auto_generated|pll1|clk[0],mz80k_top:mz80k_top|vga:vga1|counter[0],mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 951.8 ; -; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 296.5 ; -; pll|altpll_component|auto_generated|pll1|clk[0],mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; 86.3 ; -; pll|altpll_component|auto_generated|pll1|clk[0],mz80k_top:mz80k_top|vga:vga1|counter[0],mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0],mz80k_top:mz80k_top|clk_count[2] ; 66.4 ; -+--------------------------------------------------------------------------------------------------------------------------+----------------------------------------------------------------------------------+-------------------+ -Note: For more information on problematic transfers, consider running the Fitter again with the Optimize hold timing option (Settings Menu) turned off. -This will disable optimization of problematic paths and expose them for further analysis using the TimeQuest Timing Analyzer. - - -+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Estimated Delay Added for Hold Timing Details ; -+------------------------------------------------------------------------------------------------------------------------+--------------------------------------------------+-------------------+ -; Source Register ; Destination Register ; Delay Added in ns ; -+------------------------------------------------------------------------------------------------------------------------+--------------------------------------------------+-------------------+ -; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|out_address_reg_a[0] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.670 ; -; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|out_address_reg_a[1] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.583 ; -; mz80k_top:mz80k_top|ps2:ps2_1|data[3]~reg0 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.579 ; -; mz80k_top:mz80k_top|ps2:ps2_1|data[1]~reg0 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.579 ; -; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|q_a[5] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.411 ; -; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|q_a[1] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.387 ; -; mz80k_top:mz80k_top|clk_count[24] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.368 ; -; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a12 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.341 ; -; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a20 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.341 ; -; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a4 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.341 ; -; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a28 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.341 ; -; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a11 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.292 ; -; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a19 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.292 ; -; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a3 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.292 ; -; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a27 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.292 ; -; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a18 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.289 ; -; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|q_a[3] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.289 ; -; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a21 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.285 ; -; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a13 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.285 ; -; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a5 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.285 ; -; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a29 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.285 ; -; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a17 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.282 ; -; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a9 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.282 ; -; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a1 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.282 ; -; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a25 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.282 ; -; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a10 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.274 ; -; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a2 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.274 ; -; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a26 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.274 ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[2] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|i8253:i8253_1|data[3] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[1] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|i8253:i8253_1|data[1] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|q_a[4] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|i8253:i8253_1|data[4] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|i8253:i8253_1|data[7] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a23 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a15 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a7 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a31 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|q_a[6] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|i8253:i8253_1|data[6] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a14 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a22 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a6 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a30 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|q_a[0] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a8 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a16 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a0 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ram_block1a24 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|clk_count[19] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|i8253:i8253_1|data[0] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|q_a[2] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|i8253:i8253_1|data[2] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|ps2:ps2_1|data[2]~reg0 ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|fz80:z80|reg_simplec:reg_i|q[5] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|i8253:i8253_1|data[5] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|fz80:z80|reg_simplec:reg_i|q[3] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q1[3] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_h|qx[3] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_h|q1[3] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_h|q0[3] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d|q1[3] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_l|qx[0] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_l|q1[0] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_l|q0[0] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|fz80:z80|reg_simplec:reg_i|q[7] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q1[7] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[7] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_h|qx[7] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_h|q1[7] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_h|q0[7] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d|q1[7] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrh|q[4] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_l|qx[7] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_l|q1[7] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_l|q0[7] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q1[7] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q1[7] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q0[7] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_spl|q[7] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrl|q[7] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q1[5] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q0[5] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_spl|q[5] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_l|qx[4] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_l|q1[4] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_l|q0[4] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_sph|q[4] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_b|q1[4] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_b|q0[4] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrh|q[0] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|fz80:z80|reg_pcl:reg_pcl|q[5] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|fz80:z80|reg_simplec:reg_i|q[1] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_h|qx[2] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_h|q1[2] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_h|q0[2] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|fz80:z80|reg_simplec:reg_i|q[2] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q1[2] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_h|qx[1] ; mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[7] ; 7.234 ; -+------------------------------------------------------------------------------------------------------------------------+--------------------------------------------------+-------------------+ -Note: This table only shows the top 100 path(s) that have the largest delay added for hold. - - -+-----------------+ -; Fitter Messages ; -+-----------------+ -Info (11104): Parallel Compilation has detected 8 hyper-threaded processors. However, the extra hyper-threaded processors will not be used by default. Parallel Compilation will use 4 of the 4 physical processors detected instead. -Info (119006): Selected device EP3C25E144C8 for design "mz80k_mist" -Info (21077): Low junction temperature is 0 degrees C -Info (21077): High junction temperature is 85 degrees C -Info (15535): Implemented PLL "pll:pll|altpll:altpll_component|pll_altpll:auto_generated|pll1" as Cyclone III PLL type - Info (15099): Implementing clock multiplication of 50, clock division of 27, and phase shift of 0 degrees (0 ps) for pll:pll|altpll:altpll_component|pll_altpll:auto_generated|wire_pll1_clk[0] port -Info (171003): Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time -Info (176444): Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices - Info (176445): Device EP3C5E144C8 is compatible - Info (176445): Device EP3C10E144C8 is compatible - Info (176445): Device EP3C16E144C8 is compatible -Info (169124): Fitter converted 1 user pins into dedicated programming pins - Info (169125): Pin ~ALTERA_DCLK~ is reserved at location 12 -Info (169141): DATA[0] dual-purpose pin not reserved -Info (12825): Data[1]/ASDO dual-purpose pin not reserved -Info (12825): nCSO dual-purpose pin not reserved -Warning (15714): Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details -Info (176045): Design uses memory blocks. Violating setup or hold times of memory block address registers for either read or write operations could cause memory contents to be corrupted. Make sure that all memory block address registers meet the setup and hold time requirements. -Critical Warning (332012): Synopsys Design Constraints File file not found: 'mz80k_mist.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design. -Info (332144): No user constrained generated clocks found in the design -Info (332144): No user constrained base clocks found in the design -Warning (332125): Found combinational loop of 816 nodes - Warning (332126): Node "mz80k_top|vram_select|combout" - Warning (332126): Node "mz80k_top|cpu_data_in~15|dataa" - Warning (332126): Node "mz80k_top|cpu_data_in~15|combout" - Warning (332126): Node "mz80k_top|cpu_data_in[6]~28|datab" - Warning (332126): Node "mz80k_top|cpu_data_in[6]~28|combout" - Warning (332126): Node "mz80k_top|cpu_data_in[7]~31|datac" - Warning (332126): Node "mz80k_top|cpu_data_in[7]~31|combout" - Warning (332126): Node "mz80k_top|cpu_data_in[7]~32|datac" - Warning (332126): Node "mz80k_top|cpu_data_in[7]~32|combout" - Warning (332126): Node "mz80k_top|cpu_data_in[7]~51|datac" - Warning (332126): Node "mz80k_top|cpu_data_in[7]~51|combout" - Warning (332126): Node "mz80k_top|z80|reg_adrl|q[7]~2|dataa" - Warning (332126): Node "mz80k_top|z80|reg_adrl|q[7]~2|combout" - Warning (332126): Node "mz80k_top|z80|i[7]~10|dataa" - Warning (332126): Node "mz80k_top|z80|i[7]~10|combout" - Warning (332126): Node "mz80k_top|z80|comb~19|datac" - Warning (332126): Node "mz80k_top|z80|comb~19|combout" - Warning (332126): Node "mz80k_top|z80|comb~21|dataa" - Warning (332126): Node "mz80k_top|z80|comb~21|combout" - Warning (332126): Node "mz80k_top|z80|sela_hl~1|dataa" - Warning (332126): Node "mz80k_top|z80|sela_hl~1|combout" - Warning (332126): Node "mz80k_top|z80|sela_hl~3|dataa" - Warning (332126): Node "mz80k_top|z80|sela_hl~3|combout" - Warning (332126): Node "mz80k_top|z80|selal[1]~1|datac" - Warning (332126): Node "mz80k_top|z80|selal[1]~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux20~1|datab" - Warning (332126): Node "mz80k_top|z80|Mux20~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux20~3|dataa" - Warning (332126): Node "mz80k_top|z80|Mux20~3|combout" - Warning (332126): Node "mz80k_top|io_e008~0|dataa" - Warning (332126): Node "mz80k_top|io_e008~0|combout" - Warning (332126): Node "mz80k_top|cpu_data_in~47|datab" - Warning (332126): Node "mz80k_top|cpu_data_in~47|combout" - Warning (332126): Node "mz80k_top|cpu_data_in~48|datad" - Warning (332126): Node "mz80k_top|cpu_data_in~48|combout" - Warning (332126): Node "mz80k_top|cpu_data_in[1]~18|dataa" - Warning (332126): Node "mz80k_top|cpu_data_in[1]~18|combout" - Warning (332126): Node "mz80k_top|z80|i[5]~4|datad" - Warning (332126): Node "mz80k_top|z80|i[5]~4|combout" - Warning (332126): Node "mz80k_top|z80|comb~28|dataa" - Warning (332126): Node "mz80k_top|z80|comb~28|combout" - Warning (332126): Node "mz80k_top|z80|selah[0]~2|datac" - Warning (332126): Node "mz80k_top|z80|selah[0]~2|combout" - Warning (332126): Node "mz80k_top|z80|selah[0]~3|datac" - Warning (332126): Node "mz80k_top|z80|selah[0]~3|combout" - Warning (332126): Node "mz80k_top|z80|Mux13~1|datab" - Warning (332126): Node "mz80k_top|z80|Mux13~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux13~4|dataa" - Warning (332126): Node "mz80k_top|z80|Mux13~4|combout" - Warning (332126): Node "mz80k_top|cpu_data_in~41|datac" - Warning (332126): Node "mz80k_top|cpu_data_in~41|combout" - Warning (332126): Node "mz80k_top|cpu_data_in~42|datad" - Warning (332126): Node "mz80k_top|cpu_data_in~42|combout" - Warning (332126): Node "mz80k_top|cpu_data_in~45|datab" - Warning (332126): Node "mz80k_top|cpu_data_in~45|combout" - Warning (332126): Node "mz80k_top|cpu_data_in~52|datad" - Warning (332126): Node "mz80k_top|cpu_data_in~52|combout" - Warning (332126): Node "mz80k_top|cpu_data_in~46|datad" - Warning (332126): Node "mz80k_top|cpu_data_in~46|combout" - Warning (332126): Node "mz80k_top|cpu_data_in[1]~49|datab" - Warning (332126): Node "mz80k_top|cpu_data_in[1]~49|combout" - Warning (332126): Node "mz80k_top|cpu_data_in[2]~26|datad" - Warning (332126): Node "mz80k_top|cpu_data_in[2]~26|combout" - Warning (332126): Node "mz80k_top|cpu_data_in[2]~27|datad" - Warning (332126): Node "mz80k_top|cpu_data_in[2]~27|combout" - Warning (332126): Node "mz80k_top|z80|i[2]~8|datab" - Warning (332126): Node "mz80k_top|z80|i[2]~8|combout" - Warning (332126): Node "mz80k_top|z80|comb~24|datab" - Warning (332126): Node "mz80k_top|z80|comb~24|combout" - Warning (332126): Node "mz80k_top|z80|comb~25|datac" - Warning (332126): Node "mz80k_top|z80|comb~25|combout" - Warning (332126): Node "mz80k_top|z80|comb~26|dataa" - Warning (332126): Node "mz80k_top|z80|comb~26|combout" - Warning (332126): Node "mz80k_top|z80|selal[0]~2|datac" - Warning (332126): Node "mz80k_top|z80|selal[0]~2|combout" - Warning (332126): Node "mz80k_top|z80|selal[0]~3|dataa" - Warning (332126): Node "mz80k_top|z80|selal[0]~3|combout" - Warning (332126): Node "mz80k_top|z80|Mux20~0|datac" - Warning (332126): Node "mz80k_top|z80|Mux20~0|combout" - Warning (332126): Node "mz80k_top|z80|Mux20~1|datac" - Warning (332126): Node "mz80k_top|z80|Mux21~1|datab" - Warning (332126): Node "mz80k_top|z80|Mux21~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux21~3|dataa" - Warning (332126): Node "mz80k_top|z80|Mux21~3|combout" - Warning (332126): Node "mz80k_top|cpu_data_in~45|datac" - Warning (332126): Node "mz80k_top|cpu_data_in~43|dataa" - Warning (332126): Node "mz80k_top|cpu_data_in~43|combout" - Warning (332126): Node "mz80k_top|cpu_data_in~44|datad" - Warning (332126): Node "mz80k_top|cpu_data_in~44|combout" - Warning (332126): Node "mz80k_top|cpu_data_in[1]~18|datac" - Warning (332126): Node "mz80k_top|cpu_data_in[1]~49|dataa" - Warning (332126): Node "mz80k_top|cpu_data_in[7]~51|dataa" - Warning (332126): Node "mz80k_top|cpu_data_in[6]~50|dataa" - Warning (332126): Node "mz80k_top|cpu_data_in[6]~50|combout" - Warning (332126): Node "mz80k_top|z80|reg_adrl|q[6]~1|dataa" - Warning (332126): Node "mz80k_top|z80|reg_adrl|q[6]~1|combout" - Warning (332126): Node "mz80k_top|z80|i[6]~9|dataa" - Warning (332126): Node "mz80k_top|z80|i[6]~9|combout" - Warning (332126): Node "mz80k_top|z80|comb~19|datad" - Warning (332126): Node "mz80k_top|z80|comb~68|datac" - Warning (332126): Node "mz80k_top|z80|comb~68|combout" - Warning (332126): Node "mz80k_top|z80|comb~23|datad" - Warning (332126): Node "mz80k_top|z80|comb~23|combout" - Warning (332126): Node "mz80k_top|z80|sela_hl~1|datac" - Warning (332126): Node "mz80k_top|z80|incdec8|datab" - Warning (332126): Node "mz80k_top|z80|incdec8|combout" - Warning (332126): Node "mz80k_top|z80|reg_load_f~2|datab" - Warning (332126): Node "mz80k_top|z80|reg_load_f~2|combout" - Warning (332126): Node "mz80k_top|z80|comb~21|datac" - Warning (332126): Node "mz80k_top|z80|comb~11|dataa" - Warning (332126): Node "mz80k_top|z80|comb~11|combout" - Warning (332126): Node "mz80k_top|z80|comb~20|datac" - Warning (332126): Node "mz80k_top|z80|comb~20|combout" - Warning (332126): Node "mz80k_top|z80|comb~21|datad" - Warning (332126): Node "mz80k_top|z80|i_lddd_nn|dataa" - Warning (332126): Node "mz80k_top|z80|i_lddd_nn|combout" - Warning (332126): Node "mz80k_top|z80|selah[0]~2|datad" - Warning (332126): Node "mz80k_top|z80|i_ldnndd|dataa" - Warning (332126): Node "mz80k_top|z80|i_ldnndd|combout" - Warning (332126): Node "mz80k_top|z80|comb~27|datad" - Warning (332126): Node "mz80k_top|z80|comb~27|combout" - Warning (332126): Node "mz80k_top|z80|selah[0]~2|dataa" - Warning (332126): Node "mz80k_top|z80|retin~2|datac" - Warning (332126): Node "mz80k_top|z80|retin~2|combout" - Warning (332126): Node "mz80k_top|z80|asu_ci~0|datad" - Warning (332126): Node "mz80k_top|z80|asu_ci~0|combout" - Warning (332126): Node "mz80k_top|z80|comb~25|datad" - Warning (332126): Node "mz80k_top|z80|Decoder0~0|dataa" - Warning (332126): Node "mz80k_top|z80|Decoder0~0|combout" - Warning (332126): Node "mz80k_top|z80|selah[1]~4|dataa" - Warning (332126): Node "mz80k_top|z80|selah[1]~4|combout" - Warning (332126): Node "mz80k_top|z80|selah[1]~5|datab" - Warning (332126): Node "mz80k_top|z80|selah[1]~5|combout" - Warning (332126): Node "mz80k_top|z80|Mux13~0|datac" - Warning (332126): Node "mz80k_top|z80|Mux13~0|combout" - Warning (332126): Node "mz80k_top|z80|Mux13~1|datac" - Warning (332126): Node "mz80k_top|z80|Mux13~3|datab" - Warning (332126): Node "mz80k_top|z80|Mux13~3|combout" - Warning (332126): Node "mz80k_top|z80|Mux13~4|datab" - Warning (332126): Node "mz80k_top|z80|Mux13~2|dataa" - Warning (332126): Node "mz80k_top|z80|Mux13~2|combout" - Warning (332126): Node "mz80k_top|z80|Mux13~3|datac" - Warning (332126): Node "mz80k_top|z80|Mux11~3|datab" - Warning (332126): Node "mz80k_top|z80|Mux11~3|combout" - Warning (332126): Node "mz80k_top|z80|Mux11~4|datab" - Warning (332126): Node "mz80k_top|z80|Mux11~4|combout" - Warning (332126): Node "mz80k_top|vram_select|datab" - Warning (332126): Node "mz80k_top|cpu_data_in~41|datad" - Warning (332126): Node "mz80k_top|z80|Mux11~2|dataa" - Warning (332126): Node "mz80k_top|z80|Mux11~2|combout" - Warning (332126): Node "mz80k_top|z80|Mux11~3|datac" - Warning (332126): Node "mz80k_top|z80|Mux11~0|datac" - Warning (332126): Node "mz80k_top|z80|Mux11~0|combout" - Warning (332126): Node "mz80k_top|z80|Mux11~1|datac" - Warning (332126): Node "mz80k_top|z80|Mux11~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux11~4|dataa" - Warning (332126): Node "mz80k_top|z80|Mux8~1|datac" - Warning (332126): Node "mz80k_top|z80|Mux8~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux8~2|datac" - Warning (332126): Node "mz80k_top|z80|Mux8~2|combout" - Warning (332126): Node "mz80k_top|z80|Mux8~5|dataa" - Warning (332126): Node "mz80k_top|z80|Mux8~5|combout" - Warning (332126): Node "mz80k_top|comb~0|dataa" - Warning (332126): Node "mz80k_top|comb~0|combout" - Warning (332126): Node "mz80k_top|cpu_data_in~42|datab" - Warning (332126): Node "mz80k_top|vram_select|datac" - Warning (332126): Node "mz80k_top|z80|Mux8~4|datab" - Warning (332126): Node "mz80k_top|z80|Mux8~4|combout" - Warning (332126): Node "mz80k_top|z80|Mux8~5|datab" - Warning (332126): Node "mz80k_top|z80|Mux8~3|dataa" - Warning (332126): Node "mz80k_top|z80|Mux8~3|combout" - Warning (332126): Node "mz80k_top|z80|Mux8~4|datac" - Warning (332126): Node "mz80k_top|z80|Mux9~1|datac" - Warning (332126): Node "mz80k_top|z80|Mux9~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux9~2|datac" - Warning (332126): Node "mz80k_top|z80|Mux9~2|combout" - Warning (332126): Node "mz80k_top|z80|Mux9~5|dataa" - Warning (332126): Node "mz80k_top|z80|Mux9~5|combout" - Warning (332126): Node "mz80k_top|comb~0|datab" - Warning (332126): Node "mz80k_top|z80|Mux9~4|datab" - Warning (332126): Node "mz80k_top|z80|Mux9~4|combout" - Warning (332126): Node "mz80k_top|z80|Mux9~5|datab" - Warning (332126): Node "mz80k_top|z80|Mux9~3|dataa" - Warning (332126): Node "mz80k_top|z80|Mux9~3|combout" - Warning (332126): Node "mz80k_top|z80|Mux9~4|datac" - Warning (332126): Node "mz80k_top|z80|Mux12~0|datac" - Warning (332126): Node "mz80k_top|z80|Mux12~0|combout" - Warning (332126): Node "mz80k_top|z80|Mux12~1|datac" - Warning (332126): Node "mz80k_top|z80|Mux12~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux12~4|dataa" - Warning (332126): Node "mz80k_top|z80|Mux12~4|combout" - Warning (332126): Node "mz80k_top|comb~0|datad" - Warning (332126): Node "mz80k_top|z80|Mux12~3|datab" - Warning (332126): Node "mz80k_top|z80|Mux12~3|combout" - Warning (332126): Node "mz80k_top|z80|Mux12~4|datab" - Warning (332126): Node "mz80k_top|z80|Mux12~2|dataa" - Warning (332126): Node "mz80k_top|z80|Mux12~2|combout" - Warning (332126): Node "mz80k_top|z80|Mux12~3|datac" - Warning (332126): Node "mz80k_top|z80|Mux10~1|datac" - Warning (332126): Node "mz80k_top|z80|Mux10~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux10~2|datac" - Warning (332126): Node "mz80k_top|z80|Mux10~2|combout" - Warning (332126): Node "mz80k_top|z80|Mux10~5|dataa" - Warning (332126): Node "mz80k_top|z80|Mux10~5|combout" - Warning (332126): Node "mz80k_top|cpu_data_in~42|dataa" - Warning (332126): Node "mz80k_top|vram_select|datad" - Warning (332126): Node "mz80k_top|z80|Mux10~4|datab" - Warning (332126): Node "mz80k_top|z80|Mux10~4|combout" - Warning (332126): Node "mz80k_top|z80|Mux10~5|datab" - Warning (332126): Node "mz80k_top|z80|Mux10~3|dataa" - Warning (332126): Node "mz80k_top|z80|Mux10~3|combout" - Warning (332126): Node "mz80k_top|z80|Mux10~4|datac" - Warning (332126): Node "mz80k_top|z80|Mux15~0|datac" - Warning (332126): Node "mz80k_top|z80|Mux15~0|combout" - Warning (332126): Node "mz80k_top|z80|Mux15~1|datac" - Warning (332126): Node "mz80k_top|z80|Mux15~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux15~4|dataa" - Warning (332126): Node "mz80k_top|z80|Mux15~4|combout" - Warning (332126): Node "mz80k_top|cpu_data_in~40|datac" - Warning (332126): Node "mz80k_top|cpu_data_in~40|combout" - Warning (332126): Node "mz80k_top|cpu_data_in~42|datac" - Warning (332126): Node "mz80k_top|z80|Mux15~3|datab" - Warning (332126): Node "mz80k_top|z80|Mux15~3|combout" - Warning (332126): Node "mz80k_top|z80|Mux15~4|datab" - Warning (332126): Node "mz80k_top|z80|Mux15~2|dataa" - Warning (332126): Node "mz80k_top|z80|Mux15~2|combout" - Warning (332126): Node "mz80k_top|z80|Mux15~3|datac" - Warning (332126): Node "mz80k_top|z80|Mux14~0|datac" - Warning (332126): Node "mz80k_top|z80|Mux14~0|combout" - Warning (332126): Node "mz80k_top|z80|Mux14~1|datac" - Warning (332126): Node "mz80k_top|z80|Mux14~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux14~4|dataa" - Warning (332126): Node "mz80k_top|z80|Mux14~4|combout" - Warning (332126): Node "mz80k_top|cpu_data_in~40|datad" - Warning (332126): Node "mz80k_top|z80|Mux14~3|datab" - Warning (332126): Node "mz80k_top|z80|Mux14~3|combout" - Warning (332126): Node "mz80k_top|z80|Mux14~4|datab" - Warning (332126): Node "mz80k_top|z80|Mux14~2|dataa" - Warning (332126): Node "mz80k_top|z80|Mux14~2|combout" - Warning (332126): Node "mz80k_top|z80|Mux14~3|datac" - Warning (332126): Node "mz80k_top|z80|comb~22|dataa" - Warning (332126): Node "mz80k_top|z80|comb~22|combout" - Warning (332126): Node "mz80k_top|z80|comb~23|datab" - Warning (332126): Node "mz80k_top|z80|i_outna~0|dataa" - Warning (332126): Node "mz80k_top|z80|i_outna~0|combout" - Warning (332126): Node "mz80k_top|z80|imm1~0|datad" - Warning (332126): Node "mz80k_top|z80|imm1~0|combout" - Warning (332126): Node "mz80k_top|z80|selal[0]~3|datac" - Warning (332126): Node "mz80k_top|z80|i_outcr|dataa" - Warning (332126): Node "mz80k_top|z80|i_outcr|combout" - Warning (332126): Node "mz80k_top|z80|selal[2]~4|dataa" - Warning (332126): Node "mz80k_top|z80|selal[2]~4|combout" - Warning (332126): Node "mz80k_top|z80|selal[2]~5|datac" - Warning (332126): Node "mz80k_top|z80|selal[2]~5|combout" - Warning (332126): Node "mz80k_top|z80|selal[2]~6|datab" - Warning (332126): Node "mz80k_top|z80|selal[2]~6|combout" - Warning (332126): Node "mz80k_top|cpu_data_in~52|datac" - Warning (332126): Node "mz80k_top|z80|Mux8~5|datad" - Warning (332126): Node "mz80k_top|z80|Mux9~5|datad" - Warning (332126): Node "mz80k_top|z80|Mux12~4|datad" - Warning (332126): Node "mz80k_top|z80|Mux10~5|datad" - Warning (332126): Node "mz80k_top|z80|Mux19~3|datad" - Warning (332126): Node "mz80k_top|z80|Mux19~3|combout" - Warning (332126): Node "mz80k_top|cpu_data_in~40|dataa" - Warning (332126): Node "mz80k_top|z80|Mux18~3|datad" - Warning (332126): Node "mz80k_top|z80|Mux18~3|combout" - Warning (332126): Node "mz80k_top|cpu_data_in~40|datab" - Warning (332126): Node "mz80k_top|z80|Mux17~3|datad" - Warning (332126): Node "mz80k_top|z80|Mux17~3|combout" - Warning (332126): Node "mz80k_top|cpu_data_in~41|dataa" - Warning (332126): Node "mz80k_top|z80|Mux16~3|datad" - Warning (332126): Node "mz80k_top|z80|Mux16~3|combout" - Warning (332126): Node "mz80k_top|cpu_data_in~41|datab" - Warning (332126): Node "mz80k_top|z80|Mux15~4|datad" - Warning (332126): Node "mz80k_top|z80|Mux14~4|datad" - Warning (332126): Node "mz80k_top|ps2_1|always0~6|datac" - Warning (332126): Node "mz80k_top|ps2_1|always0~6|combout" - Warning (332126): Node "mz80k_top|ps2_1|always0~4|datad" - Warning (332126): Node "mz80k_top|ps2_1|always0~4|combout" - Warning (332126): Node "mz80k_top|ps2_1|always0~5|datab" - Warning (332126): Node "mz80k_top|ps2_1|always0~5|combout" - Warning (332126): Node "mz80k_top|cpu_data_in[1]~18|datab" - Warning (332126): Node "mz80k_top|cpu_data_in[1]~49|datac" - Warning (332126): Node "mz80k_top|cpu_data_in[1]~13|dataa" - Warning (332126): Node "mz80k_top|cpu_data_in[1]~13|combout" - Warning (332126): Node "mz80k_top|cpu_data_in[3]~33|datac" - Warning (332126): Node "mz80k_top|cpu_data_in[3]~33|combout" - Warning (332126): Node "mz80k_top|cpu_data_in[3]~34|datad" - Warning (332126): Node "mz80k_top|cpu_data_in[3]~34|combout" - Warning (332126): Node "mz80k_top|z80|i[3]~11|datab" - Warning (332126): Node "mz80k_top|z80|i[3]~11|combout" - Warning (332126): Node "mz80k_top|z80|comb~24|datac" - Warning (332126): Node "mz80k_top|z80|i_lddd_nn|datab" - Warning (332126): Node "mz80k_top|z80|Decoder1~2|datab" - Warning (332126): Node "mz80k_top|z80|Decoder1~2|combout" - Warning (332126): Node "mz80k_top|z80|imm1~0|datac" - Warning (332126): Node "mz80k_top|z80|selah[1]~4|datac" - Warning (332126): Node "mz80k_top|z80|sela_de~0|datab" - Warning (332126): Node "mz80k_top|z80|sela_de~0|combout" - Warning (332126): Node "mz80k_top|z80|sela_de~1|datac" - Warning (332126): Node "mz80k_top|z80|sela_de~1|combout" - Warning (332126): Node "mz80k_top|z80|selal[2]~6|dataa" - Warning (332126): Node "mz80k_top|z80|selal[0]~2|datad" - Warning (332126): Node "mz80k_top|z80|i_ldnndd|datad" - Warning (332126): Node "mz80k_top|z80|Decoder1~1|datad" - Warning (332126): Node "mz80k_top|z80|Decoder1~1|combout" - Warning (332126): Node "mz80k_top|z80|selah[1]~4|datad" - Warning (332126): Node "mz80k_top|z80|sela_de~0|datad" - Warning (332126): Node "mz80k_top|z80|i_outna~0|datad" - Warning (332126): Node "mz80k_top|z80|Decoder1~8|datad" - Warning (332126): Node "mz80k_top|z80|Decoder1~8|combout" - Warning (332126): Node "mz80k_top|z80|comb~27|datab" - Warning (332126): Node "mz80k_top|z80|i_exsphl|datac" - Warning (332126): Node "mz80k_top|z80|i_exsphl|combout" - Warning (332126): Node "mz80k_top|z80|comb~25|dataa" - Warning (332126): Node "mz80k_top|z80|Decoder1~3|dataa" - Warning (332126): Node "mz80k_top|z80|Decoder1~3|combout" - Warning (332126): Node "mz80k_top|z80|imm2~0|datab" - Warning (332126): Node "mz80k_top|z80|imm2~0|combout" - Warning (332126): Node "mz80k_top|z80|comb~15|dataa" - Warning (332126): Node "mz80k_top|z80|comb~15|combout" - Warning (332126): Node "mz80k_top|z80|comb~26|datac" - Warning (332126): Node "mz80k_top|z80|asu_ci~0|datab" - Warning (332126): Node "mz80k_top|z80|Decoder1~0|datad" - Warning (332126): Node "mz80k_top|z80|Decoder1~0|combout" - Warning (332126): Node "mz80k_top|z80|comb~19|datab" - Warning (332126): Node "mz80k_top|z80|comb~23|datac" - Warning (332126): Node "mz80k_top|z80|reg_load_f~2|dataa" - Warning (332126): Node "mz80k_top|z80|i_ldhlr~0|dataa" - Warning (332126): Node "mz80k_top|z80|i_ldhlr~0|combout" - Warning (332126): Node "mz80k_top|z80|comb~18|datad" - Warning (332126): Node "mz80k_top|z80|comb~18|combout" - Warning (332126): Node "mz80k_top|z80|reg_l|q~0|datad" - Warning (332126): Node "mz80k_top|z80|reg_l|q~0|combout" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux4~1|datab" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux4~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux12~0|datab" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux4~0|dataa" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux4~0|combout" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux4~1|datac" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux7~1|datab" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux7~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux23~1|datad" - Warning (332126): Node "mz80k_top|z80|Mux23~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux23~3|dataa" - Warning (332126): Node "mz80k_top|z80|Mux23~3|combout" - Warning (332126): Node "mz80k_top|cpu_data_in~45|dataa" - Warning (332126): Node "mz80k_top|io_e008~0|datab" - Warning (332126): Node "mz80k_top|ps2_1|always0~6|dataa" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux7~0|dataa" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux7~0|combout" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux7~1|datac" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux0~1|datab" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux0~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux8~1|datab" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux0~0|dataa" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux0~0|combout" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux0~1|datac" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux0~1|datab" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux0~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux16~1|datad" - Warning (332126): Node "mz80k_top|z80|Mux16~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux16~3|dataa" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux0~0|dataa" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux0~0|combout" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux0~1|datac" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux3~1|datab" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux3~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux19~1|datad" - Warning (332126): Node "mz80k_top|z80|Mux19~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux19~3|dataa" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux3~0|dataa" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux3~0|combout" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux3~1|datac" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux5~1|datab" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux5~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux13~0|datab" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux5~0|dataa" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux5~0|combout" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux5~1|datac" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux6~1|datab" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux6~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux14~0|datab" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux6~0|dataa" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux6~0|combout" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux6~1|datac" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux1~1|datab" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux1~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux17~1|datad" - Warning (332126): Node "mz80k_top|z80|Mux17~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux17~3|dataa" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux1~0|dataa" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux1~0|combout" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux1~1|datac" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux2~1|datab" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux2~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux18~1|datad" - Warning (332126): Node "mz80k_top|z80|Mux18~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux18~3|dataa" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux2~0|dataa" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux2~0|combout" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux2~1|datac" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux4~1|datab" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux4~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux20~1|datad" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux4~0|dataa" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux4~0|combout" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux4~1|datac" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux5~1|datab" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux5~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux21~1|datad" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux5~0|dataa" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux5~0|combout" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux5~1|datac" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux6~1|datab" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux6~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux22~2|datad" - Warning (332126): Node "mz80k_top|z80|Mux22~2|combout" - Warning (332126): Node "mz80k_top|cpu_data_in~52|dataa" - Warning (332126): Node "mz80k_top|z80|Mux22~4|dataa" - Warning (332126): Node "mz80k_top|z80|Mux22~4|combout" - Warning (332126): Node "mz80k_top|ps2_1|always0~6|datad" - Warning (332126): Node "mz80k_top|io_e008~0|datac" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux6~0|dataa" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux6~0|combout" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux6~1|datac" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux7~1|datab" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux7~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux15~0|datab" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux7~0|dataa" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux7~0|combout" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux7~1|datac" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux2~1|datab" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux2~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux10~1|datab" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux2~0|dataa" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux2~0|combout" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux2~1|datac" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux1~1|datab" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux1~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux9~1|datab" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux1~0|dataa" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux1~0|combout" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux1~1|datac" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux3~1|datab" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux3~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux11~0|datab" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux3~0|dataa" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux3~0|combout" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux3~1|datac" - Warning (332126): Node "mz80k_top|z80|reg_h|q~1|datad" - Warning (332126): Node "mz80k_top|z80|reg_h|q~1|combout" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux4~0|datac" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux7~0|datac" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux0~0|datac" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux0~0|datac" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux3~0|datac" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux5~0|datac" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux6~0|datac" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux1~0|datac" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux2~0|datac" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux4~0|datac" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux5~0|datac" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux6~0|datac" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux7~0|datac" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux2~0|datac" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux1~0|datac" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux3~0|datac" - Warning (332126): Node "mz80k_top|z80|i_ldrhl~2|datad" - Warning (332126): Node "mz80k_top|z80|i_ldrhl~2|combout" - Warning (332126): Node "mz80k_top|z80|comb~18|datac" - Warning (332126): Node "mz80k_top|z80|comb~28|datac" - Warning (332126): Node "mz80k_top|cpu_data_in[2]~26|datac" - Warning (332126): Node "mz80k_top|cpu_data_in[5]~16|datac" - Warning (332126): Node "mz80k_top|cpu_data_in[5]~16|combout" - Warning (332126): Node "mz80k_top|cpu_data_in[5]~17|datad" - Warning (332126): Node "mz80k_top|cpu_data_in[5]~17|combout" - Warning (332126): Node "mz80k_top|z80|i[5]~4|datab" - Warning (332126): Node "mz80k_top|cpu_data_in[4]~19|datac" - Warning (332126): Node "mz80k_top|cpu_data_in[4]~19|combout" - Warning (332126): Node "mz80k_top|cpu_data_in[4]~20|datad" - Warning (332126): Node "mz80k_top|cpu_data_in[4]~20|combout" - Warning (332126): Node "mz80k_top|z80|i[4]~5|datab" - Warning (332126): Node "mz80k_top|z80|i[4]~5|combout" - Warning (332126): Node "mz80k_top|z80|comb~28|datab" - Warning (332126): Node "mz80k_top|z80|retin~2|datab" - Warning (332126): Node "mz80k_top|z80|Decoder1~2|dataa" - Warning (332126): Node "mz80k_top|z80|Decoder1~7|datad" - Warning (332126): Node "mz80k_top|z80|Decoder1~7|combout" - Warning (332126): Node "mz80k_top|z80|selal[2]~4|datac" - Warning (332126): Node "mz80k_top|z80|Decoder1~1|dataa" - Warning (332126): Node "mz80k_top|z80|Decoder1~8|datac" - Warning (332126): Node "mz80k_top|z80|Decoder1~3|datad" - Warning (332126): Node "mz80k_top|z80|Decoder1~0|datab" - Warning (332126): Node "mz80k_top|z80|comb~10|datad" - Warning (332126): Node "mz80k_top|z80|comb~10|combout" - Warning (332126): Node "mz80k_top|z80|comb~20|dataa" - Warning (332126): Node "mz80k_top|cpu_data_in[1]~24|datac" - Warning (332126): Node "mz80k_top|cpu_data_in[1]~24|combout" - Warning (332126): Node "mz80k_top|cpu_data_in[1]~25|datad" - Warning (332126): Node "mz80k_top|cpu_data_in[1]~25|combout" - Warning (332126): Node "mz80k_top|z80|i[1]~7|datab" - Warning (332126): Node "mz80k_top|z80|i[1]~7|combout" - Warning (332126): Node "mz80k_top|z80|comb~24|datad" - Warning (332126): Node "mz80k_top|z80|Decoder2~3|datab" - Warning (332126): Node "mz80k_top|z80|Decoder2~3|combout" - Warning (332126): Node "mz80k_top|z80|imm1~0|datab" - Warning (332126): Node "mz80k_top|z80|selah[1]~5|dataa" - Warning (332126): Node "mz80k_top|z80|i_outna~0|datac" - Warning (332126): Node "mz80k_top|z80|i_ldnndd|datab" - Warning (332126): Node "mz80k_top|z80|i_exsphl|datab" - Warning (332126): Node "mz80k_top|z80|i_lddd_nn|datac" - Warning (332126): Node "mz80k_top|z80|xy3~0|dataa" - Warning (332126): Node "mz80k_top|z80|xy3~0|combout" - Warning (332126): Node "mz80k_top|z80|comb~30|datab" - Warning (332126): Node "mz80k_top|z80|comb~30|combout" - Warning (332126): Node "mz80k_top|z80|selal[2]~6|datac" - Warning (332126): Node "mz80k_top|z80|xy3|datab" - Warning (332126): Node "mz80k_top|z80|xy3|combout" - Warning (332126): Node "mz80k_top|z80|sela_hl~2|datad" - Warning (332126): Node "mz80k_top|z80|sela_hl~2|combout" - Warning (332126): Node "mz80k_top|z80|sela_hl~3|datab" - Warning (332126): Node "mz80k_top|z80|i_inblock|datad" - Warning (332126): Node "mz80k_top|z80|i_inblock|combout" - Warning (332126): Node "mz80k_top|z80|selal[2]~5|dataa" - Warning (332126): Node "mz80k_top|z80|sela_hl~3|datad" - Warning (332126): Node "mz80k_top|z80|Decoder2~4|datac" - Warning (332126): Node "mz80k_top|z80|Decoder2~4|combout" - Warning (332126): Node "mz80k_top|z80|asu_ci~0|datac" - Warning (332126): Node "mz80k_top|z80|i_outcr|datac" - Warning (332126): Node "mz80k_top|z80|i_cpblock|datab" - Warning (332126): Node "mz80k_top|z80|i_cpblock|combout" - Warning (332126): Node "mz80k_top|z80|sela_hl~1|datab" - Warning (332126): Node "mz80k_top|z80|i_neg~0|datad" - Warning (332126): Node "mz80k_top|z80|i_neg~0|combout" - Warning (332126): Node "mz80k_top|z80|imm2~0|datac" - Warning (332126): Node "mz80k_top|z80|Decoder2~5|dataa" - Warning (332126): Node "mz80k_top|z80|Decoder2~5|combout" - Warning (332126): Node "mz80k_top|z80|i_ldnnhl~0|dataa" - Warning (332126): Node "mz80k_top|z80|i_ldnnhl~0|combout" - Warning (332126): Node "mz80k_top|z80|selal[2]~4|datad" - Warning (332126): Node "mz80k_top|z80|selah[0]~2|datab" - Warning (332126): Node "mz80k_top|z80|sela_de~1|datab" - Warning (332126): Node "mz80k_top|z80|comb~27|datac" - Warning (332126): Node "mz80k_top|z80|incdec8|datad" - Warning (332126): Node "mz80k_top|z80|Decoder2~2|dataa" - Warning (332126): Node "mz80k_top|z80|Decoder2~2|combout" - Warning (332126): Node "mz80k_top|z80|comb~19|dataa" - Warning (332126): Node "mz80k_top|z80|comb~23|dataa" - Warning (332126): Node "mz80k_top|z80|reg_load_f~2|datac" - Warning (332126): Node "mz80k_top|z80|i_setres_hl~0|datac" - Warning (332126): Node "mz80k_top|z80|i_setres_hl~0|combout" - Warning (332126): Node "mz80k_top|z80|comb~20|datad" - Warning (332126): Node "mz80k_top|z80|i_ldhlr~0|datad" - Warning (332126): Node "mz80k_top|z80|i_ldrhl~2|dataa" - Warning (332126): Node "mz80k_top|z80|Decoder2~1|datad" - Warning (332126): Node "mz80k_top|z80|Decoder2~1|combout" - Warning (332126): Node "mz80k_top|z80|imm2~0|dataa" - Warning (332126): Node "mz80k_top|z80|retin~2|datad" - Warning (332126): Node "mz80k_top|z80|Decoder2~6|datac" - Warning (332126): Node "mz80k_top|z80|Decoder2~6|combout" - Warning (332126): Node "mz80k_top|z80|i_inrc|datac" - Warning (332126): Node "mz80k_top|z80|i_inrc|combout" - Warning (332126): Node "mz80k_top|z80|selal[2]~4|datab" - Warning (332126): Node "mz80k_top|z80|i_ldblock|datac" - Warning (332126): Node "mz80k_top|z80|i_ldblock|combout" - Warning (332126): Node "mz80k_top|z80|sela_hl~2|datab" - Warning (332126): Node "mz80k_top|z80|sela_de~1|datad" - Warning (332126): Node "mz80k_top|z80|Decoder2~0|datab" - Warning (332126): Node "mz80k_top|z80|Decoder2~0|combout" - Warning (332126): Node "mz80k_top|z80|comb~20|datab" - Warning (332126): Node "mz80k_top|z80|comb~15|datac" - Warning (332126): Node "mz80k_top|cpu_data_in[1]~12|dataa" - Warning (332126): Node "mz80k_top|cpu_data_in[1]~12|combout" - Warning (332126): Node "mz80k_top|cpu_data_in[3]~34|datac" - Warning (332126): Node "mz80k_top|cpu_data_in[1]~25|datac" - Warning (332126): Node "mz80k_top|cpu_data_in[4]~20|datac" - Warning (332126): Node "mz80k_top|cpu_data_in[2]~27|datac" - Warning (332126): Node "mz80k_top|cpu_data_in[5]~17|datac" - Warning (332126): Node "mz80k_top|z80|reg_adrl|q[0]~0|datad" - Warning (332126): Node "mz80k_top|z80|reg_adrl|q[0]~0|combout" - Warning (332126): Node "mz80k_top|z80|i[0]~6|dataa" - Warning (332126): Node "mz80k_top|z80|i[0]~6|combout" - Warning (332126): Node "mz80k_top|z80|sela_hl~2|datac" - Warning (332126): Node "mz80k_top|z80|comb~24|dataa" - Warning (332126): Node "mz80k_top|z80|Decoder2~3|dataa" - Warning (332126): Node "mz80k_top|z80|Decoder2~0|dataa" - Warning (332126): Node "mz80k_top|z80|comb~30|dataa" - Warning (332126): Node "mz80k_top|z80|Decoder2~4|dataa" - Warning (332126): Node "mz80k_top|z80|i_neg~0|datac" - Warning (332126): Node "mz80k_top|z80|Decoder2~5|datac" - Warning (332126): Node "mz80k_top|z80|Decoder2~2|datad" - Warning (332126): Node "mz80k_top|z80|Decoder2~1|dataa" - Warning (332126): Node "mz80k_top|z80|Decoder2~6|datab" - Warning (332126): Node "mz80k_top|z80|i_inblock|dataa" - Warning (332126): Node "mz80k_top|z80|reg_adrl|q[6]~1|datad" - Warning (332126): Node "mz80k_top|z80|reg_adrl|q[7]~2|datad" - Warning (332126): Node "mz80k_top|z80|Mux23~3|datad" - Warning (332126): Node "mz80k_top|z80|Mux22~4|datad" - Warning (332126): Node "mz80k_top|z80|Mux21~3|datad" - Warning (332126): Node "mz80k_top|z80|Mux11~4|datad" - Warning (332126): Node "mz80k_top|z80|Mux20~3|datad" - Warning (332126): Node "mz80k_top|z80|Mux13~4|datad" - Warning (332126): Node "mz80k_top|z80|i_rs_hl~0|datac" - Warning (332126): Node "mz80k_top|z80|i_rs_hl~0|combout" - Warning (332126): Node "mz80k_top|z80|reg_load_f~2|datad" - Warning (332126): Node "mz80k_top|z80|comb~29|datad" - Warning (332126): Node "mz80k_top|z80|comb~29|combout" - Warning (332126): Node "mz80k_top|z80|comb~30|datad" - Warning (332126): Node "mz80k_top|z80|xy3|datad" - Warning (332126): Node "mz80k_top|z80|i_cpblock|datad" - Warning (332126): Node "mz80k_top|z80|i_ldnnhl~0|datab" - Warning (332126): Node "mz80k_top|z80|i_inrc|dataa" - Warning (332126): Node "mz80k_top|z80|i_ldrhl~3|datac" - Warning (332126): Node "mz80k_top|z80|i_ldrhl~3|combout" - Warning (332126): Node "mz80k_top|z80|i_ldhlr~0|datab" - Warning (332126): Node "mz80k_top|z80|i_ldrhl~2|datab" - Warning (332126): Node "mz80k_top|z80|i_ldblock|datad" - Warning (332126): Node "mz80k_top|z80|comb~66|datac" - Warning (332126): Node "mz80k_top|z80|comb~66|combout" - Warning (332126): Node "mz80k_top|z80|comb~25|datab" - Warning (332126): Node "mz80k_top|z80|imm1~0|dataa" - Warning (332126): Node "mz80k_top|z80|asu_ci~0|dataa" - Warning (332126): Node "mz80k_top|z80|selah[1]~4|datab" - Warning (332126): Node "mz80k_top|z80|i_exsphl|dataa" - Warning (332126): Node "mz80k_top|z80|comb~15|datad" - Warning (332126): Node "mz80k_top|z80|imm2~0|datad" - Warning (332126): Node "mz80k_top|cpu_data_in[6]~28|datad" - Warning (332126): Node "mz80k_top|cpu_data_in[0]~14|datac" - Warning (332126): Node "mz80k_top|cpu_data_in[0]~14|combout" - Warning (332126): Node "mz80k_top|cpu_data_in[7]~32|datab" - Warning (332126): Node "mz80k_top|cpu_data_in[7]~31|dataa" - Warning (332126): Node "mz80k_top|cpu_data_in[6]~29|datac" - Warning (332126): Node "mz80k_top|cpu_data_in[6]~29|combout" - Warning (332126): Node "mz80k_top|cpu_data_in[6]~30|datac" - Warning (332126): Node "mz80k_top|cpu_data_in[6]~30|combout" - Warning (332126): Node "mz80k_top|cpu_data_in[6]~50|datac" - Warning (332126): Node "mz80k_top|cpu_data_in[0]~21|datab" - Warning (332126): Node "mz80k_top|cpu_data_in[0]~21|combout" - Warning (332126): Node "mz80k_top|cpu_data_in[0]~23|datab" - Warning (332126): Node "mz80k_top|cpu_data_in[0]~23|combout" - Warning (332126): Node "mz80k_top|z80|reg_adrl|q[0]~0|dataa" - Warning (332126): Node "mz80k_top|cpu_data_in[0]~23|datac" - Warning (332126): Node "mz80k_top|cpu_data_in[0]~22|datab" - Warning (332126): Node "mz80k_top|cpu_data_in[0]~22|combout" - Warning (332126): Node "mz80k_top|cpu_data_in[0]~23|datad" - Warning (332126): Node "mz80k_top|cpu_data_in[1]~12|datab" - Warning (332126): Node "mz80k_top|cpu_data_in[1]~13|datab" - Warning (332126): Node "mz80k_top|io_e008~0|datad" - Warning (332126): Node "mz80k_top|ps2_1|always0~5|datac" - Warning (332126): Node "mz80k_top|z80|Mux21~0|dataa" - Warning (332126): Node "mz80k_top|z80|Mux21~0|combout" - Warning (332126): Node "mz80k_top|z80|Mux21~1|datac" - Warning (332126): Node "mz80k_top|z80|Mux22~2|datab" - Warning (332126): Node "mz80k_top|z80|Mux22~1|dataa" - Warning (332126): Node "mz80k_top|z80|Mux22~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux22~2|datac" - Warning (332126): Node "mz80k_top|z80|Mux19~1|datab" - Warning (332126): Node "mz80k_top|z80|Mux19~0|dataa" - Warning (332126): Node "mz80k_top|z80|Mux19~0|combout" - Warning (332126): Node "mz80k_top|z80|Mux19~1|datac" - Warning (332126): Node "mz80k_top|z80|Mux19~2|datad" - Warning (332126): Node "mz80k_top|z80|Mux19~2|combout" - Warning (332126): Node "mz80k_top|z80|Mux19~3|datab" - Warning (332126): Node "mz80k_top|z80|Mux18~0|datac" - Warning (332126): Node "mz80k_top|z80|Mux18~0|combout" - Warning (332126): Node "mz80k_top|z80|Mux18~1|datac" - Warning (332126): Node "mz80k_top|z80|Mux18~2|datad" - Warning (332126): Node "mz80k_top|z80|Mux18~2|combout" - Warning (332126): Node "mz80k_top|z80|Mux18~3|datab" - Warning (332126): Node "mz80k_top|z80|Mux17~1|datab" - Warning (332126): Node "mz80k_top|z80|Mux17~0|dataa" - Warning (332126): Node "mz80k_top|z80|Mux17~0|combout" - Warning (332126): Node "mz80k_top|z80|Mux17~1|datac" - Warning (332126): Node "mz80k_top|z80|Mux17~2|datad" - Warning (332126): Node "mz80k_top|z80|Mux17~2|combout" - Warning (332126): Node "mz80k_top|z80|Mux17~3|datab" - Warning (332126): Node "mz80k_top|z80|Mux16~0|datac" - Warning (332126): Node "mz80k_top|z80|Mux16~0|combout" - Warning (332126): Node "mz80k_top|z80|Mux16~1|datac" - Warning (332126): Node "mz80k_top|z80|Mux16~2|datad" - Warning (332126): Node "mz80k_top|z80|Mux16~2|combout" - Warning (332126): Node "mz80k_top|z80|Mux16~3|datab" - Warning (332126): Node "mz80k_top|z80|Mux23~0|datac" - Warning (332126): Node "mz80k_top|z80|Mux23~0|combout" - Warning (332126): Node "mz80k_top|z80|Mux23~1|datac" - Warning (332126): Node "mz80k_top|z80|Mux23~2|datad" - Warning (332126): Node "mz80k_top|z80|Mux23~2|combout" - Warning (332126): Node "mz80k_top|ps2_1|always0~6|datab" - Warning (332126): Node "mz80k_top|z80|Mux23~3|datab" - Warning (332126): Node "mz80k_top|z80|Mux22~3|datad" - Warning (332126): Node "mz80k_top|z80|Mux22~3|combout" - Warning (332126): Node "mz80k_top|cpu_data_in~52|datab" - Warning (332126): Node "mz80k_top|z80|Mux22~4|datab" - Warning (332126): Node "mz80k_top|z80|Mux21~2|datad" - Warning (332126): Node "mz80k_top|z80|Mux21~2|combout" - Warning (332126): Node "mz80k_top|z80|Mux21~3|datab" - Warning (332126): Node "mz80k_top|z80|Mux20~2|datad" - Warning (332126): Node "mz80k_top|z80|Mux20~2|combout" - Warning (332126): Node "mz80k_top|z80|Mux20~3|datab" - Warning (332126): Node "mz80k_top|z80|selah[0]~3|datad" - Warning (332126): Node "mz80k_top|z80|selal[1]~1|datad" - Warning (332126): Node "mz80k_top|z80|xy3~0|datad" - Warning (332126): Node "mz80k_top|z80|Decoder2~4|datad" - Warning (332126): Node "mz80k_top|z80|i_neg~0|dataa" - Warning (332126): Node "mz80k_top|z80|Decoder2~5|datad" - Warning (332126): Node "mz80k_top|z80|incdec8|dataa" - Warning (332126): Node "mz80k_top|z80|Decoder2~2|datab" - Warning (332126): Node "mz80k_top|z80|Decoder2~1|datab" - Warning (332126): Node "mz80k_top|z80|Decoder2~6|datad" - Warning (332126): Node "mz80k_top|z80|Decoder2~0|datac" - Warning (332126): Node "mz80k_top|z80|Decoder2~3|datad" - Warning (332126): Node "mz80k_top|cpu_data_in[5]~16|datad" - Warning (332126): Node "mz80k_top|cpu_data_in[4]~19|datad" - Warning (332126): Node "mz80k_top|cpu_data_in[1]~24|datad" - Warning (332126): Node "mz80k_top|cpu_data_in[3]~33|datad" - Warning (332126): Node "mz80k_top|cpu_data_in[7]~51|datab" - Warning (332126): Node "mz80k_top|cpu_data_in[6]~50|datab" - Warning (332126): Node "mz80k_top|cpu_data_in[6]~28|dataa" - Warning (332126): Node "mz80k_top|cpu_data_in[0]~14|datad" - Warning (332126): Node "mz80k_top|cpu_data_in[0]~22|datac" - Warning (332126): Node "mz80k_top|cpu_data_in[1]~12|datac" - Warning (332126): Node "mz80k_top|cpu_data_in[1]~13|datad" - Warning (332126): Node "mz80k_top|cpu_data_in[1]~18|datad" - Warning (332126): Node "mz80k_top|ps2_1|always0~5|dataa" - Warning (332126): Node "mz80k_top|cpu_data_in~47|dataa" - Warning (332126): Node "mz80k_top|cpu_data_in~43|datab" - Warning (332126): Node "mz80k_top|z80|Mux13~0|dataa" - Warning (332126): Node "mz80k_top|z80|Mux13~2|datac" - Warning (332126): Node "mz80k_top|z80|Mux11~2|datac" - Warning (332126): Node "mz80k_top|z80|Mux11~1|datab" - Warning (332126): Node "mz80k_top|z80|Mux11~0|dataa" - Warning (332126): Node "mz80k_top|z80|Mux8~2|datab" - Warning (332126): Node "mz80k_top|z80|Mux8~1|dataa" - Warning (332126): Node "mz80k_top|z80|Mux8~3|datac" - Warning (332126): Node "mz80k_top|z80|Mux9~2|datab" - Warning (332126): Node "mz80k_top|z80|Mux9~1|dataa" - Warning (332126): Node "mz80k_top|z80|Mux9~3|datac" - Warning (332126): Node "mz80k_top|z80|Mux12~1|datab" - Warning (332126): Node "mz80k_top|z80|Mux12~0|dataa" - Warning (332126): Node "mz80k_top|z80|Mux12~2|datac" - Warning (332126): Node "mz80k_top|z80|Mux10~2|datab" - Warning (332126): Node "mz80k_top|z80|Mux10~1|dataa" - Warning (332126): Node "mz80k_top|z80|Mux10~3|datac" - Warning (332126): Node "mz80k_top|z80|Mux15~1|datab" - Warning (332126): Node "mz80k_top|z80|Mux15~0|dataa" - Warning (332126): Node "mz80k_top|z80|Mux15~2|datac" - Warning (332126): Node "mz80k_top|z80|Mux14~1|datab" - Warning (332126): Node "mz80k_top|z80|Mux14~0|dataa" - Warning (332126): Node "mz80k_top|z80|Mux14~2|datac" - Warning (332126): Node "mz80k_top|z80|selal[0]~3|datad" - Warning (332126): Node "mz80k_top|z80|retin~2|dataa" - Warning (332126): Node "mz80k_top|z80|comb~29|dataa" - Warning (332126): Node "mz80k_top|z80|comb~17|dataa" - Warning (332126): Node "mz80k_top|z80|comb~17|combout" - Warning (332126): Node "mz80k_top|z80|i_ldblock|datab" - Warning (332126): Node "mz80k_top|z80|xy3|datac" - Warning (332126): Node "mz80k_top|z80|i_cpblock|datac" - Warning (332126): Node "mz80k_top|z80|Decoder1~7|datac" - Warning (332126): Node "mz80k_top|z80|Decoder1~1|datac" - Warning (332126): Node "mz80k_top|z80|Decoder1~8|dataa" - Warning (332126): Node "mz80k_top|z80|Decoder1~3|datac" - Warning (332126): Node "mz80k_top|z80|Decoder1~0|dataa" - Warning (332126): Node "mz80k_top|z80|comb~10|dataa" - Warning (332126): Node "mz80k_top|z80|Decoder1~2|datad" - Warning (332126): Node "mz80k_top|z80|i[4]~5|datad" - Warning (332126): Node "mz80k_top|z80|i[1]~7|datad" - Warning (332126): Node "mz80k_top|z80|i[3]~11|datad" - Warning (332126): Node "mz80k_top|z80|i[2]~8|datad" - Warning (332126): Node "mz80k_top|cpu_data_in[7]~51|datad" - Warning (332126): Node "mz80k_top|cpu_data_in[6]~50|datad" - Warning (332126): Node "mz80k_top|cpu_data_in[0]~23|dataa" - Warning (332126): Node "mz80k_top|ps2_1|always0~5|datad" - Warning (332126): Node "mz80k_top|cpu_data_in~43|datac" - Warning (332126): Node "mz80k_top|cpu_data_in~45|datad" - Warning (332126): Node "mz80k_top|z80|Mux20~0|dataa" - Warning (332126): Node "mz80k_top|z80|Mux20~2|datac" - Warning (332126): Node "mz80k_top|z80|Mux21~0|datac" - Warning (332126): Node "mz80k_top|z80|Mux22~1|datac" - Warning (332126): Node "mz80k_top|z80|Mux19~0|datac" - Warning (332126): Node "mz80k_top|z80|Mux19~2|datac" - Warning (332126): Node "mz80k_top|z80|Mux18~1|datab" - Warning (332126): Node "mz80k_top|z80|Mux18~0|dataa" - Warning (332126): Node "mz80k_top|z80|Mux18~2|datac" - Warning (332126): Node "mz80k_top|z80|Mux17~0|datac" - Warning (332126): Node "mz80k_top|z80|Mux17~2|datac" - Warning (332126): Node "mz80k_top|z80|Mux16~1|datab" - Warning (332126): Node "mz80k_top|z80|Mux16~0|dataa" - Warning (332126): Node "mz80k_top|z80|Mux16~2|datac" - Warning (332126): Node "mz80k_top|z80|selah[1]~5|datad" - Warning (332126): Node "mz80k_top|z80|Mux23~1|datab" - Warning (332126): Node "mz80k_top|z80|Mux23~0|dataa" - Warning (332126): Node "mz80k_top|z80|Mux23~2|datac" - Warning (332126): Node "mz80k_top|z80|Mux22~3|datac" - Warning (332126): Node "mz80k_top|z80|Mux21~2|datac" - Warning (332126): Node "mz80k_top|z80|selal[2]~6|datad" - Warning (332126): Node "mz80k_top|z80|i_ldblock|dataa" - Warning (332126): Node "mz80k_top|z80|comb~22|datad" - Warning (332126): Node "mz80k_top|z80|i_outna~0|datab" - Warning (332126): Node "mz80k_top|z80|i_setres_hl~0|dataa" - Warning (332126): Node "mz80k_top|z80|i_outcr|datad" - Warning (332126): Node "mz80k_top|z80|i_rs_hl~0|datad" - Warning (332126): Node "mz80k_top|z80|comb~29|datab" - Warning (332126): Node "mz80k_top|z80|xy3|dataa" - Warning (332126): Node "mz80k_top|z80|i_cpblock|dataa" - Warning (332126): Node "mz80k_top|z80|i_ldnnhl~0|datac" - Warning (332126): Node "mz80k_top|z80|i_inrc|datad" - Warning (332126): Node "mz80k_top|z80|i_ldrhl~3|datad" - Warning (332126): Node "mz80k_top|z80|comb~11|datad" - Warning (332126): Node "mz80k_top|z80|comb~66|datad" - Warning (332126): Node "mz80k_top|z80|comb~68|datad" - Warning (332126): Node "mz80k_top|z80|Decoder0~0|datab" - Warning (332126): Node "mz80k_top|cpu_data_in[6]~30|datab" - Warning (332126): Node "mz80k_top|cpu_data_in[6]~29|dataa" - Warning (332126): Node "mz80k_top|cpu_data_in[0]~21|datac" - Warning (332126): Node "mz80k_top|cpu_data_in[1]~49|datad" -Critical Warning (332081): Design contains combinational loop of 816 nodes. Estimating the delays through the loop. -Info (332143): No user constrained clock uncertainty found in the design. Calling "derive_clock_uncertainty" -Info (332123): Deriving Clock Uncertainty. Please refer to report_sdc in TimeQuest to see clock uncertainties. -Info (332130): Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time. -Info (176353): Automatically promoted node pll:pll|altpll:altpll_component|pll_altpll:auto_generated|wire_pll1_clk[0] (placed in counter C0 of PLL_4) - Info (176355): Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G18 -Info (176353): Automatically promoted node SPI_SCK~input (placed in PIN 126 (CLK8, DIFFCLK_5n)) - Info (176355): Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G14 - Info (176356): Following destination nodes may be non-global or may not use global or regional clocks - Info (176357): Destination node mist_io:mist_io|status[2] -Info (176353): Automatically promoted node mz80k_top:mz80k_top|CLK_CPU - Info (176355): Automatically promoted destinations to use location or clock signal Global Clock -Info (176353): Automatically promoted node mz80k_top:mz80k_top|vga:vga1|counter[0] - Info (176355): Automatically promoted destinations to use location or clock signal Global Clock - Info (176356): Following destination nodes may be non-global or may not use global or regional clocks - Info (176357): Destination node mz80k_top:mz80k_top|vga:vga1|counter[0]~0 -Info (176353): Automatically promoted node mz80k_top:mz80k_top|CLK_2M - Info (176355): Automatically promoted destinations to use location or clock signal Global Clock - Info (176356): Following destination nodes may be non-global or may not use global or regional clocks - Info (176357): Destination node mz80k_top:mz80k_top|CLK_2M~0 -Info (176353): Automatically promoted node mz80k_top:mz80k_top|CLK_31250 - Info (176355): Automatically promoted destinations to use location or clock signal Global Clock - Info (176356): Following destination nodes may be non-global or may not use global or regional clocks - Info (176357): Destination node mz80k_top:mz80k_top|CLK_31250~0 -Info (176353): Automatically promoted node mz80k_top:mz80k_top|i8253:i8253_1|signal1 - Info (176355): Automatically promoted destinations to use location or clock signal Global Clock - Info (176356): Following destination nodes may be non-global or may not use global or regional clocks - Info (176357): Destination node mz80k_top:mz80k_top|i8253:i8253_1|signal1~0 -Info (176353): Automatically promoted node SPI_SS3~input (placed in PIN 91 (CLK4, DIFFCLK_2p)) - Info (176355): Automatically promoted destinations to use location or clock signal Global Clock CLKCTRL_G9 - Info (176356): Following destination nodes may be non-global or may not use global or regional clocks - Info (176357): Destination node video_mixer:video_mixer|osd:osd|comb~4 - Info (176357): Destination node video_mixer:video_mixer|osd:osd|sbuf[4] - Info (176357): Destination node video_mixer:video_mixer|osd:osd|sbuf[5] - Info (176357): Destination node video_mixer:video_mixer|osd:osd|sbuf[3] - Info (176357): Destination node video_mixer:video_mixer|osd:osd|sbuf[6] - Info (176357): Destination node video_mixer:video_mixer|osd:osd|sbuf[1] - Info (176357): Destination node video_mixer:video_mixer|osd:osd|sbuf[0] - Info (176357): Destination node video_mixer:video_mixer|osd:osd|sbuf[2] - Info (176357): Destination node video_mixer:video_mixer|osd:osd|cmd[7]~0 -Info (176353): Automatically promoted node Equal1~2 - Info (176355): Automatically promoted destinations to use location or clock signal Global Clock - Info (176356): Following destination nodes may be non-global or may not use global or regional clocks - Info (176357): Destination node mz80k_top:mz80k_top|fz80:z80|seq:seq|busack - Info (176357): Destination node mz80k_top:mz80k_top|fz80:z80|seq:seq|state[3] - Info (176357): Destination node mz80k_top:mz80k_top|fz80:z80|seq:seq|state[2] - Info (176357): Destination node mz80k_top:mz80k_top|fz80:z80|seq:seq|state[1] - Info (176357): Destination node mz80k_top:mz80k_top|fz80:z80|seq:seq|state[0] - Info (176357): Destination node mz80k_top:mz80k_top|fz80:z80|sel_af - Info (176357): Destination node mz80k_top:mz80k_top|fz80:z80|seq:seq|ied - Info (176357): Destination node mz80k_top:mz80k_top|fz80:z80|seq:seq|icb - Info (176357): Destination node mz80k_top:mz80k_top|fz80:z80|sel_exx - Info (176357): Destination node mz80k_top:mz80k_top|fz80:z80|reg_r:reg_r|q[0] - Info (176358): Non-global destination nodes limited to 10 nodes -Info (176233): Starting register packing -Info (176235): Finished register packing - Extra Info (176219): No registers were packed into other blocks -Warning (15705): Ignored locations or region assignments to the following nodes - Warning (15706): Node "SDRAM_A[0]" is assigned to location or region, but does not exist in design - Warning (15706): Node "SDRAM_A[10]" is assigned to location or region, but does not exist in design - Warning (15706): Node "SDRAM_A[11]" is assigned to location or region, but does not exist in design - Warning (15706): Node "SDRAM_A[12]" is assigned to location or region, but does not exist in design - Warning (15706): Node "SDRAM_A[1]" is assigned to location or region, but does not exist in design - Warning (15706): Node "SDRAM_A[2]" is assigned to location or region, but does not exist in design - Warning (15706): Node "SDRAM_A[3]" is assigned to location or region, but does not exist in design - Warning (15706): Node "SDRAM_A[4]" is assigned to location or region, but does not exist in design - Warning (15706): Node "SDRAM_A[5]" is assigned to location or region, but does not exist in design - Warning (15706): Node "SDRAM_A[6]" is assigned to location or region, but does not exist in design - Warning (15706): Node "SDRAM_A[7]" is assigned to location or region, but does not exist in design - Warning (15706): Node "SDRAM_A[8]" is assigned to location or region, but does not exist in design - Warning (15706): Node "SDRAM_A[9]" is assigned to location or region, but does not exist in design - Warning (15706): Node "SDRAM_BA[0]" is assigned to location or region, but does not exist in design - Warning (15706): Node "SDRAM_BA[1]" is assigned to location or region, but does not exist in design - Warning (15706): Node "SDRAM_CKE" is assigned to location or region, but does not exist in design - Warning (15706): Node "SDRAM_CLK" is assigned to location or region, but does not exist in design - Warning (15706): Node "SDRAM_DQMH" is assigned to location or region, but does not exist in design - Warning (15706): Node "SDRAM_DQML" is assigned to location or region, but does not exist in design - Warning (15706): Node "SDRAM_DQ[0]" is assigned to location or region, but does not exist in design - Warning (15706): Node "SDRAM_DQ[10]" is assigned to location or region, but does not exist in design - Warning (15706): Node "SDRAM_DQ[11]" is assigned to location or region, but does not exist in design - Warning (15706): Node "SDRAM_DQ[12]" is assigned to location or region, but does not exist in design - Warning (15706): Node "SDRAM_DQ[13]" is assigned to location or region, but does not exist in design - Warning (15706): Node "SDRAM_DQ[14]" is assigned to location or region, but does not exist in design - Warning (15706): Node "SDRAM_DQ[15]" is assigned to location or region, but does not exist in design - Warning (15706): Node "SDRAM_DQ[1]" is assigned to location or region, but does not exist in design - Warning (15706): Node "SDRAM_DQ[2]" is assigned to location or region, but does not exist in design - Warning (15706): Node "SDRAM_DQ[3]" is assigned to location or region, but does not exist in design - Warning (15706): Node "SDRAM_DQ[4]" is assigned to location or region, but does not exist in design - Warning (15706): Node "SDRAM_DQ[5]" is assigned to location or region, but does not exist in design - Warning (15706): Node "SDRAM_DQ[6]" is assigned to location or region, but does not exist in design - Warning (15706): Node "SDRAM_DQ[7]" is assigned to location or region, but does not exist in design - Warning (15706): Node "SDRAM_DQ[8]" is assigned to location or region, but does not exist in design - Warning (15706): Node "SDRAM_DQ[9]" is assigned to location or region, but does not exist in design - Warning (15706): Node "SDRAM_nCAS" is assigned to location or region, but does not exist in design - Warning (15706): Node "SDRAM_nCS" is assigned to location or region, but does not exist in design - Warning (15706): Node "SDRAM_nRAS" is assigned to location or region, but does not exist in design - Warning (15706): Node "SDRAM_nWE" is assigned to location or region, but does not exist in design - Warning (15706): Node "UART_RX" is assigned to location or region, but does not exist in design - Warning (15706): Node "UART_TX" is assigned to location or region, but does not exist in design -Info (171121): Fitter preparation operations ending: elapsed time is 00:00:02 -Info (170189): Fitter placement preparation operations beginning -Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:02 -Info (170191): Fitter placement operations beginning -Info (170137): Fitter placement was successful -Info (170192): Fitter placement operations ending: elapsed time is 00:00:13 -Info (170193): Fitter routing operations beginning -Info (170089): 1e+03 ns of routing delay (approximately 2.6% of available device routing delay) has been added to meet hold timing. For more information, refer to the Estimated Delay Added for Hold Timing section in the Fitter report. -Info (170195): Router estimated average interconnect usage is 6% of the available device resources - Info (170196): Router estimated peak interconnect usage is 45% of the available device resources in the region that extends from location X21_Y11 to location X31_Y22 -Info (170194): Fitter routing operations ending: elapsed time is 00:00:25 -Info (170199): The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time. - Info (170201): Optimizations that may affect the design's routability were skipped -Info (11888): Total time spent on timing analysis during the Fitter is 19.66 seconds. -Info (334003): Started post-fitting delay annotation -Info (334004): Delay annotation completed successfully -Info (334003): Started post-fitting delay annotation -Info (334004): Delay annotation completed successfully -Info (11218): Fitter post-fit operations ending: elapsed time is 00:00:03 -Warning (171167): Found invalid Fitter assignments. See the Ignored Assignments panel in the Fitter Compilation Report for more information. -Warning (169177): 7 pins must meet Altera requirements for 3.3-, 3.0-, and 2.5-V interfaces. For more information, refer to AN 447: Interfacing Cyclone III Devices with 3.3/3.0/2.5-V LVTTL/LVCMOS I/O Systems. - Info (169178): Pin SPI_DO uses I/O standard 3.3-V LVTTL at 105 - Info (169178): Pin SPI_SS2 uses I/O standard 3.3-V LVTTL at 127 - Info (169178): Pin SPI_SS4 uses I/O standard 3.3-V LVTTL at 90 - Info (169178): Pin SPI_SCK uses I/O standard 3.3-V LVTTL at 126 - Info (169178): Pin SPI_SS3 uses I/O standard 3.3-V LVTTL at 91 - Info (169178): Pin SPI_DI uses I/O standard 3.3-V LVTTL at 88 - Info (169178): Pin CLOCK_27 uses I/O standard 3.3-V LVTTL at 54 -Warning (169203): PCI-clamp diode is not supported in this mode. The following 1 pins must meet the Altera requirements for 3.3V, 3.0V, and 2.5V interfaces if they are connected to devices other than the supported configuration devices. In these cases, Altera recommends termination method as specified in the Application Note 447. - Info (169178): Pin CONF_DATA0 uses I/O standard 3.3-V LVTTL at 13 -Info (144001): Generated suppressed messages file D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/Output/mz80k_mist.fit.smsg -Info: Quartus II 64-Bit Fitter was successful. 0 errors, 865 warnings - Info: Peak virtual memory: 5526 megabytes - Info: Processing ended: Sun Jun 24 13:31:07 2018 - Info: Elapsed time: 00:00:53 - Info: Total CPU time (on all processors): 00:01:08 - - -+----------------------------+ -; Fitter Suppressed Messages ; -+----------------------------+ -The suppressed messages can be found in D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/Output/mz80k_mist.fit.smsg. - - diff --git a/Sharp - MZ-80K_MiST/Output/mz80k_mist.fit.smsg b/Sharp - MZ-80K_MiST/Output/mz80k_mist.fit.smsg deleted file mode 100644 index 7121cbb1..00000000 --- a/Sharp - MZ-80K_MiST/Output/mz80k_mist.fit.smsg +++ /dev/null @@ -1,8 +0,0 @@ -Extra Info (176273): Performing register packing on registers with non-logic cell location assignments -Extra Info (176274): Completed register packing on registers with non-logic cell location assignments -Extra Info (176236): Started Fast Input/Output/OE register processing -Extra Info (176237): Finished Fast Input/Output/OE register processing -Extra Info (176238): Start inferring scan chains for DSP blocks -Extra Info (176239): Inferring scan chains for DSP blocks is complete -Extra Info (176248): Moving registers into I/O cells, Multiplier Blocks, and RAM blocks to improve timing and density -Extra Info (176249): Finished moving registers into I/O cells, Multiplier Blocks, and RAM blocks diff --git a/Sharp - MZ-80K_MiST/Output/mz80k_mist.fit.summary b/Sharp - MZ-80K_MiST/Output/mz80k_mist.fit.summary deleted file mode 100644 index 243f9f70..00000000 --- a/Sharp - MZ-80K_MiST/Output/mz80k_mist.fit.summary +++ /dev/null @@ -1,16 +0,0 @@ -Fitter Status : Successful - Sun Jun 24 13:31:05 2018 -Quartus II 64-Bit Version : 13.1.0 Build 162 10/23/2013 SJ Web Edition -Revision Name : mz80k_mist -Top-level Entity Name : mz80k_mist -Family : Cyclone III -Device : EP3C25E144C8 -Timing Models : Final -Total logic elements : 3,012 / 24,624 ( 12 % ) - Total combinational functions : 2,886 / 24,624 ( 12 % ) - Dedicated logic registers : 891 / 24,624 ( 4 % ) -Total registers : 891 -Total pins : 31 / 83 ( 37 % ) -Total virtual pins : 0 -Total memory bits : 311,296 / 608,256 ( 51 % ) -Embedded Multiplier 9-bit elements : 0 / 132 ( 0 % ) -Total PLLs : 1 / 4 ( 25 % ) diff --git a/Sharp - MZ-80K_MiST/Output/mz80k_mist.flow.rpt b/Sharp - MZ-80K_MiST/Output/mz80k_mist.flow.rpt deleted file mode 100644 index 2c0cb61a..00000000 --- a/Sharp - MZ-80K_MiST/Output/mz80k_mist.flow.rpt +++ /dev/null @@ -1,136 +0,0 @@ -Flow report for mz80k_mist -Sun Jun 24 13:31:32 2018 -Quartus II 64-Bit Version 13.1.0 Build 162 10/23/2013 SJ Web Edition - - ---------------------- -; Table of Contents ; ---------------------- - 1. Legal Notice - 2. Flow Summary - 3. Flow Settings - 4. Flow Non-Default Global Settings - 5. Flow Elapsed Time - 6. Flow OS Summary - 7. Flow Log - 8. Flow Messages - 9. Flow Suppressed Messages - - - ----------------- -; Legal Notice ; ----------------- -Copyright (C) 1991-2013 Altera Corporation -Your use of Altera Corporation's design tools, logic functions -and other software and tools, and its AMPP partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Altera Program License -Subscription Agreement, Altera MegaCore Function License -Agreement, or other applicable license agreement, including, -without limitation, that your use is for the sole purpose of -programming logic devices manufactured by Altera and sold by -Altera or its authorized distributors. Please refer to the -applicable agreement for further details. - - - -+---------------------------------------------------------------------------------+ -; Flow Summary ; -+------------------------------------+--------------------------------------------+ -; Flow Status ; Successful - Sun Jun 24 13:31:32 2018 ; -; Quartus II 64-Bit Version ; 13.1.0 Build 162 10/23/2013 SJ Web Edition ; -; Revision Name ; mz80k_mist ; -; Top-level Entity Name ; mz80k_mist ; -; Family ; Cyclone III ; -; Device ; EP3C25E144C8 ; -; Timing Models ; Final ; -; Total logic elements ; 3,012 / 24,624 ( 12 % ) ; -; Total combinational functions ; 2,886 / 24,624 ( 12 % ) ; -; Dedicated logic registers ; 891 / 24,624 ( 4 % ) ; -; Total registers ; 891 ; -; Total pins ; 31 / 83 ( 37 % ) ; -; Total virtual pins ; 0 ; -; Total memory bits ; 311,296 / 608,256 ( 51 % ) ; -; Embedded Multiplier 9-bit elements ; 0 / 132 ( 0 % ) ; -; Total PLLs ; 1 / 4 ( 25 % ) ; -+------------------------------------+--------------------------------------------+ - - -+-----------------------------------------+ -; Flow Settings ; -+-------------------+---------------------+ -; Option ; Setting ; -+-------------------+---------------------+ -; Start date & time ; 06/24/2018 13:29:56 ; -; Main task ; Compilation ; -; Revision Name ; mz80k_mist ; -+-------------------+---------------------+ - - -+----------------------------------------------------------------------------------------------------------------------------+ -; Flow Non-Default Global Settings ; -+-------------------------------------+---------------------------------------+---------------+-------------+----------------+ -; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; -+-------------------------------------+---------------------------------------+---------------+-------------+----------------+ -; COMPILER_SIGNATURE_ID ; 84440844040061.152983979606548 ; -- ; -- ; -- ; -; EDA_OUTPUT_DATA_FORMAT ; Vhdl ; -- ; -- ; eda_simulation ; -; EDA_SIMULATION_TOOL ; ModelSim-Altera (VHDL) ; ; -- ; -- ; -; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ; -; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ; -; OUTPUT_IO_TIMING_FAR_END_VMEAS ; Half Signal Swing ; -- ; -- ; -- ; -; OUTPUT_IO_TIMING_FAR_END_VMEAS ; Half Signal Swing ; -- ; -- ; -- ; -; OUTPUT_IO_TIMING_NEAR_END_VMEAS ; Half Vccio ; -- ; -- ; -- ; -; OUTPUT_IO_TIMING_NEAR_END_VMEAS ; Half Vccio ; -- ; -- ; -- ; -; PARTITION_COLOR ; 16764057 ; -- ; -- ; Top ; -; PARTITION_FITTER_PRESERVATION_LEVEL ; PLACEMENT_AND_ROUTING ; -- ; -- ; Top ; -; PARTITION_NETLIST_TYPE ; SOURCE ; -- ; -- ; Top ; -; POWER_BOARD_THERMAL_MODEL ; None (CONSERVATIVE) ; -- ; -- ; -- ; -; POWER_PRESET_COOLING_SOLUTION ; 23 MM HEAT SINK WITH 200 LFPM AIRFLOW ; -- ; -- ; -- ; -; PRE_FLOW_SCRIPT_FILE ; quartus_sh:rtl/build_id.tcl ; -- ; -- ; -- ; -; PROJECT_OUTPUT_DIRECTORY ; Output ; -- ; -- ; -- ; -; VERILOG_INPUT_VERSION ; SystemVerilog_2005 ; Verilog_2001 ; -- ; -- ; -; VERILOG_SHOW_LMF_MAPPING_MESSAGES ; Off ; -- ; -- ; -- ; -+-------------------------------------+---------------------------------------+---------------+-------------+----------------+ - - -+-------------------------------------------------------------------------------------------------------------------------------+ -; Flow Elapsed Time ; -+---------------------------+--------------+-------------------------+---------------------+------------------------------------+ -; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; -+---------------------------+--------------+-------------------------+---------------------+------------------------------------+ -; Analysis & Synthesis ; 00:00:17 ; 1.0 ; 4725 MB ; 00:00:17 ; -; Fitter ; 00:00:51 ; 2.0 ; 5526 MB ; 00:01:06 ; -; Assembler ; 00:00:03 ; 1.0 ; 4627 MB ; 00:00:03 ; -; TimeQuest Timing Analyzer ; 00:00:10 ; 1.3 ; 4795 MB ; 00:00:12 ; -; EDA Netlist Writer ; 00:00:05 ; 1.0 ; 4636 MB ; 00:00:04 ; -; Total ; 00:01:26 ; -- ; -- ; 00:01:42 ; -+---------------------------+--------------+-------------------------+---------------------+------------------------------------+ - - -+----------------------------------------------------------------------------------------+ -; Flow OS Summary ; -+---------------------------+------------------+-----------+------------+----------------+ -; Module Name ; Machine Hostname ; OS Name ; OS Version ; Processor type ; -+---------------------------+------------------+-----------+------------+----------------+ -; Analysis & Synthesis ; DESKTOP-BA4AA0D ; Windows 7 ; 6.2 ; x86_64 ; -; Fitter ; DESKTOP-BA4AA0D ; Windows 7 ; 6.2 ; x86_64 ; -; Assembler ; DESKTOP-BA4AA0D ; Windows 7 ; 6.2 ; x86_64 ; -; TimeQuest Timing Analyzer ; DESKTOP-BA4AA0D ; Windows 7 ; 6.2 ; x86_64 ; -; EDA Netlist Writer ; DESKTOP-BA4AA0D ; Windows 7 ; 6.2 ; x86_64 ; -+---------------------------+------------------+-----------+------------+----------------+ - - ------------- -; Flow Log ; ------------- -quartus_map --read_settings_files=on --write_settings_files=off mz80k_mist -c mz80k_mist -quartus_fit --read_settings_files=off --write_settings_files=off mz80k_mist -c mz80k_mist -quartus_asm --read_settings_files=off --write_settings_files=off mz80k_mist -c mz80k_mist -quartus_sta mz80k_mist -c mz80k_mist -quartus_eda --read_settings_files=off --write_settings_files=off mz80k_mist -c mz80k_mist - - - diff --git a/Sharp - MZ-80K_MiST/Output/mz80k_mist.jdi b/Sharp - MZ-80K_MiST/Output/mz80k_mist.jdi deleted file mode 100644 index 749e8a8c..00000000 --- a/Sharp - MZ-80K_MiST/Output/mz80k_mist.jdi +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/Sharp - MZ-80K_MiST/Output/mz80k_mist.map.rpt b/Sharp - MZ-80K_MiST/Output/mz80k_mist.map.rpt deleted file mode 100644 index 7252292b..00000000 --- a/Sharp - MZ-80K_MiST/Output/mz80k_mist.map.rpt +++ /dev/null @@ -1,3094 +0,0 @@ -Analysis & Synthesis report for mz80k_mist -Sun Jun 24 13:30:13 2018 -Quartus II 64-Bit Version 13.1.0 Build 162 10/23/2013 SJ Web Edition - - ---------------------- -; Table of Contents ; ---------------------- - 1. Legal Notice - 2. Analysis & Synthesis Summary - 3. Analysis & Synthesis Settings - 4. Parallel Compilation - 5. Analysis & Synthesis Source Files Read - 6. Analysis & Synthesis Resource Usage Summary - 7. Analysis & Synthesis Resource Utilization by Entity - 8. Analysis & Synthesis RAM Summary - 9. Analysis & Synthesis IP Cores Summary - 10. Logic Cells Representing Combinational Loops - 11. Registers Removed During Synthesis - 12. Removed Registers Triggering Further Register Optimizations - 13. General Register Statistics - 14. Inverted Register Statistics - 15. Registers Packed Into Inferred Megafunctions - 16. Multiplexer Restructuring Statistics (Restructuring Performed) - 17. Source assignments for video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_in:hq2x_in|hq2x_buf:buf0|altsyncram:altsyncram_component|altsyncram_c5o1:auto_generated - 18. Source assignments for video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_in:hq2x_in|hq2x_buf:buf1|altsyncram:altsyncram_component|altsyncram_c5o1:auto_generated - 19. Source assignments for video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf0|altsyncram:altsyncram_component|altsyncram_28o1:auto_generated - 20. Source assignments for video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf1|altsyncram:altsyncram_component|altsyncram_28o1:auto_generated - 21. Source assignments for video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf2|altsyncram:altsyncram_component|altsyncram_28o1:auto_generated - 22. Source assignments for video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf3|altsyncram:altsyncram_component|altsyncram_28o1:auto_generated - 23. Source assignments for mz80k_top:mz80k_top|vga:vga1|cg_rom:cg_rom|altsyncram:altsyncram_component|altsyncram_f7a1:auto_generated - 24. Source assignments for mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated - 25. Source assignments for mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated - 26. Source assignments for video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated - 27. Parameter Settings for User Entity Instance: pll:pll|altpll:altpll_component - 28. Parameter Settings for User Entity Instance: mist_io:mist_io - 29. Parameter Settings for User Entity Instance: video_mixer:video_mixer - 30. Parameter Settings for User Entity Instance: video_mixer:video_mixer|scandoubler:scandoubler - 31. Parameter Settings for User Entity Instance: video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x - 32. Parameter Settings for User Entity Instance: video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_in:hq2x_in - 33. Parameter Settings for User Entity Instance: video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_in:hq2x_in|hq2x_buf:buf0 - 34. Parameter Settings for User Entity Instance: video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_in:hq2x_in|hq2x_buf:buf0|altsyncram:altsyncram_component - 35. Parameter Settings for User Entity Instance: video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_in:hq2x_in|hq2x_buf:buf1 - 36. Parameter Settings for User Entity Instance: video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_in:hq2x_in|hq2x_buf:buf1|altsyncram:altsyncram_component - 37. Parameter Settings for User Entity Instance: video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out - 38. Parameter Settings for User Entity Instance: video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf0 - 39. Parameter Settings for User Entity Instance: video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf0|altsyncram:altsyncram_component - 40. Parameter Settings for User Entity Instance: video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf1 - 41. Parameter Settings for User Entity Instance: video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf1|altsyncram:altsyncram_component - 42. Parameter Settings for User Entity Instance: video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf2 - 43. Parameter Settings for User Entity Instance: video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf2|altsyncram:altsyncram_component - 44. Parameter Settings for User Entity Instance: video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf3 - 45. Parameter Settings for User Entity Instance: video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf3|altsyncram:altsyncram_component - 46. Parameter Settings for User Entity Instance: video_mixer:video_mixer|osd:osd - 47. Parameter Settings for User Entity Instance: sigma_delta_dac:sigma_delta_dac - 48. Parameter Settings for User Entity Instance: mz80k_top:mz80k_top|fz80:z80|seq:seq - 49. Parameter Settings for User Entity Instance: mz80k_top:mz80k_top|vga:vga1|cg_rom:cg_rom|altsyncram:altsyncram_component - 50. Parameter Settings for User Entity Instance: mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component - 51. Parameter Settings for User Entity Instance: mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component - 52. Parameter Settings for Inferred Entity Instance: video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0 - 53. altpll Parameter Settings by Entity Instance - 54. altsyncram Parameter Settings by Entity Instance - 55. Port Connectivity Checks: "keyboard:keyboard" - 56. Port Connectivity Checks: "mz80k_top:mz80k_top|ram2:ram2_2" - 57. Port Connectivity Checks: "mz80k_top:mz80k_top|monrom:mon_rom" - 58. Port Connectivity Checks: "mz80k_top:mz80k_top|vga:vga1|cg_rom:cg_rom" - 59. Port Connectivity Checks: "mz80k_top:mz80k_top|vga:vga1" - 60. Port Connectivity Checks: "mz80k_top:mz80k_top|ps2:ps2_1" - 61. Port Connectivity Checks: "mz80k_top:mz80k_top|i8253:i8253_1" - 62. Port Connectivity Checks: "mz80k_top:mz80k_top|fz80:z80|alu:alu" - 63. Port Connectivity Checks: "mz80k_top:mz80k_top|fz80:z80" - 64. Port Connectivity Checks: "mz80k_top:mz80k_top" - 65. Port Connectivity Checks: "sigma_delta_dac:sigma_delta_dac" - 66. Port Connectivity Checks: "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|Blend:blender" - 67. Port Connectivity Checks: "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x" - 68. Port Connectivity Checks: "video_mixer:video_mixer" - 69. Port Connectivity Checks: "mist_io:mist_io" - 70. Port Connectivity Checks: "pll:pll" - 71. Elapsed Time Per Partition - 72. Analysis & Synthesis Messages - 73. Analysis & Synthesis Suppressed Messages - - - ----------------- -; Legal Notice ; ----------------- -Copyright (C) 1991-2013 Altera Corporation -Your use of Altera Corporation's design tools, logic functions -and other software and tools, and its AMPP partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Altera Program License -Subscription Agreement, Altera MegaCore Function License -Agreement, or other applicable license agreement, including, -without limitation, that your use is for the sole purpose of -programming logic devices manufactured by Altera and sold by -Altera or its authorized distributors. Please refer to the -applicable agreement for further details. - - - -+---------------------------------------------------------------------------------+ -; Analysis & Synthesis Summary ; -+------------------------------------+--------------------------------------------+ -; Analysis & Synthesis Status ; Successful - Sun Jun 24 13:30:13 2018 ; -; Quartus II 64-Bit Version ; 13.1.0 Build 162 10/23/2013 SJ Web Edition ; -; Revision Name ; mz80k_mist ; -; Top-level Entity Name ; mz80k_mist ; -; Family ; Cyclone III ; -; Total logic elements ; 3,152 ; -; Total combinational functions ; 2,886 ; -; Dedicated logic registers ; 891 ; -; Total registers ; 891 ; -; Total pins ; 31 ; -; Total virtual pins ; 0 ; -; Total memory bits ; 311,296 ; -; Embedded Multiplier 9-bit elements ; 0 ; -; Total PLLs ; 1 ; -+------------------------------------+--------------------------------------------+ - - -+----------------------------------------------------------------------------------------------------------------------+ -; Analysis & Synthesis Settings ; -+----------------------------------------------------------------------------+--------------------+--------------------+ -; Option ; Setting ; Default Value ; -+----------------------------------------------------------------------------+--------------------+--------------------+ -; Device ; EP3C25E144C8 ; ; -; Top-level entity name ; mz80k_mist ; mz80k_mist ; -; Family name ; Cyclone III ; Cyclone IV GX ; -; Verilog Show LMF Mapping Messages ; Off ; ; -; Verilog Version ; SystemVerilog_2005 ; Verilog_2001 ; -; Use smart compilation ; Off ; Off ; -; Enable parallel Assembler and TimeQuest Timing Analyzer during compilation ; On ; On ; -; Enable compact report table ; Off ; Off ; -; Restructure Multiplexers ; Auto ; Auto ; -; Create Debugging Nodes for IP Cores ; Off ; Off ; -; Preserve fewer node names ; On ; On ; -; Disable OpenCore Plus hardware evaluation ; Off ; Off ; -; VHDL Version ; VHDL_1993 ; VHDL_1993 ; -; State Machine Processing ; Auto ; Auto ; -; Safe State Machine ; Off ; Off ; -; Extract Verilog State Machines ; On ; On ; -; Extract VHDL State Machines ; On ; On ; -; Ignore Verilog initial constructs ; Off ; Off ; -; Iteration limit for constant Verilog loops ; 5000 ; 5000 ; -; Iteration limit for non-constant Verilog loops ; 250 ; 250 ; -; Add Pass-Through Logic to Inferred RAMs ; On ; On ; -; Infer RAMs from Raw Logic ; On ; On ; -; Parallel Synthesis ; On ; On ; -; DSP Block Balancing ; Auto ; Auto ; -; NOT Gate Push-Back ; On ; On ; -; Power-Up Don't Care ; On ; On ; -; Remove Redundant Logic Cells ; Off ; Off ; -; Remove Duplicate Registers ; On ; On ; -; Ignore CARRY Buffers ; Off ; Off ; -; Ignore CASCADE Buffers ; Off ; Off ; -; Ignore GLOBAL Buffers ; Off ; Off ; -; Ignore ROW GLOBAL Buffers ; Off ; Off ; -; Ignore LCELL Buffers ; Off ; Off ; -; Ignore SOFT Buffers ; On ; On ; -; Limit AHDL Integers to 32 Bits ; Off ; Off ; -; Optimization Technique ; Balanced ; Balanced ; -; Carry Chain Length ; 70 ; 70 ; -; Auto Carry Chains ; On ; On ; -; Auto Open-Drain Pins ; On ; On ; -; Perform WYSIWYG Primitive Resynthesis ; Off ; Off ; -; Auto ROM Replacement ; On ; On ; -; Auto RAM Replacement ; On ; On ; -; Auto DSP Block Replacement ; On ; On ; -; Auto Shift Register Replacement ; Auto ; Auto ; -; Allow Shift Register Merging across Hierarchies ; Auto ; Auto ; -; Auto Clock Enable Replacement ; On ; On ; -; Strict RAM Replacement ; Off ; Off ; -; Allow Synchronous Control Signals ; On ; On ; -; Force Use of Synchronous Clear Signals ; Off ; Off ; -; Auto RAM Block Balancing ; On ; On ; -; Auto RAM to Logic Cell Conversion ; Off ; Off ; -; Auto Resource Sharing ; Off ; Off ; -; Allow Any RAM Size For Recognition ; Off ; Off ; -; Allow Any ROM Size For Recognition ; Off ; Off ; -; Allow Any Shift Register Size For Recognition ; Off ; Off ; -; Use LogicLock Constraints during Resource Balancing ; On ; On ; -; Ignore translate_off and synthesis_off directives ; Off ; Off ; -; Timing-Driven Synthesis ; On ; On ; -; Report Parameter Settings ; On ; On ; -; Report Source Assignments ; On ; On ; -; Report Connectivity Checks ; On ; On ; -; Ignore Maximum Fan-Out Assignments ; Off ; Off ; -; Synchronization Register Chain Length ; 2 ; 2 ; -; PowerPlay Power Optimization ; Normal compilation ; Normal compilation ; -; HDL message level ; Level2 ; Level2 ; -; Suppress Register Optimization Related Messages ; Off ; Off ; -; Number of Removed Registers Reported in Synthesis Report ; 5000 ; 5000 ; -; Number of Swept Nodes Reported in Synthesis Report ; 5000 ; 5000 ; -; Number of Inverted Registers Reported in Synthesis Report ; 100 ; 100 ; -; Clock MUX Protection ; On ; On ; -; Auto Gated Clock Conversion ; Off ; Off ; -; Block Design Naming ; Auto ; Auto ; -; SDC constraint protection ; Off ; Off ; -; Synthesis Effort ; Auto ; Auto ; -; Shift Register Replacement - Allow Asynchronous Clear Signal ; On ; On ; -; Pre-Mapping Resynthesis Optimization ; Off ; Off ; -; Analysis & Synthesis Message Level ; Medium ; Medium ; -; Disable Register Merging Across Hierarchies ; Auto ; Auto ; -; Resource Aware Inference For Block RAM ; On ; On ; -; Synthesis Seed ; 1 ; 1 ; -+----------------------------------------------------------------------------+--------------------+--------------------+ - - -+------------------------------------------+ -; Parallel Compilation ; -+----------------------------+-------------+ -; Processors ; Number ; -+----------------------------+-------------+ -; Number detected on machine ; 8 ; -; Maximum allowed ; 4 ; -; ; ; -; Average used ; 1.00 ; -; Maximum used ; 4 ; -; ; ; -; Usage by Processor ; % Time Used ; -; Processor 1 ; 100.0% ; -; Processors 2-4 ; < 0.1% ; -; Processors 5-8 ; 0.0% ; -+----------------------------+-------------+ - - -+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Analysis & Synthesis Source Files Read ; -+----------------------------------+-----------------+------------------------------+-------------------------------------------------------------------------+---------+ -; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; Library ; -+----------------------------------+-----------------+------------------------------+-------------------------------------------------------------------------+---------+ -; rtl/mz80k_mist.sv ; yes ; User SystemVerilog HDL File ; D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/rtl/mz80k_mist.sv ; ; -; rtl/mz80k_top.v ; yes ; User Verilog HDL File ; D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/rtl/mz80k_top.v ; ; -; rtl/vga.v ; yes ; User Verilog HDL File ; D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/rtl/vga.v ; ; -; rtl/i8253.v ; yes ; User Verilog HDL File ; D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/rtl/i8253.v ; ; -; rtl/ps2.v ; yes ; User Verilog HDL File ; D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/rtl/ps2.v ; ; -; rtl/fz80.v ; yes ; User Verilog HDL File ; D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/rtl/fz80.v ; ; -; rtl/video_mixer.sv ; yes ; User SystemVerilog HDL File ; D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/rtl/video_mixer.sv ; ; -; rtl/sigma_delta_dac.v ; yes ; User Verilog HDL File ; D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/rtl/sigma_delta_dac.v ; ; -; rtl/scandoubler.v ; yes ; User Verilog HDL File ; D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/rtl/scandoubler.v ; ; -; rtl/osd.v ; yes ; User Verilog HDL File ; D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/rtl/osd.v ; ; -; rtl/mist_io.v ; yes ; User Verilog HDL File ; D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/rtl/mist_io.v ; ; -; rtl/hq2x.sv ; yes ; User SystemVerilog HDL File ; D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/rtl/hq2x.sv ; ; -; rtl/keyboard.sv ; yes ; User SystemVerilog HDL File ; D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/rtl/keyboard.sv ; ; -; rtl/pll.v ; yes ; User Wizard-Generated File ; D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/rtl/pll.v ; ; -; rtl/cg_rom.v ; yes ; User Wizard-Generated File ; D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/rtl/cg_rom.v ; ; -; rtl/ram2.v ; yes ; User Wizard-Generated File ; D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/rtl/ram2.v ; ; -; rtl/monrom.v ; yes ; User Wizard-Generated File ; D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/rtl/monrom.v ; ; -; rtl/build_id.v ; yes ; Auto-Found Verilog HDL File ; D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/rtl/build_id.v ; ; -; altpll.tdf ; yes ; Megafunction ; c:/intelfpga/13.1/quartus/libraries/megafunctions/altpll.tdf ; ; -; aglobal131.inc ; yes ; Megafunction ; c:/intelfpga/13.1/quartus/libraries/megafunctions/aglobal131.inc ; ; -; stratix_pll.inc ; yes ; Megafunction ; c:/intelfpga/13.1/quartus/libraries/megafunctions/stratix_pll.inc ; ; -; stratixii_pll.inc ; yes ; Megafunction ; c:/intelfpga/13.1/quartus/libraries/megafunctions/stratixii_pll.inc ; ; -; cycloneii_pll.inc ; yes ; Megafunction ; c:/intelfpga/13.1/quartus/libraries/megafunctions/cycloneii_pll.inc ; ; -; db/pll_altpll.v ; yes ; Auto-Generated Megafunction ; D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/db/pll_altpll.v ; ; -; altsyncram.tdf ; yes ; Megafunction ; c:/intelfpga/13.1/quartus/libraries/megafunctions/altsyncram.tdf ; ; -; stratix_ram_block.inc ; yes ; Megafunction ; c:/intelfpga/13.1/quartus/libraries/megafunctions/stratix_ram_block.inc ; ; -; lpm_mux.inc ; yes ; Megafunction ; c:/intelfpga/13.1/quartus/libraries/megafunctions/lpm_mux.inc ; ; -; lpm_decode.inc ; yes ; Megafunction ; c:/intelfpga/13.1/quartus/libraries/megafunctions/lpm_decode.inc ; ; -; a_rdenreg.inc ; yes ; Megafunction ; c:/intelfpga/13.1/quartus/libraries/megafunctions/a_rdenreg.inc ; ; -; altrom.inc ; yes ; Megafunction ; c:/intelfpga/13.1/quartus/libraries/megafunctions/altrom.inc ; ; -; altram.inc ; yes ; Megafunction ; c:/intelfpga/13.1/quartus/libraries/megafunctions/altram.inc ; ; -; altdpram.inc ; yes ; Megafunction ; c:/intelfpga/13.1/quartus/libraries/megafunctions/altdpram.inc ; ; -; db/altsyncram_c5o1.tdf ; yes ; Auto-Generated Megafunction ; D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/db/altsyncram_c5o1.tdf ; ; -; db/altsyncram_28o1.tdf ; yes ; Auto-Generated Megafunction ; D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/db/altsyncram_28o1.tdf ; ; -; db/altsyncram_f7a1.tdf ; yes ; Auto-Generated Megafunction ; D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/db/altsyncram_f7a1.tdf ; ; -; db/altsyncram_vli1.tdf ; yes ; Auto-Generated Megafunction ; D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/db/altsyncram_vli1.tdf ; ; -; db/decode_dra.tdf ; yes ; Auto-Generated Megafunction ; D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/db/decode_dra.tdf ; ; -; db/mux_tlb.tdf ; yes ; Auto-Generated Megafunction ; D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/db/mux_tlb.tdf ; ; -; db/altsyncram_atg1.tdf ; yes ; Auto-Generated Megafunction ; D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/db/altsyncram_atg1.tdf ; ; -; db/altsyncram_dud1.tdf ; yes ; Auto-Generated Megafunction ; D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/db/altsyncram_dud1.tdf ; ; -+----------------------------------+-----------------+------------------------------+-------------------------------------------------------------------------+---------+ - - -+--------------------------------------------------------------------------------------------------------------------------+ -; Analysis & Synthesis Resource Usage Summary ; -+---------------------------------------------+----------------------------------------------------------------------------+ -; Resource ; Usage ; -+---------------------------------------------+----------------------------------------------------------------------------+ -; Estimated Total logic elements ; 3,152 ; -; ; ; -; Total combinational functions ; 2886 ; -; Logic element usage by number of LUT inputs ; ; -; -- 4 input functions ; 1641 ; -; -- 3 input functions ; 721 ; -; -- <=2 input functions ; 524 ; -; ; ; -; Logic elements by mode ; ; -; -- normal mode ; 2361 ; -; -- arithmetic mode ; 525 ; -; ; ; -; Total registers ; 891 ; -; -- Dedicated logic registers ; 891 ; -; -- I/O registers ; 0 ; -; ; ; -; I/O pins ; 31 ; -; Total memory bits ; 311296 ; -; Embedded Multiplier 9-bit elements ; 0 ; -; Total PLLs ; 1 ; -; -- PLLs ; 1 ; -; ; ; -; Maximum fan-out node ; pll:pll|altpll:altpll_component|pll_altpll:auto_generated|wire_pll1_clk[0] ; -; Maximum fan-out ; 422 ; -; Total fan-out ; 13647 ; -; Average fan-out ; 3.50 ; -+---------------------------------------------+----------------------------------------------------------------------------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Analysis & Synthesis Resource Utilization by Entity ; -+-------------------------------------------------+-------------------+--------------+-------------+--------------+---------+-----------+------+--------------+----------------------------------------------------------------------------------------------------------------------------------+--------------+ -; Compilation Hierarchy Node ; LC Combinationals ; LC Registers ; Memory Bits ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; Full Hierarchy Name ; Library Name ; -+-------------------------------------------------+-------------------+--------------+-------------+--------------+---------+-----------+------+--------------+----------------------------------------------------------------------------------------------------------------------------------+--------------+ -; |mz80k_mist ; 2886 (15) ; 891 (8) ; 311296 ; 0 ; 0 ; 0 ; 31 ; 0 ; |mz80k_mist ; work ; -; |mist_io:mist_io| ; 225 (225) ; 154 (154) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |mz80k_mist|mist_io:mist_io ; work ; -; |mz80k_top:mz80k_top| ; 1949 (106) ; 537 (48) ; 294912 ; 0 ; 0 ; 0 ; 0 ; 0 ; |mz80k_mist|mz80k_top:mz80k_top ; work ; -; |fz80:z80| ; 1286 (645) ; 250 (3) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80 ; work ; -; |alu:alu| ; 122 (122) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|alu:alu ; work ; -; |asu:asu| ; 79 (79) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|asu:asu ; work ; -; |reg_2:reg_adrh| ; 9 (9) ; 8 (8) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrh ; work ; -; |reg_2:reg_adrl| ; 9 (9) ; 8 (8) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrl ; work ; -; |reg_2s:reg_sph| ; 9 (9) ; 8 (8) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_sph ; work ; -; |reg_2s:reg_spl| ; 12 (12) ; 8 (8) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_spl ; work ; -; |reg_a:reg_a| ; 18 (18) ; 16 (16) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a ; work ; -; |reg_dual2:reg_b| ; 18 (18) ; 16 (16) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_b ; work ; -; |reg_dual2:reg_c| ; 18 (18) ; 16 (16) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c ; work ; -; |reg_dual2:reg_d| ; 19 (19) ; 16 (16) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d ; work ; -; |reg_dual2:reg_e| ; 18 (18) ; 16 (16) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e ; work ; -; |reg_f:reg_f| ; 33 (33) ; 12 (12) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_f:reg_f ; work ; -; |reg_pch:reg_pch| ; 32 (32) ; 8 (8) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch ; work ; -; |reg_pcl:reg_pcl| ; 30 (30) ; 8 (8) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_pcl:reg_pcl ; work ; -; |reg_quad3:reg_h| ; 54 (54) ; 32 (32) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_h ; work ; -; |reg_quad3:reg_l| ; 56 (56) ; 32 (32) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_l ; work ; -; |reg_r:reg_r| ; 16 (16) ; 8 (8) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_r:reg_r ; work ; -; |reg_simple:reg_data| ; 0 (0) ; 8 (8) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_simple:reg_data ; work ; -; |reg_simplec:reg_i| ; 9 (9) ; 8 (8) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_simplec:reg_i ; work ; -; |seq:seq| ; 80 (80) ; 19 (19) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|seq:seq ; work ; -; |i8253:i8253_1| ; 198 (198) ; 122 (122) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |mz80k_mist|mz80k_top:mz80k_top|i8253:i8253_1 ; work ; -; |monrom:mon_rom| ; 20 (0) ; 4 (0) ; 262144 ; 0 ; 0 ; 0 ; 0 ; 0 ; |mz80k_mist|mz80k_top:mz80k_top|monrom:mon_rom ; work ; -; |altsyncram:altsyncram_component| ; 20 (0) ; 4 (0) ; 262144 ; 0 ; 0 ; 0 ; 0 ; 0 ; |mz80k_mist|mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component ; work ; -; |altsyncram_vli1:auto_generated| ; 20 (0) ; 4 (4) ; 262144 ; 0 ; 0 ; 0 ; 0 ; 0 ; |mz80k_mist|mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated ; work ; -; |decode_dra:decode3| ; 4 (4) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |mz80k_mist|mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|decode_dra:decode3 ; work ; -; |mux_tlb:mux2| ; 16 (16) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |mz80k_mist|mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|mux_tlb:mux2 ; work ; -; |ps2:ps2_1| ; 258 (236) ; 92 (68) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |mz80k_mist|mz80k_top:mz80k_top|ps2:ps2_1 ; work ; -; |ps2_recieve:ps2_recieve1| ; 22 (22) ; 24 (24) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |mz80k_mist|mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1 ; work ; -; |ram2:ram2_2| ; 0 (0) ; 0 (0) ; 16384 ; 0 ; 0 ; 0 ; 0 ; 0 ; |mz80k_mist|mz80k_top:mz80k_top|ram2:ram2_2 ; work ; -; |altsyncram:altsyncram_component| ; 0 (0) ; 0 (0) ; 16384 ; 0 ; 0 ; 0 ; 0 ; 0 ; |mz80k_mist|mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component ; work ; -; |altsyncram_atg1:auto_generated| ; 0 (0) ; 0 (0) ; 16384 ; 0 ; 0 ; 0 ; 0 ; 0 ; |mz80k_mist|mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated ; work ; -; |vga:vga1| ; 81 (81) ; 21 (21) ; 16384 ; 0 ; 0 ; 0 ; 0 ; 0 ; |mz80k_mist|mz80k_top:mz80k_top|vga:vga1 ; work ; -; |cg_rom:cg_rom| ; 0 (0) ; 0 (0) ; 16384 ; 0 ; 0 ; 0 ; 0 ; 0 ; |mz80k_mist|mz80k_top:mz80k_top|vga:vga1|cg_rom:cg_rom ; work ; -; |altsyncram:altsyncram_component| ; 0 (0) ; 0 (0) ; 16384 ; 0 ; 0 ; 0 ; 0 ; 0 ; |mz80k_mist|mz80k_top:mz80k_top|vga:vga1|cg_rom:cg_rom|altsyncram:altsyncram_component ; work ; -; |altsyncram_f7a1:auto_generated| ; 0 (0) ; 0 (0) ; 16384 ; 0 ; 0 ; 0 ; 0 ; 0 ; |mz80k_mist|mz80k_top:mz80k_top|vga:vga1|cg_rom:cg_rom|altsyncram:altsyncram_component|altsyncram_f7a1:auto_generated ; work ; -; |pll:pll| ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |mz80k_mist|pll:pll ; work ; -; |altpll:altpll_component| ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |mz80k_mist|pll:pll|altpll:altpll_component ; work ; -; |pll_altpll:auto_generated| ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |mz80k_mist|pll:pll|altpll:altpll_component|pll_altpll:auto_generated ; work ; -; |sigma_delta_dac:sigma_delta_dac| ; 6 (6) ; 6 (6) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |mz80k_mist|sigma_delta_dac:sigma_delta_dac ; work ; -; |video_mixer:video_mixer| ; 691 (368) ; 186 (3) ; 16384 ; 0 ; 0 ; 0 ; 0 ; 0 ; |mz80k_mist|video_mixer:video_mixer ; work ; -; |osd:osd| ; 323 (323) ; 183 (183) ; 16384 ; 0 ; 0 ; 0 ; 0 ; 0 ; |mz80k_mist|video_mixer:video_mixer|osd:osd ; work ; -; |altsyncram:osd_buffer_rtl_0| ; 0 (0) ; 0 (0) ; 16384 ; 0 ; 0 ; 0 ; 0 ; 0 ; |mz80k_mist|video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0 ; work ; -; |altsyncram_dud1:auto_generated| ; 0 (0) ; 0 (0) ; 16384 ; 0 ; 0 ; 0 ; 0 ; 0 ; |mz80k_mist|video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated ; work ; -+-------------------------------------------------+-------------------+--------------+-------------+--------------+---------+-----------+------+--------------+----------------------------------------------------------------------------------------------------------------------------------+--------------+ -Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. - - -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Analysis & Synthesis RAM Summary ; -+----------------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+--------+----------------+ -; Name ; Type ; Mode ; Port A Depth ; Port A Width ; Port B Depth ; Port B Width ; Size ; MIF ; -+----------------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+--------+----------------+ -; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|ALTSYNCRAM ; AUTO ; Single Port ; 32768 ; 8 ; -- ; -- ; 262144 ; ./roms/Mon.hex ; -; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated|ALTSYNCRAM ; AUTO ; Single Port ; 2048 ; 8 ; -- ; -- ; 16384 ; None ; -; mz80k_top:mz80k_top|vga:vga1|cg_rom:cg_rom|altsyncram:altsyncram_component|altsyncram_f7a1:auto_generated|ALTSYNCRAM ; AUTO ; ROM ; 2048 ; 8 ; -- ; -- ; 16384 ; ./roms/cg.hex ; -; video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 2048 ; 8 ; 2048 ; 8 ; 16384 ; None ; -+----------------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+--------+----------------+ - - -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Analysis & Synthesis IP Cores Summary ; -+--------+--------------+---------+--------------+--------------+--------------------------------------------------------+------------------------------------------------------+ -; Vendor ; IP Core Name ; Version ; Release Date ; License Type ; Entity Instance ; IP Include File ; -+--------+--------------+---------+--------------+--------------+--------------------------------------------------------+------------------------------------------------------+ -; Altera ; RAM: 1-PORT ; N/A ; N/A ; N/A ; |mz80k_mist|mz80k_top:mz80k_top|monrom:mon_rom ; D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/rtl/monrom.v ; -; Altera ; RAM: 1-PORT ; N/A ; N/A ; N/A ; |mz80k_mist|mz80k_top:mz80k_top|ram2:ram2_2 ; D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/rtl/ram2.v ; -; Altera ; ROM: 1-PORT ; N/A ; N/A ; N/A ; |mz80k_mist|mz80k_top:mz80k_top|vga:vga1|cg_rom:cg_rom ; D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/rtl/cg_rom.v ; -; Altera ; ALTPLL ; N/A ; N/A ; N/A ; |mz80k_mist|pll:pll ; D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/rtl/pll.v ; -+--------+--------------+---------+--------------+--------------+--------------------------------------------------------+------------------------------------------------------+ - - -+-------------------------------------------------------------+ -; Logic Cells Representing Combinational Loops ; -+--------------------------------------------------------+----+ -; Logic Cell Name ; ; -+--------------------------------------------------------+----+ -; mz80k_top:mz80k_top|vram_select~0 ; ; -; rtl~0 ; ; -; rtl~1 ; ; -; mz80k_top:mz80k_top|fz80:z80|i[5]~1 ; ; -; mz80k_top:mz80k_top|fz80:z80|i[4]~2 ; ; -; mz80k_top:mz80k_top|cpu_data_in~1 ; ; -; mz80k_top:mz80k_top|cpu_data_in~2 ; ; -; mz80k_top:mz80k_top|cpu_data_in~3 ; ; -; mz80k_top:mz80k_top|cpu_data_in~0 ; ; -; mz80k_top:mz80k_top|fz80:z80|comb~1 ; ; -; rtl~2 ; ; -; mz80k_top:mz80k_top|fz80:z80|im[6]~4 ; ; -; rtl~3 ; ; -; rtl~4 ; ; -; rtl~5 ; ; -; mz80k_top:mz80k_top|fz80:z80|i[7]~0 ; ; -; rtl~6 ; ; -; mz80k_top:mz80k_top|fz80:z80|i[2]~3 ; ; -; mz80k_top:mz80k_top|fz80:z80|im[2]~1 ; ; -; rtl~7 ; ; -; rtl~8 ; ; -; mz80k_top:mz80k_top|fz80:z80|im[3]~0 ; ; -; mz80k_top:mz80k_top|fz80:z80|im[5]~3 ; ; -; rtl~9 ; ; -; rtl~10 ; ; -; mz80k_top:mz80k_top|fz80:z80|im[7]~5 ; ; -; mz80k_top:mz80k_top|fz80:z80|im[4]~2 ; ; -; rtl~11 ; ; -; mz80k_top:mz80k_top|fz80:z80|comb~0 ; ; -; mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_h|q~0 ; ; -; mz80k_top:mz80k_top|fz80:z80|sela_sp~0 ; ; -; mz80k_top:mz80k_top|fz80:z80|sela_hl~0 ; ; -; mz80k_top:mz80k_top|fz80:z80|selal[2]~0 ; ; -; mz80k_top:mz80k_top|fz80:z80|Mux22~0 ; ; -; mz80k_top:mz80k_top|fz80:z80|selah[1]~1 ; ; -; mz80k_top:mz80k_top|fz80:z80|selah[2]~0 ; ; -; mz80k_top:mz80k_top|fz80:z80|Mux8~0 ; ; -; mz80k_top:mz80k_top|fz80:z80|Mux9~0 ; ; -; mz80k_top:mz80k_top|fz80:z80|Mux10~0 ; ; -; Number of logic cells representing combinational loops ; 39 ; -+--------------------------------------------------------+----+ -Note: All cells listed above may not be present at the end of synthesis due to various synthesis optimizations. - - -+-------------------------------------------------------------------------------------------------------------------------------------+ -; Registers Removed During Synthesis ; -+-----------------------------------------------------------------------------+-------------------------------------------------------+ -; Register name ; Reason for Removal ; -+-----------------------------------------------------------------------------+-------------------------------------------------------+ -; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|wrout_en ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|pixsz4[6,7] ; Stuck at GND due to stuck port data_in ; -; video_mixer:video_mixer|scandoubler:scandoubler|pixsz2[7] ; Stuck at GND due to stuck port data_in ; -; pll:pll|altpll:altpll_component|pll_altpll:auto_generated|pll_lock_sync ; Stuck at VCC due to stuck port data_in ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|iff1 ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|sd_hcnt[0..9] ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|sd_h[0..10] ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|hs_out ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|req_line_reset ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|sd_hcnt[10,11] ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|hs2 ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|sd_line[0,1] ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|vs ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|hs_rise[0..11] ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|hcnt[0..10] ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|hs_max[0..11] ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|hs_ls[0..11] ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|ls ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|hs ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|ce_div[0..2] ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|ce_cnt[0..2] ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|pixsz4[0..5] ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|pixsz2[0..6] ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|phase[0..2] ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|ce_x1 ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|ce_x4 ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|pix_len[0..7] ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|old_ce ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|y[0,1] ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|prevbuf ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|old_reset_line ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|y[2..7] ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|old_reset_frame ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|i[0,1] ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|Next1[0..17] ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|Next0[0..17] ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|Curr1[0..17] ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|Curr0[0..17] ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|Prev1[0..17] ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|Prev0[0..17] ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|D[0..17] ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|H[0..17] ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|F[0..17] ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|B[0..17] ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|G[0..17] ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|A[0..17] ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|nextpatt[0..7] ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|wrout_addr2[0..9] ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|wrout_addr1[0,1] ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|wrdata[0..8] ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|offs[0..8] ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|wrin_addr2[0..8] ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|wrpix[0..8] ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|Next2[0..17] ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|Prev2[0..17] ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|Curr2_addr2[0..8] ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|Curr2_addr1 ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|pattern[2..7] ; Lost fanout ; -; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|wrin_en ; Lost fanout ; -; mz80k_top:mz80k_top|ps2:ps2_1|data[0]~en ; Lost fanout ; -; mz80k_top:mz80k_top|ps2:ps2_1|data[1]~en ; Lost fanout ; -; mz80k_top:mz80k_top|ps2:ps2_1|data[2]~en ; Lost fanout ; -; mz80k_top:mz80k_top|ps2:ps2_1|data[3]~en ; Lost fanout ; -; mz80k_top:mz80k_top|ps2:ps2_1|data[4]~en ; Lost fanout ; -; mz80k_top:mz80k_top|ps2:ps2_1|data[5]~en ; Lost fanout ; -; mz80k_top:mz80k_top|ps2:ps2_1|data[6]~en ; Lost fanout ; -; mz80k_top:mz80k_top|ps2:ps2_1|data[7]~en ; Lost fanout ; -; mist_io:mist_io|b_data[1..7] ; Merged with mist_io:mist_io|b_data[0] ; -; video_mixer:video_mixer|osd:osd|pixsz[24..31] ; Merged with video_mixer:video_mixer|osd:osd|pixsz[23] ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|eschalt ; Stuck at GND due to stuck port data_in ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|nmiack ; Stuck at GND due to stuck port data_in ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|intack ; Stuck at GND due to stuck port data_in ; -; mz80k_top:mz80k_top|vga:vga1|counter[1] ; Lost fanout ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|intmode[0,1] ; Lost fanout ; -; mz80k_top:mz80k_top|i8253:i8253_1|mode0[1] ; Lost fanout ; -; mz80k_top:mz80k_top|i8253:i8253_1|mode1[1] ; Lost fanout ; -; mist_io:mist_io|b_data[0] ; Stuck at GND due to stuck port data_in ; -; mz80k_top:mz80k_top|clk_count[25..32] ; Lost fanout ; -; Total Number of Removed Registers = 495 ; ; -+-----------------------------------------------------------------------------+-------------------------------------------------------+ - - -+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Removed Registers Triggering Further Register Optimizations ; -+----------------------------------------------------------------------+---------------------------+---------------------------------------------------------------------------+ -; Register name ; Reason for Removal ; Registers Removed due to This Register ; -+----------------------------------------------------------------------+---------------------------+---------------------------------------------------------------------------+ -; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|wrout_en ; Lost Fanouts ; video_mixer:video_mixer|scandoubler:scandoubler|phase[0], ; -; ; ; video_mixer:video_mixer|scandoubler:scandoubler|phase[1], ; -; ; ; video_mixer:video_mixer|scandoubler:scandoubler|ce_x4, ; -; ; ; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|offs[0], ; -; ; ; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|offs[1], ; -; ; ; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|offs[2], ; -; ; ; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|offs[3], ; -; ; ; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|offs[4], ; -; ; ; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|offs[5], ; -; ; ; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|offs[6] ; -; video_mixer:video_mixer|scandoubler:scandoubler|sd_hcnt[9] ; Lost Fanouts ; video_mixer:video_mixer|scandoubler:scandoubler|hs2, ; -; ; ; video_mixer:video_mixer|scandoubler:scandoubler|hs_max[6], ; -; ; ; video_mixer:video_mixer|scandoubler:scandoubler|hs_max[7], ; -; ; ; video_mixer:video_mixer|scandoubler:scandoubler|hs_max[8], ; -; ; ; video_mixer:video_mixer|scandoubler:scandoubler|hs_max[9], ; -; ; ; video_mixer:video_mixer|scandoubler:scandoubler|hs_max[10], ; -; ; ; video_mixer:video_mixer|scandoubler:scandoubler|hs_max[11] ; -; video_mixer:video_mixer|scandoubler:scandoubler|sd_h[10] ; Lost Fanouts ; video_mixer:video_mixer|scandoubler:scandoubler|hs_ls[6], ; -; ; ; video_mixer:video_mixer|scandoubler:scandoubler|hs_ls[7], ; -; ; ; video_mixer:video_mixer|scandoubler:scandoubler|hs_ls[8], ; -; ; ; video_mixer:video_mixer|scandoubler:scandoubler|hs_ls[9], ; -; ; ; video_mixer:video_mixer|scandoubler:scandoubler|hs_ls[10], ; -; ; ; video_mixer:video_mixer|scandoubler:scandoubler|hs_ls[11] ; -; video_mixer:video_mixer|scandoubler:scandoubler|pixsz4[6] ; Stuck at GND ; video_mixer:video_mixer|scandoubler:scandoubler|pixsz2[5], ; -; ; due to stuck port data_in ; video_mixer:video_mixer|scandoubler:scandoubler|pixsz2[6], ; -; ; ; video_mixer:video_mixer|scandoubler:scandoubler|old_ce ; -; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|y[1] ; Lost Fanouts ; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|old_reset_line, ; -; ; ; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|old_reset_frame ; -; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|pattern[2] ; Lost Fanouts ; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|pattern[4], ; -; ; ; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|pattern[6] ; -; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|pattern[3] ; Lost Fanouts ; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|pattern[5], ; -; ; ; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|pattern[7] ; -; mz80k_top:mz80k_top|fz80:z80|seq:seq|nmiack ; Stuck at GND ; mz80k_top:mz80k_top|fz80:z80|seq:seq|intmode[0], ; -; ; due to stuck port data_in ; mz80k_top:mz80k_top|fz80:z80|seq:seq|intmode[1] ; -; video_mixer:video_mixer|scandoubler:scandoubler|sd_hcnt[5] ; Lost Fanouts ; video_mixer:video_mixer|scandoubler:scandoubler|hs_max[5] ; -; video_mixer:video_mixer|scandoubler:scandoubler|sd_hcnt[4] ; Lost Fanouts ; video_mixer:video_mixer|scandoubler:scandoubler|hs_max[4] ; -; video_mixer:video_mixer|scandoubler:scandoubler|sd_hcnt[3] ; Lost Fanouts ; video_mixer:video_mixer|scandoubler:scandoubler|hs_max[3] ; -; video_mixer:video_mixer|scandoubler:scandoubler|sd_hcnt[2] ; Lost Fanouts ; video_mixer:video_mixer|scandoubler:scandoubler|hs_max[2] ; -; video_mixer:video_mixer|scandoubler:scandoubler|vs ; Lost Fanouts ; video_mixer:video_mixer|scandoubler:scandoubler|ce_x1 ; -+----------------------------------------------------------------------+---------------------------+---------------------------------------------------------------------------+ - - -+------------------------------------------------------+ -; General Register Statistics ; -+----------------------------------------------+-------+ -; Statistic ; Value ; -+----------------------------------------------+-------+ -; Total registers ; 891 ; -; Number of registers using Synchronous Clear ; 138 ; -; Number of registers using Synchronous Load ; 142 ; -; Number of registers using Asynchronous Clear ; 160 ; -; Number of registers using Asynchronous Load ; 0 ; -; Number of registers using Clock Enable ; 565 ; -; Number of registers using Preset ; 0 ; -+----------------------------------------------+-------+ - - -+-------------------------------------------------------------------------------+ -; Inverted Register Statistics ; -+---------------------------------------------------------------------+---------+ -; Inverted Register ; Fan out ; -+---------------------------------------------------------------------+---------+ -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl8[5] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[5] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[5] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[5] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl8[4] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tblc[4] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[4] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl3[4] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[4] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl4[4] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl1[4] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl0[4] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[4] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl8[0] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tblc[0] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tble[0] ; 7 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[0] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl3[0] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl1[0] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl7[0] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl4[0] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[0] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl0[0] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[0] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl9[1] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl8[1] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tblc[1] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[1] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl1[1] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl0[1] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl3[1] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[1] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[1] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl4[1] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl7[1] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tblc[2] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl9[2] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[2] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl3[2] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[2] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl7[2] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl4[2] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl1[2] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl0[2] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[2] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tblc[7] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbld[7] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl9[3] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl8[3] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tblc[3] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl2[3] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl1[3] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl0[3] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl3[3] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl5[3] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl6[3] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl4[3] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|key_tbl7[3] ; 2 ; -; mz80k_top:mz80k_top|i8253:i8253_1|max0[0] ; 2 ; -; mz80k_top:mz80k_top|i8253:i8253_1|max2[0] ; 2 ; -; mz80k_top:mz80k_top|i8253:i8253_1|max1[0] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[4] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[0] ; 13 ; -; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[10] ; 14 ; -; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[7] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[1] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[2] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[3] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[5] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[6] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[8] ; 2 ; -; mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[9] ; 1 ; -; Total number of inverted registers = 72 ; ; -+---------------------------------------------------------------------+---------+ - - -+----------------------------------------------------------------------------------------------------------+ -; Registers Packed Into Inferred Megafunctions ; -+------------------------------------------------+--------------------------------------------------+------+ -; Register Name ; Megafunction ; Type ; -+------------------------------------------------+--------------------------------------------------+------+ -; video_mixer:video_mixer|osd:osd|osd_byte[0..7] ; video_mixer:video_mixer|osd:osd|osd_buffer_rtl_0 ; RAM ; -+------------------------------------------------+--------------------------------------------------+------+ - - -+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Multiplexer Restructuring Statistics (Restructuring Performed) ; -+--------------------+-----------+---------------+----------------------+------------------------+------------+--------------------------------------------------------------------------------+ -; Multiplexer Inputs ; Bus Width ; Baseline Area ; Area if Restructured ; Saving if Restructured ; Registered ; Example Multiplexer Output ; -+--------------------+-----------+---------------+----------------------+------------------------+------------+--------------------------------------------------------------------------------+ -; 3:1 ; 8 bits ; 16 LEs ; 8 LEs ; 8 LEs ; Yes ; |mz80k_mist|video_mixer:video_mixer|osd:osd|bcnt[1] ; -; 3:1 ; 3 bits ; 6 LEs ; 3 LEs ; 3 LEs ; Yes ; |mz80k_mist|video_mixer:video_mixer|osd:osd|bcnt[10] ; -; 3:1 ; 8 bits ; 16 LEs ; 0 LEs ; 16 LEs ; Yes ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|seq:seq|inst_reg[3] ; -; 3:1 ; 8 bits ; 16 LEs ; 8 LEs ; 8 LEs ; Yes ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_simplec:reg_i|q[4] ; -; 3:1 ; 8 bits ; 16 LEs ; 8 LEs ; 8 LEs ; Yes ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrl|q[5] ; -; 3:1 ; 8 bits ; 16 LEs ; 8 LEs ; 8 LEs ; Yes ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrh|q[1] ; -; 3:1 ; 32 bits ; 64 LEs ; 32 LEs ; 32 LEs ; Yes ; |mz80k_mist|video_mixer:video_mixer|osd:osd|pixcnt[16] ; -; 3:1 ; 8 bits ; 16 LEs ; 8 LEs ; 8 LEs ; Yes ; |mz80k_mist|reset_cnt[1] ; -; 3:1 ; 16 bits ; 32 LEs ; 16 LEs ; 16 LEs ; Yes ; |mz80k_mist|mz80k_top:mz80k_top|i8253:i8253_1|count0[0] ; -; 3:1 ; 16 bits ; 32 LEs ; 16 LEs ; 16 LEs ; Yes ; |mz80k_mist|mz80k_top:mz80k_top|i8253:i8253_1|count1[3] ; -; 3:1 ; 16 bits ; 32 LEs ; 16 LEs ; 16 LEs ; Yes ; |mz80k_mist|mz80k_top:mz80k_top|i8253:i8253_1|count2[0] ; -; 4:1 ; 6 bits ; 12 LEs ; 6 LEs ; 6 LEs ; Yes ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_f:reg_f|q1[0] ; -; 4:1 ; 6 bits ; 12 LEs ; 6 LEs ; 6 LEs ; Yes ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_f:reg_f|q0[6] ; -; 4:1 ; 8 bits ; 16 LEs ; 8 LEs ; 8 LEs ; Yes ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q1[4] ; -; 4:1 ; 8 bits ; 16 LEs ; 8 LEs ; 8 LEs ; Yes ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a|q0[4] ; -; 4:1 ; 4 bits ; 8 LEs ; 4 LEs ; 4 LEs ; Yes ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|seq:seq|state[2] ; -; 4:1 ; 7 bits ; 14 LEs ; 14 LEs ; 0 LEs ; Yes ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_r:reg_r|q[2] ; -; 4:1 ; 8 bits ; 16 LEs ; 16 LEs ; 0 LEs ; Yes ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_sph|q[1] ; -; 4:1 ; 8 bits ; 16 LEs ; 16 LEs ; 0 LEs ; Yes ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_spl|q[1] ; -; 4:1 ; 4 bits ; 8 LEs ; 8 LEs ; 0 LEs ; Yes ; |mz80k_mist|mist_io:mist_io|ps2_kbd_tx_state[1] ; -; 4:1 ; 7 bits ; 14 LEs ; 7 LEs ; 7 LEs ; Yes ; |mz80k_mist|mist_io:mist_io|ps2_kbd_tx_byte[5] ; -; 5:1 ; 8 bits ; 24 LEs ; 8 LEs ; 16 LEs ; Yes ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_b|q1[2] ; -; 5:1 ; 8 bits ; 24 LEs ; 8 LEs ; 16 LEs ; Yes ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_b|q0[4] ; -; 5:1 ; 8 bits ; 24 LEs ; 8 LEs ; 16 LEs ; Yes ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q1[1] ; -; 5:1 ; 8 bits ; 24 LEs ; 8 LEs ; 16 LEs ; Yes ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_c|q0[3] ; -; 5:1 ; 8 bits ; 24 LEs ; 8 LEs ; 16 LEs ; Yes ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d|q1[6] ; -; 5:1 ; 8 bits ; 24 LEs ; 8 LEs ; 16 LEs ; Yes ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_d|q0[6] ; -; 5:1 ; 8 bits ; 24 LEs ; 8 LEs ; 16 LEs ; Yes ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q1[4] ; -; 5:1 ; 8 bits ; 24 LEs ; 8 LEs ; 16 LEs ; Yes ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_e|q0[4] ; -; 15:1 ; 2 bits ; 20 LEs ; 14 LEs ; 6 LEs ; Yes ; |mz80k_mist|mz80k_top:mz80k_top|ps2:ps2_1|data[1]~reg0 ; -; 7:1 ; 8 bits ; 32 LEs ; 16 LEs ; 16 LEs ; Yes ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_h|qx[5] ; -; 7:1 ; 8 bits ; 32 LEs ; 16 LEs ; 16 LEs ; Yes ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_l|qx[2] ; -; 8:1 ; 2 bits ; 10 LEs ; 4 LEs ; 6 LEs ; Yes ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|seq:seq|ifd ; -; 7:1 ; 8 bits ; 32 LEs ; 32 LEs ; 0 LEs ; Yes ; |mz80k_mist|mz80k_top:mz80k_top|i8253:i8253_1|data[5] ; -; 10:1 ; 8 bits ; 48 LEs ; 16 LEs ; 32 LEs ; Yes ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_h|qy[1] ; -; 10:1 ; 8 bits ; 48 LEs ; 16 LEs ; 32 LEs ; Yes ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_l|qy[6] ; -; 259:1 ; 4 bits ; 688 LEs ; 0 LEs ; 688 LEs ; Yes ; |mz80k_mist|mist_io:mist_io|status[5] ; -; 13:1 ; 8 bits ; 64 LEs ; 16 LEs ; 48 LEs ; Yes ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_h|q1[7] ; -; 13:1 ; 8 bits ; 64 LEs ; 16 LEs ; 48 LEs ; Yes ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_h|q0[3] ; -; 13:1 ; 8 bits ; 64 LEs ; 16 LEs ; 48 LEs ; Yes ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_l|q1[4] ; -; 13:1 ; 8 bits ; 64 LEs ; 16 LEs ; 48 LEs ; Yes ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_l|q0[7] ; -; 3:1 ; 11 bits ; 22 LEs ; 11 LEs ; 11 LEs ; Yes ; |mz80k_mist|mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1|key_data[4] ; -; 4:1 ; 8 bits ; 16 LEs ; 16 LEs ; 0 LEs ; No ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_h|Mux2 ; -; 4:1 ; 8 bits ; 16 LEs ; 16 LEs ; 0 LEs ; No ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_l|Mux4 ; -; 4:1 ; 2 bits ; 4 LEs ; 4 LEs ; 0 LEs ; No ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|Mux4 ; -; 4:1 ; 6 bits ; 12 LEs ; 12 LEs ; 0 LEs ; No ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|Mux3 ; -; 3:1 ; 8 bits ; 16 LEs ; 16 LEs ; 0 LEs ; No ; |mz80k_mist|video_mixer:video_mixer|pr[6] ; -; 3:1 ; 8 bits ; 16 LEs ; 16 LEs ; 0 LEs ; No ; |mz80k_mist|video_mixer:video_mixer|pb[0] ; -; 3:1 ; 8 bits ; 16 LEs ; 16 LEs ; 0 LEs ; No ; |mz80k_mist|video_mixer:video_mixer|y[2] ; -; 8:1 ; 8 bits ; 40 LEs ; 32 LEs ; 8 LEs ; No ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|Mux17 ; -; 8:1 ; 8 bits ; 40 LEs ; 40 LEs ; 0 LEs ; No ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|Mux8 ; -; 8:1 ; 16 bits ; 80 LEs ; 64 LEs ; 16 LEs ; No ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|Mux44 ; -; 16:1 ; 4 bits ; 40 LEs ; 40 LEs ; 0 LEs ; No ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|Mux28 ; -; 16:1 ; 4 bits ; 40 LEs ; 40 LEs ; 0 LEs ; No ; |mz80k_mist|mz80k_top:mz80k_top|fz80:z80|Mux27 ; -; 6:1 ; 5 bits ; 20 LEs ; 15 LEs ; 5 LEs ; No ; |mz80k_mist|mz80k_top:mz80k_top|cpu_data_in[1] ; -; 6:1 ; 2 bits ; 8 LEs ; 8 LEs ; 0 LEs ; No ; |mz80k_mist|mz80k_top:mz80k_top|cpu_data_in[6] ; -+--------------------+-----------+---------------+----------------------+------------------------+------------+--------------------------------------------------------------------------------+ - - -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Source assignments for video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_in:hq2x_in|hq2x_buf:buf0|altsyncram:altsyncram_component|altsyncram_c5o1:auto_generated ; -+---------------------------------+--------------------+------+-----------------------------------------------------------------------------------------------------------------+ -; Assignment ; Value ; From ; To ; -+---------------------------------+--------------------+------+-----------------------------------------------------------------------------------------------------------------+ -; OPTIMIZE_POWER_DURING_SYNTHESIS ; NORMAL_COMPILATION ; - ; - ; -+---------------------------------+--------------------+------+-----------------------------------------------------------------------------------------------------------------+ - - -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Source assignments for video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_in:hq2x_in|hq2x_buf:buf1|altsyncram:altsyncram_component|altsyncram_c5o1:auto_generated ; -+---------------------------------+--------------------+------+-----------------------------------------------------------------------------------------------------------------+ -; Assignment ; Value ; From ; To ; -+---------------------------------+--------------------+------+-----------------------------------------------------------------------------------------------------------------+ -; OPTIMIZE_POWER_DURING_SYNTHESIS ; NORMAL_COMPILATION ; - ; - ; -+---------------------------------+--------------------+------+-----------------------------------------------------------------------------------------------------------------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Source assignments for video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf0|altsyncram:altsyncram_component|altsyncram_28o1:auto_generated ; -+---------------------------------+--------------------+------+-------------------------------------------------------------------------------------------------------------------+ -; Assignment ; Value ; From ; To ; -+---------------------------------+--------------------+------+-------------------------------------------------------------------------------------------------------------------+ -; OPTIMIZE_POWER_DURING_SYNTHESIS ; NORMAL_COMPILATION ; - ; - ; -+---------------------------------+--------------------+------+-------------------------------------------------------------------------------------------------------------------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Source assignments for video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf1|altsyncram:altsyncram_component|altsyncram_28o1:auto_generated ; -+---------------------------------+--------------------+------+-------------------------------------------------------------------------------------------------------------------+ -; Assignment ; Value ; From ; To ; -+---------------------------------+--------------------+------+-------------------------------------------------------------------------------------------------------------------+ -; OPTIMIZE_POWER_DURING_SYNTHESIS ; NORMAL_COMPILATION ; - ; - ; -+---------------------------------+--------------------+------+-------------------------------------------------------------------------------------------------------------------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Source assignments for video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf2|altsyncram:altsyncram_component|altsyncram_28o1:auto_generated ; -+---------------------------------+--------------------+------+-------------------------------------------------------------------------------------------------------------------+ -; Assignment ; Value ; From ; To ; -+---------------------------------+--------------------+------+-------------------------------------------------------------------------------------------------------------------+ -; OPTIMIZE_POWER_DURING_SYNTHESIS ; NORMAL_COMPILATION ; - ; - ; -+---------------------------------+--------------------+------+-------------------------------------------------------------------------------------------------------------------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Source assignments for video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf3|altsyncram:altsyncram_component|altsyncram_28o1:auto_generated ; -+---------------------------------+--------------------+------+-------------------------------------------------------------------------------------------------------------------+ -; Assignment ; Value ; From ; To ; -+---------------------------------+--------------------+------+-------------------------------------------------------------------------------------------------------------------+ -; OPTIMIZE_POWER_DURING_SYNTHESIS ; NORMAL_COMPILATION ; - ; - ; -+---------------------------------+--------------------+------+-------------------------------------------------------------------------------------------------------------------+ - - -+----------------------------------------------------------------------------------------------------------------------------------+ -; Source assignments for mz80k_top:mz80k_top|vga:vga1|cg_rom:cg_rom|altsyncram:altsyncram_component|altsyncram_f7a1:auto_generated ; -+---------------------------------+--------------------+------+--------------------------------------------------------------------+ -; Assignment ; Value ; From ; To ; -+---------------------------------+--------------------+------+--------------------------------------------------------------------+ -; OPTIMIZE_POWER_DURING_SYNTHESIS ; NORMAL_COMPILATION ; - ; - ; -+---------------------------------+--------------------+------+--------------------------------------------------------------------+ - - -+--------------------------------------------------------------------------------------------------------------------------+ -; Source assignments for mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated ; -+---------------------------------+--------------------+------+------------------------------------------------------------+ -; Assignment ; Value ; From ; To ; -+---------------------------------+--------------------+------+------------------------------------------------------------+ -; OPTIMIZE_POWER_DURING_SYNTHESIS ; NORMAL_COMPILATION ; - ; - ; -+---------------------------------+--------------------+------+------------------------------------------------------------+ - - -+-----------------------------------------------------------------------------------------------------------------------+ -; Source assignments for mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated ; -+---------------------------------+--------------------+------+---------------------------------------------------------+ -; Assignment ; Value ; From ; To ; -+---------------------------------+--------------------+------+---------------------------------------------------------+ -; OPTIMIZE_POWER_DURING_SYNTHESIS ; NORMAL_COMPILATION ; - ; - ; -+---------------------------------+--------------------+------+---------------------------------------------------------+ - - -+-------------------------------------------------------------------------------------------------------------------+ -; Source assignments for video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0|altsyncram_dud1:auto_generated ; -+---------------------------------+--------------------+------+-----------------------------------------------------+ -; Assignment ; Value ; From ; To ; -+---------------------------------+--------------------+------+-----------------------------------------------------+ -; OPTIMIZE_POWER_DURING_SYNTHESIS ; NORMAL_COMPILATION ; - ; - ; -+---------------------------------+--------------------+------+-----------------------------------------------------+ - - -+------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: pll:pll|altpll:altpll_component ; -+-------------------------------+-----------------------+----------------------+ -; Parameter Name ; Value ; Type ; -+-------------------------------+-----------------------+----------------------+ -; OPERATION_MODE ; NORMAL ; Untyped ; -; PLL_TYPE ; AUTO ; Untyped ; -; LPM_HINT ; CBX_MODULE_PREFIX=pll ; Untyped ; -; QUALIFY_CONF_DONE ; OFF ; Untyped ; -; COMPENSATE_CLOCK ; CLK0 ; Untyped ; -; SCAN_CHAIN ; LONG ; Untyped ; -; PRIMARY_CLOCK ; INCLK0 ; Untyped ; -; INCLK0_INPUT_FREQUENCY ; 37037 ; Signed Integer ; -; INCLK1_INPUT_FREQUENCY ; 0 ; Untyped ; -; GATE_LOCK_SIGNAL ; NO ; Untyped ; -; GATE_LOCK_COUNTER ; 0 ; Untyped ; -; LOCK_HIGH ; 1 ; Untyped ; -; LOCK_LOW ; 1 ; Untyped ; -; VALID_LOCK_MULTIPLIER ; 1 ; Untyped ; -; INVALID_LOCK_MULTIPLIER ; 5 ; Untyped ; -; SWITCH_OVER_ON_LOSSCLK ; OFF ; Untyped ; -; SWITCH_OVER_ON_GATED_LOCK ; OFF ; Untyped ; -; ENABLE_SWITCH_OVER_COUNTER ; OFF ; Untyped ; -; SKIP_VCO ; OFF ; Untyped ; -; SWITCH_OVER_COUNTER ; 0 ; Untyped ; -; SWITCH_OVER_TYPE ; AUTO ; Untyped ; -; FEEDBACK_SOURCE ; EXTCLK0 ; Untyped ; -; BANDWIDTH ; 0 ; Untyped ; -; BANDWIDTH_TYPE ; AUTO ; Untyped ; -; SPREAD_FREQUENCY ; 0 ; Untyped ; -; DOWN_SPREAD ; 0 ; Untyped ; -; SELF_RESET_ON_GATED_LOSS_LOCK ; OFF ; Untyped ; -; SELF_RESET_ON_LOSS_LOCK ; OFF ; Untyped ; -; CLK9_MULTIPLY_BY ; 0 ; Untyped ; -; CLK8_MULTIPLY_BY ; 0 ; Untyped ; -; CLK7_MULTIPLY_BY ; 0 ; Untyped ; -; CLK6_MULTIPLY_BY ; 0 ; Untyped ; -; CLK5_MULTIPLY_BY ; 1 ; Untyped ; -; CLK4_MULTIPLY_BY ; 1 ; Untyped ; -; CLK3_MULTIPLY_BY ; 1 ; Untyped ; -; CLK2_MULTIPLY_BY ; 1 ; Untyped ; -; CLK1_MULTIPLY_BY ; 25 ; Signed Integer ; -; CLK0_MULTIPLY_BY ; 50 ; Signed Integer ; -; CLK9_DIVIDE_BY ; 0 ; Untyped ; -; CLK8_DIVIDE_BY ; 0 ; Untyped ; -; CLK7_DIVIDE_BY ; 0 ; Untyped ; -; CLK6_DIVIDE_BY ; 0 ; Untyped ; -; CLK5_DIVIDE_BY ; 1 ; Untyped ; -; CLK4_DIVIDE_BY ; 1 ; Untyped ; -; CLK3_DIVIDE_BY ; 1 ; Untyped ; -; CLK2_DIVIDE_BY ; 1 ; Untyped ; -; CLK1_DIVIDE_BY ; 54 ; Signed Integer ; -; CLK0_DIVIDE_BY ; 27 ; Signed Integer ; -; CLK9_PHASE_SHIFT ; 0 ; Untyped ; -; CLK8_PHASE_SHIFT ; 0 ; Untyped ; -; CLK7_PHASE_SHIFT ; 0 ; Untyped ; -; CLK6_PHASE_SHIFT ; 0 ; Untyped ; -; CLK5_PHASE_SHIFT ; 0 ; Untyped ; -; CLK4_PHASE_SHIFT ; 0 ; Untyped ; -; CLK3_PHASE_SHIFT ; 0 ; Untyped ; -; CLK2_PHASE_SHIFT ; 0 ; Untyped ; -; CLK1_PHASE_SHIFT ; 0 ; Untyped ; -; CLK0_PHASE_SHIFT ; 0 ; Untyped ; -; CLK5_TIME_DELAY ; 0 ; Untyped ; -; CLK4_TIME_DELAY ; 0 ; Untyped ; -; CLK3_TIME_DELAY ; 0 ; Untyped ; -; CLK2_TIME_DELAY ; 0 ; Untyped ; -; CLK1_TIME_DELAY ; 0 ; Untyped ; -; CLK0_TIME_DELAY ; 0 ; Untyped ; -; CLK9_DUTY_CYCLE ; 50 ; Untyped ; -; CLK8_DUTY_CYCLE ; 50 ; Untyped ; -; CLK7_DUTY_CYCLE ; 50 ; Untyped ; -; CLK6_DUTY_CYCLE ; 50 ; Untyped ; -; CLK5_DUTY_CYCLE ; 50 ; Untyped ; -; CLK4_DUTY_CYCLE ; 50 ; Untyped ; -; CLK3_DUTY_CYCLE ; 50 ; Untyped ; -; CLK2_DUTY_CYCLE ; 50 ; Untyped ; -; CLK1_DUTY_CYCLE ; 50 ; Signed Integer ; -; CLK0_DUTY_CYCLE ; 50 ; Signed Integer ; -; CLK9_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; -; CLK8_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; -; CLK7_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; -; CLK6_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; -; CLK5_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; -; CLK4_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; -; CLK3_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; -; CLK2_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; -; CLK1_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; -; CLK0_USE_EVEN_COUNTER_MODE ; OFF ; Untyped ; -; CLK9_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; -; CLK8_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; -; CLK7_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; -; CLK6_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; -; CLK5_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; -; CLK4_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; -; CLK3_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; -; CLK2_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; -; CLK1_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; -; CLK0_USE_EVEN_COUNTER_VALUE ; OFF ; Untyped ; -; LOCK_WINDOW_UI ; 0.05 ; Untyped ; -; LOCK_WINDOW_UI_BITS ; UNUSED ; Untyped ; -; VCO_RANGE_DETECTOR_LOW_BITS ; UNUSED ; Untyped ; -; VCO_RANGE_DETECTOR_HIGH_BITS ; UNUSED ; Untyped ; -; DPA_MULTIPLY_BY ; 0 ; Untyped ; -; DPA_DIVIDE_BY ; 1 ; Untyped ; -; DPA_DIVIDER ; 0 ; Untyped ; -; EXTCLK3_MULTIPLY_BY ; 1 ; Untyped ; -; EXTCLK2_MULTIPLY_BY ; 1 ; Untyped ; -; EXTCLK1_MULTIPLY_BY ; 1 ; Untyped ; -; EXTCLK0_MULTIPLY_BY ; 1 ; Untyped ; -; EXTCLK3_DIVIDE_BY ; 1 ; Untyped ; -; EXTCLK2_DIVIDE_BY ; 1 ; Untyped ; -; EXTCLK1_DIVIDE_BY ; 1 ; Untyped ; -; EXTCLK0_DIVIDE_BY ; 1 ; Untyped ; -; EXTCLK3_PHASE_SHIFT ; 0 ; Untyped ; -; EXTCLK2_PHASE_SHIFT ; 0 ; Untyped ; -; EXTCLK1_PHASE_SHIFT ; 0 ; Untyped ; -; EXTCLK0_PHASE_SHIFT ; 0 ; Untyped ; -; EXTCLK3_TIME_DELAY ; 0 ; Untyped ; -; EXTCLK2_TIME_DELAY ; 0 ; Untyped ; -; EXTCLK1_TIME_DELAY ; 0 ; Untyped ; -; EXTCLK0_TIME_DELAY ; 0 ; Untyped ; -; EXTCLK3_DUTY_CYCLE ; 50 ; Untyped ; -; EXTCLK2_DUTY_CYCLE ; 50 ; Untyped ; -; EXTCLK1_DUTY_CYCLE ; 50 ; Untyped ; -; EXTCLK0_DUTY_CYCLE ; 50 ; Untyped ; -; VCO_MULTIPLY_BY ; 0 ; Untyped ; -; VCO_DIVIDE_BY ; 0 ; Untyped ; -; SCLKOUT0_PHASE_SHIFT ; 0 ; Untyped ; -; SCLKOUT1_PHASE_SHIFT ; 0 ; Untyped ; -; VCO_MIN ; 0 ; Untyped ; -; VCO_MAX ; 0 ; Untyped ; -; VCO_CENTER ; 0 ; Untyped ; -; PFD_MIN ; 0 ; Untyped ; -; PFD_MAX ; 0 ; Untyped ; -; M_INITIAL ; 0 ; Untyped ; -; M ; 0 ; Untyped ; -; N ; 1 ; Untyped ; -; M2 ; 1 ; Untyped ; -; N2 ; 1 ; Untyped ; -; SS ; 1 ; Untyped ; -; C0_HIGH ; 0 ; Untyped ; -; C1_HIGH ; 0 ; Untyped ; -; C2_HIGH ; 0 ; Untyped ; -; C3_HIGH ; 0 ; Untyped ; -; C4_HIGH ; 0 ; Untyped ; -; C5_HIGH ; 0 ; Untyped ; -; C6_HIGH ; 0 ; Untyped ; -; C7_HIGH ; 0 ; Untyped ; -; C8_HIGH ; 0 ; Untyped ; -; C9_HIGH ; 0 ; Untyped ; -; C0_LOW ; 0 ; Untyped ; -; C1_LOW ; 0 ; Untyped ; -; C2_LOW ; 0 ; Untyped ; -; C3_LOW ; 0 ; Untyped ; -; C4_LOW ; 0 ; Untyped ; -; C5_LOW ; 0 ; Untyped ; -; C6_LOW ; 0 ; Untyped ; -; C7_LOW ; 0 ; Untyped ; -; C8_LOW ; 0 ; Untyped ; -; C9_LOW ; 0 ; Untyped ; -; C0_INITIAL ; 0 ; Untyped ; -; C1_INITIAL ; 0 ; Untyped ; -; C2_INITIAL ; 0 ; Untyped ; -; C3_INITIAL ; 0 ; Untyped ; -; C4_INITIAL ; 0 ; Untyped ; -; C5_INITIAL ; 0 ; Untyped ; -; C6_INITIAL ; 0 ; Untyped ; -; C7_INITIAL ; 0 ; Untyped ; -; C8_INITIAL ; 0 ; Untyped ; -; C9_INITIAL ; 0 ; Untyped ; -; C0_MODE ; BYPASS ; Untyped ; -; C1_MODE ; BYPASS ; Untyped ; -; C2_MODE ; BYPASS ; Untyped ; -; C3_MODE ; BYPASS ; Untyped ; -; C4_MODE ; BYPASS ; Untyped ; -; C5_MODE ; BYPASS ; Untyped ; -; C6_MODE ; BYPASS ; Untyped ; -; C7_MODE ; BYPASS ; Untyped ; -; C8_MODE ; BYPASS ; Untyped ; -; C9_MODE ; BYPASS ; Untyped ; -; C0_PH ; 0 ; Untyped ; -; C1_PH ; 0 ; Untyped ; -; C2_PH ; 0 ; Untyped ; -; C3_PH ; 0 ; Untyped ; -; C4_PH ; 0 ; Untyped ; -; C5_PH ; 0 ; Untyped ; -; C6_PH ; 0 ; Untyped ; -; C7_PH ; 0 ; Untyped ; -; C8_PH ; 0 ; Untyped ; -; C9_PH ; 0 ; Untyped ; -; L0_HIGH ; 1 ; Untyped ; -; L1_HIGH ; 1 ; Untyped ; -; G0_HIGH ; 1 ; Untyped ; -; G1_HIGH ; 1 ; Untyped ; -; G2_HIGH ; 1 ; Untyped ; -; G3_HIGH ; 1 ; Untyped ; -; E0_HIGH ; 1 ; Untyped ; -; E1_HIGH ; 1 ; Untyped ; -; E2_HIGH ; 1 ; Untyped ; -; E3_HIGH ; 1 ; Untyped ; -; L0_LOW ; 1 ; Untyped ; -; L1_LOW ; 1 ; Untyped ; -; G0_LOW ; 1 ; Untyped ; -; G1_LOW ; 1 ; Untyped ; -; G2_LOW ; 1 ; Untyped ; -; G3_LOW ; 1 ; Untyped ; -; E0_LOW ; 1 ; Untyped ; -; E1_LOW ; 1 ; Untyped ; -; E2_LOW ; 1 ; Untyped ; -; E3_LOW ; 1 ; Untyped ; -; L0_INITIAL ; 1 ; Untyped ; -; L1_INITIAL ; 1 ; Untyped ; -; G0_INITIAL ; 1 ; Untyped ; -; G1_INITIAL ; 1 ; Untyped ; -; G2_INITIAL ; 1 ; Untyped ; -; G3_INITIAL ; 1 ; Untyped ; -; E0_INITIAL ; 1 ; Untyped ; -; E1_INITIAL ; 1 ; Untyped ; -; E2_INITIAL ; 1 ; Untyped ; -; E3_INITIAL ; 1 ; Untyped ; -; L0_MODE ; BYPASS ; Untyped ; -; L1_MODE ; BYPASS ; Untyped ; -; G0_MODE ; BYPASS ; Untyped ; -; G1_MODE ; BYPASS ; Untyped ; -; G2_MODE ; BYPASS ; Untyped ; -; G3_MODE ; BYPASS ; Untyped ; -; E0_MODE ; BYPASS ; Untyped ; -; E1_MODE ; BYPASS ; Untyped ; -; E2_MODE ; BYPASS ; Untyped ; -; E3_MODE ; BYPASS ; Untyped ; -; L0_PH ; 0 ; Untyped ; -; L1_PH ; 0 ; Untyped ; -; G0_PH ; 0 ; Untyped ; -; G1_PH ; 0 ; Untyped ; -; G2_PH ; 0 ; Untyped ; -; G3_PH ; 0 ; Untyped ; -; E0_PH ; 0 ; Untyped ; -; E1_PH ; 0 ; Untyped ; -; E2_PH ; 0 ; Untyped ; -; E3_PH ; 0 ; Untyped ; -; M_PH ; 0 ; Untyped ; -; C1_USE_CASC_IN ; OFF ; Untyped ; -; C2_USE_CASC_IN ; OFF ; Untyped ; -; C3_USE_CASC_IN ; OFF ; Untyped ; -; C4_USE_CASC_IN ; OFF ; Untyped ; -; C5_USE_CASC_IN ; OFF ; Untyped ; -; C6_USE_CASC_IN ; OFF ; Untyped ; -; C7_USE_CASC_IN ; OFF ; Untyped ; -; C8_USE_CASC_IN ; OFF ; Untyped ; -; C9_USE_CASC_IN ; OFF ; Untyped ; -; CLK0_COUNTER ; G0 ; Untyped ; -; CLK1_COUNTER ; G0 ; Untyped ; -; CLK2_COUNTER ; G0 ; Untyped ; -; CLK3_COUNTER ; G0 ; Untyped ; -; CLK4_COUNTER ; G0 ; Untyped ; -; CLK5_COUNTER ; G0 ; Untyped ; -; CLK6_COUNTER ; E0 ; Untyped ; -; CLK7_COUNTER ; E1 ; Untyped ; -; CLK8_COUNTER ; E2 ; Untyped ; -; CLK9_COUNTER ; E3 ; Untyped ; -; L0_TIME_DELAY ; 0 ; Untyped ; -; L1_TIME_DELAY ; 0 ; Untyped ; -; G0_TIME_DELAY ; 0 ; Untyped ; -; G1_TIME_DELAY ; 0 ; Untyped ; -; G2_TIME_DELAY ; 0 ; Untyped ; -; G3_TIME_DELAY ; 0 ; Untyped ; -; E0_TIME_DELAY ; 0 ; Untyped ; -; E1_TIME_DELAY ; 0 ; Untyped ; -; E2_TIME_DELAY ; 0 ; Untyped ; -; E3_TIME_DELAY ; 0 ; Untyped ; -; M_TIME_DELAY ; 0 ; Untyped ; -; N_TIME_DELAY ; 0 ; Untyped ; -; EXTCLK3_COUNTER ; E3 ; Untyped ; -; EXTCLK2_COUNTER ; E2 ; Untyped ; -; EXTCLK1_COUNTER ; E1 ; Untyped ; -; EXTCLK0_COUNTER ; E0 ; Untyped ; -; ENABLE0_COUNTER ; L0 ; Untyped ; -; ENABLE1_COUNTER ; L0 ; Untyped ; -; CHARGE_PUMP_CURRENT ; 2 ; Untyped ; -; LOOP_FILTER_R ; 1.000000 ; Untyped ; -; LOOP_FILTER_C ; 5 ; Untyped ; -; CHARGE_PUMP_CURRENT_BITS ; 9999 ; Untyped ; -; LOOP_FILTER_R_BITS ; 9999 ; Untyped ; -; LOOP_FILTER_C_BITS ; 9999 ; Untyped ; -; VCO_POST_SCALE ; 0 ; Untyped ; -; CLK2_OUTPUT_FREQUENCY ; 0 ; Untyped ; -; CLK1_OUTPUT_FREQUENCY ; 0 ; Untyped ; -; CLK0_OUTPUT_FREQUENCY ; 0 ; Untyped ; -; INTENDED_DEVICE_FAMILY ; Cyclone III ; Untyped ; -; PORT_CLKENA0 ; PORT_UNUSED ; Untyped ; -; PORT_CLKENA1 ; PORT_UNUSED ; Untyped ; -; PORT_CLKENA2 ; PORT_UNUSED ; Untyped ; -; PORT_CLKENA3 ; PORT_UNUSED ; Untyped ; -; PORT_CLKENA4 ; PORT_UNUSED ; Untyped ; -; PORT_CLKENA5 ; PORT_UNUSED ; Untyped ; -; PORT_EXTCLKENA0 ; PORT_CONNECTIVITY ; Untyped ; -; PORT_EXTCLKENA1 ; PORT_CONNECTIVITY ; Untyped ; -; PORT_EXTCLKENA2 ; PORT_CONNECTIVITY ; Untyped ; -; PORT_EXTCLKENA3 ; PORT_CONNECTIVITY ; Untyped ; -; PORT_EXTCLK0 ; PORT_UNUSED ; Untyped ; -; PORT_EXTCLK1 ; PORT_UNUSED ; Untyped ; -; PORT_EXTCLK2 ; PORT_UNUSED ; Untyped ; -; PORT_EXTCLK3 ; PORT_UNUSED ; Untyped ; -; PORT_CLKBAD0 ; PORT_UNUSED ; Untyped ; -; PORT_CLKBAD1 ; PORT_UNUSED ; Untyped ; -; PORT_CLK0 ; PORT_USED ; Untyped ; -; PORT_CLK1 ; PORT_USED ; Untyped ; -; PORT_CLK2 ; PORT_UNUSED ; Untyped ; -; PORT_CLK3 ; PORT_UNUSED ; Untyped ; -; PORT_CLK4 ; PORT_UNUSED ; Untyped ; -; PORT_CLK5 ; PORT_UNUSED ; Untyped ; -; PORT_CLK6 ; PORT_UNUSED ; Untyped ; -; PORT_CLK7 ; PORT_UNUSED ; Untyped ; -; PORT_CLK8 ; PORT_UNUSED ; Untyped ; -; PORT_CLK9 ; PORT_UNUSED ; Untyped ; -; PORT_SCANDATA ; PORT_UNUSED ; Untyped ; -; PORT_SCANDATAOUT ; PORT_UNUSED ; Untyped ; -; PORT_SCANDONE ; PORT_UNUSED ; Untyped ; -; PORT_SCLKOUT1 ; PORT_CONNECTIVITY ; Untyped ; -; PORT_SCLKOUT0 ; PORT_CONNECTIVITY ; Untyped ; -; PORT_ACTIVECLOCK ; PORT_UNUSED ; Untyped ; -; PORT_CLKLOSS ; PORT_UNUSED ; Untyped ; -; PORT_INCLK1 ; PORT_UNUSED ; Untyped ; -; PORT_INCLK0 ; PORT_USED ; Untyped ; -; PORT_FBIN ; PORT_UNUSED ; Untyped ; -; PORT_PLLENA ; PORT_UNUSED ; Untyped ; -; PORT_CLKSWITCH ; PORT_UNUSED ; Untyped ; -; PORT_ARESET ; PORT_USED ; Untyped ; -; PORT_PFDENA ; PORT_UNUSED ; Untyped ; -; PORT_SCANCLK ; PORT_UNUSED ; Untyped ; -; PORT_SCANACLR ; PORT_UNUSED ; Untyped ; -; PORT_SCANREAD ; PORT_UNUSED ; Untyped ; -; PORT_SCANWRITE ; PORT_UNUSED ; Untyped ; -; PORT_ENABLE0 ; PORT_CONNECTIVITY ; Untyped ; -; PORT_ENABLE1 ; PORT_CONNECTIVITY ; Untyped ; -; PORT_LOCKED ; PORT_USED ; Untyped ; -; PORT_CONFIGUPDATE ; PORT_UNUSED ; Untyped ; -; PORT_FBOUT ; PORT_CONNECTIVITY ; Untyped ; -; PORT_PHASEDONE ; PORT_UNUSED ; Untyped ; -; PORT_PHASESTEP ; PORT_UNUSED ; Untyped ; -; PORT_PHASEUPDOWN ; PORT_UNUSED ; Untyped ; -; PORT_SCANCLKENA ; PORT_UNUSED ; Untyped ; -; PORT_PHASECOUNTERSELECT ; PORT_UNUSED ; Untyped ; -; PORT_VCOOVERRANGE ; PORT_CONNECTIVITY ; Untyped ; -; PORT_VCOUNDERRANGE ; PORT_CONNECTIVITY ; Untyped ; -; M_TEST_SOURCE ; 5 ; Untyped ; -; C0_TEST_SOURCE ; 5 ; Untyped ; -; C1_TEST_SOURCE ; 5 ; Untyped ; -; C2_TEST_SOURCE ; 5 ; Untyped ; -; C3_TEST_SOURCE ; 5 ; Untyped ; -; C4_TEST_SOURCE ; 5 ; Untyped ; -; C5_TEST_SOURCE ; 5 ; Untyped ; -; C6_TEST_SOURCE ; 5 ; Untyped ; -; C7_TEST_SOURCE ; 5 ; Untyped ; -; C8_TEST_SOURCE ; 5 ; Untyped ; -; C9_TEST_SOURCE ; 5 ; Untyped ; -; CBXI_PARAMETER ; pll_altpll ; Untyped ; -; VCO_FREQUENCY_CONTROL ; AUTO ; Untyped ; -; VCO_PHASE_SHIFT_STEP ; 0 ; Untyped ; -; WIDTH_CLOCK ; 5 ; Signed Integer ; -; WIDTH_PHASECOUNTERSELECT ; 4 ; Untyped ; -; USING_FBMIMICBIDIR_PORT ; OFF ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -; SCAN_CHAIN_MIF_FILE ; UNUSED ; Untyped ; -; SIM_GATE_LOCK_DEVICE_BEHAVIOR ; OFF ; Untyped ; -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -+-------------------------------+-----------------------+----------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+--------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: mist_io:mist_io ; -+----------------+-------+-------------------------------------+ -; Parameter Name ; Value ; Type ; -+----------------+-------+-------------------------------------+ -; STRLEN ; 63 ; Signed Integer ; -; PS2DIV ; 100 ; Signed Integer ; -+----------------+-------+-------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+----------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: video_mixer:video_mixer ; -+----------------+------------+----------------------------------------+ -; Parameter Name ; Value ; Type ; -+----------------+------------+----------------------------------------+ -; LINE_LENGTH ; 480 ; Signed Integer ; -; HALF_DEPTH ; 1 ; Signed Integer ; -; OSD_COLOR ; 111 ; Unsigned Binary ; -; OSD_X_OFFSET ; 0000000000 ; Unsigned Binary ; -; OSD_Y_OFFSET ; 0000000000 ; Unsigned Binary ; -+----------------+------------+----------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+----------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: video_mixer:video_mixer|scandoubler:scandoubler ; -+----------------+-------+---------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+----------------+-------+---------------------------------------------------------------------+ -; LENGTH ; 480 ; Signed Integer ; -; HALF_DEPTH ; 1 ; Signed Integer ; -+----------------+-------+---------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+--------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x ; -+----------------+-------+-------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+----------------+-------+-------------------------------------------------------------------------------+ -; LENGTH ; 480 ; Signed Integer ; -; HALF_DEPTH ; 1 ; Signed Integer ; -+----------------+-------+-------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_in:hq2x_in ; -+----------------+-------+-----------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+----------------+-------+-----------------------------------------------------------------------------------------------+ -; LENGTH ; 480 ; Signed Integer ; -; DWIDTH ; 8 ; Signed Integer ; -+----------------+-------+-----------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+--------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_in:hq2x_in|hq2x_buf:buf0 ; -+----------------+-------+-------------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+----------------+-------+-------------------------------------------------------------------------------------------------------------+ -; NUMWORDS ; 480 ; Signed Integer ; -; AWIDTH ; 8 ; Signed Integer ; -; DWIDTH ; 8 ; Signed Integer ; -+----------------+-------+-------------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+----------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_in:hq2x_in|hq2x_buf:buf0|altsyncram:altsyncram_component ; -+------------------------------------+----------------------+----------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------------------+----------------------+----------------------------------------------------------------------------------------------------------+ -; BYTE_SIZE_BLOCK ; 8 ; Untyped ; -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -; WIDTH_BYTEENA ; 1 ; Untyped ; -; OPERATION_MODE ; DUAL_PORT ; Untyped ; -; WIDTH_A ; 9 ; Signed Integer ; -; WIDTHAD_A ; 9 ; Signed Integer ; -; NUMWORDS_A ; 480 ; Signed Integer ; -; OUTDATA_REG_A ; UNREGISTERED ; Untyped ; -; ADDRESS_ACLR_A ; NONE ; Untyped ; -; OUTDATA_ACLR_A ; NONE ; Untyped ; -; WRCONTROL_ACLR_A ; NONE ; Untyped ; -; INDATA_ACLR_A ; NONE ; Untyped ; -; BYTEENA_ACLR_A ; NONE ; Untyped ; -; WIDTH_B ; 9 ; Signed Integer ; -; WIDTHAD_B ; 9 ; Signed Integer ; -; NUMWORDS_B ; 480 ; Signed Integer ; -; INDATA_REG_B ; CLOCK1 ; Untyped ; -; WRCONTROL_WRADDRESS_REG_B ; CLOCK1 ; Untyped ; -; RDCONTROL_REG_B ; CLOCK1 ; Untyped ; -; ADDRESS_REG_B ; CLOCK0 ; Untyped ; -; OUTDATA_REG_B ; UNREGISTERED ; Untyped ; -; BYTEENA_REG_B ; CLOCK1 ; Untyped ; -; INDATA_ACLR_B ; NONE ; Untyped ; -; WRCONTROL_ACLR_B ; NONE ; Untyped ; -; ADDRESS_ACLR_B ; NONE ; Untyped ; -; OUTDATA_ACLR_B ; NONE ; Untyped ; -; RDCONTROL_ACLR_B ; NONE ; Untyped ; -; BYTEENA_ACLR_B ; NONE ; Untyped ; -; WIDTH_BYTEENA_A ; 1 ; Signed Integer ; -; WIDTH_BYTEENA_B ; 1 ; Untyped ; -; RAM_BLOCK_TYPE ; AUTO ; Untyped ; -; BYTE_SIZE ; 8 ; Untyped ; -; READ_DURING_WRITE_MODE_MIXED_PORTS ; DONT_CARE ; Untyped ; -; READ_DURING_WRITE_MODE_PORT_A ; NEW_DATA_NO_NBE_READ ; Untyped ; -; READ_DURING_WRITE_MODE_PORT_B ; NEW_DATA_NO_NBE_READ ; Untyped ; -; INIT_FILE ; UNUSED ; Untyped ; -; INIT_FILE_LAYOUT ; PORT_A ; Untyped ; -; MAXIMUM_DEPTH ; 0 ; Untyped ; -; CLOCK_ENABLE_INPUT_A ; BYPASS ; Untyped ; -; CLOCK_ENABLE_INPUT_B ; BYPASS ; Untyped ; -; CLOCK_ENABLE_OUTPUT_A ; NORMAL ; Untyped ; -; CLOCK_ENABLE_OUTPUT_B ; BYPASS ; Untyped ; -; CLOCK_ENABLE_CORE_A ; USE_INPUT_CLKEN ; Untyped ; -; CLOCK_ENABLE_CORE_B ; USE_INPUT_CLKEN ; Untyped ; -; ENABLE_ECC ; FALSE ; Untyped ; -; ECC_PIPELINE_STAGE_ENABLED ; FALSE ; Untyped ; -; WIDTH_ECCSTATUS ; 3 ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -; CBXI_PARAMETER ; altsyncram_c5o1 ; Untyped ; -+------------------------------------+----------------------+----------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+--------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_in:hq2x_in|hq2x_buf:buf1 ; -+----------------+-------+-------------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+----------------+-------+-------------------------------------------------------------------------------------------------------------+ -; NUMWORDS ; 480 ; Signed Integer ; -; AWIDTH ; 8 ; Signed Integer ; -; DWIDTH ; 8 ; Signed Integer ; -+----------------+-------+-------------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+----------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_in:hq2x_in|hq2x_buf:buf1|altsyncram:altsyncram_component ; -+------------------------------------+----------------------+----------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------------------+----------------------+----------------------------------------------------------------------------------------------------------+ -; BYTE_SIZE_BLOCK ; 8 ; Untyped ; -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -; WIDTH_BYTEENA ; 1 ; Untyped ; -; OPERATION_MODE ; DUAL_PORT ; Untyped ; -; WIDTH_A ; 9 ; Signed Integer ; -; WIDTHAD_A ; 9 ; Signed Integer ; -; NUMWORDS_A ; 480 ; Signed Integer ; -; OUTDATA_REG_A ; UNREGISTERED ; Untyped ; -; ADDRESS_ACLR_A ; NONE ; Untyped ; -; OUTDATA_ACLR_A ; NONE ; Untyped ; -; WRCONTROL_ACLR_A ; NONE ; Untyped ; -; INDATA_ACLR_A ; NONE ; Untyped ; -; BYTEENA_ACLR_A ; NONE ; Untyped ; -; WIDTH_B ; 9 ; Signed Integer ; -; WIDTHAD_B ; 9 ; Signed Integer ; -; NUMWORDS_B ; 480 ; Signed Integer ; -; INDATA_REG_B ; CLOCK1 ; Untyped ; -; WRCONTROL_WRADDRESS_REG_B ; CLOCK1 ; Untyped ; -; RDCONTROL_REG_B ; CLOCK1 ; Untyped ; -; ADDRESS_REG_B ; CLOCK0 ; Untyped ; -; OUTDATA_REG_B ; UNREGISTERED ; Untyped ; -; BYTEENA_REG_B ; CLOCK1 ; Untyped ; -; INDATA_ACLR_B ; NONE ; Untyped ; -; WRCONTROL_ACLR_B ; NONE ; Untyped ; -; ADDRESS_ACLR_B ; NONE ; Untyped ; -; OUTDATA_ACLR_B ; NONE ; Untyped ; -; RDCONTROL_ACLR_B ; NONE ; Untyped ; -; BYTEENA_ACLR_B ; NONE ; Untyped ; -; WIDTH_BYTEENA_A ; 1 ; Signed Integer ; -; WIDTH_BYTEENA_B ; 1 ; Untyped ; -; RAM_BLOCK_TYPE ; AUTO ; Untyped ; -; BYTE_SIZE ; 8 ; Untyped ; -; READ_DURING_WRITE_MODE_MIXED_PORTS ; DONT_CARE ; Untyped ; -; READ_DURING_WRITE_MODE_PORT_A ; NEW_DATA_NO_NBE_READ ; Untyped ; -; READ_DURING_WRITE_MODE_PORT_B ; NEW_DATA_NO_NBE_READ ; Untyped ; -; INIT_FILE ; UNUSED ; Untyped ; -; INIT_FILE_LAYOUT ; PORT_A ; Untyped ; -; MAXIMUM_DEPTH ; 0 ; Untyped ; -; CLOCK_ENABLE_INPUT_A ; BYPASS ; Untyped ; -; CLOCK_ENABLE_INPUT_B ; BYPASS ; Untyped ; -; CLOCK_ENABLE_OUTPUT_A ; NORMAL ; Untyped ; -; CLOCK_ENABLE_OUTPUT_B ; BYPASS ; Untyped ; -; CLOCK_ENABLE_CORE_A ; USE_INPUT_CLKEN ; Untyped ; -; CLOCK_ENABLE_CORE_B ; USE_INPUT_CLKEN ; Untyped ; -; ENABLE_ECC ; FALSE ; Untyped ; -; ECC_PIPELINE_STAGE_ENABLED ; FALSE ; Untyped ; -; WIDTH_ECCSTATUS ; 3 ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -; CBXI_PARAMETER ; altsyncram_c5o1 ; Untyped ; -+------------------------------------+----------------------+----------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+--------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out ; -+----------------+-------+-------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+----------------+-------+-------------------------------------------------------------------------------------------------+ -; LENGTH ; 480 ; Signed Integer ; -; DWIDTH ; 8 ; Signed Integer ; -+----------------+-------+-------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+----------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf0 ; -+----------------+-------+---------------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+----------------+-------+---------------------------------------------------------------------------------------------------------------+ -; NUMWORDS ; 960 ; Signed Integer ; -; AWIDTH ; 9 ; Signed Integer ; -; DWIDTH ; 8 ; Signed Integer ; -+----------------+-------+---------------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf0|altsyncram:altsyncram_component ; -+------------------------------------+----------------------+------------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------------------+----------------------+------------------------------------------------------------------------------------------------------------+ -; BYTE_SIZE_BLOCK ; 8 ; Untyped ; -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -; WIDTH_BYTEENA ; 1 ; Untyped ; -; OPERATION_MODE ; DUAL_PORT ; Untyped ; -; WIDTH_A ; 9 ; Signed Integer ; -; WIDTHAD_A ; 10 ; Signed Integer ; -; NUMWORDS_A ; 960 ; Signed Integer ; -; OUTDATA_REG_A ; UNREGISTERED ; Untyped ; -; ADDRESS_ACLR_A ; NONE ; Untyped ; -; OUTDATA_ACLR_A ; NONE ; Untyped ; -; WRCONTROL_ACLR_A ; NONE ; Untyped ; -; INDATA_ACLR_A ; NONE ; Untyped ; -; BYTEENA_ACLR_A ; NONE ; Untyped ; -; WIDTH_B ; 9 ; Signed Integer ; -; WIDTHAD_B ; 10 ; Signed Integer ; -; NUMWORDS_B ; 960 ; Signed Integer ; -; INDATA_REG_B ; CLOCK1 ; Untyped ; -; WRCONTROL_WRADDRESS_REG_B ; CLOCK1 ; Untyped ; -; RDCONTROL_REG_B ; CLOCK1 ; Untyped ; -; ADDRESS_REG_B ; CLOCK0 ; Untyped ; -; OUTDATA_REG_B ; UNREGISTERED ; Untyped ; -; BYTEENA_REG_B ; CLOCK1 ; Untyped ; -; INDATA_ACLR_B ; NONE ; Untyped ; -; WRCONTROL_ACLR_B ; NONE ; Untyped ; -; ADDRESS_ACLR_B ; NONE ; Untyped ; -; OUTDATA_ACLR_B ; NONE ; Untyped ; -; RDCONTROL_ACLR_B ; NONE ; Untyped ; -; BYTEENA_ACLR_B ; NONE ; Untyped ; -; WIDTH_BYTEENA_A ; 1 ; Signed Integer ; -; WIDTH_BYTEENA_B ; 1 ; Untyped ; -; RAM_BLOCK_TYPE ; AUTO ; Untyped ; -; BYTE_SIZE ; 8 ; Untyped ; -; READ_DURING_WRITE_MODE_MIXED_PORTS ; DONT_CARE ; Untyped ; -; READ_DURING_WRITE_MODE_PORT_A ; NEW_DATA_NO_NBE_READ ; Untyped ; -; READ_DURING_WRITE_MODE_PORT_B ; NEW_DATA_NO_NBE_READ ; Untyped ; -; INIT_FILE ; UNUSED ; Untyped ; -; INIT_FILE_LAYOUT ; PORT_A ; Untyped ; -; MAXIMUM_DEPTH ; 0 ; Untyped ; -; CLOCK_ENABLE_INPUT_A ; BYPASS ; Untyped ; -; CLOCK_ENABLE_INPUT_B ; BYPASS ; Untyped ; -; CLOCK_ENABLE_OUTPUT_A ; NORMAL ; Untyped ; -; CLOCK_ENABLE_OUTPUT_B ; BYPASS ; Untyped ; -; CLOCK_ENABLE_CORE_A ; USE_INPUT_CLKEN ; Untyped ; -; CLOCK_ENABLE_CORE_B ; USE_INPUT_CLKEN ; Untyped ; -; ENABLE_ECC ; FALSE ; Untyped ; -; ECC_PIPELINE_STAGE_ENABLED ; FALSE ; Untyped ; -; WIDTH_ECCSTATUS ; 3 ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -; CBXI_PARAMETER ; altsyncram_28o1 ; Untyped ; -+------------------------------------+----------------------+------------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+----------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf1 ; -+----------------+-------+---------------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+----------------+-------+---------------------------------------------------------------------------------------------------------------+ -; NUMWORDS ; 960 ; Signed Integer ; -; AWIDTH ; 9 ; Signed Integer ; -; DWIDTH ; 8 ; Signed Integer ; -+----------------+-------+---------------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf1|altsyncram:altsyncram_component ; -+------------------------------------+----------------------+------------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------------------+----------------------+------------------------------------------------------------------------------------------------------------+ -; BYTE_SIZE_BLOCK ; 8 ; Untyped ; -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -; WIDTH_BYTEENA ; 1 ; Untyped ; -; OPERATION_MODE ; DUAL_PORT ; Untyped ; -; WIDTH_A ; 9 ; Signed Integer ; -; WIDTHAD_A ; 10 ; Signed Integer ; -; NUMWORDS_A ; 960 ; Signed Integer ; -; OUTDATA_REG_A ; UNREGISTERED ; Untyped ; -; ADDRESS_ACLR_A ; NONE ; Untyped ; -; OUTDATA_ACLR_A ; NONE ; Untyped ; -; WRCONTROL_ACLR_A ; NONE ; Untyped ; -; INDATA_ACLR_A ; NONE ; Untyped ; -; BYTEENA_ACLR_A ; NONE ; Untyped ; -; WIDTH_B ; 9 ; Signed Integer ; -; WIDTHAD_B ; 10 ; Signed Integer ; -; NUMWORDS_B ; 960 ; Signed Integer ; -; INDATA_REG_B ; CLOCK1 ; Untyped ; -; WRCONTROL_WRADDRESS_REG_B ; CLOCK1 ; Untyped ; -; RDCONTROL_REG_B ; CLOCK1 ; Untyped ; -; ADDRESS_REG_B ; CLOCK0 ; Untyped ; -; OUTDATA_REG_B ; UNREGISTERED ; Untyped ; -; BYTEENA_REG_B ; CLOCK1 ; Untyped ; -; INDATA_ACLR_B ; NONE ; Untyped ; -; WRCONTROL_ACLR_B ; NONE ; Untyped ; -; ADDRESS_ACLR_B ; NONE ; Untyped ; -; OUTDATA_ACLR_B ; NONE ; Untyped ; -; RDCONTROL_ACLR_B ; NONE ; Untyped ; -; BYTEENA_ACLR_B ; NONE ; Untyped ; -; WIDTH_BYTEENA_A ; 1 ; Signed Integer ; -; WIDTH_BYTEENA_B ; 1 ; Untyped ; -; RAM_BLOCK_TYPE ; AUTO ; Untyped ; -; BYTE_SIZE ; 8 ; Untyped ; -; READ_DURING_WRITE_MODE_MIXED_PORTS ; DONT_CARE ; Untyped ; -; READ_DURING_WRITE_MODE_PORT_A ; NEW_DATA_NO_NBE_READ ; Untyped ; -; READ_DURING_WRITE_MODE_PORT_B ; NEW_DATA_NO_NBE_READ ; Untyped ; -; INIT_FILE ; UNUSED ; Untyped ; -; INIT_FILE_LAYOUT ; PORT_A ; Untyped ; -; MAXIMUM_DEPTH ; 0 ; Untyped ; -; CLOCK_ENABLE_INPUT_A ; BYPASS ; Untyped ; -; CLOCK_ENABLE_INPUT_B ; BYPASS ; Untyped ; -; CLOCK_ENABLE_OUTPUT_A ; NORMAL ; Untyped ; -; CLOCK_ENABLE_OUTPUT_B ; BYPASS ; Untyped ; -; CLOCK_ENABLE_CORE_A ; USE_INPUT_CLKEN ; Untyped ; -; CLOCK_ENABLE_CORE_B ; USE_INPUT_CLKEN ; Untyped ; -; ENABLE_ECC ; FALSE ; Untyped ; -; ECC_PIPELINE_STAGE_ENABLED ; FALSE ; Untyped ; -; WIDTH_ECCSTATUS ; 3 ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -; CBXI_PARAMETER ; altsyncram_28o1 ; Untyped ; -+------------------------------------+----------------------+------------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+----------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf2 ; -+----------------+-------+---------------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+----------------+-------+---------------------------------------------------------------------------------------------------------------+ -; NUMWORDS ; 960 ; Signed Integer ; -; AWIDTH ; 9 ; Signed Integer ; -; DWIDTH ; 8 ; Signed Integer ; -+----------------+-------+---------------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf2|altsyncram:altsyncram_component ; -+------------------------------------+----------------------+------------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------------------+----------------------+------------------------------------------------------------------------------------------------------------+ -; BYTE_SIZE_BLOCK ; 8 ; Untyped ; -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -; WIDTH_BYTEENA ; 1 ; Untyped ; -; OPERATION_MODE ; DUAL_PORT ; Untyped ; -; WIDTH_A ; 9 ; Signed Integer ; -; WIDTHAD_A ; 10 ; Signed Integer ; -; NUMWORDS_A ; 960 ; Signed Integer ; -; OUTDATA_REG_A ; UNREGISTERED ; Untyped ; -; ADDRESS_ACLR_A ; NONE ; Untyped ; -; OUTDATA_ACLR_A ; NONE ; Untyped ; -; WRCONTROL_ACLR_A ; NONE ; Untyped ; -; INDATA_ACLR_A ; NONE ; Untyped ; -; BYTEENA_ACLR_A ; NONE ; Untyped ; -; WIDTH_B ; 9 ; Signed Integer ; -; WIDTHAD_B ; 10 ; Signed Integer ; -; NUMWORDS_B ; 960 ; Signed Integer ; -; INDATA_REG_B ; CLOCK1 ; Untyped ; -; WRCONTROL_WRADDRESS_REG_B ; CLOCK1 ; Untyped ; -; RDCONTROL_REG_B ; CLOCK1 ; Untyped ; -; ADDRESS_REG_B ; CLOCK0 ; Untyped ; -; OUTDATA_REG_B ; UNREGISTERED ; Untyped ; -; BYTEENA_REG_B ; CLOCK1 ; Untyped ; -; INDATA_ACLR_B ; NONE ; Untyped ; -; WRCONTROL_ACLR_B ; NONE ; Untyped ; -; ADDRESS_ACLR_B ; NONE ; Untyped ; -; OUTDATA_ACLR_B ; NONE ; Untyped ; -; RDCONTROL_ACLR_B ; NONE ; Untyped ; -; BYTEENA_ACLR_B ; NONE ; Untyped ; -; WIDTH_BYTEENA_A ; 1 ; Signed Integer ; -; WIDTH_BYTEENA_B ; 1 ; Untyped ; -; RAM_BLOCK_TYPE ; AUTO ; Untyped ; -; BYTE_SIZE ; 8 ; Untyped ; -; READ_DURING_WRITE_MODE_MIXED_PORTS ; DONT_CARE ; Untyped ; -; READ_DURING_WRITE_MODE_PORT_A ; NEW_DATA_NO_NBE_READ ; Untyped ; -; READ_DURING_WRITE_MODE_PORT_B ; NEW_DATA_NO_NBE_READ ; Untyped ; -; INIT_FILE ; UNUSED ; Untyped ; -; INIT_FILE_LAYOUT ; PORT_A ; Untyped ; -; MAXIMUM_DEPTH ; 0 ; Untyped ; -; CLOCK_ENABLE_INPUT_A ; BYPASS ; Untyped ; -; CLOCK_ENABLE_INPUT_B ; BYPASS ; Untyped ; -; CLOCK_ENABLE_OUTPUT_A ; NORMAL ; Untyped ; -; CLOCK_ENABLE_OUTPUT_B ; BYPASS ; Untyped ; -; CLOCK_ENABLE_CORE_A ; USE_INPUT_CLKEN ; Untyped ; -; CLOCK_ENABLE_CORE_B ; USE_INPUT_CLKEN ; Untyped ; -; ENABLE_ECC ; FALSE ; Untyped ; -; ECC_PIPELINE_STAGE_ENABLED ; FALSE ; Untyped ; -; WIDTH_ECCSTATUS ; 3 ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -; CBXI_PARAMETER ; altsyncram_28o1 ; Untyped ; -+------------------------------------+----------------------+------------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+----------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf3 ; -+----------------+-------+---------------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+----------------+-------+---------------------------------------------------------------------------------------------------------------+ -; NUMWORDS ; 960 ; Signed Integer ; -; AWIDTH ; 9 ; Signed Integer ; -; DWIDTH ; 8 ; Signed Integer ; -+----------------+-------+---------------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf3|altsyncram:altsyncram_component ; -+------------------------------------+----------------------+------------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------------------+----------------------+------------------------------------------------------------------------------------------------------------+ -; BYTE_SIZE_BLOCK ; 8 ; Untyped ; -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -; WIDTH_BYTEENA ; 1 ; Untyped ; -; OPERATION_MODE ; DUAL_PORT ; Untyped ; -; WIDTH_A ; 9 ; Signed Integer ; -; WIDTHAD_A ; 10 ; Signed Integer ; -; NUMWORDS_A ; 960 ; Signed Integer ; -; OUTDATA_REG_A ; UNREGISTERED ; Untyped ; -; ADDRESS_ACLR_A ; NONE ; Untyped ; -; OUTDATA_ACLR_A ; NONE ; Untyped ; -; WRCONTROL_ACLR_A ; NONE ; Untyped ; -; INDATA_ACLR_A ; NONE ; Untyped ; -; BYTEENA_ACLR_A ; NONE ; Untyped ; -; WIDTH_B ; 9 ; Signed Integer ; -; WIDTHAD_B ; 10 ; Signed Integer ; -; NUMWORDS_B ; 960 ; Signed Integer ; -; INDATA_REG_B ; CLOCK1 ; Untyped ; -; WRCONTROL_WRADDRESS_REG_B ; CLOCK1 ; Untyped ; -; RDCONTROL_REG_B ; CLOCK1 ; Untyped ; -; ADDRESS_REG_B ; CLOCK0 ; Untyped ; -; OUTDATA_REG_B ; UNREGISTERED ; Untyped ; -; BYTEENA_REG_B ; CLOCK1 ; Untyped ; -; INDATA_ACLR_B ; NONE ; Untyped ; -; WRCONTROL_ACLR_B ; NONE ; Untyped ; -; ADDRESS_ACLR_B ; NONE ; Untyped ; -; OUTDATA_ACLR_B ; NONE ; Untyped ; -; RDCONTROL_ACLR_B ; NONE ; Untyped ; -; BYTEENA_ACLR_B ; NONE ; Untyped ; -; WIDTH_BYTEENA_A ; 1 ; Signed Integer ; -; WIDTH_BYTEENA_B ; 1 ; Untyped ; -; RAM_BLOCK_TYPE ; AUTO ; Untyped ; -; BYTE_SIZE ; 8 ; Untyped ; -; READ_DURING_WRITE_MODE_MIXED_PORTS ; DONT_CARE ; Untyped ; -; READ_DURING_WRITE_MODE_PORT_A ; NEW_DATA_NO_NBE_READ ; Untyped ; -; READ_DURING_WRITE_MODE_PORT_B ; NEW_DATA_NO_NBE_READ ; Untyped ; -; INIT_FILE ; UNUSED ; Untyped ; -; INIT_FILE_LAYOUT ; PORT_A ; Untyped ; -; MAXIMUM_DEPTH ; 0 ; Untyped ; -; CLOCK_ENABLE_INPUT_A ; BYPASS ; Untyped ; -; CLOCK_ENABLE_INPUT_B ; BYPASS ; Untyped ; -; CLOCK_ENABLE_OUTPUT_A ; NORMAL ; Untyped ; -; CLOCK_ENABLE_OUTPUT_B ; BYPASS ; Untyped ; -; CLOCK_ENABLE_CORE_A ; USE_INPUT_CLKEN ; Untyped ; -; CLOCK_ENABLE_CORE_B ; USE_INPUT_CLKEN ; Untyped ; -; ENABLE_ECC ; FALSE ; Untyped ; -; ECC_PIPELINE_STAGE_ENABLED ; FALSE ; Untyped ; -; WIDTH_ECCSTATUS ; 3 ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -; CBXI_PARAMETER ; altsyncram_28o1 ; Untyped ; -+------------------------------------+----------------------+------------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: video_mixer:video_mixer|osd:osd ; -+----------------+------------+------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+----------------+------------+------------------------------------------------+ -; OSD_X_OFFSET ; 0000000000 ; Unsigned Binary ; -; OSD_Y_OFFSET ; 0000000000 ; Unsigned Binary ; -; OSD_COLOR ; 111 ; Unsigned Binary ; -+----------------+------------+------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: sigma_delta_dac:sigma_delta_dac ; -+----------------+-------+-----------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+----------------+-------+-----------------------------------------------------+ -; MSBI ; 2 ; Signed Integer ; -+----------------+-------+-----------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+-----------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: mz80k_top:mz80k_top|fz80:z80|seq:seq ; -+----------------+-------+----------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+----------------+-------+----------------------------------------------------------+ -; S_IF1 ; 0000 ; Unsigned Binary ; -; S_IF2 ; 0001 ; Unsigned Binary ; -; S_IMM1 ; 0010 ; Unsigned Binary ; -; S_IMM2 ; 0011 ; Unsigned Binary ; -; S_MR1 ; 0100 ; Unsigned Binary ; -; S_MR2 ; 0101 ; Unsigned Binary ; -; S_DISP ; 0110 ; Unsigned Binary ; -; S_IN ; 0111 ; Unsigned Binary ; -; S_IACK ; 1000 ; Unsigned Binary ; -; S_MW1 ; 1100 ; Unsigned Binary ; -; S_MW2 ; 1101 ; Unsigned Binary ; -; S_OUT ; 1111 ; Unsigned Binary ; -+----------------+-------+----------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+-------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: mz80k_top:mz80k_top|vga:vga1|cg_rom:cg_rom|altsyncram:altsyncram_component ; -+------------------------------------+----------------------+-------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------------------+----------------------+-------------------------------------------------------------+ -; BYTE_SIZE_BLOCK ; 8 ; Untyped ; -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -; WIDTH_BYTEENA ; 1 ; Untyped ; -; OPERATION_MODE ; ROM ; Untyped ; -; WIDTH_A ; 8 ; Signed Integer ; -; WIDTHAD_A ; 11 ; Signed Integer ; -; NUMWORDS_A ; 2048 ; Signed Integer ; -; OUTDATA_REG_A ; CLOCK0 ; Untyped ; -; ADDRESS_ACLR_A ; NONE ; Untyped ; -; OUTDATA_ACLR_A ; NONE ; Untyped ; -; WRCONTROL_ACLR_A ; NONE ; Untyped ; -; INDATA_ACLR_A ; NONE ; Untyped ; -; BYTEENA_ACLR_A ; NONE ; Untyped ; -; WIDTH_B ; 1 ; Untyped ; -; WIDTHAD_B ; 1 ; Untyped ; -; NUMWORDS_B ; 1 ; Untyped ; -; INDATA_REG_B ; CLOCK1 ; Untyped ; -; WRCONTROL_WRADDRESS_REG_B ; CLOCK1 ; Untyped ; -; RDCONTROL_REG_B ; CLOCK1 ; Untyped ; -; ADDRESS_REG_B ; CLOCK1 ; Untyped ; -; OUTDATA_REG_B ; UNREGISTERED ; Untyped ; -; BYTEENA_REG_B ; CLOCK1 ; Untyped ; -; INDATA_ACLR_B ; NONE ; Untyped ; -; WRCONTROL_ACLR_B ; NONE ; Untyped ; -; ADDRESS_ACLR_B ; NONE ; Untyped ; -; OUTDATA_ACLR_B ; NONE ; Untyped ; -; RDCONTROL_ACLR_B ; NONE ; Untyped ; -; BYTEENA_ACLR_B ; NONE ; Untyped ; -; WIDTH_BYTEENA_A ; 1 ; Signed Integer ; -; WIDTH_BYTEENA_B ; 1 ; Untyped ; -; RAM_BLOCK_TYPE ; AUTO ; Untyped ; -; BYTE_SIZE ; 8 ; Untyped ; -; READ_DURING_WRITE_MODE_MIXED_PORTS ; DONT_CARE ; Untyped ; -; READ_DURING_WRITE_MODE_PORT_A ; NEW_DATA_NO_NBE_READ ; Untyped ; -; READ_DURING_WRITE_MODE_PORT_B ; NEW_DATA_NO_NBE_READ ; Untyped ; -; INIT_FILE ; ./roms/cg.hex ; Untyped ; -; INIT_FILE_LAYOUT ; PORT_A ; Untyped ; -; MAXIMUM_DEPTH ; 0 ; Untyped ; -; CLOCK_ENABLE_INPUT_A ; NORMAL ; Untyped ; -; CLOCK_ENABLE_INPUT_B ; NORMAL ; Untyped ; -; CLOCK_ENABLE_OUTPUT_A ; NORMAL ; Untyped ; -; CLOCK_ENABLE_OUTPUT_B ; NORMAL ; Untyped ; -; CLOCK_ENABLE_CORE_A ; USE_INPUT_CLKEN ; Untyped ; -; CLOCK_ENABLE_CORE_B ; USE_INPUT_CLKEN ; Untyped ; -; ENABLE_ECC ; FALSE ; Untyped ; -; ECC_PIPELINE_STAGE_ENABLED ; FALSE ; Untyped ; -; WIDTH_ECCSTATUS ; 3 ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -; CBXI_PARAMETER ; altsyncram_f7a1 ; Untyped ; -+------------------------------------+----------------------+-------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+-----------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component ; -+------------------------------------+----------------------+-----------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------------------+----------------------+-----------------------------------------------------+ -; BYTE_SIZE_BLOCK ; 8 ; Untyped ; -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -; WIDTH_BYTEENA ; 1 ; Untyped ; -; OPERATION_MODE ; SINGLE_PORT ; Untyped ; -; WIDTH_A ; 8 ; Signed Integer ; -; WIDTHAD_A ; 15 ; Signed Integer ; -; NUMWORDS_A ; 32768 ; Signed Integer ; -; OUTDATA_REG_A ; CLOCK0 ; Untyped ; -; ADDRESS_ACLR_A ; NONE ; Untyped ; -; OUTDATA_ACLR_A ; NONE ; Untyped ; -; WRCONTROL_ACLR_A ; NONE ; Untyped ; -; INDATA_ACLR_A ; NONE ; Untyped ; -; BYTEENA_ACLR_A ; NONE ; Untyped ; -; WIDTH_B ; 1 ; Untyped ; -; WIDTHAD_B ; 1 ; Untyped ; -; NUMWORDS_B ; 1 ; Untyped ; -; INDATA_REG_B ; CLOCK1 ; Untyped ; -; WRCONTROL_WRADDRESS_REG_B ; CLOCK1 ; Untyped ; -; RDCONTROL_REG_B ; CLOCK1 ; Untyped ; -; ADDRESS_REG_B ; CLOCK1 ; Untyped ; -; OUTDATA_REG_B ; UNREGISTERED ; Untyped ; -; BYTEENA_REG_B ; CLOCK1 ; Untyped ; -; INDATA_ACLR_B ; NONE ; Untyped ; -; WRCONTROL_ACLR_B ; NONE ; Untyped ; -; ADDRESS_ACLR_B ; NONE ; Untyped ; -; OUTDATA_ACLR_B ; NONE ; Untyped ; -; RDCONTROL_ACLR_B ; NONE ; Untyped ; -; BYTEENA_ACLR_B ; NONE ; Untyped ; -; WIDTH_BYTEENA_A ; 1 ; Signed Integer ; -; WIDTH_BYTEENA_B ; 1 ; Untyped ; -; RAM_BLOCK_TYPE ; AUTO ; Untyped ; -; BYTE_SIZE ; 8 ; Untyped ; -; READ_DURING_WRITE_MODE_MIXED_PORTS ; DONT_CARE ; Untyped ; -; READ_DURING_WRITE_MODE_PORT_A ; NEW_DATA_NO_NBE_READ ; Untyped ; -; READ_DURING_WRITE_MODE_PORT_B ; NEW_DATA_NO_NBE_READ ; Untyped ; -; INIT_FILE ; ./roms/Mon.hex ; Untyped ; -; INIT_FILE_LAYOUT ; PORT_A ; Untyped ; -; MAXIMUM_DEPTH ; 0 ; Untyped ; -; CLOCK_ENABLE_INPUT_A ; NORMAL ; Untyped ; -; CLOCK_ENABLE_INPUT_B ; NORMAL ; Untyped ; -; CLOCK_ENABLE_OUTPUT_A ; NORMAL ; Untyped ; -; CLOCK_ENABLE_OUTPUT_B ; NORMAL ; Untyped ; -; CLOCK_ENABLE_CORE_A ; USE_INPUT_CLKEN ; Untyped ; -; CLOCK_ENABLE_CORE_B ; USE_INPUT_CLKEN ; Untyped ; -; ENABLE_ECC ; FALSE ; Untyped ; -; ECC_PIPELINE_STAGE_ENABLED ; FALSE ; Untyped ; -; WIDTH_ECCSTATUS ; 3 ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -; CBXI_PARAMETER ; altsyncram_vli1 ; Untyped ; -+------------------------------------+----------------------+-----------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+--------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component ; -+------------------------------------+----------------------+--------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------------------+----------------------+--------------------------------------------------+ -; BYTE_SIZE_BLOCK ; 8 ; Untyped ; -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -; WIDTH_BYTEENA ; 1 ; Untyped ; -; OPERATION_MODE ; SINGLE_PORT ; Untyped ; -; WIDTH_A ; 8 ; Signed Integer ; -; WIDTHAD_A ; 11 ; Signed Integer ; -; NUMWORDS_A ; 2048 ; Signed Integer ; -; OUTDATA_REG_A ; CLOCK0 ; Untyped ; -; ADDRESS_ACLR_A ; NONE ; Untyped ; -; OUTDATA_ACLR_A ; NONE ; Untyped ; -; WRCONTROL_ACLR_A ; NONE ; Untyped ; -; INDATA_ACLR_A ; NONE ; Untyped ; -; BYTEENA_ACLR_A ; NONE ; Untyped ; -; WIDTH_B ; 1 ; Untyped ; -; WIDTHAD_B ; 1 ; Untyped ; -; NUMWORDS_B ; 1 ; Untyped ; -; INDATA_REG_B ; CLOCK1 ; Untyped ; -; WRCONTROL_WRADDRESS_REG_B ; CLOCK1 ; Untyped ; -; RDCONTROL_REG_B ; CLOCK1 ; Untyped ; -; ADDRESS_REG_B ; CLOCK1 ; Untyped ; -; OUTDATA_REG_B ; UNREGISTERED ; Untyped ; -; BYTEENA_REG_B ; CLOCK1 ; Untyped ; -; INDATA_ACLR_B ; NONE ; Untyped ; -; WRCONTROL_ACLR_B ; NONE ; Untyped ; -; ADDRESS_ACLR_B ; NONE ; Untyped ; -; OUTDATA_ACLR_B ; NONE ; Untyped ; -; RDCONTROL_ACLR_B ; NONE ; Untyped ; -; BYTEENA_ACLR_B ; NONE ; Untyped ; -; WIDTH_BYTEENA_A ; 1 ; Signed Integer ; -; WIDTH_BYTEENA_B ; 1 ; Untyped ; -; RAM_BLOCK_TYPE ; AUTO ; Untyped ; -; BYTE_SIZE ; 8 ; Untyped ; -; READ_DURING_WRITE_MODE_MIXED_PORTS ; DONT_CARE ; Untyped ; -; READ_DURING_WRITE_MODE_PORT_A ; NEW_DATA_NO_NBE_READ ; Untyped ; -; READ_DURING_WRITE_MODE_PORT_B ; NEW_DATA_NO_NBE_READ ; Untyped ; -; INIT_FILE ; UNUSED ; Untyped ; -; INIT_FILE_LAYOUT ; PORT_A ; Untyped ; -; MAXIMUM_DEPTH ; 0 ; Untyped ; -; CLOCK_ENABLE_INPUT_A ; NORMAL ; Untyped ; -; CLOCK_ENABLE_INPUT_B ; NORMAL ; Untyped ; -; CLOCK_ENABLE_OUTPUT_A ; NORMAL ; Untyped ; -; CLOCK_ENABLE_OUTPUT_B ; NORMAL ; Untyped ; -; CLOCK_ENABLE_CORE_A ; USE_INPUT_CLKEN ; Untyped ; -; CLOCK_ENABLE_CORE_B ; USE_INPUT_CLKEN ; Untyped ; -; ENABLE_ECC ; FALSE ; Untyped ; -; ECC_PIPELINE_STAGE_ENABLED ; FALSE ; Untyped ; -; WIDTH_ECCSTATUS ; 3 ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -; CBXI_PARAMETER ; altsyncram_atg1 ; Untyped ; -+------------------------------------+----------------------+--------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+--------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for Inferred Entity Instance: video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0 ; -+------------------------------------+----------------------+--------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------------------+----------------------+--------------------------------------------------+ -; BYTE_SIZE_BLOCK ; 8 ; Untyped ; -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -; WIDTH_BYTEENA ; 1 ; Untyped ; -; OPERATION_MODE ; DUAL_PORT ; Untyped ; -; WIDTH_A ; 8 ; Untyped ; -; WIDTHAD_A ; 11 ; Untyped ; -; NUMWORDS_A ; 2048 ; Untyped ; -; OUTDATA_REG_A ; UNREGISTERED ; Untyped ; -; ADDRESS_ACLR_A ; NONE ; Untyped ; -; OUTDATA_ACLR_A ; NONE ; Untyped ; -; WRCONTROL_ACLR_A ; NONE ; Untyped ; -; INDATA_ACLR_A ; NONE ; Untyped ; -; BYTEENA_ACLR_A ; NONE ; Untyped ; -; WIDTH_B ; 8 ; Untyped ; -; WIDTHAD_B ; 11 ; Untyped ; -; NUMWORDS_B ; 2048 ; Untyped ; -; INDATA_REG_B ; CLOCK1 ; Untyped ; -; WRCONTROL_WRADDRESS_REG_B ; CLOCK1 ; Untyped ; -; RDCONTROL_REG_B ; CLOCK1 ; Untyped ; -; ADDRESS_REG_B ; CLOCK1 ; Untyped ; -; OUTDATA_REG_B ; UNREGISTERED ; Untyped ; -; BYTEENA_REG_B ; CLOCK1 ; Untyped ; -; INDATA_ACLR_B ; NONE ; Untyped ; -; WRCONTROL_ACLR_B ; NONE ; Untyped ; -; ADDRESS_ACLR_B ; NONE ; Untyped ; -; OUTDATA_ACLR_B ; NONE ; Untyped ; -; RDCONTROL_ACLR_B ; NONE ; Untyped ; -; BYTEENA_ACLR_B ; NONE ; Untyped ; -; WIDTH_BYTEENA_A ; 1 ; Untyped ; -; WIDTH_BYTEENA_B ; 1 ; Untyped ; -; RAM_BLOCK_TYPE ; AUTO ; Untyped ; -; BYTE_SIZE ; 8 ; Untyped ; -; READ_DURING_WRITE_MODE_MIXED_PORTS ; DONT_CARE ; Untyped ; -; READ_DURING_WRITE_MODE_PORT_A ; NEW_DATA_NO_NBE_READ ; Untyped ; -; READ_DURING_WRITE_MODE_PORT_B ; NEW_DATA_NO_NBE_READ ; Untyped ; -; INIT_FILE ; UNUSED ; Untyped ; -; INIT_FILE_LAYOUT ; PORT_A ; Untyped ; -; MAXIMUM_DEPTH ; 0 ; Untyped ; -; CLOCK_ENABLE_INPUT_A ; NORMAL ; Untyped ; -; CLOCK_ENABLE_INPUT_B ; NORMAL ; Untyped ; -; CLOCK_ENABLE_OUTPUT_A ; NORMAL ; Untyped ; -; CLOCK_ENABLE_OUTPUT_B ; NORMAL ; Untyped ; -; CLOCK_ENABLE_CORE_A ; USE_INPUT_CLKEN ; Untyped ; -; CLOCK_ENABLE_CORE_B ; USE_INPUT_CLKEN ; Untyped ; -; ENABLE_ECC ; FALSE ; Untyped ; -; ECC_PIPELINE_STAGE_ENABLED ; FALSE ; Untyped ; -; WIDTH_ECCSTATUS ; 3 ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -; CBXI_PARAMETER ; altsyncram_dud1 ; Untyped ; -+------------------------------------+----------------------+--------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+-----------------------------------------------------------------+ -; altpll Parameter Settings by Entity Instance ; -+-------------------------------+---------------------------------+ -; Name ; Value ; -+-------------------------------+---------------------------------+ -; Number of entity instances ; 1 ; -; Entity Instance ; pll:pll|altpll:altpll_component ; -; -- OPERATION_MODE ; NORMAL ; -; -- PLL_TYPE ; AUTO ; -; -- PRIMARY_CLOCK ; INCLK0 ; -; -- INCLK0_INPUT_FREQUENCY ; 37037 ; -; -- INCLK1_INPUT_FREQUENCY ; 0 ; -; -- VCO_MULTIPLY_BY ; 0 ; -; -- VCO_DIVIDE_BY ; 0 ; -+-------------------------------+---------------------------------+ - - -+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; altsyncram Parameter Settings by Entity Instance ; -+-------------------------------------------+---------------------------------------------------------------------------------------------------------------------------+ -; Name ; Value ; -+-------------------------------------------+---------------------------------------------------------------------------------------------------------------------------+ -; Number of entity instances ; 10 ; -; Entity Instance ; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_in:hq2x_in|hq2x_buf:buf0|altsyncram:altsyncram_component ; -; -- OPERATION_MODE ; DUAL_PORT ; -; -- WIDTH_A ; 9 ; -; -- NUMWORDS_A ; 480 ; -; -- OUTDATA_REG_A ; UNREGISTERED ; -; -- WIDTH_B ; 9 ; -; -- NUMWORDS_B ; 480 ; -; -- ADDRESS_REG_B ; CLOCK0 ; -; -- OUTDATA_REG_B ; UNREGISTERED ; -; -- RAM_BLOCK_TYPE ; AUTO ; -; -- READ_DURING_WRITE_MODE_MIXED_PORTS ; DONT_CARE ; -; Entity Instance ; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_in:hq2x_in|hq2x_buf:buf1|altsyncram:altsyncram_component ; -; -- OPERATION_MODE ; DUAL_PORT ; -; -- WIDTH_A ; 9 ; -; -- NUMWORDS_A ; 480 ; -; -- OUTDATA_REG_A ; UNREGISTERED ; -; -- WIDTH_B ; 9 ; -; -- NUMWORDS_B ; 480 ; -; -- ADDRESS_REG_B ; CLOCK0 ; -; -- OUTDATA_REG_B ; UNREGISTERED ; -; -- RAM_BLOCK_TYPE ; AUTO ; -; -- READ_DURING_WRITE_MODE_MIXED_PORTS ; DONT_CARE ; -; Entity Instance ; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf0|altsyncram:altsyncram_component ; -; -- OPERATION_MODE ; DUAL_PORT ; -; -- WIDTH_A ; 9 ; -; -- NUMWORDS_A ; 960 ; -; -- OUTDATA_REG_A ; UNREGISTERED ; -; -- WIDTH_B ; 9 ; -; -- NUMWORDS_B ; 960 ; -; -- ADDRESS_REG_B ; CLOCK0 ; -; -- OUTDATA_REG_B ; UNREGISTERED ; -; -- RAM_BLOCK_TYPE ; AUTO ; -; -- READ_DURING_WRITE_MODE_MIXED_PORTS ; DONT_CARE ; -; Entity Instance ; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf1|altsyncram:altsyncram_component ; -; -- OPERATION_MODE ; DUAL_PORT ; -; -- WIDTH_A ; 9 ; -; -- NUMWORDS_A ; 960 ; -; -- OUTDATA_REG_A ; UNREGISTERED ; -; -- WIDTH_B ; 9 ; -; -- NUMWORDS_B ; 960 ; -; -- ADDRESS_REG_B ; CLOCK0 ; -; -- OUTDATA_REG_B ; UNREGISTERED ; -; -- RAM_BLOCK_TYPE ; AUTO ; -; -- READ_DURING_WRITE_MODE_MIXED_PORTS ; DONT_CARE ; -; Entity Instance ; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf2|altsyncram:altsyncram_component ; -; -- OPERATION_MODE ; DUAL_PORT ; -; -- WIDTH_A ; 9 ; -; -- NUMWORDS_A ; 960 ; -; -- OUTDATA_REG_A ; UNREGISTERED ; -; -- WIDTH_B ; 9 ; -; -- NUMWORDS_B ; 960 ; -; -- ADDRESS_REG_B ; CLOCK0 ; -; -- OUTDATA_REG_B ; UNREGISTERED ; -; -- RAM_BLOCK_TYPE ; AUTO ; -; -- READ_DURING_WRITE_MODE_MIXED_PORTS ; DONT_CARE ; -; Entity Instance ; video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf3|altsyncram:altsyncram_component ; -; -- OPERATION_MODE ; DUAL_PORT ; -; -- WIDTH_A ; 9 ; -; -- NUMWORDS_A ; 960 ; -; -- OUTDATA_REG_A ; UNREGISTERED ; -; -- WIDTH_B ; 9 ; -; -- NUMWORDS_B ; 960 ; -; -- ADDRESS_REG_B ; CLOCK0 ; -; -- OUTDATA_REG_B ; UNREGISTERED ; -; -- RAM_BLOCK_TYPE ; AUTO ; -; -- READ_DURING_WRITE_MODE_MIXED_PORTS ; DONT_CARE ; -; Entity Instance ; mz80k_top:mz80k_top|vga:vga1|cg_rom:cg_rom|altsyncram:altsyncram_component ; -; -- OPERATION_MODE ; ROM ; -; -- WIDTH_A ; 8 ; -; -- NUMWORDS_A ; 2048 ; -; -- OUTDATA_REG_A ; CLOCK0 ; -; -- WIDTH_B ; 1 ; -; -- NUMWORDS_B ; 1 ; -; -- ADDRESS_REG_B ; CLOCK1 ; -; -- OUTDATA_REG_B ; UNREGISTERED ; -; -- RAM_BLOCK_TYPE ; AUTO ; -; -- READ_DURING_WRITE_MODE_MIXED_PORTS ; DONT_CARE ; -; Entity Instance ; mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component ; -; -- OPERATION_MODE ; SINGLE_PORT ; -; -- WIDTH_A ; 8 ; -; -- NUMWORDS_A ; 32768 ; -; -- OUTDATA_REG_A ; CLOCK0 ; -; -- WIDTH_B ; 1 ; -; -- NUMWORDS_B ; 1 ; -; -- ADDRESS_REG_B ; CLOCK1 ; -; -- OUTDATA_REG_B ; UNREGISTERED ; -; -- RAM_BLOCK_TYPE ; AUTO ; -; -- READ_DURING_WRITE_MODE_MIXED_PORTS ; DONT_CARE ; -; Entity Instance ; mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component ; -; -- OPERATION_MODE ; SINGLE_PORT ; -; -- WIDTH_A ; 8 ; -; -- NUMWORDS_A ; 2048 ; -; -- OUTDATA_REG_A ; CLOCK0 ; -; -- WIDTH_B ; 1 ; -; -- NUMWORDS_B ; 1 ; -; -- ADDRESS_REG_B ; CLOCK1 ; -; -- OUTDATA_REG_B ; UNREGISTERED ; -; -- RAM_BLOCK_TYPE ; AUTO ; -; -- READ_DURING_WRITE_MODE_MIXED_PORTS ; DONT_CARE ; -; Entity Instance ; video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0 ; -; -- OPERATION_MODE ; DUAL_PORT ; -; -- WIDTH_A ; 8 ; -; -- NUMWORDS_A ; 2048 ; -; -- OUTDATA_REG_A ; UNREGISTERED ; -; -- WIDTH_B ; 8 ; -; -- NUMWORDS_B ; 2048 ; -; -- ADDRESS_REG_B ; CLOCK1 ; -; -- OUTDATA_REG_B ; UNREGISTERED ; -; -- RAM_BLOCK_TYPE ; AUTO ; -; -- READ_DURING_WRITE_MODE_MIXED_PORTS ; DONT_CARE ; -+-------------------------------------------+---------------------------------------------------------------------------------------------------------------------------+ - - -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Port Connectivity Checks: "keyboard:keyboard" ; -+-----------+--------+----------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Port ; Type ; Severity ; Details ; -+-----------+--------+----------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; reset ; Input ; Warning ; Input port expression (32 bits) is wider than the input port (1 bits) it drives. The 31 most-significant bit(s) in the expression will be dangling if they have no other fanouts. ; -; reset[-1] ; Input ; Info ; Stuck at GND ; -; joystick ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -+-----------+--------+----------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ - - -+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Port Connectivity Checks: "mz80k_top:mz80k_top|ram2:ram2_2" ; -+---------+-------+----------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Port ; Type ; Severity ; Details ; -+---------+-------+----------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; address ; Input ; Warning ; Input port expression (12 bits) is wider than the input port (11 bits) it drives. The 1 most-significant bit(s) in the expression will be dangling if they have no other fanouts. ; -+---------+-------+----------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ - - -+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Port Connectivity Checks: "mz80k_top:mz80k_top|monrom:mon_rom" ; -+---------+-------+----------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Port ; Type ; Severity ; Details ; -+---------+-------+----------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; address ; Input ; Warning ; Input port expression (16 bits) is wider than the input port (15 bits) it drives. The 1 most-significant bit(s) in the expression will be dangling if they have no other fanouts. ; -+---------+-------+----------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ - - -+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Port Connectivity Checks: "mz80k_top:mz80k_top|vga:vga1|cg_rom:cg_rom" ; -+---------+-------+----------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Port ; Type ; Severity ; Details ; -+---------+-------+----------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; address ; Input ; Warning ; Input port expression (12 bits) is wider than the input port (11 bits) it drives. The 1 most-significant bit(s) in the expression will be dangling if they have no other fanouts. ; -; clken ; Input ; Info ; Stuck at VCC ; -+---------+-------+----------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ - - -+-------------------------------------------------------------------------------------------------------------------------------------------+ -; Port Connectivity Checks: "mz80k_top:mz80k_top|vga:vga1" ; -+------------+--------+----------+----------------------------------------------------------------------------------------------------------+ -; Port ; Type ; Severity ; Details ; -+------------+--------+----------+----------------------------------------------------------------------------------------------------------+ -; VGA_VBLANK ; Output ; Warning ; Declared by entity but not connected by instance. Logic that only feeds a dangling port will be removed. ; -+------------+--------+----------+----------------------------------------------------------------------------------------------------------+ - - -+------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Port Connectivity Checks: "mz80k_top:mz80k_top|ps2:ps2_1" ; -+------+-------+----------+----------------------------------------------------------------------------------------------------------------------------------------------+ -; Port ; Type ; Severity ; Details ; -+------+-------+----------+----------------------------------------------------------------------------------------------------------------------------------------------+ -; addr ; Input ; Warning ; Input port expression (4 bits) is smaller than the input port (8 bits) it drives. Extra input bit(s) "addr[7..4]" will be connected to GND. ; -+------+-------+----------+----------------------------------------------------------------------------------------------------------------------------------------------+ - - -+----------------------------------------------------------------------------------------------------------------+ -; Port Connectivity Checks: "mz80k_top:mz80k_top|i8253:i8253_1" ; -+------+--------+----------+-------------------------------------------------------------------------------------+ -; Port ; Type ; Severity ; Details ; -+------+--------+----------+-------------------------------------------------------------------------------------+ -; out2 ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -+------+--------+----------+-------------------------------------------------------------------------------------+ - - -+--------------------------------------------------------------------------------------------------------------------+ -; Port Connectivity Checks: "mz80k_top:mz80k_top|fz80:z80|alu:alu" ; -+----------+--------+----------+-------------------------------------------------------------------------------------+ -; Port ; Type ; Severity ; Details ; -+----------+--------+----------+-------------------------------------------------------------------------------------+ -; co[5..4] ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -; co[2..0] ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -+----------+--------+----------+-------------------------------------------------------------------------------------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Port Connectivity Checks: "mz80k_top:mz80k_top|fz80:z80" ; -+------------+--------+----------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Port ; Type ; Severity ; Details ; -+------------+--------+----------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; iorq ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -; nmireq ; Input ; Warning ; Input port expression (32 bits) is wider than the input port (1 bits) it drives. The 31 most-significant bit(s) in the expression will be dangling if they have no other fanouts. ; -; nmireq[-1] ; Input ; Info ; Stuck at GND ; -; intreq ; Input ; Warning ; Input port expression (32 bits) is wider than the input port (1 bits) it drives. The 31 most-significant bit(s) in the expression will be dangling if they have no other fanouts. ; -; intreq[-1] ; Input ; Info ; Stuck at GND ; -; intack_out ; Output ; Warning ; Declared by entity but not connected by instance. Logic that only feeds a dangling port will be removed. ; -; mr ; Output ; Warning ; Declared by entity but not connected by instance. Logic that only feeds a dangling port will be removed. ; -+------------+--------+----------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ - - -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Port Connectivity Checks: "mz80k_top:mz80k_top" ; -+--------+-------+----------+----------------------------------------------------------------------------------------------------------------------------------------------+ -; Port ; Type ; Severity ; Details ; -+--------+-------+----------+----------------------------------------------------------------------------------------------------------------------------------------------+ -; SW ; Input ; Warning ; Declared by entity but not connected by instance. If a default value exists, it will be used. Otherwise, the port will be connected to GND. ; -; SCREEN ; Input ; Warning ; Declared by entity but not connected by instance. If a default value exists, it will be used. Otherwise, the port will be connected to GND. ; -+--------+-------+----------+----------------------------------------------------------------------------------------------------------------------------------------------+ - - -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Port Connectivity Checks: "sigma_delta_dac:sigma_delta_dac" ; -+-----------+-------+----------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Port ; Type ; Severity ; Details ; -+-----------+-------+----------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; RESET ; Input ; Warning ; Input port expression (32 bits) is wider than the input port (1 bits) it drives. The 31 most-significant bit(s) in the expression will be dangling if they have no other fanouts. ; -; RESET[-1] ; Input ; Info ; Stuck at GND ; -+-----------+-------+----------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ - - -+------------------------------------------------------------------------------------------------------------------------+ -; Port Connectivity Checks: "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|Blend:blender" ; -+--------------+--------+----------+-------------------------------------------------------------------------------------+ -; Port ; Type ; Severity ; Details ; -+--------------+--------+----------+-------------------------------------------------------------------------------------+ -; Result[8..6] ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -; Result[2..0] ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -+--------------+--------+----------+-------------------------------------------------------------------------------------+ - - -+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Port Connectivity Checks: "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x" ; -+--------+-------+----------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Port ; Type ; Severity ; Details ; -+--------+-------+----------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; read_x ; Input ; Warning ; Input port expression (11 bits) is wider than the input port (10 bits) it drives. The 1 most-significant bit(s) in the expression will be dangling if they have no other fanouts. ; -+--------+-------+----------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Port Connectivity Checks: "video_mixer:video_mixer" ; -+-------------------------+-------+----------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Port ; Type ; Severity ; Details ; -+-------------------------+-------+----------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; scandoubler_disable ; Input ; Warning ; Input port expression (32 bits) is wider than the input port (1 bits) it drives. The 31 most-significant bit(s) in the expression will be dangling if they have no other fanouts. ; -; scandoubler_disable[-1] ; Input ; Info ; Stuck at VCC ; -; ypbpr_full ; Input ; Warning ; Input port expression (32 bits) is wider than the input port (1 bits) it drives. The 31 most-significant bit(s) in the expression will be dangling if they have no other fanouts. ; -; ypbpr_full[-1] ; Input ; Info ; Stuck at VCC ; -; mono ; Input ; Warning ; Input port expression (32 bits) is wider than the input port (1 bits) it drives. The 31 most-significant bit(s) in the expression will be dangling if they have no other fanouts. ; -; mono[-1] ; Input ; Info ; Stuck at GND ; -; line_start ; Input ; Warning ; Input port expression (32 bits) is wider than the input port (1 bits) it drives. The 31 most-significant bit(s) in the expression will be dangling if they have no other fanouts. ; -; line_start[-1] ; Input ; Info ; Stuck at GND ; -+-------------------------+-------+----------+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Port Connectivity Checks: "mist_io:mist_io" ; -+--------------------+--------+----------+----------------------------------------------------------------------------------------------------------------------------------------------+ -; Port ; Type ; Severity ; Details ; -+--------------------+--------+----------+----------------------------------------------------------------------------------------------------------------------------------------------+ -; conf_str[497..496] ; Input ; Info ; Stuck at VCC ; -; conf_str[494..493] ; Input ; Info ; Stuck at VCC ; -; conf_str[486..485] ; Input ; Info ; Stuck at VCC ; -; conf_str[478..476] ; Input ; Info ; Stuck at VCC ; -; conf_str[470..468] ; Input ; Info ; Stuck at VCC ; -; conf_str[451..450] ; Input ; Info ; Stuck at VCC ; -; conf_str[444..443] ; Input ; Info ; Stuck at VCC ; -; conf_str[437..435] ; Input ; Info ; Stuck at VCC ; -; conf_str[429..428] ; Input ; Info ; Stuck at VCC ; -; conf_str[417..416] ; Input ; Info ; Stuck at VCC ; -; conf_str[413..411] ; Input ; Info ; Stuck at VCC ; -; conf_str[409..408] ; Input ; Info ; Stuck at VCC ; -; conf_str[403..402] ; Input ; Info ; Stuck at VCC ; -; conf_str[396..395] ; Input ; Info ; Stuck at VCC ; -; conf_str[386..385] ; Input ; Info ; Stuck at VCC ; -; conf_str[381..379] ; Input ; Info ; Stuck at VCC ; -; conf_str[377..376] ; Input ; Info ; Stuck at VCC ; -; conf_str[371..368] ; Input ; Info ; Stuck at VCC ; -; conf_str[365..364] ; Input ; Info ; Stuck at VCC ; -; conf_str[355..354] ; Input ; Info ; Stuck at VCC ; -; conf_str[345..344] ; Input ; Info ; Stuck at VCC ; -; conf_str[313..312] ; Input ; Info ; Stuck at VCC ; -; conf_str[310..309] ; Input ; Info ; Stuck at VCC ; -; conf_str[307..306] ; Input ; Info ; Stuck at VCC ; -; conf_str[302..301] ; Input ; Info ; Stuck at VCC ; -; conf_str[299..296] ; Input ; Info ; Stuck at VCC ; -; conf_str[294..293] ; Input ; Info ; Stuck at VCC ; -; conf_str[289..288] ; Input ; Info ; Stuck at VCC ; -; conf_str[286..285] ; Input ; Info ; Stuck at VCC ; -; conf_str[281..280] ; Input ; Info ; Stuck at VCC ; -; conf_str[275..274] ; Input ; Info ; Stuck at VCC ; -; conf_str[261..260] ; Input ; Info ; Stuck at VCC ; -; conf_str[257..256] ; Input ; Info ; Stuck at VCC ; -; conf_str[251..250] ; Input ; Info ; Stuck at VCC ; -; conf_str[246..245] ; Input ; Info ; Stuck at VCC ; -; conf_str[238..235] ; Input ; Info ; Stuck at VCC ; -; conf_str[227..226] ; Input ; Info ; Stuck at VCC ; -; conf_str[213..212] ; Input ; Info ; Stuck at VCC ; -; conf_str[210..209] ; Input ; Info ; Stuck at VCC ; -; conf_str[203..202] ; Input ; Info ; Stuck at VCC ; -; conf_str[198..197] ; Input ; Info ; Stuck at VCC ; -; conf_str[190..187] ; Input ; Info ; Stuck at VCC ; -; conf_str[181..179] ; Input ; Info ; Stuck at VCC ; -; conf_str[177..176] ; Input ; Info ; Stuck at VCC ; -; conf_str[165..164] ; Input ; Info ; Stuck at VCC ; -; conf_str[155..154] ; Input ; Info ; Stuck at VCC ; -; conf_str[142..141] ; Input ; Info ; Stuck at VCC ; -; conf_str[134..132] ; Input ; Info ; Stuck at VCC ; -; conf_str[129..128] ; Input ; Info ; Stuck at VCC ; -; conf_str[126..125] ; Input ; Info ; Stuck at VCC ; -; conf_str[118..116] ; Input ; Info ; Stuck at VCC ; -; conf_str[109..107] ; Input ; Info ; Stuck at VCC ; -; conf_str[105..104] ; Input ; Info ; Stuck at VCC ; -; conf_str[98..97] ; Input ; Info ; Stuck at VCC ; -; conf_str[91..90] ; Input ; Info ; Stuck at VCC ; -; conf_str[86..84] ; Input ; Info ; Stuck at VCC ; -; conf_str[82..81] ; Input ; Info ; Stuck at VCC ; -; conf_str[77..76] ; Input ; Info ; Stuck at VCC ; -; conf_str[67..65] ; Input ; Info ; Stuck at VCC ; -; conf_str[61..60] ; Input ; Info ; Stuck at VCC ; -; conf_str[51..49] ; Input ; Info ; Stuck at VCC ; -; conf_str[45..44] ; Input ; Info ; Stuck at VCC ; -; conf_str[37..35] ; Input ; Info ; Stuck at VCC ; -; conf_str[29..28] ; Input ; Info ; Stuck at VCC ; -; conf_str[21..20] ; Input ; Info ; Stuck at VCC ; -; conf_str[18..17] ; Input ; Info ; Stuck at VCC ; -; conf_str[13..12] ; Input ; Info ; Stuck at VCC ; -; conf_str[5..4] ; Input ; Info ; Stuck at VCC ; -; conf_str[499..498] ; Input ; Info ; Stuck at GND ; -; conf_str[490..487] ; Input ; Info ; Stuck at GND ; -; conf_str[484..481] ; Input ; Info ; Stuck at GND ; -; conf_str[475..474] ; Input ; Info ; Stuck at GND ; -; conf_str[472..471] ; Input ; Info ; Stuck at GND ; -; conf_str[467..462] ; Input ; Info ; Stuck at GND ; -; conf_str[460..455] ; Input ; Info ; Stuck at GND ; -; conf_str[453..452] ; Input ; Info ; Stuck at GND ; -; conf_str[440..438] ; Input ; Info ; Stuck at GND ; -; conf_str[434..430] ; Input ; Info ; Stuck at GND ; -; conf_str[427..423] ; Input ; Info ; Stuck at GND ; -; conf_str[421..420] ; Input ; Info ; Stuck at GND ; -; conf_str[415..414] ; Input ; Info ; Stuck at GND ; -; conf_str[405..404] ; Input ; Info ; Stuck at GND ; -; conf_str[392..391] ; Input ; Info ; Stuck at GND ; -; conf_str[389..387] ; Input ; Info ; Stuck at GND ; -; conf_str[384..382] ; Input ; Info ; Stuck at GND ; -; conf_str[373..372] ; Input ; Info ; Stuck at GND ; -; conf_str[367..366] ; Input ; Info ; Stuck at GND ; -; conf_str[363..362] ; Input ; Info ; Stuck at GND ; -; conf_str[360..358] ; Input ; Info ; Stuck at GND ; -; conf_str[353..351] ; Input ; Info ; Stuck at GND ; -; conf_str[349..346] ; Input ; Info ; Stuck at GND ; -; conf_str[339..335] ; Input ; Info ; Stuck at GND ; -; conf_str[327..326] ; Input ; Info ; Stuck at GND ; -; conf_str[324..319] ; Input ; Info ; Stuck at GND ; -; conf_str[317..314] ; Input ; Info ; Stuck at GND ; -; conf_str[305..303] ; Input ; Info ; Stuck at GND ; -; conf_str[292..290] ; Input ; Info ; Stuck at GND ; -; conf_str[279..278] ; Input ; Info ; Stuck at GND ; -; conf_str[273..270] ; Input ; Info ; Stuck at GND ; -; conf_str[268..262] ; Input ; Info ; Stuck at GND ; -; conf_str[259..258] ; Input ; Info ; Stuck at GND ; -; conf_str[253..252] ; Input ; Info ; Stuck at GND ; -; conf_str[242..239] ; Input ; Info ; Stuck at GND ; -; conf_str[232..230] ; Input ; Info ; Stuck at GND ; -; conf_str[225..222] ; Input ; Info ; Stuck at GND ; -; conf_str[220..214] ; Input ; Info ; Stuck at GND ; -; conf_str[208..207] ; Input ; Info ; Stuck at GND ; -; conf_str[205..204] ; Input ; Info ; Stuck at GND ; -; conf_str[194..191] ; Input ; Info ; Stuck at GND ; -; conf_str[184..182] ; Input ; Info ; Stuck at GND ; -; conf_str[169..166] ; Input ; Info ; Stuck at GND ; -; conf_str[159..158] ; Input ; Info ; Stuck at GND ; -; conf_str[153..151] ; Input ; Info ; Stuck at GND ; -; conf_str[147..146] ; Input ; Info ; Stuck at GND ; -; conf_str[144..143] ; Input ; Info ; Stuck at GND ; -; conf_str[140..139] ; Input ; Info ; Stuck at GND ; -; conf_str[131..130] ; Input ; Info ; Stuck at GND ; -; conf_str[124..123] ; Input ; Info ; Stuck at GND ; -; conf_str[113..110] ; Input ; Info ; Stuck at GND ; -; conf_str[96..94] ; Input ; Info ; Stuck at GND ; -; conf_str[89..87] ; Input ; Info ; Stuck at GND ; -; conf_str[80..78] ; Input ; Info ; Stuck at GND ; -; conf_str[75..70] ; Input ; Info ; Stuck at GND ; -; conf_str[64..62] ; Input ; Info ; Stuck at GND ; -; conf_str[59..58] ; Input ; Info ; Stuck at GND ; -; conf_str[56..54] ; Input ; Info ; Stuck at GND ; -; conf_str[48..46] ; Input ; Info ; Stuck at GND ; -; conf_str[43..41] ; Input ; Info ; Stuck at GND ; -; conf_str[39..38] ; Input ; Info ; Stuck at GND ; -; conf_str[34..30] ; Input ; Info ; Stuck at GND ; -; conf_str[27..22] ; Input ; Info ; Stuck at GND ; -; conf_str[16..14] ; Input ; Info ; Stuck at GND ; -; conf_str[11..10] ; Input ; Info ; Stuck at GND ; -; conf_str[8..6] ; Input ; Info ; Stuck at GND ; -; conf_str[1..0] ; Input ; Info ; Stuck at GND ; -; conf_str[503] ; Input ; Info ; Stuck at GND ; -; conf_str[502] ; Input ; Info ; Stuck at VCC ; -; conf_str[501] ; Input ; Info ; Stuck at GND ; -; conf_str[500] ; Input ; Info ; Stuck at VCC ; -; conf_str[495] ; Input ; Info ; Stuck at GND ; -; conf_str[492] ; Input ; Info ; Stuck at GND ; -; conf_str[491] ; Input ; Info ; Stuck at VCC ; -; conf_str[480] ; Input ; Info ; Stuck at VCC ; -; conf_str[479] ; Input ; Info ; Stuck at GND ; -; conf_str[473] ; Input ; Info ; Stuck at VCC ; -; conf_str[461] ; Input ; Info ; Stuck at VCC ; -; conf_str[454] ; Input ; Info ; Stuck at VCC ; -; conf_str[449] ; Input ; Info ; Stuck at GND ; -; conf_str[448] ; Input ; Info ; Stuck at VCC ; -; conf_str[447] ; Input ; Info ; Stuck at GND ; -; conf_str[446] ; Input ; Info ; Stuck at VCC ; -; conf_str[445] ; Input ; Info ; Stuck at GND ; -; conf_str[442] ; Input ; Info ; Stuck at GND ; -; conf_str[441] ; Input ; Info ; Stuck at VCC ; -; conf_str[422] ; Input ; Info ; Stuck at VCC ; -; conf_str[419] ; Input ; Info ; Stuck at VCC ; -; conf_str[418] ; Input ; Info ; Stuck at GND ; -; conf_str[410] ; Input ; Info ; Stuck at GND ; -; conf_str[407] ; Input ; Info ; Stuck at GND ; -; conf_str[406] ; Input ; Info ; Stuck at VCC ; -; conf_str[401] ; Input ; Info ; Stuck at GND ; -; conf_str[400] ; Input ; Info ; Stuck at VCC ; -; conf_str[399] ; Input ; Info ; Stuck at GND ; -; conf_str[398] ; Input ; Info ; Stuck at VCC ; -; conf_str[397] ; Input ; Info ; Stuck at GND ; -; conf_str[394] ; Input ; Info ; Stuck at GND ; -; conf_str[393] ; Input ; Info ; Stuck at VCC ; -; conf_str[390] ; Input ; Info ; Stuck at VCC ; -; conf_str[378] ; Input ; Info ; Stuck at GND ; -; conf_str[375] ; Input ; Info ; Stuck at GND ; -; conf_str[374] ; Input ; Info ; Stuck at VCC ; -; conf_str[361] ; Input ; Info ; Stuck at VCC ; -; conf_str[357] ; Input ; Info ; Stuck at VCC ; -; conf_str[356] ; Input ; Info ; Stuck at GND ; -; conf_str[350] ; Input ; Info ; Stuck at VCC ; -; conf_str[343] ; Input ; Info ; Stuck at GND ; -; conf_str[342] ; Input ; Info ; Stuck at VCC ; -; conf_str[341] ; Input ; Info ; Stuck at GND ; -; conf_str[340] ; Input ; Info ; Stuck at VCC ; -; conf_str[334] ; Input ; Info ; Stuck at VCC ; -; conf_str[333] ; Input ; Info ; Stuck at GND ; -; conf_str[332] ; Input ; Info ; Stuck at VCC ; -; conf_str[331] ; Input ; Info ; Stuck at GND ; -; conf_str[330] ; Input ; Info ; Stuck at VCC ; -; conf_str[329] ; Input ; Info ; Stuck at GND ; -; conf_str[328] ; Input ; Info ; Stuck at VCC ; -; conf_str[325] ; Input ; Info ; Stuck at VCC ; -; conf_str[318] ; Input ; Info ; Stuck at VCC ; -; conf_str[311] ; Input ; Info ; Stuck at GND ; -; conf_str[308] ; Input ; Info ; Stuck at GND ; -; conf_str[300] ; Input ; Info ; Stuck at GND ; -; conf_str[295] ; Input ; Info ; Stuck at GND ; -; conf_str[287] ; Input ; Info ; Stuck at GND ; -; conf_str[284] ; Input ; Info ; Stuck at GND ; -; conf_str[283] ; Input ; Info ; Stuck at VCC ; -; conf_str[282] ; Input ; Info ; Stuck at GND ; -; conf_str[277] ; Input ; Info ; Stuck at VCC ; -; conf_str[276] ; Input ; Info ; Stuck at GND ; -; conf_str[269] ; Input ; Info ; Stuck at VCC ; -; conf_str[255] ; Input ; Info ; Stuck at GND ; -; conf_str[254] ; Input ; Info ; Stuck at VCC ; -; conf_str[249] ; Input ; Info ; Stuck at GND ; -; conf_str[248] ; Input ; Info ; Stuck at VCC ; -; conf_str[247] ; Input ; Info ; Stuck at GND ; -; conf_str[244] ; Input ; Info ; Stuck at GND ; -; conf_str[243] ; Input ; Info ; Stuck at VCC ; -; conf_str[234] ; Input ; Info ; Stuck at GND ; -; conf_str[233] ; Input ; Info ; Stuck at VCC ; -; conf_str[229] ; Input ; Info ; Stuck at VCC ; -; conf_str[228] ; Input ; Info ; Stuck at GND ; -; conf_str[221] ; Input ; Info ; Stuck at VCC ; -; conf_str[211] ; Input ; Info ; Stuck at GND ; -; conf_str[206] ; Input ; Info ; Stuck at VCC ; -; conf_str[201] ; Input ; Info ; Stuck at GND ; -; conf_str[200] ; Input ; Info ; Stuck at VCC ; -; conf_str[199] ; Input ; Info ; Stuck at GND ; -; conf_str[196] ; Input ; Info ; Stuck at GND ; -; conf_str[195] ; Input ; Info ; Stuck at VCC ; -; conf_str[186] ; Input ; Info ; Stuck at GND ; -; conf_str[185] ; Input ; Info ; Stuck at VCC ; -; conf_str[178] ; Input ; Info ; Stuck at GND ; -; conf_str[175] ; Input ; Info ; Stuck at GND ; -; conf_str[174] ; Input ; Info ; Stuck at VCC ; -; conf_str[173] ; Input ; Info ; Stuck at GND ; -; conf_str[172] ; Input ; Info ; Stuck at VCC ; -; conf_str[171] ; Input ; Info ; Stuck at GND ; -; conf_str[170] ; Input ; Info ; Stuck at VCC ; -; conf_str[163] ; Input ; Info ; Stuck at GND ; -; conf_str[162] ; Input ; Info ; Stuck at VCC ; -; conf_str[161] ; Input ; Info ; Stuck at GND ; -; conf_str[160] ; Input ; Info ; Stuck at VCC ; -; conf_str[157] ; Input ; Info ; Stuck at VCC ; -; conf_str[156] ; Input ; Info ; Stuck at GND ; -; conf_str[150] ; Input ; Info ; Stuck at VCC ; -; conf_str[149] ; Input ; Info ; Stuck at GND ; -; conf_str[148] ; Input ; Info ; Stuck at VCC ; -; conf_str[145] ; Input ; Info ; Stuck at VCC ; -; conf_str[138] ; Input ; Info ; Stuck at VCC ; -; conf_str[137] ; Input ; Info ; Stuck at GND ; -; conf_str[136] ; Input ; Info ; Stuck at VCC ; -; conf_str[135] ; Input ; Info ; Stuck at GND ; -; conf_str[127] ; Input ; Info ; Stuck at GND ; -; conf_str[122] ; Input ; Info ; Stuck at VCC ; -; conf_str[121] ; Input ; Info ; Stuck at GND ; -; conf_str[120] ; Input ; Info ; Stuck at VCC ; -; conf_str[119] ; Input ; Info ; Stuck at GND ; -; conf_str[115] ; Input ; Info ; Stuck at GND ; -; conf_str[114] ; Input ; Info ; Stuck at VCC ; -; conf_str[106] ; Input ; Info ; Stuck at GND ; -; conf_str[103] ; Input ; Info ; Stuck at GND ; -; conf_str[102] ; Input ; Info ; Stuck at VCC ; -; conf_str[101] ; Input ; Info ; Stuck at GND ; -; conf_str[100] ; Input ; Info ; Stuck at VCC ; -; conf_str[99] ; Input ; Info ; Stuck at GND ; -; conf_str[93] ; Input ; Info ; Stuck at VCC ; -; conf_str[92] ; Input ; Info ; Stuck at GND ; -; conf_str[83] ; Input ; Info ; Stuck at GND ; -; conf_str[69] ; Input ; Info ; Stuck at VCC ; -; conf_str[68] ; Input ; Info ; Stuck at GND ; -; conf_str[57] ; Input ; Info ; Stuck at VCC ; -; conf_str[53] ; Input ; Info ; Stuck at VCC ; -; conf_str[52] ; Input ; Info ; Stuck at GND ; -; conf_str[40] ; Input ; Info ; Stuck at VCC ; -; conf_str[19] ; Input ; Info ; Stuck at GND ; -; conf_str[9] ; Input ; Info ; Stuck at VCC ; -; conf_str[3] ; Input ; Info ; Stuck at GND ; -; conf_str[2] ; Input ; Info ; Stuck at VCC ; -; buttons[0] ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -; switches ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -; status[31..6] ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -; status[1] ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -; joystick_0 ; Output ; Warning ; Declared by entity but not connected by instance. Logic that only feeds a dangling port will be removed. ; -; joystick_1 ; Output ; Warning ; Declared by entity but not connected by instance. Logic that only feeds a dangling port will be removed. ; -; joystick_analog_0 ; Output ; Warning ; Declared by entity but not connected by instance. Logic that only feeds a dangling port will be removed. ; -; joystick_analog_1 ; Output ; Warning ; Declared by entity but not connected by instance. Logic that only feeds a dangling port will be removed. ; -; sd_conf ; Input ; Warning ; Declared by entity but not connected by instance. If a default value exists, it will be used. Otherwise, the port will be connected to GND. ; -; sd_sdhc ; Input ; Warning ; Declared by entity but not connected by instance. If a default value exists, it will be used. Otherwise, the port will be connected to GND. ; -; img_mounted ; Output ; Warning ; Declared by entity but not connected by instance. Logic that only feeds a dangling port will be removed. ; -; img_size ; Output ; Warning ; Declared by entity but not connected by instance. Logic that only feeds a dangling port will be removed. ; -; sd_lba ; Input ; Warning ; Declared by entity but not connected by instance. If a default value exists, it will be used. Otherwise, the port will be connected to GND. ; -; sd_rd ; Input ; Warning ; Declared by entity but not connected by instance. If a default value exists, it will be used. Otherwise, the port will be connected to GND. ; -; sd_wr ; Input ; Warning ; Declared by entity but not connected by instance. If a default value exists, it will be used. Otherwise, the port will be connected to GND. ; -; sd_ack ; Output ; Warning ; Declared by entity but not connected by instance. Logic that only feeds a dangling port will be removed. ; -; sd_ack_conf ; Output ; Warning ; Declared by entity but not connected by instance. Logic that only feeds a dangling port will be removed. ; -; sd_buff_addr ; Output ; Warning ; Declared by entity but not connected by instance. Logic that only feeds a dangling port will be removed. ; -; sd_buff_dout ; Output ; Warning ; Declared by entity but not connected by instance. Logic that only feeds a dangling port will be removed. ; -; sd_buff_din ; Input ; Warning ; Declared by entity but not connected by instance. If a default value exists, it will be used. Otherwise, the port will be connected to GND. ; -; sd_buff_wr ; Output ; Warning ; Declared by entity but not connected by instance. Logic that only feeds a dangling port will be removed. ; -; ps2_mouse_clk ; Output ; Warning ; Declared by entity but not connected by instance. Logic that only feeds a dangling port will be removed. ; -; ps2_mouse_data ; Output ; Warning ; Declared by entity but not connected by instance. Logic that only feeds a dangling port will be removed. ; -; ioctl_force_erase ; Input ; Warning ; Declared by entity but not connected by instance. If a default value exists, it will be used. Otherwise, the port will be connected to GND. ; -; ioctl_download ; Output ; Warning ; Declared by entity but not connected by instance. Logic that only feeds a dangling port will be removed. ; -; ioctl_erasing ; Output ; Warning ; Declared by entity but not connected by instance. Logic that only feeds a dangling port will be removed. ; -; ioctl_index ; Output ; Warning ; Declared by entity but not connected by instance. Logic that only feeds a dangling port will be removed. ; -; ioctl_wr ; Output ; Warning ; Declared by entity but not connected by instance. Logic that only feeds a dangling port will be removed. ; -; ioctl_addr ; Output ; Warning ; Declared by entity but not connected by instance. Logic that only feeds a dangling port will be removed. ; -; ioctl_dout ; Output ; Warning ; Declared by entity but not connected by instance. Logic that only feeds a dangling port will be removed. ; -+--------------------+--------+----------+----------------------------------------------------------------------------------------------------------------------------------------------+ - - -+----------------------------------------------------+ -; Port Connectivity Checks: "pll:pll" ; -+--------+-------+----------+------------------------+ -; Port ; Type ; Severity ; Details ; -+--------+-------+----------+------------------------+ -; areset ; Input ; Info ; Explicitly unconnected ; -+--------+-------+----------+------------------------+ - - -+-------------------------------+ -; Elapsed Time Per Partition ; -+----------------+--------------+ -; Partition Name ; Elapsed Time ; -+----------------+--------------+ -; Top ; 00:00:14 ; -+----------------+--------------+ - - -+-------------------------------+ -; Analysis & Synthesis Messages ; -+-------------------------------+ -Info: ******************************************************************* -Info: Running Quartus II 64-Bit Analysis & Synthesis - Info: Version 13.1.0 Build 162 10/23/2013 SJ Web Edition - Info: Processing started: Sun Jun 24 13:29:55 2018 -Info: Command: quartus_map --read_settings_files=on --write_settings_files=off mz80k_mist -c mz80k_mist -Info (11104): Parallel Compilation has detected 8 hyper-threaded processors. However, the extra hyper-threaded processors will not be used by default. Parallel Compilation will use 4 of the 4 physical processors detected instead. -Info (12021): Found 1 design units, including 1 entities, in source file rtl/mz80k_mist.sv - Info (12023): Found entity 1: mz80k_mist -Info (12021): Found 1 design units, including 1 entities, in source file rtl/mz80k_top.v - Info (12023): Found entity 1: mz80k_top -Info (12021): Found 1 design units, including 1 entities, in source file rtl/vga.v - Info (12023): Found entity 1: vga -Info (12021): Found 1 design units, including 1 entities, in source file rtl/i8253.v - Info (12023): Found entity 1: i8253 -Info (12021): Found 2 design units, including 2 entities, in source file rtl/ps2.v - Info (12023): Found entity 1: ps2 - Info (12023): Found entity 2: ps2_recieve -Info (12021): Found 15 design units, including 15 entities, in source file rtl/fz80.v - Info (12023): Found entity 1: fz80 - Info (12023): Found entity 2: seq - Info (12023): Found entity 3: asu - Info (12023): Found entity 4: alu - Info (12023): Found entity 5: reg_a - Info (12023): Found entity 6: reg_f - Info (12023): Found entity 7: reg_simple - Info (12023): Found entity 8: reg_simplec - Info (12023): Found entity 9: reg_dual2 - Info (12023): Found entity 10: reg_2 - Info (12023): Found entity 11: reg_2s - Info (12023): Found entity 12: reg_quad3 - Info (12023): Found entity 13: reg_pch - Info (12023): Found entity 14: reg_pcl - Info (12023): Found entity 15: reg_r -Info (12021): Found 1 design units, including 1 entities, in source file rtl/sound.v - Info (12023): Found entity 1: sound -Info (12021): Found 1 design units, including 1 entities, in source file rtl/video_mixer.sv - Info (12023): Found entity 1: video_mixer -Info (12021): Found 1 design units, including 1 entities, in source file rtl/sigma_delta_dac.v - Info (12023): Found entity 1: sigma_delta_dac -Info (12021): Found 1 design units, including 1 entities, in source file rtl/scandoubler.v - Info (12023): Found entity 1: scandoubler -Info (12021): Found 1 design units, including 1 entities, in source file rtl/osd.v - Info (12023): Found entity 1: osd -Info (12021): Found 1 design units, including 1 entities, in source file rtl/mist_io.v - Info (12023): Found entity 1: mist_io -Info (12021): Found 7 design units, including 7 entities, in source file rtl/hq2x.sv - Info (12023): Found entity 1: hq2x_in - Info (12023): Found entity 2: hq2x_out - Info (12023): Found entity 3: hq2x_buf - Info (12023): Found entity 4: DiffCheck - Info (12023): Found entity 5: InnerBlend - Info (12023): Found entity 6: Blend - Info (12023): Found entity 7: Hq2x -Info (12021): Found 1 design units, including 1 entities, in source file rtl/keyboard.sv - Info (12023): Found entity 1: keyboard -Info (12021): Found 1 design units, including 1 entities, in source file rtl/pll.v - Info (12023): Found entity 1: pll -Info (12021): Found 1 design units, including 1 entities, in source file rtl/cg_rom.v - Info (12023): Found entity 1: cg_rom -Info (12021): Found 1 design units, including 1 entities, in source file rtl/ram2.v - Info (12023): Found entity 1: ram2 -Info (12021): Found 1 design units, including 1 entities, in source file rtl/monrom.v - Info (12023): Found entity 1: monrom -Info (12127): Elaborating entity "mz80k_mist" for the top level hierarchy -Info (12128): Elaborating entity "pll" for hierarchy "pll:pll" -Info (12128): Elaborating entity "altpll" for hierarchy "pll:pll|altpll:altpll_component" -Info (12130): Elaborated megafunction instantiation "pll:pll|altpll:altpll_component" -Info (12133): Instantiated megafunction "pll:pll|altpll:altpll_component" with the following parameter: - Info (12134): Parameter "bandwidth_type" = "AUTO" - Info (12134): Parameter "clk0_divide_by" = "27" - Info (12134): Parameter "clk0_duty_cycle" = "50" - Info (12134): Parameter "clk0_multiply_by" = "50" - Info (12134): Parameter "clk0_phase_shift" = "0" - Info (12134): Parameter "clk1_divide_by" = "54" - Info (12134): Parameter "clk1_duty_cycle" = "50" - Info (12134): Parameter "clk1_multiply_by" = "25" - Info (12134): Parameter "clk1_phase_shift" = "0" - Info (12134): Parameter "compensate_clock" = "CLK0" - Info (12134): Parameter "inclk0_input_frequency" = "37037" - Info (12134): Parameter "intended_device_family" = "Cyclone III" - Info (12134): Parameter "lpm_hint" = "CBX_MODULE_PREFIX=pll" - Info (12134): Parameter "lpm_type" = "altpll" - Info (12134): Parameter "operation_mode" = "NORMAL" - Info (12134): Parameter "pll_type" = "AUTO" - Info (12134): Parameter "port_activeclock" = "PORT_UNUSED" - Info (12134): Parameter "port_areset" = "PORT_USED" - Info (12134): Parameter "port_clkbad0" = "PORT_UNUSED" - Info (12134): Parameter "port_clkbad1" = "PORT_UNUSED" - Info (12134): Parameter "port_clkloss" = "PORT_UNUSED" - Info (12134): Parameter "port_clkswitch" = "PORT_UNUSED" - Info (12134): Parameter "port_configupdate" = "PORT_UNUSED" - Info (12134): Parameter "port_fbin" = "PORT_UNUSED" - Info (12134): Parameter "port_inclk0" = "PORT_USED" - Info (12134): Parameter "port_inclk1" = "PORT_UNUSED" - Info (12134): Parameter "port_locked" = "PORT_USED" - Info (12134): Parameter "port_pfdena" = "PORT_UNUSED" - Info (12134): Parameter "port_phasecounterselect" = "PORT_UNUSED" - Info (12134): Parameter "port_phasedone" = "PORT_UNUSED" - Info (12134): Parameter "port_phasestep" = "PORT_UNUSED" - Info (12134): Parameter "port_phaseupdown" = "PORT_UNUSED" - Info (12134): Parameter "port_pllena" = "PORT_UNUSED" - Info (12134): Parameter "port_scanaclr" = "PORT_UNUSED" - Info (12134): Parameter "port_scanclk" = "PORT_UNUSED" - Info (12134): Parameter "port_scanclkena" = "PORT_UNUSED" - Info (12134): Parameter "port_scandata" = "PORT_UNUSED" - Info (12134): Parameter "port_scandataout" = "PORT_UNUSED" - Info (12134): Parameter "port_scandone" = "PORT_UNUSED" - Info (12134): Parameter "port_scanread" = "PORT_UNUSED" - Info (12134): Parameter "port_scanwrite" = "PORT_UNUSED" - Info (12134): Parameter "port_clk0" = "PORT_USED" - Info (12134): Parameter "port_clk1" = "PORT_USED" - Info (12134): Parameter "port_clk2" = "PORT_UNUSED" - Info (12134): Parameter "port_clk3" = "PORT_UNUSED" - Info (12134): Parameter "port_clk4" = "PORT_UNUSED" - Info (12134): Parameter "port_clk5" = "PORT_UNUSED" - Info (12134): Parameter "port_clkena0" = "PORT_UNUSED" - Info (12134): Parameter "port_clkena1" = "PORT_UNUSED" - Info (12134): Parameter "port_clkena2" = "PORT_UNUSED" - Info (12134): Parameter "port_clkena3" = "PORT_UNUSED" - Info (12134): Parameter "port_clkena4" = "PORT_UNUSED" - Info (12134): Parameter "port_clkena5" = "PORT_UNUSED" - Info (12134): Parameter "port_extclk0" = "PORT_UNUSED" - Info (12134): Parameter "port_extclk1" = "PORT_UNUSED" - Info (12134): Parameter "port_extclk2" = "PORT_UNUSED" - Info (12134): Parameter "port_extclk3" = "PORT_UNUSED" - Info (12134): Parameter "self_reset_on_loss_lock" = "OFF" - Info (12134): Parameter "width_clock" = "5" -Info (12021): Found 1 design units, including 1 entities, in source file db/pll_altpll.v - Info (12023): Found entity 1: pll_altpll -Info (12128): Elaborating entity "pll_altpll" for hierarchy "pll:pll|altpll:altpll_component|pll_altpll:auto_generated" -Info (12128): Elaborating entity "mist_io" for hierarchy "mist_io:mist_io" -Info (12128): Elaborating entity "video_mixer" for hierarchy "video_mixer:video_mixer" -Info (12128): Elaborating entity "scandoubler" for hierarchy "video_mixer:video_mixer|scandoubler:scandoubler" -Info (10264): Verilog HDL Case Statement information at scandoubler.v(114): all case item expressions in this case statement are onehot -Info (10264): Verilog HDL Case Statement information at scandoubler.v(138): all case item expressions in this case statement are onehot -Info (12128): Elaborating entity "Hq2x" for hierarchy "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x" -Info (12128): Elaborating entity "DiffCheck" for hierarchy "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|DiffCheck:diffcheck0" -Info (12128): Elaborating entity "Blend" for hierarchy "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|Blend:blender" -Info (12128): Elaborating entity "InnerBlend" for hierarchy "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|Blend:blender|InnerBlend:inner_blend1" -Info (12128): Elaborating entity "hq2x_in" for hierarchy "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_in:hq2x_in" -Info (12128): Elaborating entity "hq2x_buf" for hierarchy "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_in:hq2x_in|hq2x_buf:buf0" -Info (12128): Elaborating entity "altsyncram" for hierarchy "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_in:hq2x_in|hq2x_buf:buf0|altsyncram:altsyncram_component" -Info (12130): Elaborated megafunction instantiation "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_in:hq2x_in|hq2x_buf:buf0|altsyncram:altsyncram_component" -Info (12133): Instantiated megafunction "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_in:hq2x_in|hq2x_buf:buf0|altsyncram:altsyncram_component" with the following parameter: - Info (12134): Parameter "address_aclr_b" = "NONE" - Info (12134): Parameter "address_reg_b" = "CLOCK0" - Info (12134): Parameter "clock_enable_input_a" = "BYPASS" - Info (12134): Parameter "clock_enable_input_b" = "BYPASS" - Info (12134): Parameter "clock_enable_output_b" = "BYPASS" - Info (12134): Parameter "intended_device_family" = "Cyclone III" - Info (12134): Parameter "lpm_type" = "altsyncram" - Info (12134): Parameter "numwords_a" = "480" - Info (12134): Parameter "numwords_b" = "480" - Info (12134): Parameter "operation_mode" = "DUAL_PORT" - Info (12134): Parameter "outdata_aclr_b" = "NONE" - Info (12134): Parameter "outdata_reg_b" = "UNREGISTERED" - Info (12134): Parameter "power_up_uninitialized" = "FALSE" - Info (12134): Parameter "read_during_write_mode_mixed_ports" = "DONT_CARE" - Info (12134): Parameter "widthad_a" = "9" - Info (12134): Parameter "widthad_b" = "9" - Info (12134): Parameter "width_a" = "9" - Info (12134): Parameter "width_b" = "9" - Info (12134): Parameter "width_byteena_a" = "1" -Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_c5o1.tdf - Info (12023): Found entity 1: altsyncram_c5o1 -Info (12128): Elaborating entity "altsyncram_c5o1" for hierarchy "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_in:hq2x_in|hq2x_buf:buf0|altsyncram:altsyncram_component|altsyncram_c5o1:auto_generated" -Info (12128): Elaborating entity "hq2x_out" for hierarchy "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out" -Info (12128): Elaborating entity "hq2x_buf" for hierarchy "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf0" -Info (12128): Elaborating entity "altsyncram" for hierarchy "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf0|altsyncram:altsyncram_component" -Info (12130): Elaborated megafunction instantiation "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf0|altsyncram:altsyncram_component" -Info (12133): Instantiated megafunction "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf0|altsyncram:altsyncram_component" with the following parameter: - Info (12134): Parameter "address_aclr_b" = "NONE" - Info (12134): Parameter "address_reg_b" = "CLOCK0" - Info (12134): Parameter "clock_enable_input_a" = "BYPASS" - Info (12134): Parameter "clock_enable_input_b" = "BYPASS" - Info (12134): Parameter "clock_enable_output_b" = "BYPASS" - Info (12134): Parameter "intended_device_family" = "Cyclone III" - Info (12134): Parameter "lpm_type" = "altsyncram" - Info (12134): Parameter "numwords_a" = "960" - Info (12134): Parameter "numwords_b" = "960" - Info (12134): Parameter "operation_mode" = "DUAL_PORT" - Info (12134): Parameter "outdata_aclr_b" = "NONE" - Info (12134): Parameter "outdata_reg_b" = "UNREGISTERED" - Info (12134): Parameter "power_up_uninitialized" = "FALSE" - Info (12134): Parameter "read_during_write_mode_mixed_ports" = "DONT_CARE" - Info (12134): Parameter "widthad_a" = "10" - Info (12134): Parameter "widthad_b" = "10" - Info (12134): Parameter "width_a" = "9" - Info (12134): Parameter "width_b" = "9" - Info (12134): Parameter "width_byteena_a" = "1" -Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_28o1.tdf - Info (12023): Found entity 1: altsyncram_28o1 -Info (12128): Elaborating entity "altsyncram_28o1" for hierarchy "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf0|altsyncram:altsyncram_component|altsyncram_28o1:auto_generated" -Info (12128): Elaborating entity "osd" for hierarchy "video_mixer:video_mixer|osd:osd" -Info (12128): Elaborating entity "sigma_delta_dac" for hierarchy "sigma_delta_dac:sigma_delta_dac" -Info (12128): Elaborating entity "mz80k_top" for hierarchy "mz80k_top:mz80k_top" -Info (12128): Elaborating entity "fz80" for hierarchy "mz80k_top:mz80k_top|fz80:z80" -Info (10264): Verilog HDL Case Statement information at fz80.v(82): all case item expressions in this case statement are onehot -Info (10264): Verilog HDL Case Statement information at fz80.v(154): all case item expressions in this case statement are onehot -Info (12128): Elaborating entity "alu" for hierarchy "mz80k_top:mz80k_top|fz80:z80|alu:alu" -Info (12128): Elaborating entity "asu" for hierarchy "mz80k_top:mz80k_top|fz80:z80|asu:asu" -Info (12128): Elaborating entity "seq" for hierarchy "mz80k_top:mz80k_top|fz80:z80|seq:seq" -Info (12128): Elaborating entity "reg_a" for hierarchy "mz80k_top:mz80k_top|fz80:z80|reg_a:reg_a" -Info (12128): Elaborating entity "reg_f" for hierarchy "mz80k_top:mz80k_top|fz80:z80|reg_f:reg_f" -Info (12128): Elaborating entity "reg_dual2" for hierarchy "mz80k_top:mz80k_top|fz80:z80|reg_dual2:reg_b" -Info (12128): Elaborating entity "reg_quad3" for hierarchy "mz80k_top:mz80k_top|fz80:z80|reg_quad3:reg_h" -Info (12128): Elaborating entity "reg_2s" for hierarchy "mz80k_top:mz80k_top|fz80:z80|reg_2s:reg_sph" -Info (12128): Elaborating entity "reg_pch" for hierarchy "mz80k_top:mz80k_top|fz80:z80|reg_pch:reg_pch" -Info (12128): Elaborating entity "reg_pcl" for hierarchy "mz80k_top:mz80k_top|fz80:z80|reg_pcl:reg_pcl" -Info (12128): Elaborating entity "reg_2" for hierarchy "mz80k_top:mz80k_top|fz80:z80|reg_2:reg_adrh" -Info (12128): Elaborating entity "reg_r" for hierarchy "mz80k_top:mz80k_top|fz80:z80|reg_r:reg_r" -Info (12128): Elaborating entity "reg_simplec" for hierarchy "mz80k_top:mz80k_top|fz80:z80|reg_simplec:reg_i" -Info (12128): Elaborating entity "reg_simple" for hierarchy "mz80k_top:mz80k_top|fz80:z80|reg_simple:reg_data" -Info (12128): Elaborating entity "i8253" for hierarchy "mz80k_top:mz80k_top|i8253:i8253_1" -Info (12128): Elaborating entity "ps2" for hierarchy "mz80k_top:mz80k_top|ps2:ps2_1" -Warning (10272): Verilog HDL Case Statement warning at ps2.v(159): case item expression covers a value already covered by a previous case item -Warning (10272): Verilog HDL Case Statement warning at ps2.v(167): case item expression covers a value already covered by a previous case item -Warning (10240): Verilog HDL Always Construct warning at ps2.v(76): inferring latch(es) for variable "key_tbl0", which holds its previous value in one or more paths through the always construct -Warning (10240): Verilog HDL Always Construct warning at ps2.v(76): inferring latch(es) for variable "key_tbl1", which holds its previous value in one or more paths through the always construct -Warning (10240): Verilog HDL Always Construct warning at ps2.v(76): inferring latch(es) for variable "key_tbl2", which holds its previous value in one or more paths through the always construct -Warning (10240): Verilog HDL Always Construct warning at ps2.v(76): inferring latch(es) for variable "key_tbl3", which holds its previous value in one or more paths through the always construct -Warning (10240): Verilog HDL Always Construct warning at ps2.v(76): inferring latch(es) for variable "key_tbl4", which holds its previous value in one or more paths through the always construct -Warning (10240): Verilog HDL Always Construct warning at ps2.v(76): inferring latch(es) for variable "key_tbl5", which holds its previous value in one or more paths through the always construct -Warning (10240): Verilog HDL Always Construct warning at ps2.v(76): inferring latch(es) for variable "key_tbl6", which holds its previous value in one or more paths through the always construct -Warning (10240): Verilog HDL Always Construct warning at ps2.v(76): inferring latch(es) for variable "key_tbl7", which holds its previous value in one or more paths through the always construct -Warning (10240): Verilog HDL Always Construct warning at ps2.v(76): inferring latch(es) for variable "key_tbl8", which holds its previous value in one or more paths through the always construct -Warning (10240): Verilog HDL Always Construct warning at ps2.v(76): inferring latch(es) for variable "key_tbl9", which holds its previous value in one or more paths through the always construct -Info (10041): Inferred latch for "key_tbl9[0]" at ps2.v(76) -Info (10041): Inferred latch for "key_tbl9[4]" at ps2.v(76) -Info (10041): Inferred latch for "key_tbl9[5]" at ps2.v(76) -Info (10041): Inferred latch for "key_tbl9[6]" at ps2.v(76) -Info (10041): Inferred latch for "key_tbl9[7]" at ps2.v(76) -Info (10041): Inferred latch for "key_tbl8[2]" at ps2.v(76) -Info (10041): Inferred latch for "key_tbl8[6]" at ps2.v(76) -Info (10041): Inferred latch for "key_tbl8[7]" at ps2.v(76) -Info (10041): Inferred latch for "key_tbl7[4]" at ps2.v(76) -Info (10041): Inferred latch for "key_tbl7[5]" at ps2.v(76) -Info (10041): Inferred latch for "key_tbl7[6]" at ps2.v(76) -Info (10041): Inferred latch for "key_tbl7[7]" at ps2.v(76) -Info (10041): Inferred latch for "key_tbl6[6]" at ps2.v(76) -Info (10041): Inferred latch for "key_tbl6[7]" at ps2.v(76) -Info (10041): Inferred latch for "key_tbl5[6]" at ps2.v(76) -Info (10041): Inferred latch for "key_tbl5[7]" at ps2.v(76) -Info (10041): Inferred latch for "key_tbl4[5]" at ps2.v(76) -Info (10041): Inferred latch for "key_tbl4[6]" at ps2.v(76) -Info (10041): Inferred latch for "key_tbl4[7]" at ps2.v(76) -Info (10041): Inferred latch for "key_tbl3[5]" at ps2.v(76) -Info (10041): Inferred latch for "key_tbl3[6]" at ps2.v(76) -Info (10041): Inferred latch for "key_tbl3[7]" at ps2.v(76) -Info (10041): Inferred latch for "key_tbl2[6]" at ps2.v(76) -Info (10041): Inferred latch for "key_tbl2[7]" at ps2.v(76) -Info (10041): Inferred latch for "key_tbl1[5]" at ps2.v(76) -Info (10041): Inferred latch for "key_tbl1[6]" at ps2.v(76) -Info (10041): Inferred latch for "key_tbl1[7]" at ps2.v(76) -Info (10041): Inferred latch for "key_tbl0[5]" at ps2.v(76) -Info (10041): Inferred latch for "key_tbl0[6]" at ps2.v(76) -Info (10041): Inferred latch for "key_tbl0[7]" at ps2.v(76) -Info (12128): Elaborating entity "ps2_recieve" for hierarchy "mz80k_top:mz80k_top|ps2:ps2_1|ps2_recieve:ps2_recieve1" -Info (12128): Elaborating entity "vga" for hierarchy "mz80k_top:mz80k_top|vga:vga1" -Info (12128): Elaborating entity "cg_rom" for hierarchy "mz80k_top:mz80k_top|vga:vga1|cg_rom:cg_rom" -Info (12128): Elaborating entity "altsyncram" for hierarchy "mz80k_top:mz80k_top|vga:vga1|cg_rom:cg_rom|altsyncram:altsyncram_component" -Info (12130): Elaborated megafunction instantiation "mz80k_top:mz80k_top|vga:vga1|cg_rom:cg_rom|altsyncram:altsyncram_component" -Info (12133): Instantiated megafunction "mz80k_top:mz80k_top|vga:vga1|cg_rom:cg_rom|altsyncram:altsyncram_component" with the following parameter: - Info (12134): Parameter "address_aclr_a" = "NONE" - Info (12134): Parameter "clock_enable_input_a" = "NORMAL" - Info (12134): Parameter "clock_enable_output_a" = "NORMAL" - Info (12134): Parameter "init_file" = "./roms/cg.hex" - Info (12134): Parameter "intended_device_family" = "Cyclone III" - Info (12134): Parameter "lpm_hint" = "ENABLE_RUNTIME_MOD=NO" - Info (12134): Parameter "lpm_type" = "altsyncram" - Info (12134): Parameter "numwords_a" = "2048" - Info (12134): Parameter "operation_mode" = "ROM" - Info (12134): Parameter "outdata_aclr_a" = "NONE" - Info (12134): Parameter "outdata_reg_a" = "CLOCK0" - Info (12134): Parameter "widthad_a" = "11" - Info (12134): Parameter "width_a" = "8" - Info (12134): Parameter "width_byteena_a" = "1" -Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_f7a1.tdf - Info (12023): Found entity 1: altsyncram_f7a1 -Info (12128): Elaborating entity "altsyncram_f7a1" for hierarchy "mz80k_top:mz80k_top|vga:vga1|cg_rom:cg_rom|altsyncram:altsyncram_component|altsyncram_f7a1:auto_generated" -Info (12128): Elaborating entity "monrom" for hierarchy "mz80k_top:mz80k_top|monrom:mon_rom" -Info (12128): Elaborating entity "altsyncram" for hierarchy "mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component" -Info (12130): Elaborated megafunction instantiation "mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component" -Info (12133): Instantiated megafunction "mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component" with the following parameter: - Info (12134): Parameter "clock_enable_input_a" = "NORMAL" - Info (12134): Parameter "clock_enable_output_a" = "NORMAL" - Info (12134): Parameter "init_file" = "./roms/Mon.hex" - Info (12134): Parameter "intended_device_family" = "Cyclone III" - Info (12134): Parameter "lpm_hint" = "ENABLE_RUNTIME_MOD=NO" - Info (12134): Parameter "lpm_type" = "altsyncram" - Info (12134): Parameter "numwords_a" = "32768" - Info (12134): Parameter "operation_mode" = "SINGLE_PORT" - Info (12134): Parameter "outdata_aclr_a" = "NONE" - Info (12134): Parameter "outdata_reg_a" = "CLOCK0" - Info (12134): Parameter "power_up_uninitialized" = "FALSE" - Info (12134): Parameter "read_during_write_mode_port_a" = "NEW_DATA_NO_NBE_READ" - Info (12134): Parameter "widthad_a" = "15" - Info (12134): Parameter "width_a" = "8" - Info (12134): Parameter "width_byteena_a" = "1" -Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_vli1.tdf - Info (12023): Found entity 1: altsyncram_vli1 -Info (12128): Elaborating entity "altsyncram_vli1" for hierarchy "mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated" -Info (12021): Found 1 design units, including 1 entities, in source file db/decode_dra.tdf - Info (12023): Found entity 1: decode_dra -Info (12128): Elaborating entity "decode_dra" for hierarchy "mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|decode_dra:decode3" -Info (12021): Found 1 design units, including 1 entities, in source file db/mux_tlb.tdf - Info (12023): Found entity 1: mux_tlb -Info (12128): Elaborating entity "mux_tlb" for hierarchy "mz80k_top:mz80k_top|monrom:mon_rom|altsyncram:altsyncram_component|altsyncram_vli1:auto_generated|mux_tlb:mux2" -Info (12128): Elaborating entity "ram2" for hierarchy "mz80k_top:mz80k_top|ram2:ram2_2" -Info (12128): Elaborating entity "altsyncram" for hierarchy "mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component" -Info (12130): Elaborated megafunction instantiation "mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component" -Info (12133): Instantiated megafunction "mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component" with the following parameter: - Info (12134): Parameter "clock_enable_input_a" = "NORMAL" - Info (12134): Parameter "clock_enable_output_a" = "NORMAL" - Info (12134): Parameter "intended_device_family" = "Cyclone III" - Info (12134): Parameter "lpm_hint" = "ENABLE_RUNTIME_MOD=NO" - Info (12134): Parameter "lpm_type" = "altsyncram" - Info (12134): Parameter "numwords_a" = "2048" - Info (12134): Parameter "operation_mode" = "SINGLE_PORT" - Info (12134): Parameter "outdata_aclr_a" = "NONE" - Info (12134): Parameter "outdata_reg_a" = "CLOCK0" - Info (12134): Parameter "power_up_uninitialized" = "FALSE" - Info (12134): Parameter "read_during_write_mode_port_a" = "NEW_DATA_NO_NBE_READ" - Info (12134): Parameter "widthad_a" = "11" - Info (12134): Parameter "width_a" = "8" - Info (12134): Parameter "width_byteena_a" = "1" -Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_atg1.tdf - Info (12023): Found entity 1: altsyncram_atg1 -Info (12128): Elaborating entity "altsyncram_atg1" for hierarchy "mz80k_top:mz80k_top|ram2:ram2_2|altsyncram:altsyncram_component|altsyncram_atg1:auto_generated" -Info (12128): Elaborating entity "keyboard" for hierarchy "keyboard:keyboard" -Warning (14284): Synthesized away the following node(s): - Warning (14285): Synthesized away the following RAM node(s): - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf3|altsyncram:altsyncram_component|altsyncram_28o1:auto_generated|q_b[0]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf3|altsyncram:altsyncram_component|altsyncram_28o1:auto_generated|q_b[1]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf3|altsyncram:altsyncram_component|altsyncram_28o1:auto_generated|q_b[2]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf3|altsyncram:altsyncram_component|altsyncram_28o1:auto_generated|q_b[3]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf3|altsyncram:altsyncram_component|altsyncram_28o1:auto_generated|q_b[4]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf3|altsyncram:altsyncram_component|altsyncram_28o1:auto_generated|q_b[5]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf3|altsyncram:altsyncram_component|altsyncram_28o1:auto_generated|q_b[6]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf3|altsyncram:altsyncram_component|altsyncram_28o1:auto_generated|q_b[7]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf3|altsyncram:altsyncram_component|altsyncram_28o1:auto_generated|q_b[8]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf2|altsyncram:altsyncram_component|altsyncram_28o1:auto_generated|q_b[0]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf2|altsyncram:altsyncram_component|altsyncram_28o1:auto_generated|q_b[1]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf2|altsyncram:altsyncram_component|altsyncram_28o1:auto_generated|q_b[2]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf2|altsyncram:altsyncram_component|altsyncram_28o1:auto_generated|q_b[3]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf2|altsyncram:altsyncram_component|altsyncram_28o1:auto_generated|q_b[4]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf2|altsyncram:altsyncram_component|altsyncram_28o1:auto_generated|q_b[5]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf2|altsyncram:altsyncram_component|altsyncram_28o1:auto_generated|q_b[6]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf2|altsyncram:altsyncram_component|altsyncram_28o1:auto_generated|q_b[7]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf2|altsyncram:altsyncram_component|altsyncram_28o1:auto_generated|q_b[8]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf1|altsyncram:altsyncram_component|altsyncram_28o1:auto_generated|q_b[0]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf1|altsyncram:altsyncram_component|altsyncram_28o1:auto_generated|q_b[1]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf1|altsyncram:altsyncram_component|altsyncram_28o1:auto_generated|q_b[2]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf1|altsyncram:altsyncram_component|altsyncram_28o1:auto_generated|q_b[3]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf1|altsyncram:altsyncram_component|altsyncram_28o1:auto_generated|q_b[4]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf1|altsyncram:altsyncram_component|altsyncram_28o1:auto_generated|q_b[5]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf1|altsyncram:altsyncram_component|altsyncram_28o1:auto_generated|q_b[6]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf1|altsyncram:altsyncram_component|altsyncram_28o1:auto_generated|q_b[7]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf1|altsyncram:altsyncram_component|altsyncram_28o1:auto_generated|q_b[8]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf0|altsyncram:altsyncram_component|altsyncram_28o1:auto_generated|q_b[0]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf0|altsyncram:altsyncram_component|altsyncram_28o1:auto_generated|q_b[1]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf0|altsyncram:altsyncram_component|altsyncram_28o1:auto_generated|q_b[2]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf0|altsyncram:altsyncram_component|altsyncram_28o1:auto_generated|q_b[3]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf0|altsyncram:altsyncram_component|altsyncram_28o1:auto_generated|q_b[4]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf0|altsyncram:altsyncram_component|altsyncram_28o1:auto_generated|q_b[5]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf0|altsyncram:altsyncram_component|altsyncram_28o1:auto_generated|q_b[6]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf0|altsyncram:altsyncram_component|altsyncram_28o1:auto_generated|q_b[7]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_out:hq2x_out|hq2x_buf:buf0|altsyncram:altsyncram_component|altsyncram_28o1:auto_generated|q_b[8]" -Warning (14284): Synthesized away the following node(s): - Warning (14285): Synthesized away the following RAM node(s): - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_in:hq2x_in|hq2x_buf:buf1|altsyncram:altsyncram_component|altsyncram_c5o1:auto_generated|q_b[0]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_in:hq2x_in|hq2x_buf:buf1|altsyncram:altsyncram_component|altsyncram_c5o1:auto_generated|q_b[1]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_in:hq2x_in|hq2x_buf:buf1|altsyncram:altsyncram_component|altsyncram_c5o1:auto_generated|q_b[2]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_in:hq2x_in|hq2x_buf:buf1|altsyncram:altsyncram_component|altsyncram_c5o1:auto_generated|q_b[3]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_in:hq2x_in|hq2x_buf:buf1|altsyncram:altsyncram_component|altsyncram_c5o1:auto_generated|q_b[4]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_in:hq2x_in|hq2x_buf:buf1|altsyncram:altsyncram_component|altsyncram_c5o1:auto_generated|q_b[5]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_in:hq2x_in|hq2x_buf:buf1|altsyncram:altsyncram_component|altsyncram_c5o1:auto_generated|q_b[6]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_in:hq2x_in|hq2x_buf:buf1|altsyncram:altsyncram_component|altsyncram_c5o1:auto_generated|q_b[7]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_in:hq2x_in|hq2x_buf:buf1|altsyncram:altsyncram_component|altsyncram_c5o1:auto_generated|q_b[8]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_in:hq2x_in|hq2x_buf:buf0|altsyncram:altsyncram_component|altsyncram_c5o1:auto_generated|q_b[0]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_in:hq2x_in|hq2x_buf:buf0|altsyncram:altsyncram_component|altsyncram_c5o1:auto_generated|q_b[1]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_in:hq2x_in|hq2x_buf:buf0|altsyncram:altsyncram_component|altsyncram_c5o1:auto_generated|q_b[2]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_in:hq2x_in|hq2x_buf:buf0|altsyncram:altsyncram_component|altsyncram_c5o1:auto_generated|q_b[3]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_in:hq2x_in|hq2x_buf:buf0|altsyncram:altsyncram_component|altsyncram_c5o1:auto_generated|q_b[4]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_in:hq2x_in|hq2x_buf:buf0|altsyncram:altsyncram_component|altsyncram_c5o1:auto_generated|q_b[5]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_in:hq2x_in|hq2x_buf:buf0|altsyncram:altsyncram_component|altsyncram_c5o1:auto_generated|q_b[6]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_in:hq2x_in|hq2x_buf:buf0|altsyncram:altsyncram_component|altsyncram_c5o1:auto_generated|q_b[7]" - Warning (14320): Synthesized away node "video_mixer:video_mixer|scandoubler:scandoubler|Hq2x:Hq2x|hq2x_in:hq2x_in|hq2x_buf:buf0|altsyncram:altsyncram_component|altsyncram_c5o1:auto_generated|q_b[8]" -Warning (19016): Clock multiplexers are found and protected - Warning (19017): Found clock multiplexer mz80k_top:mz80k_top|CLK_CPU -Warning (13046): Tri-state node(s) do not directly drive top-level pin(s) - Warning (13049): Converted tri-state buffer "mz80k_top:mz80k_top|cpu_data_in[1]" feeding internal logic into a wire - Warning (13049): Converted tri-state buffer "mz80k_top:mz80k_top|cpu_data_in[0]" feeding internal logic into a wire - Warning (13049): Converted tri-state buffer "mz80k_top:mz80k_top|vram_data_in[0]" feeding internal logic into a wire - Warning (13049): Converted tri-state buffer "mz80k_top:mz80k_top|vram_data_in[1]" feeding internal logic into a wire - Warning (13049): Converted tri-state buffer "mz80k_top:mz80k_top|cpu_data_in[2]" feeding internal logic into a wire - Warning (13049): Converted tri-state buffer "mz80k_top:mz80k_top|cpu_data_in[3]" feeding internal logic into a wire - Warning (13049): Converted tri-state buffer "mz80k_top:mz80k_top|cpu_data_in[4]" feeding internal logic into a wire - Warning (13049): Converted tri-state buffer "mz80k_top:mz80k_top|cpu_data_in[5]" feeding internal logic into a wire - Warning (13049): Converted tri-state buffer "mz80k_top:mz80k_top|cpu_data_in[6]" feeding internal logic into a wire - Warning (13049): Converted tri-state buffer "mz80k_top:mz80k_top|cpu_data_in[7]" feeding internal logic into a wire - Warning (13049): Converted tri-state buffer "mz80k_top:mz80k_top|vram_data_in[2]" feeding internal logic into a wire - Warning (13049): Converted tri-state buffer "mz80k_top:mz80k_top|vram_data_in[3]" feeding internal logic into a wire - Warning (13049): Converted tri-state buffer "mz80k_top:mz80k_top|vram_data_in[4]" feeding internal logic into a wire - Warning (13049): Converted tri-state buffer "mz80k_top:mz80k_top|vram_data_in[5]" feeding internal logic into a wire - Warning (13049): Converted tri-state buffer "mz80k_top:mz80k_top|vram_data_in[6]" feeding internal logic into a wire - Warning (13049): Converted tri-state buffer "mz80k_top:mz80k_top|vram_data_in[7]" feeding internal logic into a wire - Warning (13049): Converted tri-state buffer "mz80k_top:mz80k_top|ps2:ps2_1|data[0]" feeding internal logic into a wire - Warning (13049): Converted tri-state buffer "mz80k_top:mz80k_top|ps2:ps2_1|data[1]" feeding internal logic into a wire - Warning (13049): Converted tri-state buffer "mz80k_top:mz80k_top|ps2:ps2_1|data[2]" feeding internal logic into a wire - Warning (13049): Converted tri-state buffer "mz80k_top:mz80k_top|ps2:ps2_1|data[3]" feeding internal logic into a wire - Warning (13049): Converted tri-state buffer "mz80k_top:mz80k_top|ps2:ps2_1|data[4]" feeding internal logic into a wire - Warning (13049): Converted tri-state buffer "mz80k_top:mz80k_top|ps2:ps2_1|data[5]" feeding internal logic into a wire - Warning (13049): Converted tri-state buffer "mz80k_top:mz80k_top|ps2:ps2_1|data[6]" feeding internal logic into a wire - Warning (13049): Converted tri-state buffer "mz80k_top:mz80k_top|ps2:ps2_1|data[7]" feeding internal logic into a wire -Info (276014): Found 1 instances of uninferred RAM logic - Info (276004): RAM logic "mist_io:mist_io|ps2_kbd_fifo" is uninferred due to inappropriate RAM size -Info (19000): Inferred 1 megafunctions from design logic - Info (276029): Inferred altsyncram megafunction from the following design logic: "video_mixer:video_mixer|osd:osd|osd_buffer_rtl_0" - Info (286033): Parameter OPERATION_MODE set to DUAL_PORT - Info (286033): Parameter WIDTH_A set to 8 - Info (286033): Parameter WIDTHAD_A set to 11 - Info (286033): Parameter NUMWORDS_A set to 2048 - Info (286033): Parameter WIDTH_B set to 8 - Info (286033): Parameter WIDTHAD_B set to 11 - Info (286033): Parameter NUMWORDS_B set to 2048 - Info (286033): Parameter ADDRESS_ACLR_A set to NONE - Info (286033): Parameter OUTDATA_REG_B set to UNREGISTERED - Info (286033): Parameter ADDRESS_ACLR_B set to NONE - Info (286033): Parameter OUTDATA_ACLR_B set to NONE - Info (286033): Parameter ADDRESS_REG_B set to CLOCK1 - Info (286033): Parameter INDATA_ACLR_A set to NONE - Info (286033): Parameter WRCONTROL_ACLR_A set to NONE -Info (12130): Elaborated megafunction instantiation "video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0" -Info (12133): Instantiated megafunction "video_mixer:video_mixer|osd:osd|altsyncram:osd_buffer_rtl_0" with the following parameter: - Info (12134): Parameter "OPERATION_MODE" = "DUAL_PORT" - Info (12134): Parameter "WIDTH_A" = "8" - Info (12134): Parameter "WIDTHAD_A" = "11" - Info (12134): Parameter "NUMWORDS_A" = "2048" - Info (12134): Parameter "WIDTH_B" = "8" - Info (12134): Parameter "WIDTHAD_B" = "11" - Info (12134): Parameter "NUMWORDS_B" = "2048" - Info (12134): Parameter "ADDRESS_ACLR_A" = "NONE" - Info (12134): Parameter "OUTDATA_REG_B" = "UNREGISTERED" - Info (12134): Parameter "ADDRESS_ACLR_B" = "NONE" - Info (12134): Parameter "OUTDATA_ACLR_B" = "NONE" - Info (12134): Parameter "ADDRESS_REG_B" = "CLOCK1" - Info (12134): Parameter "INDATA_ACLR_A" = "NONE" - Info (12134): Parameter "WRCONTROL_ACLR_A" = "NONE" -Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_dud1.tdf - Info (12023): Found entity 1: altsyncram_dud1 -Warning (12241): 12 hierarchies have connectivity warnings - see the Connectivity Checks report folder -Info (13000): Registers with preset signals will power-up high -Info (13003): DEV_CLRn pin will set, and not reset, register with preset signal due to NOT Gate Push-Back -Warning (13024): Output pins are stuck at VCC or GND - Warning (13410): Pin "VGA_VS" is stuck at VCC - Warning (13410): Pin "LED" is stuck at VCC -Info (286030): Timing-Driven Synthesis is running -Info (17049): 472 registers lost all their fanouts during netlist optimizations. -Info (144001): Generated suppressed messages file D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/Output/mz80k_mist.map.smsg -Info (16010): Generating hard_block partition "hard_block:auto_generated_inst" - Info (16011): Adding 1 node(s), including 0 DDIO, 1 PLL, 0 transceiver and 0 LCELL -Warning (15899): PLL "pll:pll|altpll:altpll_component|pll_altpll:auto_generated|pll1" has parameters clk1_multiply_by and clk1_divide_by specified but port CLK[1] is not connected -Warning (21074): Design contains 2 input pin(s) that do not drive logic - Warning (15610): No output dependent on input pin "SPI_SS2" - Warning (15610): No output dependent on input pin "SPI_SS4" -Info (21057): Implemented 3331 device resources after synthesis - the final resource count might be different - Info (21058): Implemented 7 input pins - Info (21059): Implemented 24 output pins - Info (21061): Implemented 3243 logic cells - Info (21064): Implemented 56 RAM segments - Info (21065): Implemented 1 PLLs -Info: Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 105 warnings - Info: Peak virtual memory: 4725 megabytes - Info: Processing ended: Sun Jun 24 13:30:13 2018 - Info: Elapsed time: 00:00:18 - Info: Total CPU time (on all processors): 00:00:17 - - -+------------------------------------------+ -; Analysis & Synthesis Suppressed Messages ; -+------------------------------------------+ -The suppressed messages can be found in D:/Github/Mist_FPGA/Sharp - MZ-80K_MiST/Output/mz80k_mist.map.smsg. - - diff --git a/Sharp - MZ-80K_MiST/Output/mz80k_mist.map.smsg b/Sharp - MZ-80K_MiST/Output/mz80k_mist.map.smsg deleted file mode 100644 index 15350f3f..00000000 --- a/Sharp - MZ-80K_MiST/Output/mz80k_mist.map.smsg +++ /dev/null @@ -1,42 +0,0 @@ -Info (10281): Verilog HDL Declaration information at fz80.v(893): object "s_if2" differs only in case from object "S_IF2" in the same scope -Info (10281): Verilog HDL Declaration information at fz80.v(893): object "s_imm1" differs only in case from object "S_IMM1" in the same scope -Info (10281): Verilog HDL Declaration information at fz80.v(893): object "s_imm2" differs only in case from object "S_IMM2" in the same scope -Info (10281): Verilog HDL Declaration information at fz80.v(893): object "s_mr1" differs only in case from object "S_MR1" in the same scope -Info (10281): Verilog HDL Declaration information at fz80.v(893): object "s_mr2" differs only in case from object "S_MR2" in the same scope -Info (10281): Verilog HDL Declaration information at fz80.v(893): object "s_disp" differs only in case from object "S_DISP" in the same scope -Info (10281): Verilog HDL Declaration information at fz80.v(893): object "s_in" differs only in case from object "S_IN" in the same scope -Info (10281): Verilog HDL Declaration information at fz80.v(893): object "s_iack" differs only in case from object "S_IACK" in the same scope -Info (10281): Verilog HDL Declaration information at fz80.v(893): object "s_mw1" differs only in case from object "S_MW1" in the same scope -Info (10281): Verilog HDL Declaration information at fz80.v(893): object "s_mw2" differs only in case from object "S_MW2" in the same scope -Info (10281): Verilog HDL Declaration information at fz80.v(893): object "s_out" differs only in case from object "S_OUT" in the same scope -Warning (10268): Verilog HDL information at scandoubler.v(114): always construct contains both blocking and non-blocking assignments -Info (10281): Verilog HDL Declaration information at scandoubler.v(41): object "hq2x" differs only in case from object "Hq2x" in the same scope -Info (10281): Verilog HDL Declaration information at mist_io.v(47): object "SPI_DO" differs only in case from object "spi_do" in the same scope -Warning (10273): Verilog HDL warning at hq2x.sv(247): extended using "x" or "z" -Warning (10230): Verilog HDL assignment warning at mz80k_top.v(30): truncated value with size 32 to match size of target (5) -Warning (10230): Verilog HDL assignment warning at mz80k_top.v(31): truncated value with size 32 to match size of target (11) -Warning (10230): Verilog HDL assignment warning at fz80.v(1085): truncated value with size 16 to match size of target (8) -Warning (10230): Verilog HDL assignment warning at fz80.v(1128): truncated value with size 8 to match size of target (7) -Warning (10230): Verilog HDL assignment warning at fz80.v(1129): truncated value with size 8 to match size of target (7) -Warning (10230): Verilog HDL assignment warning at fz80.v(1458): truncated value with size 32 to match size of target (7) -Warning (10230): Verilog HDL assignment warning at i8253.v(80): truncated value with size 32 to match size of target (1) -Warning (10230): Verilog HDL assignment warning at i8253.v(81): truncated value with size 32 to match size of target (1) -Warning (10230): Verilog HDL assignment warning at i8253.v(84): truncated value with size 32 to match size of target (1) -Warning (10230): Verilog HDL assignment warning at i8253.v(85): truncated value with size 32 to match size of target (1) -Warning (10230): Verilog HDL assignment warning at i8253.v(88): truncated value with size 32 to match size of target (1) -Warning (10230): Verilog HDL assignment warning at i8253.v(89): truncated value with size 32 to match size of target (1) -Warning (10230): Verilog HDL assignment warning at i8253.v(106): truncated value with size 32 to match size of target (16) -Warning (10230): Verilog HDL assignment warning at i8253.v(122): truncated value with size 32 to match size of target (16) -Warning (10230): Verilog HDL assignment warning at i8253.v(138): truncated value with size 32 to match size of target (16) -Warning (10230): Verilog HDL assignment warning at vga.v(42): truncated value with size 32 to match size of target (2) -Warning (10230): Verilog HDL assignment warning at vga.v(44): truncated value with size 32 to match size of target (10) -Warning (10230): Verilog HDL assignment warning at vga.v(45): truncated value with size 32 to match size of target (10) -Warning (10230): Verilog HDL assignment warning at vga.v(49): truncated value with size 32 to match size of target (10) -Warning (10230): Verilog HDL assignment warning at vga.v(53): truncated value with size 32 to match size of target (10) -Warning (10230): Verilog HDL assignment warning at vga.v(71): truncated value with size 10 to match size of target (6) -Warning (10230): Verilog HDL assignment warning at vga.v(72): truncated value with size 10 to match size of target (6) -Warning (10230): Verilog HDL assignment warning at vga.v(73): truncated value with size 32 to match size of target (12) -Warning (10230): Verilog HDL assignment warning at vga.v(80): truncated value with size 32 to match size of target (1) -Warning (10230): Verilog HDL assignment warning at vga.v(82): truncated value with size 32 to match size of target (1) -Warning (10230): Verilog HDL assignment warning at vga.v(83): truncated value with size 32 to match size of target (1) -Warning (10230): Verilog HDL assignment warning at vga.v(84): truncated value with size 32 to match size of target (1) diff --git a/Sharp - MZ-80K_MiST/Output/mz80k_mist.map.summary b/Sharp - MZ-80K_MiST/Output/mz80k_mist.map.summary deleted file mode 100644 index 525567a1..00000000 --- a/Sharp - MZ-80K_MiST/Output/mz80k_mist.map.summary +++ /dev/null @@ -1,14 +0,0 @@ -Analysis & Synthesis Status : Successful - Sun Jun 24 13:30:13 2018 -Quartus II 64-Bit Version : 13.1.0 Build 162 10/23/2013 SJ Web Edition -Revision Name : mz80k_mist -Top-level Entity Name : mz80k_mist -Family : Cyclone III -Total logic elements : 3,152 - Total combinational functions : 2,886 - Dedicated logic registers : 891 -Total registers : 891 -Total pins : 31 -Total virtual pins : 0 -Total memory bits : 311,296 -Embedded Multiplier 9-bit elements : 0 -Total PLLs : 1 diff --git a/Sharp - MZ-80K_MiST/Output/mz80k_mist.pin b/Sharp - MZ-80K_MiST/Output/mz80k_mist.pin deleted file mode 100644 index 19920292..00000000 --- a/Sharp - MZ-80K_MiST/Output/mz80k_mist.pin +++ /dev/null @@ -1,215 +0,0 @@ - -- Copyright (C) 1991-2013 Altera Corporation - -- Your use of Altera Corporation's design tools, logic functions - -- and other software and tools, and its AMPP partner logic - -- functions, and any output files from any of the foregoing - -- (including device programming or simulation files), and any - -- associated documentation or information are expressly subject - -- to the terms and conditions of the Altera Program License - -- Subscription Agreement, Altera MegaCore Function License - -- Agreement, or other applicable license agreement, including, - -- without limitation, that your use is for the sole purpose of - -- programming logic devices manufactured by Altera and sold by - -- Altera or its authorized distributors. Please refer to the - -- applicable agreement for further details. - -- - -- This is a Quartus II output file. It is for reporting purposes only, and is - -- not intended for use as a Quartus II input file. This file cannot be used - -- to make Quartus II pin assignments - for instructions on how to make pin - -- assignments, please see Quartus II help. - --------------------------------------------------------------------------------- - - - - --------------------------------------------------------------------------------- - -- NC : No Connect. This pin has no internal connection to the device. - -- DNU : Do Not Use. This pin MUST NOT be connected. - -- VCCINT : Dedicated power pin, which MUST be connected to VCC (1.2V). - -- VCCIO : Dedicated power pin, which MUST be connected to VCC - -- of its bank. - -- Bank 1: 3.3V - -- Bank 2: 3.3V - -- Bank 3: 3.3V - -- Bank 4: 3.3V - -- Bank 5: 3.3V - -- Bank 6: 3.3V - -- Bank 7: 3.3V - -- Bank 8: 3.3V - -- GND : Dedicated ground pin. Dedicated GND pins MUST be connected to GND. - -- It can also be used to report unused dedicated pins. The connection - -- on the board for unused dedicated pins depends on whether this will - -- be used in a future design. One example is device migration. When - -- using device migration, refer to the device pin-tables. If it is a - -- GND pin in the pin table or if it will not be used in a future design - -- for another purpose the it MUST be connected to GND. If it is an unused - -- dedicated pin, then it can be connected to a valid signal on the board - -- (low, high, or toggling) if that signal is required for a different - -- revision of the design. - -- GND+ : Unused input pin. It can also be used to report unused dual-purpose pins. - -- This pin should be connected to GND. It may also be connected to a - -- valid signal on the board (low, high, or toggling) if that signal - -- is required for a different revision of the design. - -- GND* : Unused I/O pin. Connect each pin marked GND* directly to GND - -- or leave it unconnected. - -- RESERVED : Unused I/O pin, which MUST be left unconnected. - -- RESERVED_INPUT : Pin is tri-stated and should be connected to the board. - -- RESERVED_INPUT_WITH_WEAK_PULLUP : Pin is tri-stated with internal weak pull-up resistor. - -- RESERVED_INPUT_WITH_BUS_HOLD : Pin is tri-stated with bus-hold circuitry. - -- RESERVED_OUTPUT_DRIVEN_HIGH : Pin is output driven high. - --------------------------------------------------------------------------------- - - - - --------------------------------------------------------------------------------- - -- Pin directions (input, output or bidir) are based on device operating in user mode. - --------------------------------------------------------------------------------- - -Quartus II 64-Bit Version 13.1.0 Build 162 10/23/2013 SJ Web Edition -CHIP "mz80k_mist" ASSIGNED TO AN: EP3C25E144C8 - -Pin Name/Usage : Location : Dir. : I/O Standard : Voltage : I/O Bank : User Assignment -------------------------------------------------------------------------------------------------------------- -VCCD_PLL3 : 1 : power : : 1.2V : : -GNDA3 : 2 : gnd : : : : -VCCA3 : 3 : power : : 2.5V : : -RESERVED_INPUT_WITH_WEAK_PULLUP : 4 : : : : 1 : -VCCINT : 5 : power : : 1.2V : : -RESERVED_INPUT_WITH_WEAK_PULLUP : 6 : : : : 1 : -LED : 7 : output : 3.3-V LVTTL : : 1 : Y -RESERVED_INPUT_WITH_WEAK_PULLUP : 8 : : : : 1 : -nSTATUS : 9 : : : : 1 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 10 : : : : 1 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 11 : : : : 1 : -~ALTERA_DCLK~ / RESERVED_INPUT : 12 : input : 3.3-V LVTTL : : 1 : N -CONF_DATA0 : 13 : input : 3.3-V LVTTL : : 1 : Y -nCONFIG : 14 : : : : 1 : -TDI : 15 : input : : : 1 : -TCK : 16 : input : : : 1 : -VCCIO1 : 17 : power : : 3.3V : 1 : -TMS : 18 : input : : : 1 : -GND : 19 : gnd : : : : -TDO : 20 : output : : : 1 : -nCE : 21 : : : : 1 : -GND+ : 22 : : : : 1 : -GND+ : 23 : : : : 1 : -GND+ : 24 : : : : 2 : -GND+ : 25 : : : : 2 : -VCCIO2 : 26 : power : : 3.3V : 2 : -GND : 27 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : 28 : : : : 2 : -VCCINT : 29 : power : : 1.2V : : -RESERVED_INPUT_WITH_WEAK_PULLUP : 30 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 31 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 32 : : : : 2 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 33 : : : : 2 : -VCCINT : 34 : power : : 1.2V : : -VCCA1 : 35 : power : : 2.5V : : -GNDA1 : 36 : gnd : : : : -VCCD_PLL1 : 37 : power : : 1.2V : : -VCCINT : 38 : power : : 1.2V : : -RESERVED_INPUT_WITH_WEAK_PULLUP : 39 : : : : 3 : -VCCIO3 : 40 : power : : 3.3V : 3 : -GND : 41 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : 42 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 43 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 44 : : : : 3 : -VCCINT : 45 : power : : 1.2V : : -RESERVED_INPUT_WITH_WEAK_PULLUP : 46 : : : : 3 : -VCCIO3 : 47 : power : : 3.3V : 3 : -GND : 48 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : 49 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 50 : : : : 3 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 51 : : : : 3 : -GND+ : 52 : : : : 3 : -GND+ : 53 : : : : 3 : -CLOCK_27 : 54 : input : 3.3-V LVTTL : : 4 : Y -GND+ : 55 : : : : 4 : -VCCIO4 : 56 : power : : 3.3V : 4 : -GND : 57 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : 58 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 59 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 60 : : : : 4 : -VCCINT : 61 : power : : 1.2V : : -VCCIO4 : 62 : power : : 3.3V : 4 : -GND : 63 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : 64 : : : : 4 : -AUDIO_L : 65 : output : 3.3-V LVTTL : : 4 : Y -RESERVED_INPUT_WITH_WEAK_PULLUP : 66 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 67 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 68 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 69 : : : : 4 : -VCCINT : 70 : power : : 1.2V : : -RESERVED_INPUT_WITH_WEAK_PULLUP : 71 : : : : 4 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 72 : : : : 4 : -VCCD_PLL4 : 73 : power : : 1.2V : : -GNDA4 : 74 : gnd : : : : -VCCA4 : 75 : power : : 2.5V : : -RESERVED_INPUT_WITH_WEAK_PULLUP : 76 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 77 : : : : 5 : -VCCINT : 78 : power : : 1.2V : : -RESERVED_INPUT_WITH_WEAK_PULLUP : 79 : : : : 5 : -AUDIO_R : 80 : output : 3.3-V LVTTL : : 5 : Y -VCCIO5 : 81 : power : : 3.3V : 5 : -GND : 82 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : 83 : : : : 5 : -VCCINT : 84 : power : : 1.2V : : -RESERVED_INPUT_WITH_WEAK_PULLUP : 85 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 86 : : : : 5 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 87 : : : : 5 : -SPI_DI : 88 : input : 3.3-V LVTTL : : 5 : Y -GND+ : 89 : : : : 5 : -SPI_SS4 : 90 : input : 3.3-V LVTTL : : 6 : Y -SPI_SS3 : 91 : input : 3.3-V LVTTL : : 6 : Y -CONF_DONE : 92 : : : : 6 : -VCCIO6 : 93 : power : : 3.3V : 6 : -MSEL0 : 94 : : : : 6 : -GND : 95 : gnd : : : : -MSEL1 : 96 : : : : 6 : -MSEL2 : 97 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 98 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 99 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 100 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 101 : : : : 6 : -VCCINT : 102 : power : : 1.2V : : -RESERVED_INPUT_WITH_WEAK_PULLUP : 103 : : : : 6 : -RESERVED_INPUT_WITH_WEAK_PULLUP : 104 : : : : 6 : -SPI_DO : 105 : output : 3.3-V LVTTL : : 6 : Y -VGA_G[0] : 106 : output : 3.3-V LVTTL : : 6 : Y -VCCA2 : 107 : power : : 2.5V : : -GNDA2 : 108 : gnd : : : : -VCCD_PLL2 : 109 : power : : 1.2V : : -VGA_G[1] : 110 : output : 3.3-V LVTTL : : 7 : Y -VGA_G[2] : 111 : output : 3.3-V LVTTL : : 7 : Y -VGA_G[3] : 112 : output : 3.3-V LVTTL : : 7 : Y -VGA_G[4] : 113 : output : 3.3-V LVTTL : : 7 : Y -VGA_G[5] : 114 : output : 3.3-V LVTTL : : 7 : Y -VGA_B[0] : 115 : output : 3.3-V LVTTL : : 7 : Y -VCCINT : 116 : power : : 1.2V : : -VCCIO7 : 117 : power : : 3.3V : 7 : -GND : 118 : gnd : : : : -VGA_HS : 119 : output : 3.3-V LVTTL : : 7 : Y -VGA_B[1] : 120 : output : 3.3-V LVTTL : : 7 : Y -VGA_B[2] : 121 : output : 3.3-V LVTTL : : 7 : Y -VCCIO7 : 122 : power : : 3.3V : 7 : -GND : 123 : gnd : : : : -VCCINT : 124 : power : : 1.2V : : -VGA_B[3] : 125 : output : 3.3-V LVTTL : : 7 : Y -SPI_SCK : 126 : input : 3.3-V LVTTL : : 7 : Y -SPI_SS2 : 127 : input : 3.3-V LVTTL : : 7 : Y -GND+ : 128 : : : : 8 : -GND+ : 129 : : : : 8 : -VCCIO8 : 130 : power : : 3.3V : 8 : -GND : 131 : gnd : : : : -VGA_B[4] : 132 : output : 3.3-V LVTTL : : 8 : Y -VGA_B[5] : 133 : output : 3.3-V LVTTL : : 8 : Y -VCCINT : 134 : power : : 1.2V : : -VGA_R[0] : 135 : output : 3.3-V LVTTL : : 8 : Y -VGA_VS : 136 : output : 3.3-V LVTTL : : 8 : Y -VGA_R[1] : 137 : output : 3.3-V LVTTL : : 8 : Y -VCCINT : 138 : power : : 1.2V : : -VCCIO8 : 139 : power : : 3.3V : 8 : -GND : 140 : gnd : : : : -VGA_R[2] : 141 : output : 3.3-V LVTTL : : 8 : Y -VGA_R[3] : 142 : output : 3.3-V LVTTL : : 8 : Y -VGA_R[4] : 143 : output : 3.3-V LVTTL : : 8 : Y -VGA_R[5] : 144 : output : 3.3-V LVTTL : : 8 : Y -GND : EPAD : : : : : diff --git a/Sharp - MZ-80K_MiST/Output/mz80k_mist.sof b/Sharp - MZ-80K_MiST/Output/mz80k_mist.sof deleted file mode 100644 index 03ab9aece096e3106a0535f36382467a50de0f15..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 703954 zcmeFa4VWcYc^-J`_U+c4o(A0+jl=-LoEZ(cC1kjg0LwO*GowL_upAm8VcD^lD=`uh z$S^^|i}Tpw>t?`$6;2Biui|x*hLAimuxmMCNj4_Wb0H#+7HegZb-Z>q&W?BOJpN%F zt+UIL#98)zPt`g1)~&x&-PPTe`A=ly=_)H&a+s$2cGx7@5K2e3ryFJb-G z58Ug#TJ<#n$d=u;oK z|ADjmy4PN>uN%DT`Ws$#{ouMA^xNL7f8?IK^&8LL{}7<6qn-!OH?G`p)Ag@;<8`lo z^-VXbIjiiB_daz0Ll4|@RvlK$Kz!%YZ(s85?^yYF@dwwJeEUtPQ%b(gHA^m2eQrWD z{?Xmk zBJY(~{bi-D;HLUREN}V1L+3v5(5rs(uiiL#&pUqd{s$j=<-5<`uU_}y183iH?)~q3 z_dV}?=pFaJ_ulvY;PV!>eqB;xcd$z(`X|r6<{fA6f7d+^KJ<TYtP<0xcB(0;`XnaBzWDui0_yjd@Rss@A;|w-+9mLk57j0xITB+ zL-)P@_=J@YzVF`S`U4N%^Zs|f?<~-R58j-J*ELE1x>w~mbVz;TON%xTN_D?@2f|8O zQAd&TiN2jx4tCp3cu)Ao)5)-Xp7f^l#3DqjKLACSPK=+t8u|aZRy*pe* zS!@{~A(EKsxX>iF6b5Zfuus@GpQ=Cu)WyCf0g2VJkR6Hl zEd-lA#i&B~!M3)EW;X&W4VbpAo!pE^o(4?aWeT0q zpDoEicBg=0&y0jynSpl4ja}86iQleTGt0_rM#{{xFEwqG#s|2@32S;(3E$QU1KOq1 zf+hjmHYhevTzs099+EQ+g)4nrijApK$Lj%`krOo=ZR$j7{;1WoIt`cfF7`~OB)A|v z@Oo3R3pS)#U|x=?n^m#@*xCS<9n+=B%H22@3I}v?md&}1i~Lg;`7f>_Z+vidv+>DW z5!JF#4Vh6yZ}Z7QEsu8NOs!>LIe5Liy2;uZOLL}NGgJFHyBjaoIi*EK;h7H@HXR$G zdXC(h8|;ki_Xdl-s7zkrPBOR~ZqqVfku4#lN`!!7wS)h+lTuqnSH|U?0*R2QSgm&| z1z{UX%Iy>)6EeaxvCJtUu1si9h1%=!9DswAQQ11dI^Rh<+Ign{bDV4v8Ztt6Nmi=$ z4xfLf8src{|AWK?p<2+sjDS6D_N8a_oZ_I;q)3xwE!W-w2AlLe%v zUmO?1W0RU}vn7O-3bY6T;c83iYz|n=q45`x;@Js}AN-S^L>Vc@P+A=n!h6jrSH8I+Q zq>i^!fl?#RbI-?e4vR47z7op?Eb0<0H)8P+Y+t~VuE>@UQYym<&V024w4YR4N{72d zWiEK9COPxfro8o#3gz2c&7Rq$=qAY;;cD8$Qlr@Wn-!jWTM^C1xw)pWCN(l)zmG+* zj4QGwtS%LtE}DR1wMU@WLkKMuT>C5GGHja!UUR!xZOU6es>LnXvX!a`G~dCiG`95@ zMFjlW=n@E_+eIgAwj!q_ByKg;R#F-w@?G!)DG+tkIyN#>G*^LR9FShEk7c%mj8&ou z2v=K0SH@-7I2ma)w*%GssIpBfyBmSz`0cI{GpId#Ri7v349Q?~w&=|@Yg=6u5#R{k zN<{>k4U(S?T>>Fg@3Vl^oSIjWg|dC6)Kpu^XNbsm!6!06)KTl$$V|~(rQ+cb!kSdb zuf?M@tlybe0xkkZPIM!@W-wi0EdlDgTb$~(Dd!?JyAMV%Z2LCDOcIVDxGG62+6 zTTY2?L(ct|Q5obbnx3Wd7M>+OJNxE$>SR=W=&_i~pmrBCDr(yJnWDKWPDi{xLLgqPs~BWTVqnEuvp!sH1zQ={$gpuT>T7NXs`XK2n_Ut<%GRXt zL9y8qM!5-Le{528lVmN|3`SrkkFabmP)+y#DJzoEbE)6Ol(Od=Q7(jZpK4jSvGL{O|X#&F4 zmeN`Oi@6LNCu4%Rhnar(sL?UGBasTNwKoHhLI{&J2jP8@d^IeuOZ0gKq#27)F(11 ztfSVkk&L3bN+l2uA*@M72K!#Et7xxLF-)zr5ojZ@vk_=|YTB~(X3GpMK^uV?jX<3o z11`Aqz^4{DB_W>HR9i`Dh{(@yd};$k9kq^)%oNSl7BZ@Ajzz*s(L{u+t>i1=GHgt@ zA)2c|wLYqB(@GnGHUfJ&0)tsMel+U_w)S1b5%9C2OCW^7$RtjNrI1WTPDzX`U)NMy zPKH`TM7|4cM#b2Sr8rG81hpB}I8^Oc8-YC-0in&Ak=n2{Gen|nx?+$iVasySxa%&2 zgsUwlyt;&I#P53Aemw?Cu!O4B#BuSG_9Due5S4&9XjiQ^ z!!cPPFshFNVPS@AT!Pvf-g-8&w$etRjleEOK&U%&ZX1?n&S{ioE3zekRf-T1uC|h| zgv$@4AQ2J;s@23!W>lGYctqynh-;dv@P-&xlPySUs;%T~NA$`NwSfH>fziynv@L(7 zYUaIe4QwN@uOcAyCNReU(h#1S>$fgvNb zl{Nxx1lkB(6cNb2GkwO~=0(<4b~FM)ZQ&E9A$+SD71RlN!qpO8EE-kCTzfgAIs(s9 z(JpqAm!2wR1Bmt-Rb`l3KpO!@fM;8iqMIaZx%OlPOw(3#e%O~{n)YgEA|*7te4h3Y zAPtuE9G@*Aq)LQd8>(KK%Au9 zE+=7=Oe}Ls9Cg`ewc4SBl+pOQd?%;w`b{0=a&Lv)gtXE|ppC%pMB$iH} z-3PNnWTj8H0WqOg=RRC80jVNf$Czdaa$}otC$V&C%#OkdwfWC9A>yJmU+eE1y;Ma5qb#9vK849z{+K)l;=DL zX!@0pd1}k~6uv4HyWrVDQb(<~tU~#=RyRHS>TR`lGvrNsxEUL^(1z#URz$OLCZ)_D z+kwnhWJ}0cDmYy<0pV&(>D19uF2lwgb|9LoK(&5UW1Cjm2(%H{#R&Mb(IpTQ%hwsTpUP!7f{)( z%clo!Ud|~AF~6qTN=ie7%YlSD-cp}@psuC|=4oXfDf&8QfKR@w-(5ojY&9)VGL^$gU`(Bn%p5RDx%p-zqggM516=J=eF zD!UB;HPx0=A_*X8L5AZK86fJYb@>vRDVi(iw&9LL2zOGE!M<1PE3zeQS0)DPGy&mi z%jlF^Eaft6Os624t3b6rs%*1wMD~p*ts|R@fT`C^SHhEXs}k1&_HP6@9W*JrNwS&Z z+M|*3vte<1;30^&PY>K=n^O{USUhK#+Db}8L_U{KWPqrn*0GV9qPcQz8}2xSa3>WR z?0dDYVvs3evr5rKgsZLOE9M#*Hl|Y$%~haUA62&5CE+t=P0Ec;lFby?9v!H*97A^I zElSg&+oCxaPjlVC!Mk{()wHM5G+`KD(`TCwQ@eRoR~a<+vte<1;30s!^uSNHIVB+` zdCoAkm6V2vd@i5J08vM+V^c~HP2=gTHVnj|ac3Ye!o1T2}E zG^eCkSzNr@+o>Il@yF~H{11D{&tlvFFN zm)eBz8G>96B;4_q`qT!6b-Z1@Wy3*)^G&oQ|<+rH#NIi@;#k?H$d!f&0xq*>4VQr$2Ra4A_yGNpng< z%&n=mlF|_2aveXfq@g-!e5=}t3+A6v-F2lxE8I=;E zybCGISTi&E42_v#=_oV9*3FV>94lI>5dk4K{|rrrtjI%vG*~9b&OxSxfO65e>n?;$ zsg)cqLMr8IAf~vyrK9m629#hagyubckqpo^n*wgIOa>~igpg7hT~W*8QZ8Hhr84jR z%mJtnUKG>vKq+-4+Fl?*<|T;dQ0U)eXdx)by!0@q1h8^hsI9h~Z&2of;*+zZq;J&m z)&-%$FrIrfLC(_g$b>@YiV#t*)kfZD$iVP8#m8ZB7YGtE8sdD!P(MX?#FHQbVm!Cj-(- z8-ZDkz-U$t^LEYDYhL&w?pE3eTnrH~jXEi0D^CwR1W1D=J=tbU2&obwAY5$~T|Sqj z6B&>Qi2~JXV%v;L7>2-*5!y<41UABwK%EefQM>373H@M*Z6nryQrgj9(TP_EWP2(6T2 zE}zJNL&#GM%}+MihLUo-oP_X9Eax&MgjGsSwUvCSL#hdPb}f0QP*_8)kc4e_QO-52 z+ddZ8Fz0O0nWoKPPidu%KpTNJ0!>E1G)YD-3{>HF);Ueq6l3wiwVz4d+-g{wnNv}g zt;m)DRw+V6uG)n>wUvDNT-F8SoC1kp>Ex=-daHr8$wvJ9_?&onMCM0ku4$^mXD)`- zbhA=;STpg^DD+Ml?05*bOGQviPJU)XHZDPJuG*{%DK@h1lB_hWSAvdD!%ADaHUfJy z0vrZvh_1p)6`-x({}JHC-K6Zy?>mJi2j&<+8p2bPZMKAvQbDAIfN-^?boQk>#auoM z0Ev*O7@A5CG_jKhHRX0WiEEn5sAlLImC(S93k_VO+L=yE>-W0oz3#va+u!!W89q3z zX>A1da|DFWW#(4H(o6<{vTQ}R1h7gGBEr>H@|AEkkWs~XRVE&qX0a}nIJvKDnTjlw z?JK3G+Dg9sw9!sN{(yj_hFYOcwpB{ob-QXK&_-a-Mj-sw$S%1!^ACh?A}(eVZQ3R? z7y+S8ekRRi0jcR1NJDsPvdxwdQYAt_xY{bZGA^IUfJ8_Xs8$opfH+CHT~5L#nONqO zIO?*`nrh3aY+dS*Y8E+5$B{u{4YfiN2Bekn2`|dCOK0 zM0M4cu_-k?n08GF_m=w69))$hMfh!yNk$oQlE}Pb@dB&d+Xy`bW!Z{s31H z!yR{q3U~bZ9x2Uzf7<(sYzc9tg40D4P^q?KA*Ecb0B!pZ3Jfis{Y`jJv6Q3Z3OmD1nT4%@Y<&b zZjR3>2?qOwn99w+(k3Lb#KP4EDWRUy&_gyDHHHRI4?F z1W7TMVf+1>Q3b`O1+@`qBhW@5Fao1M-0s0<{_&;V3(a|dc{!(~%2WeD zO||8eNCL=Nkm2}528cRpUA{zSiss6>ZMfqQ!ktuPuBjsnq;`G2n z5O1FzxXCuBB;>Go&M>u=l!l0WE}zH%QAe#~BQr&F<=i&haR}i~Dl*vjYF))3Q^IDI zqKODsTgg|)1#}(Ojhx2!{~Xczwy>;?=r}i{ToTjRd37N>v2dd0J^B&_TfP=1EvQyU=4)q1B=Y-FZru2P2O>nqBra;{pDu}U-n;cBbs z%D4<0Co{d~cA#1x)wl&)w$etRjlkZFz+l$R9?iOet$i191pI7RoE~@x!n89PReCB9 zIXZ@*d>7h`DjmS$G|2}M4Ky1SLo@xr5Z_981d_}(yun3_CQ zK2b49m2`yFRibg%T?h(STTXd(3D;;mG65x6Le*+wIjY4X{0O($5Izy8Z0HE6kkJ*j zEUsWf^_R+A@IyF&Lasn>HL>GBDaF?mUSMJI+Xy`bsLV?bb4tioDhsvMmeMJFRk%Pz zt_zA!&W;k7y(_uU{MR#*dAGzgt(d)hro_yYHMNbA+AXFUq=udHlb9_tnOjcDl!nYM zzgd^69lt=4Id-K8jPl8N#)a|71fo*4%1~7X!opVC2(%H{#Rv#>XU=WI(!3KkTahhc z+bR(P!qryMm2vrj6eL2TK((6K$&4x!50CH{TpYwTO;vb9466wZ62~b-m)jo1*N*5x zVQNA9D*~gLcc*>L%gi65*1$FbRS^&Z%I9eh0n%Ver&`$(LaIav2v=K0SH|TN8ITBx z0@Z3_+l)#WhQN>!+DaRNHUhgA0ogUpdrh%vz~J_s=?w&NpHT??37;?x;i;=8*%CrZ z1?q%=aJ8j$N|$o&<&5eGJWEBpJY?lzLhS~Y_8L`Xm|8#^0Y-plTa%)jBx||$WCTpp zR&##XW@-972s77&!NO5H5GhQqLW9cZX%7L?U`fyM*%CskLI@9Yi$}IiX&*-9to0$nONqO#K__`xoWB{CPR&2NgYy+0&my&fS|C3S|JGovWv3Pux<(Kb`5K7>DmbF z$q2YXuPNiDDWaBV??!+#Xp<68<4rQ=aS02{(*q9y(qKtXw%HOwN(GS;0>agn(%I`i z#a!crp`KbzrIQD>Mvmc{rmjd`4A-c3rqeRKdtLNicc8VujX)cLHUez~(h(5u7N;Yr z3mQNg!c(b5wuF!>5dxgrY^pJ>wu)}dB)AoWJOpVB0^BO+G@&Tu5rSkR2{XNN}Ie!=Q1TRu55i9Dks$H zl7|aS8IP-5$FOICdBfk*m>u{DwPnvVq}UQQb(*5dbfEG|66WHM2clXQ7ZW8l!-K_v znurI`b-Z<9cj`y%G?`Z{USM_Rg@q`~R%A;6E0?3qo9Zj!7KuBJU~#Her*o_kvn&Bm#L+nu4pn$*aI{cMsqQDOp<51cNVWxF#$$Y)hvgnyX@H%3D9Gu}#ym)x*}bJuP1wftikgKO2jOCl7%mnKL=3 zB*b6O8K$<9(h!l)Zo;WWTt4Y0>?NYy;>i#YzY~wL=!Nrb}`FENRUi(jV(27 zPq!hOt752kDg|MiR@w;6VgzRX>cL)qPa>X5c&EDfq1o9Lwre$EMIF@hpR0oE9Ww-ZZj%Ip_MiQ zZ3G&O07qUg92@t8jJCsxMuRi+k1uUU1b3ISPL2UfeKO$Y_?(g|hYSEU)s|Bt2_WbG z%cu;Ztm&DHw&6}`B1b^6ETXEI%dp`-%cz#=Q!AT}Kx!u3G|S#>XzH2G6RTX3bE_P* zziK&Qv@#KarURlUxcArQ9*tDZ)TW7yipRaC+RCnCh{#Vh{KX<5>Zo;WWTt4Yiqnx) zWPtQ)UBw_%Lc&VXM1-rY4Eo19Tm=j?F32+$hg6mK_A2Uab#VwuJCffjUh z6+^vKDG1x_lFT=(*B;7-Ia|60A|PAkXm5mUHG(#lKP(@i@^MDkB*SG`S|tL2At>JksuNa2yxM%IbIK6wAl#;Cu5uB=nw0I>_iA0m_Fto- z%4#WeVPx2tZbLLzh0wIOKB{apBa*lg`@@@^DbcCDcBhR%8-XSxaH2`FmaC1xWCX&d zxycqgy6tB}mp};BGYeSeMruw?D)eMUc5Y>DrA(?_LWttG4!PtbqqdUI5Rq{~vy=y5 zgBy@Zwb`5}t=6%{Emf@?18t~Q*w#ChEn#()XaXWo1=wE2W$ROi)Hx}vGF-6)qPfb0 z_JlRwsT71YyDyCMObBB$`?5EzoXt3z*)(fwRt>h+JbSg6gGG}vYLcwwY9r8S1On!1 z4}s#$Oqx>?VxQ;41fFy8ruIB-s5F%xcuRdE1H`1-oSY}6*0GV9qPfaN2y47PLLgqP zugI3f$nv#jb-3DcvT`oN>Z*)N2~pmulx3`GrHw!vfnAM&P<#Fvnha_k4*}9(nH)O@ znGyoZMI(2{P|qJcmO6CPNEBLFT20IVFIV%R+6n<$QxO z7ZjhI9VLCEj<+r#<+``G)-+Aeabl*#%#<~?jgi_d#tk$#J4!R991q;KG(u@6rzM>I zI8~8TLR^{PbV-kPU5tR5EfV&~a6DmGfo0VqXhxtAni+>sA~8E^9cMn&0Fi~DEXWKt zZl#UDzKQ@(r&$o85t(z_urzZ{qbysIEdi`jgorS;VUa54a)Tc=3RlI@{K1ZGD2&Gu zEP|zigotF!9fvHZgt#in90tu6j{PKe9E97IlJ6wvp0QKdcAS!`aB2-pGY}TG(neqw zBQSzdn%#G1rG2`2XAjLxcZQt}MVU4o&kJRcovSn3p{glTvBueTV2*(XhK*7)X|{xr zDiH$0)mG8vb7^a-Pd-2*BnnikiJd&_Qf`-%xTahEj!d3vwc;RzS4x{vRfebqv=Nw$ zz~m?}Sw4f?GJ`hF=5;gEK%|;}XS!~{rWC~>wb$$3%F_c60n%Ve&+*w3LP`bd zgn)3hrF2S{a_!}eikHM(y7VaqfgxMw1_${hUo#%Uic;A?ZJSY*hN!Ju%1*+A=G9XS;PL`9fNhX$anG(lb`svjA zj7k%p;R+t$i!-Vv?-Ud`wL)#aB3G@45V}ipu3^2q{zrNn_Ge6I#+%J-v8S}sMj#Ub z`y>HN8}A_;hFaON2>1iJV;ZT*G_9@iZkRO?6Q!^;e8Mz@(=tCVXG;jF5+NX?c7>%W zc0z(A!)4ga#?WN}*cTQSL%mZeNZcaFFikecZn)!Y=XRzdr-ZmNp+V$4ghjAa*iJ;L z2x{}4v?q;s8!+bzn^dUv5JGoJY}bMArcQpbU1yh^+0SbC)nqu>&Lh=SSFUX%hk=^H zL1jYB#P-x?*zuRCR_Wa-ZRyH=v#0lsC!2I6tgD2R@5~7$G|11SnJj>P*F&&vu%sv3 zYzZNy0xd#7xY|-WJBt=``9uaJLZV`5%3DpWJE#WRHF6Bsl*f=wZQCtgqZ0Cz+fGEK z}`8QcFffL?!9B`_sHRB&1@sEtr3uE z_DsPvU`O_Ky&MBJ8QPUfEwUwqREZFfn;vvi?7<_I<1!raQi)90m7qef#LtHL=}2l$g~H5Cno|;DSFT#gu$vGrVk|J< z1)sX5Dp=%@%jjXc(uMFTM{FS*9Kgg)st#78P(832rU&}=bGe7r%8rw=@dkBRSfk` zr66oG8)B>(G%i<6ZfSTS-EU>TN5C}gAkM8VCU#9*v`u_TMpC&vIcz0}mrl9d=y3x~4o7D8B+ z7y@!L9ODxiAnJJQ*vL%LTsgN5cN{{vlZpfOy;@h1^Ox({u1Yik;cBbsO1MUbjp-Cb za}}u8N0n`MN%(MAlfpmnyFUf}k;k<$(eY{37~d=rNz=w~FxU&S2|GF9CHdlc(^Wmb zo4-g*We-e-p(28rDYtJ2iLL3WqsOc7E$b=MPbB;9bE>*>*Hj{A1JJ+QS}L(Me;9w1 zuDhI5FST>F>Z3Oq^cs`2aG#R|oQ7sV{A|cBoXG;xb1D!#(=--e(YyABiISra*$M)R zMR&C7{YAfT0MQ-jT=}*VA$|dC%?+M#&axF2l!>6y5RmVJcM1r{Spc0>>mg)@h~_#M zA*@M72K!#EuXy4+VDZqWNmV7lXkhxpK82OJ7mriX&6SO4Rq#2bmbfyZ|HS0S!LTXW zA)2dVsCOy_VVg$e^bsmZWH6^T+;-vTysXibbqhGCdcA93>#*@t%Wg0J>=0Zg%Vm|b zRHyAxN-Q!;ttJPs&_=E7urCQf93BNj+nowq?)3^i>3~e`6l`l-KFZW$WY;`}l;O$+ zklR&K)$OXRR z{@lGNrtQGq636+mJUQMh*y@eD3z~ND>~O;1%iV?)FiaQs!$LaUY-jg77v7bQRJHmP zo@3U^C2F{ZLoe!BwbHF4cr*-YcpT}2V6g+M<^~<`5Nz|j)L49l`ocBSC9E!!J{mjD zA%7vcepi{=l`<~Y35+)i0vA@YF8C54oZ1j?T|mf8(OjkC;Sj=_RAjL4)w+uQ;J=rC z8p?L15_My>|Hq|D&a9qCMup%(Z4c~5&~ya$p(Y0{tCS7`Q0T(QusxlEXs!yOX>Wa0 z*`^7JFi-{gGgiiMQ{<&M6my8mwO81;P|-GFMNJV^md1g9R%GZr4>#yo0$s45Y(M0d z=BmEvq{q~6xyvo8uP69E*zK*rSRZMaZb~IfDSw_(sW5vg#6yNmqt-&65;Lo-H8av;DQa{$+< zBK0lx)Z!AJ2wOQE4^#y$D}&du!}expgaWOZV)7f7a$KZMf<;la<_1p)55ab(LY@Cf z6=~GO&&>A`g z(Od<3>!ZpxjmYg2--?gk7ljT~M`FEzWm#K|OPoZy<)XL) zSmK!Qq91#Z;v{ewi@~BaR~fOmOzGY$=*3PZhGQP&w~GqtGbT~w0?bGWn;5P#(jU(l znYy?@#~%`Z%<7s)+b~Y?nV5J;QfhFrt7MHM#|oBpwCM^~^@Wdo7Race ze5Z>AW99g+AHt^NX&}H3A%-&p#%+ziQ!WS=e%YSwk{c*57ZU6N*|^t?tvrWQCBB9^ zF3Z{Knh_ILgYKAQR7!mf1$TZV9ViBGW`w30_678g>^#jgW1NOyo1H*o@fGUUmrayB z{c;RcWGWXUy$6jNsh?LJpHV#n_1`=(!IfC%PSpxVR_D9G0YX>}@tpb4l(!BNx6Cul zA%u`rWU%km`ihm`A7@nkSAVjD6RBEynzsk%Z#=bNGpc7qsqaTG>yJ9-VYVjwac(%< zxm_YX4>UxjT<|5R&{#^K-YKWn5N}1uxkY)Z%kWw0_s(>>(RsI9_KDkr%0Ab3LuWy~ z?lK(q=O5~*RkfZo)zR*9VPDw;qSJO(`xX1dqtp?HKFdO_w2%6P<7xhhioLaoZ*c6i zu^4=v>DY6}l@xvA+l=P7#G#7C@Z(lx@*>qh$B&h4aV=~=eTGJcrK$5hiN|!sK&47l z)SJummULe9ypD&96BuK$Suu80_bFb&n0CS0H5#)6C0GifdE>C_^!1uTiJ_)c1k)v5 z3_eLri7b%AyfNQVXR&BPXb$6HqHfnFvJAFhv0Qe8JB9K@nfIO@&4m=SPjkbouE3sVQM)BJSJp`!COApf}UG-UVRmgW!?TxXyVQR|>Z;VP@fFfKwV^kpCl>oI4 z61Uu)^-9BPH`pO&N=%qF)dLH8PTSre##n_)dQ1gOD(Wk%i_cIycCfvJaC`dfjwVa+ zkZZ^0NkkUQYfyDygCnTmAI2y@Fi;cJa!To?)S|ptcxe!0TSo}-T#2Re$f;Qcajpn= zrlHEPGy`eunD)*1n9k|0x{B&T1lOm!SUQPm)z=|HNVfx{8zL2xB3e%Grs}45dV(tf zrZX4koQ&9%@E8oI%J%H8yyp0Xi|>LT^Oe46)p;7AXOC-jVStU7AtE2l`6g3Iw37g# zvd|M&DML6TgK`;SMbe zl42L^Fq2F(Lat({cPa&O`ZI=U)+EDW+VJfu4oGZxg$6_oFIF}yqJJ?zfu$|vseJxpRNUxfaI zt!N|OwbMkhxj#QfW4AbjUcf`a1&5gUwBrzS%4E;U*X z=(28-fJh2caA7UzNC zcB*33#dzaNLNlt9V!lVImoKUQab{Fh`_v27hWX(0BhU3PRRssG*ahzt=)`eqZj^iL zA%s$#lfs>1eGC~T!>&Gm;G~bPTz0b(2aOx#uT2L}xFDlaa=N4X%A^Fqzqq_$EVhfgenv61 z+Q%|EP+@8d=u^?*jygW_2aFp$=^>G^A9Yurs&2y_#7i{MMSCNqv{Y(Oeyhz9&Px(0 zt$j(IjihyMli7?SuAy)!sE`fR^AyGPsWk^RZetcd@nB8^W_6W0>1i}5m;GMRhK?(q z!#U?4q+KZjhm@C&jDrw{a*UK$2iHzsqEfs@6(r9cM`~~a*Qjv8rPR|Pww*Y|gqBc| z<_2x%NpG;&6N%%qa3`_FaGPhCtB}!SbG(BWmxN_h;F1w8w)}HMNh3X|RtrptXxLor zf@cSVkfj*voJvF3hT@zQ?v#@dk%^`H#LwVn18NMbFT2@(aI;uAq`&lB9NTrms?`>g zp=JY1zLT`ee!tS#pw#eIh^J+ZiFt{AzBT4UMl}dvh6m=jq|B-l*?L_*Xi==Mrs?d6BNeN^yg@G z!1V+);?SZDR~-B(X6kITg)_3!P1rFqW0v^W#^e3mtptDSAR;qVX6Qr3vEGF(w`D9WD^X9-42-m3W zP$kDOv?qocbT{ebX(vHJUCWx=?S9kcJa#CDjqj`Tu<^-bA>3K zim<9HR%_ixyNrL9?4yVHaDGxXOL@spD>dJ1aPS1kc>Xw^+)&1S*kUge!VBve5ztDSJkOD4Iv9PB8oD zV-+vNQUCatw32^wMn!*iO6jWax~4OV-IqhS_V=gQ{vYT2oekWaQ6ErW?>upZ{!Cn- zpm-2=RgBdxT!&AXhVTv+Ka-yM^_O?lPhGCm4gU}Bcs_cGwh+P!Wlbqz<*lz-Q(yYR zv5tD#tD^q5Z&KY`KmQ7)e&al6|_~G9& z>J?>PB3A&cBegjCB^Aw`U6jSA?^M5~)<z5 zwLMxkaG=@p(6#tjc^)2(wNai^y*2*6_|sSnmil7qH`&1!x8j(Z<4_o%&!Yg<5hKOl z$m4f{=vitYU96Y!I3mh6>}&8OfF=h5;u#hV+YTUH-T3Fom1jf0ixwIU)ERXep;6p) zf^UNCZbP?^Pk*^$qHJOJg z^ssacxxcrik8f+A`DAzF!Y|_VJ8`Px0$1$Syn4Kg+k+OU|1=t@fBB}Ke(EX&=3XqL z%hadv*6YcRR!n7UdZq%*3-Wei=6SQq+;J zbkznSr4sej`M(WSpGAT(`0-=CXa5t@gQJ&r)v3o0_SBE`jrzuaG)l-p%V<=oJdE06 z7rawI33-a4DQ`8gle#nU@CbB~bYxu9RK?t*-xI0FZauI#x@NA|{oGIYgp8%KqVZ~% zo7Le*@vGb?m#9 zLPTK5++4*bTai)!j!4aa28U}!M?JZ|GET9wjT36Ex6q3WT{1r#PXEZlZ2O**3XL?oxw5FK{rTkV+Ldui&pBqz9ZgPv%TYS?06B-mrDmH z?Cc9h@MUXdFLtQE5@55jbKPf&L=JF*1U#j!Xk~=w62;hc$SSZahfr-JW&^R3-pTkE zzwzl`sf|DJGweim^XCwf|`jp4YV*v+?I{Z`X^=xd*P>TU0r z!%y}HFH)k0Pk#fQ@G!e!BeyTdI&-&#r96h38`Mfi4Hg{ckc{fhjWUG?%xIK9Ovp~Ii5!Z-MeveVh2=uA1eYfg-?@d~-ca*G>gIvRnxj!1*_Y&0^ z4ldk0Jbd3>C^K?g$)CUYeK*B+tNBS6j;37jPNA7#3H4SJ%YZn^#6z=&h?G7z%4RB5 zgtsak{Z?O%)^F-B{`1RhfJsp*(Ti?2592*U=BvvCbL7eY&=b9QK&^jcQS~19+1{x) zE~>-!NgAtGI_mK+b|Nt^eezeXj5;Syc6Agj{!sT9m%i}!&N3aCI;5H<&eCyYP*}rT zAqfvaW75AJ>NoJi$ier5^JA;WM%C1!kWuy3!iqswp?l_RPjF;jq@XlbU!_R8djllVW^{1O8xX%?sYEPh@Lxm z-wV_^?(GSQ!xNi|?{E5)S_!gSTNJvXJ<~cGgBE%d!z>>;jj(seEZjVzWL>Q;^sZE2 z)u>e(*ZqF)(*NNK_3v@0N+likQs}l-IvaUWcVThfUcpp!qe?Kf#WTifjIqM0gHO6> zrtDF29&Su=bR!w4f2Z#0_Kv9qjUNfl-=&^@!#{fM^5CjF?!dLNDfn#e-reb_;cYnZ z-#Ejru&m=Vs?^vG`v^O_lNk|*-x%TLplapAc=#IO>P}`0W1{}oq7ColZ|&csP*3H!I^26blA17Qsz5W^Ka6T=}`)W3Kb8P&`2_pVkp z)QUuZBlROm0`f%1*Qii6ScKB6q4NxM4_}MRTyFz4f72#x2(?GlpLbu-=Sv=kOT(Ln zCou~`ZhLlFee3d$QLniD4i0j(Ph-it;7d>`L=;24Qz;1BP*QHE5Sfq>J{`**@6?$; z`p|)nc_UKw&mB2sgGgwp+#AbT`!HKfk2rFIoCn|KuN?i!M9$?B%%P`P2)(3te&#ygdQoj21V#e+>@Fk^ZuL z2BBn#t7OOruK0BJV1%*ig{H@Ej9qhB-yO~2`|;7fCIgQg4(1bI{+9gq>RoE#vJ>-z zzF|hC-q1O$e)YmnojKRVK($u{1C?5T7@@K~F6|?Zx>v7R}MXvL$6UWcb2F!7oj1Ml~36Zv_YDxew#9t=BM0A>Ej*wkFhKNI`>W znqaX?c+PhEj%q_po(uSmIBJB|5bsJJG^KXT7PqX`6iucBl~vO#|*0u^gOMX?MID#O}*?;8qK{F*}C7qMRqgkwkX3ky+s0gJi>%Z*q@ za7bUkMyiDS<#G;*6k`#qT^~Vhw{s<)Q5dNcABir((R1t;QclKNm|g6GcM8A>wKzEC z-kt;ALa1T4WL|1&o-=Y%MbFLOdCJ@>!{=W5ogor+`SCY(jOaV=nAbJwwja)OZ(7*p z>k3B;FAsBzUCf<4zQ%3H|8m^ zBx%B?TN8etSl3w50tkayA%Lvgdti9N<=AzwkH<`KGyWJ3m| z{@HT0e!@KQkb3J2M<+LW-}&e@R~@`+2fq3xj(LMNyU~kQ;->LqV>*CmqhsS~@OK@o zoKy2kj%jWi{9xpf_-D@CrL6qshc4;;<$l*JoxI7+$xxs9{2T7ltI_}cG+!qgfAx!z zv%P*6UBal3VS@ieSBILq*uyi!!Z_i3On=DhRGf-#oKxm2>ZIzSuZ?B&%3pm#)amu! z>e5lwUFiK+8~^R6W%W$&srMXJ8@)rp1J#&uQ(FPUlZQY-=1f+%zh;2!0Z!k97p4=s z$Wy68%*Re4zKgh<^EULMC-4q0Sw^h??GoNYjMc=VQN^yD(`1D;-0ktW9kSMOu}GooYPeL*rovy^&4Q3TOQVMFy^Dd6*8xu#^WyL zE2i6-Gu{3#U)Sx91TPahv1+;l9ervoIyS*D_@VoM=FIm?^xVS9w>|vs=&b69k`F8O z?LT|>&z(Mfo4rOg0iV^)Bs{mkzDIaWf+jzAj1|7>^?TYj!-~_W6(06!`Q%3ySDA|= zk66d=pr5ZgPhI-u_oK_~)Ic?DP-@?#_HuX5ukGwLXf6P#a# zK{F25ub+Q;_X~49^|R~Weg4Ekf8jG5YZw>~4gc^j;g;cGtdo zHcQR2S1IGpi1VnYlfUpF7-hd2W%~yzm{a9YK>Yy2%bDo)cq`6lF;L01I-m`@ z&0tCC)q~Nq_E{oiRDEVt^T?={M-1XbMr9Jh(W8s2NWakO4k)eglP(#(W#f7@-2Q$mDlvm@Xz7rdoW`ky`wwv+6fZmitasz(b>F8 zMUTG?dIm4SjnQQwZAQhbR#(FeSccmQu^D7}bDUA>IfijX(EdYbv4L&|F&UPD*IHfsXWij&b)Lpl%kXR9{xJH;Bb`W% zJ{}!XpV7VkV*~sU=Scs!Ivp8n87jRT{gwVxqSWw_bz2mDwD%V{uJV!?QtW~uoK8VB zSH)28R0_g2jYwZ`k&hGj_aR8@cx>)S2<_8-Tm!hR+w06pZ}1o_8>y%horwAi18lEF zIGrIhJxF+7&c4`V{ISGNt%D3sP{y7QY_Wkw*dOnV@MIP@f};fN9ud)rQ-;%5ca-$> zV(Sf`2ZG>^1^$EvAG3?aNSsl{DODv+(rRZu!UmNpTRIwJr2u6+#pK1M(w4FEB+4i4 z*T$Y52o=5@O>`-QfA^(<9zMb_-;I*HBdMFI+V`gZp*dr(Ry@k z)a!rl8ny9DgU;IMNUz`h)a$xq%V=GxFk3Bj!5Frs+YrrFAvD_$4DpT0Dl~7rH$ty~ zIXZMie|iN5+>91qh|JuXtMFc{C5hCDNZmd#+Nk$O`~nnNdN~!SS7oRk^Zfdfhef%-;2rTt9LO2|N-lE49i>jbGj}I_DP|B7;9%j^`ir zfK|a#9nN5?Z3MdWB;gaxS3^o_&W12&R~P4`mNZ|sL|CtKv~h#-7qO{ z@WV90wIrAlkK?P~`A52O(5kXMqL5MbJBM#t?OgNH74_`pIB})hQ5PayTu>*~;-`2v zhKddaZWf2Wj^h^hcyRC#XR`nvSr5TBz0_FP)K;kOhS9^PFzLVIdGO!P;+_(44%B)?I_e4{c&RWnk~4t9Vm2nH%I0Rt7h=jnCe z5gy*p!c*PB^_Bq!FT{GdOsa&~vlegY;;LDx;n5c7Q=LKBo()|_39G{zOXoJ{R5n7W z-}~}>%vS0cM*)omZc}WRh&S`s=uH@%uxKnI3UdM94cbAbe-b6Ng}2Zq8tIAc8QMe_ zpp`>w>Kft}AQsC>$uOtqUY|jWq9oJ-6w?ryEZ98FBuk^)_HM)ad{2M+2cnbe_RDLK zk4#6+t>ByW$CV!85rs&t9`DXSi>DPpqDjQ4+n91^w?$w8jWJlz`Qsr+xWmJux#8?J z+<~MjmfwoA?`QsPR~&)B0n$B>ecFGBH2iRd2?-bpD$gB7U=p@3;dJTgJ~)q5s7$7`CNT5Y1IF zH0AA`|1wgB_$K6Y9n(?YWvm@t^F}+ijF$fpF4b@6DVv_A#OOxbLq(R4C z3+Zz%gM>%wN}z|`D?4@o!I|w^y6n~POxZOVng&&CjuCIh!cHNf#AE+Cct?ge`w%3~ z2)4?&h>=i|<4{6QBep}D0p3kjigcEM5NN3zj zOM&$&ai=!bfQ}iKu*;{j0G84QLRPo~p(~mjthn<-N*g3o{kz`0+EG`14<7~#iIg&{ zSD#ZI^Tm$3r1SP;i*J2o@r-o3eRcC;bwq>Mt2NlxSV~1uYlz5q!8-*+ptqLoigR%$ zOlJD6`AN3}NPzNcZOAr`sX_lGsw=2bUwDO9`bPt@5Cib=lPA^i^|%CuOEx20@aEg3 zZ~x3KYDZ*0hQ(UO&r-o{9(yq><-%oXB3LZD4I*|lmQZhxj+`$N`^~nSm1`EYQa|@R z1{!h)a_)~`cKD0&Udb9K+vWEi?wbqAl`TSFW;DLlJfm$h+k<&f!bHMgby3k7*MgmO zi?WeA+s+5Fon{bBtR54euo;T9ZOr2{)vUh8z3-oR+n$IAj^x$rWcevm4=jGNSM!3~BBi(0c0E_(Kv zQ6(G>f)i70b?NGKY3(K}jY&78Wwwa^@w5;uiqX{EU>H0E+o_5>-zrKzi$O=DMjbq8 zp##I6QV}GY0F1thdwoCu;)qXjsK=JOs)LM5G@C;0VM0z~IT)X;EG`r=tjc%6I|YPy zB_9g4W60t;Gd@Xx*QiX#qnHFpua+SjeihU!FHujfk>s0S)G-@(s$}CEk=l6uoX8_@ zQpON{7=zqnKfItG<4)>;u%J>>PNrNiY|9de<|+?*Mp%>f*s#i-sAz_$QlUS!^G zUWzmCJ=j4;l|9)dTU5;4V2w`|6Ha<(xXr#mn*@vPCz>1aNs&O}3h@<>eJH|Hzqs6a z0yP?c>|>mcprA~;JzS$2odEm73zt>@+jwE~7qIhkd@I&$hi%X0`z$Mp#IPdY1@9CP zb-ewDb|58hESevah85@|1me}w{^8Sjo#Jz^Nus;-1BsiOz;ZdOS^oog8TQc+O2^=5 z0LZAc`X$`;6V{MjbAxIV)!Z$T_|j*791I&Vh!D*c`SPJDwU2yu+(B}>I+?=d_KyMk z+vnp3atZDO*OCkT9rx|H7I$G07tT(=pJ$v)6Fj|$4wQ>2uijkkC;c(%s4Xinng>hZ zq!n%NL9yS}5FXx%UZm}Ava(bXBPPptc)Q1MTw4)AKh_g&3{J$J)#EfrWKkV32CXue zx1=6bv*^rOZ>?bm*Hlz~5(8j}VozdJgdYYQuB2m2SWqS!T7*rHfW)vv@!n2f1Us+< zmpmLh>%I(oKrvu7V5}_(xf~H+vJrOk9L3?w&`H;oY@jVb-C~j z@(_0F4UVpn<{-i04os9C|zi z+x(q&ssis@nJU44h2W1}I8OYs;lR?3h};Oz7vXk|PP1RAV2Z16EOTM(6u?exh__6o z!Mv+-d&Al{*biq)OheY_Ngm;eOfh!7sg=79QQla}tFyJ*q{}h`gJ!)O1`T(ZQ86u@K70`3)MB$(1W6Zwkr2YMO>+bHemL+= zlwjL&N~$8l-oyZ{ty&?LY)!~e^)&pVXtG+vs5Vfd{uv-gymgFU+k%NtrYB;#+|Dk1 z8il7&o0C&EQk=y!{x4hN5EsQFmBH0=y^gO~h%&AlY&FG;NoR4rc8%^tBX$c&Z~by( zH$qpq^2y6UcOzcvK(zP-C!1Sx8aH(UWok6!1qPmg9G(OVEyMo+AxaaMalx0M zQiv#qPO4P{-ohrElWD^W^tqumWylpPMh$;rPMy8wzf_TVtRr+YJ_j9r{-=EwPd$4B zY>&Ffx{sXbo0r|Kx{rU~Wx^xXGi>H8bE@;Hl2Yg7kxU%Mk(uBWHp@T_OP$)$nZ?k< z#AX#DJ!Q`QusIg;`}qG)$QskrB{twQ>PCjgIvP3t7!>})O4c; z_VodV(#saj&^AjT3A%!@k@D1~8!)1ubnQ6NzyX|XfrDF<5gG1M2Di_Zgj2BGqheWY(YY;5ZfR&?qZ!qg zf3B~5M)ky(@mhdDGuBs6zezKrQcwH=y2D&=Nj-%}tL{TaWhVxPTd<5mK!Lg zW09-z_B=x(?m1a;8@u%xa>#4g*5Tooq=89313nlRjBba}myY&MGNFQDMv|;kSk1-C z7P1Gnw^MV>RBLUN)WTPRH6OE2uE7nJVc;r7^JJ6Nn-j3W7d$I!4a1ji*Sv;@rCK-N zH(+ODGJi^Sec~Z+TEi=+!?^qEPcRAfPpBWGmy4Zw)k8*Q+%+l*W7%GmcPvq3jbcyN zETG*ymr;nUI7ae-y z4m?nN)q&$ZWK`zdiAcyURC}HziP~~LodEfnb-|aQQivd@=EkJA;+nM}oN`P-+}mmas#{YvLlU`BGit1=}!Q=oZ~zaha9TANS$G!B=E>daFedxi8A5B&iQS@xvoA)gkR zF38(Ad4)4n*2kZBhB>!dlh9E`=y$aMiokq^vBikAga@fWg2L0sU0cN8OJ7ay`QnKU z9iLVcB~5J7ss_dW7_}>49FR4RR9@F$?O1FMfYo=SsJARw_wkbu5j)-_FoZ59P4;*4 zgSw3!GMm%d7u-2W=$=^P#&YF27!Sa4RPYzGa3LL$!XXrVc86F^iJsce>~=CG%@j1p zyY~Oxthy%;9}qh~4KXhBU{s1p5Qp)#dRZN}GZOxA^a8qMa@Vh>iJh3DQgJ;%lNr_e zw=dE7GfoG)Hcemo=1raRp~eWh(D~EHl+uUhM+lMhkx^Z6cm3?p1&HFao+0x@pVtwU z#sV%o3@XDNh8zz%$0hg>EcS3}Znz4=9YQ%a2^I_S85IjC8H8qOE=i_h4X-Af`{Os* z#}Wpk*PT))$tGM?$ck0_Re+IENmqB3ezbG=!?;GJ?KH^d7QziXtZ}1I;t@_*p9$GG zh^Td(`A`Fdhm0O;@EX;Hk0GSbz0}54so~HLIW)Cg&c)Sdquxij%tMDSZ2mIv#Z+wQ38rGHV)JhO1IBNi93BPPXkQ?*sA-@M5^d zeo!vei1PN^UrVpLJ$LVz_R{&|A&Pmiw5LYLG``}Y;ow9UeQ_Oon-kfFV5N@P=FGCK zGCVpayAU!^b+uO7uz}`EI;L;$mi`LsCNaZso5A4eCs^Pke!|U?=Y9u?(XpE+?jE2pS0Y>t9$sP7C8{0C zHxL?RRLjh$&VcK%XNTGz$x8(f-q60gHBlKCd zVi!`8ahy`jw*58Q^gGK&x++SYJUNP2cwGAj=GAHb1+hz!f8JnhMuoe6ecUDOt?{s1 zp=XsM9jV035@2}~#te*75>EoI(}E>_cvoui1%=B$*Tu)aB)d{TZ z&=Y^gclEiQFA`t;Ig?*Id!-m$Xe-D3FyXc8_|(J3F-GkWq6C8YOtg6x&#Dkc4cy+4 zkI%u$`SVJBPUu{P@LC9-vR3+%lQ9zML4=Iz0IyMX@VAyL+D}*a%yh|i> zk00$cSQLHc@f9o*+eYUNQ(nRqf? zF{A1%^t<+1A0bWEb#$xhVXPAu*0V175}<^5?=5#~NXl(bk{dFzW-9z^5J!hFnlISD zF;}6!i}=6%3VRrg0NcCw7nFMC-Kxjus7&TaRj@JI@gJEa*EkN&(k1T{YE#}4zSBah z)I)bf3+i#&RV7H%SMggAzzpZgzTAM{V4dy=u2IpISmNQz?d_vaIig0rDClf4vD?BA z8{>GNe@Pl0gt1DM8dqKTi6$5*_l&r4cu`lIOl<#S9w*r1W%K-7SDngkD(TRA-r3ub z#H1dkc6}_sKCMiQ68o{yG1^|EI*(*jMZ!mg_Nj18+2GWI^B{HR6!?pQia%PzVD5UN z9pB^5LxRd~G)1hZ_Gf(e*_+O&`DYQY0J%*4YrN8|KQM?b1nI$D$u%l9?;A@Ev!jQG2EtmKGpIi|JTo{75VhSVswI-QZbR-@qz>D zHOKpX4bA6~Q61)!>CC9qLSJjJYas>Hu2l1>RXduIE9-(U0i9s6u4r!5l$6^rtR!S) z&cxD}jP8DgQr~)MPu&(^!NS*Hr{(Zy&Dw76{RND0kHV4PJ!}8!O2lVFG%Z7#8g@n} zN6w7n;4B@NfGXuJxpzb6e(MDtv-)?s)|zSqu1AR97!Bx;TEovHd#69n-})gj|C=9; zTiYsZ@+x7lj7PrUCc6NX{#`bs;Dk8t?9hE!zv2 zcUKGg37?^gMetz|9ix+d6MrV_W2wEpyOYX~4NjwUhsbkGvv8w-w4=msp2Q_ydktQc zwvTLUo^VmJ1e{QW7RoH%K^&YiycK1?<`x>xiXKA|;m`B*TwJ?6Z{mW=;M10OR>;0ubZ1iVsF-K)@EGrC8!i4$f>zeQ!??;B*^GXI*Q3uIB0M5?MseF zSG;sCtWVwi($31tXzeMXHr(~=Bcs~DT|W$2I6elx7+AJw)h4=$ERy~`%?!i?L7`N1Ous6i$f&b5A>HnDcDs zh7W!k7Ss`42fS%49W!j5I*Fa(wug8K2BD+^Hww@M6?3k{4-G=HQaOw+lyJu1fAB(Z z^hFePP29a4yS0qaQdvi>AtKKO41%Z;RzniCF*Gg;U8kCQ&VoRV550FK6Q}^i!?@!a zLSyHUhSH&~>HUEDqbK852K9VWSHEe%2s4c(5zg} zDZYDgtQH-!hxa57)rgVHQ(Mj__^MFs0&DGC81a!-Th2NQwZ?vzZqSlz8sut*iYH|g zlKqC_Pm4{_uY|RtlC6kl<79m1`R)eUWEe~hSwLpTvTO+%OJ%NyK^>ZyrSsmV;ciEr z#BGOOBy5_fjb{!Pl*&=#T#%e03zdEd*W7mAayw8ufw({zEjY|onBW-4hgmCph2b#Y zi&Lz0a~_Ex2?hqSZ7b-iXD_#XE=b8b92-rBRpMqV_^{Ul-C@*mdG$INSWUHUh}hw` zzYv!PmILk8JipG}WLp|@7(4G#{pIzkp)fn5ZJQ6(#C|a4F=Pi8wn@f`@i}c+3dvNA zOMdS~N{*h<7FM}>>_;C}qpxEIhuY}qEl`U;?!Z5nr7Qs>=q;-x1HcfJ?}B#<2+v7q zP0_@s49nMNkA7Y(y&+fLsMPT8%OcB2))MJ&sK}^4eE!4M_Te*Kx%2pfIq=vGk=}^9 zhv#rW>|HKeW)jsUbf_wJ!LVTx8O?16<@WB#5WmYC9!{Q2EH|OAp8Ex@#~+p8Y(9_6?9IubIjY)B*1fz|kyh&FyoyZO zX_Oc&PbHUjv5$;O_mW%3{IX_+8#>-BTN_(h^{{anLscDza!srFk%8xj)DqQ+t6CJYvc`tNSVUGrDy5Oi+=C+X73)6rc@ zFRU@6IzRs&CD$;cGWwXOC_E@0k+-qAR(VXRvQ&=2PA05|#qoiPu;C$j6=UdsS~Tjh zce7=H+dcD{Mk}YTQ@tdk68=f|-cUtH<`cEr1W;KBfMV|xWnJ(k0D4z85lu}+ zsd$jYv!_CqTVbKYOM2?s_i8o#9Ir4{%Wybnq*+1!iSNDkf zak^N`s_B3zcEPZH5*f{H2j%uo%i_bhhbPEA)wgjYFGy}Ygv0S8^FnZRGPP1(1##;RH{_G^3a$U|SKZ zqOS@fD8eL!l48|Rs}@CB3TpXKtBHm{C~gMy*Inr z&E5_4BYh{i_s*Sj=FB-~&di-VGj}%swdripOch{%0dA?}OGoWYb`T|<_7y^|C_QRW zxRpXtYx) zzmpCE=pLYVkjsxzDVl5oLev;iJeH23kWs~F@kS(-5F@0Y?DGA#_hvy90~(pMExMvp zFT`XYvTa0WD=?iD|ceP>5Oa@76k+xazA`O#^1?!AHsDmr)!c&t`A z5;=?c71l-^UR~i!H3N@h%@d8IHc*nSjc~$HaYwE~GaM(ALDS(hVnB-3y9b8R9GxnB z)mPU~OLa#)*cQYB$$i_W*d&?g=awCFOy=o%riEs z`pK=1oj9D8XN$YuuTt1`DxLbIS6o!3b2y?RWKOu0R>OX-|XsV(~nZ6C%D;K zRk4IgRKgn?jmI%6;~O(4{goitFx5%tx#%HY6@JGx7P>-;GWRke<`rM5pe7gQL75B= zVf&#NVEINQ2$vyjOm-`Fkpih|yO4=ufVrRxWb|(nx?#Z{zOrLf?Ry2uo0i~*Cx6)P z&11H0y?7hMb>u@++*ASs!8H+3!3FaSB8nu9ltN^r3bh?4DAJeMV{DUwGRihGnT`1g zTj$qn$sC%pBxE`^I9e3GS(7jI2hw(-D_BP*?XOC?k}`=FgLL(Cj_h$e$l&LV+>|l~ zpns}N1wK{{6KbBQ6hQG5k}|x5!AweXz&8o&weDt2Z0<=2-s)#svz(r3?9HM_<+B?f1AQoUuKT9esvzAt*K=a)tNA>o} z`SqT5Qu(IKdYL) z2@66ghC^)`-oc=|(`TDT**g(P>!eVqYBB^ey>-%Xu;pPb@|s>>=3gQ@dqY1Q38|KG z=<2LO@@12yhlNrVOx{_n!&fETxk!cVS$-oB>r|c@ArAKif;p7yq378tz&3~<^}9!C z#|o)|5lpOEM@YA0$W-F38EJ{rTYrMG8Q=sWTE*-ekT|Dsk8;)AI^z-w+dQ@dO(f6`uS&oJso4e33uC0EGTMPM_ zyki+eKXd%vhwt-=x!tMLe|O^rk1QH2I3zFy(Dcc%pkVQ_&^s<(b)klYJu2ZU&R}!T zW9KM2;mmhmFzb5{9`(jc%QRD>*YWA!1~){HinD&6oDNGpRqtmo(1IUc`Gjj9Wg8Dg zm0mKn+bmjjU03ht&VK1%_q}QEKBKj>ll7w`xB0ylh+GQ}!4|c=;}jJdn@mLt78TPf zxP%IORB{^DYf6h&(x!qN7Bx)o{@FLb`vhN|M}cA2OWy%sLXXO0k1Dq`Y3ly+k}p|( znI8V&K8DZx!2qiyzzr=OVou}2=BKZ+S{?O;|NRImeDh6ItMbWR(C zYl*hF9}g%JhiYryjBPR?qiiEVh76L@47FaL~%#M*eYm}QYnDqDI{fhM?%wdCCO!VvQYMh2v<>zy$0(}Z&|bV z8mcy<%NmhK{$!`2YY`?uc!-*85LSZl<=g;td(bDslum0%YWZ!t(7Z3bIMxC>7?xaGyU+}SW822(I;%DauzZ~FJj;EMxuuJM+A zZtxEmgk5+d3qTu>0aZ|Aq}Wc;De$%JDEVuiM5fPeYDr!ad}zb(l8>GzA1L>!9L(Sk z!=-Hdp_KSa9L=Pz0>&5YltxsJC%5k}r#-Mx+$rbW{)0U~_x2xLy)Vn71iT7`5fYdQ zV=DRuK5^$Dcza&DPBnjMXmVZO;uEg@)KNDd_K_<`>{;WQ{-23`2cZL=8BTXCRA)gr6loM_usmgL? zAorG`!THVRh=>06#Ji_|X72RKWHbuc_3HjGBJaj0+-p*>$)IC`vv!jXwbc>x!F}w9 zHAXLYBt6jh_r2e=eCf^CCGX!~t-fRTlSc94t;WF~mGw%4>xiV0QizOHsZ@GQRRt7r zCHAPCA4vdFwvov;pJc>*0Erg1c#_@P4x-fE!GOQyZQXY_Kl9IjJ+@|+O<8(EkIIop zJe};iu(6A?e$F`{n{k{Y!(Vpn(rcYPtKXBmrr;-YUzNLcc(;!~`LUBa)0aLs!wx$x7R9T4YZ+$MxB}G6$^h zoV4MjKPOs->;8kKR0?MNb3~;KcGMk9EOKmFkV#Kk)W7VR#8+>>?3>G4<-9_H7E8mY z6{AfFj-`EUp~$sh&?*hS7{$8$FB_H&AO6ihE#KoJ^M_4$m!v_GT?`E6wWaL^zgG|5 zwRqK%-Tyk}o+Zv3|JYEbieijCF%VffsuQf_fWk=D@b1&)_6t@WWDe`P^C=jn{2BX4*3Ue;%Ft`ANO`HcTHORHQ1)HZ6|n2g0Ld@vy#{3K4hk zG2}&_ih*&$UZCH?H1#+*rjeuEoW)y)!{FI(cQV|9E1?WgAtp~*<&3OKvZdDG4e&cQw;3d5VFg4IrC1HV3 zCPS*I5>w#l(O)33MOTJ^ZfuW>nygG}PCEPO(JgQK&i*}a@(c_-je?ZPf9zJsmW?b1 zJQb+w@W5l+ZkQsUt&%SH`P_%*{r1gWFTAz+ZQptRmb@`ZQ2>JnK@l$zMMNm+h*F3w z(Uw%TfvSxxmdZo^9c*N}xu29YHGJXWD}p1B_}7hF`Z67l8A?|H=3}=YYSTH{)%?W0 z2jRd)^Q3UYHM8R4yVBr9w4JM;a@!k*f7FsX@}k$@-c)#ym9ZqV2@Uw17|y65qk^TJ zF8oMC{XK73FLFfB3DSA8=`Zh5QJ(|`SUgxI!^11f?rCj)1I+n{(VWj-Df8C7^DTe+>D>KN zGq&Qzd1ruOrgU}10A(m?a|+czPXeUIfkGc?+FWdSr=1pb5r^@bZ|)^NF=Oqy?$Ecq zPqfXIy8hPBFm4o>OYvdgnW$<@U>yDcsrSiFe8rS0D^a5_ZTcrV1q;Q3{bI+LCHV zLgsEP#eX*jEmwP3P&EY~>dC4r&g|%`_dnA3{khk?x#Ro_O|aTB1DafkBYU(z!yKyY z+)f>a^(1)(6w1K-01eHwn0eQ2`1iDRT^oD;`h#CDed|v%7FYRHA+O4W+d7s7Qx$BX zZ(&hkd|cL9+T73A|LShpczMT#4bOT%IpLJomvdkZkjXe~dZ=gG3q&e^HOgZ0H`#~O z$3EA*`RnzMUby^g@Bio?u~HRyO{~kt3gR4TybA{L(bYS5|M|t!GuN{983mdzD;B8r zFhgj4I%&Yr42qF?NH58L`Gdc@uX*-07qlLE_?2(UJ6wp3yYiK+1i~CjB6rY@brCui zpZmV7e#f2%?Z5f3xBOwxWB!tz^WaNmvKlLw&Wj9>N@!2RC#_@Q>hxd?LFzG5Z0S6m z`~F9gFUZ>LVZeoh4~ z%pLSWSPFCPDfFl$4TBl{6k;IXfO*W`L0bOeC|Xp>L0|vtHS527YSSI}%}ZzA^3W06 zrhsk!<2V`8(qf7M%D@uRI9Rf_$u+zw7*#V|j4=9K#LR61DSlXNH5#R?G|gI>ysS5Q z^2gqB%s2j=NLT1l1!i)Bk4bUA@@|n!(4$J8*v6f4Ai#%UvIS4ds)tgOIS&ZI{Lh{8 z8*k>P&%5Z$r#o98|8l?qmQt`k`O>NwS*Ai11Ee7ZRZ$F#6N=RyVN#Y~_$(?z$brWe zS$FOn2Xnyf-r5Du_3OXlpZt~=&j|Kq7NfvUfs&^gY?c=OqRiP=XBYS;`EHXha;o}&4RolG8Mk(9z-ck9aLVGaBMiKe zkXI!aB7Ro{6$))qVW@_ywluVd!QsJXOBusX1!ua_p`{oZ51_8(^YICHD20x4!wX;( zt{UJZ3_+u1;*^Z1F}XL02nBtE4BSk0;%*2>rWTVa5N=N}FP}N9jRm~z=L5D#?Gr73 zKlWL{4(u_ZwK4@NT7yesjjUnFm1X<@P&_W5WusOd(87&PFdjc2o6EMqpvtL`&nkmQ zSY*U7w!&4JwKmqUNA-9#MuoOr*rU?Hm3^87-kiX-0p9FnFw7rimsgi%%fi$+Y+a;o}9w7;`ppaR6TZLto0zQ?7+=?HJWbg&tMr+jWv|`6JfJf{k}# zdp`TBEEOqn@CwHqr9u<~guyXF%A0XOiP~f5N6Qe(1aVJZ?zX|YMnlwO$NnLqrLKHx z6*FUc%JV?#Upjb*xMzLF45f|~O_RwA^g8tVgyveG^zZ{gXJsZuv02d-K3oeW^&+K^ zq(s{y7CR(pm%D^HW#jeAlP;!R5!6_E=Gj`e6?*6eUbg=VuQz#T9X}=o+-TcB8;m>Y zID!d)?+axx46xj40NreDfugs@PI-AF#3IHP${b=Wsl0zkZx>H=I{G>))j#5Lu!vsdgM-eM-@{KhBm^4OuUP8oAduT3w{? zAlUg(Ss(*}B+SD-N?wz1n~deO$&uLk7{eim2@Ra*vyf#`5e(dmwXizqd{wnX2HkQl z$un?EE(mGo7}fEJm9=zmFzyVQ<8};itxJu8oS4xjY7t`Oo9xMaYy=MAunUq&AJ#Ft zm`H}Dw2jcL(h1zu1o7sqiOmipQtjv&)g*N2d3^}zVfaxG7Vb0BybY(>Y-B<{;Wbu^ zbS%XLBT%vOm?Gs#%^GKLb>7H&=}E6`!k{?ocP}=)jsp`%@XGP@@NEDFJb0jSezdpd zt>HCO5cqh~@5#Q+H1vE3Yd6-m2)2Kg?D8SW`SdWP*ev<%pO%niR3VuXjMpQrlDMsQV1H}Qx(O)I3ZG!=^`eD{6sT0Bw@G=Q5u5} zh2nuUy}e=g_<=mq%tmKQUmx;PJjJ`RnOhivw!?nnI@h^MML95Hn}8t~@>dKvIH;<|5oKt2V@0Th(8Pwa z4Ky56L*wEEc57yS`=PQg9SuL49C&T-cI519I>i0iG{22Kh&4-gSGi-4%FDt`#so@S ztb$@hH_0hiq!faN_Yo;?Y6K0sP)Wly5tE80BSje^?Cj+<(aX8zL>wR&b|w_dotsdk zvG?Md5B}(ACC6cr*~<5tp{3at)qTT}YHqMjI^x)g63>qL8BjKrsW1`)G_tksM$&Ns z_1?Dd?f&j~rjC6d*36lr+r1Zq+4XWmdXdv6H@MQgc|U*H%mwC=V{GwRswyW#+%DFm zs@qgWp*%|Go!8!2vtn(meNn~|_;WE~ zlC*50s4<|8QAH%&*rk0HBCugh;C8X4>Ehui8d3Y5xQPL#*%e{1LK~M5reV}f2--h39UFYgMWp%%c-x3Mj&Gq)@d`LPr zsC>uXy7SAd7GpEdV^l$27BRvVdI2m_Lkvj(nkR}BAxdMCXQc5vtY2){^Y2Ua9ZNQ! z_{>#T&-*LWlz`F(_gu+g)N_-EhCh6UOl$jS!t}gncadxN5WVI(F%K`DrlfA3(`@@D zCFw`sXgg(5qC!rSC^BBb?F=KSieg|KKy^#hj+n4$$X(-zNEj|dL@_-?pK{nBmbjkP zlugbw?zCH{Z#`ljMhYqbvNgzFfm~*BH*2*u(U3g!jpG05pT5aGidULzKa19Rv$h#j z;7}SxRn{;Hxw(pgP|_01lxRz;odL>bipQ4jb{d-gIG8@Qb>ADk`<^}NfkJ_n3B`Eo z)9apv^IA-o>MOM#=xUYJ>fHwxn%Q_!c?!?Ima>%9BXo82M8Wp@4L!2gJ;@8+`>Jjy zkjw74acRdGvW3p3Fh-1cSi%+OeB`jFGk^TIcx$5fuOo>{!fo6orQVKU+A_ftPNH^z-dj$e$^aQ(9vd)YP#+_hX++Qv+ zT9jhQ!2s{w@~LI_tiSRLKfLPvtw-E8g*B`MRQ`@D^)(upo-6_>eEq+nP)~#I1p1H1 zV|uw0G1nNNYlgDSD)mxo=C)l4m62tiORTu_*4aH5{r5M_eb0W}J{wB%Wc!;3t>8u& z$=d_{Cm+L7CW%_-4&%W;Fo?Nj&8I9jn}~xE`^3}6@!B!?R&G))a@1L=F9mInpZ4ST zHeP+lq%oWHyiDKG+8dI-W^-cm3V9dmpvIfvdg7iFSYR4VKQX|{!6rjd9u^LZMdPsT z1B>7Ah9m&Z6Wf6ZQ5xgCszvF+{=voSs;h5)=9_bFx#~B$A*Lw>&)_~F&#yj&Rm923 zUe}f9h-_GSfahQSrC?w|zu!LCa-amq9_260f-DBQC|_2A$}LkNQVLju!>Xbf7$=md zP1zM0l;s!Jm@-5u=?&)x_*L3tJ71jtzIXa3{ExGB{w-~vV-}@gKMJ9>Gcco8$kw~= zOzc;2z!YinKh~==H~0ANwTJn~r`L*WJ4&VL%kH?+CO`ZMUitTeP|_01lxSPVrAh?3 zZCiMa>*=6%)om;O=Nq}>4*QmOZT9jzo2q1?fm4uQCm+87FMn6cCC&pOB!eiYR~pdMQ)gfKs=puh{zD!yS6@464w~%Ipo=4}E8K9x zp-0g!=oOd4@}vd>aZ~?w)86gBo%O<3w;XZnv0FxUy7F%*SpPVZtuj&aP#Cl}7~KPr zT_X#06&MCiQ#2QW(JC~J#2{3pZ54(UQ6))7=MrjP5FZRinWa*Uu9#18WQN_R0?)ZQ z_QqWdaHcVHtQCJA%as|afWN3Ex{hx;2vmnjq0!3?RTKjrQMR<{I3Y(*n1W*&4#VOv z*|3m}Ohkh4793KKBSMtNkfbUs!@irP*%}Yoc81*99qn^K;zF{Xs;n&1;Qe}R6(XfT zTas-&$J|Q$D%R=Fu7IaFTvB;^p4Yez^&^TRPUg8lcHN>^n;* z^=4a}9W+#PxT$(6jPiZ5&9I?NU?#9FPa0M8QM9Fu3U`5Riz=duBU$%e2`DDcx5j7~ zcthbTH(3=CMWk93NnZ82Wdm!b9{tu&tK9E5yA?LhOK?sGjh=%G+jhfeU@s2D;?_-I zX=5ASpmPw$E#LnJM5RFUIyegLP{~%0MM{A};fP2X-dW&NSRE`exBcML?_G0pQ`6PI z-_3MXkw(FJ6!94xC?Z#mMc^8Q&gUQ=+%Y?dYfaD`Qi11$2gnFhb?ouu_dIo&W47Mv z964ojFi=fw*hD8J>u@Me!!N9dg2!^)`)yfl8jz_~am9SV&$<@F6Bx9U%V@~wc(1

F$@~G0bIxCI;}^CD$1FMj-Okn5zVD~3S))NQ0@>Deou>kQ zL!$&6_mbn6{h>MiGrh=ZS=}zDb);9wOgs;IC{Qeb7Z>^IZc>)0pu-qk6~iFi={3}# z_c)|wv43_`w$pv~)X#TbIOV`Q48Vls`%-|{Lq2w^;{Y1!_cRnMdMZC6l1e8W1;e%ITm&Y z#+N#@$-p00wsgszd!0IK<8zZTN4&n_fbxb)(_v_xK$awwmYat%vaT1u)cV;Wcn4VO zlXMGuRH8rP^^6Sojjh(n`h$(VW23hTrlgNS1q|6z3&j9SBqBk$3{e{M&^yqhN~={< zKKQ1CcYohK?;ZGK?)8IAKN_gMX5;{VV)C?qp%e9Vz1;VmoAGATpY#W>pQ>6vd5V!^ zvQreP;y~%O2~88j_M=sZVt|QMA?Y|lk-o$pmGdh&ag=RjveFon#6(GpYhL{6zCZrY zj+x$zkoH=%Z)y-Aax>ebN-e7o+RTlrE4k3owg}v`8JIqt z=szfZMtK`1MhohHX#7vyR_r**fW?HzfnuD78gasbmaYa>yHwP{ zI)c8;?YGG=*N(+!%?$ z--*zBF0pEM4{uBa1MBMo@2m5itil-8zL2b|IyYb&u?_^hSfn{k>Jk;A7$6jmNLz+? zAW*0x*pje<6vb2wrWqS0b#v1c>z#NHTp`Vyl4j;TQk zjeX=pGHWSEf*gxJi^&~44z=H(M|bn*S5&-HVCZxgu~ewGz2?27Br4{nvkT98MW4bT zOm8n*l7wsbei_QmMr<-B`L2o%YIyw^T|qgo44b%_qzoGCS_w4MtypvINzP-&Z<6nu42`0PK zPP`Z|&HwBcv;TqG?7y&C_a1!mSCj@D$58Dmv&HgkhUtIaKNf2 z=c~$R$07}~gHyzT)gEn-MvZ{sq~&Cc3An3VBg3fRtkMQ8pvllv+;kkbf;+{UG?vc} zC2;v&e+<}Wx|4Oxf`}nvB%ZR%NxW_e@eXPJtG8QHfj9r9lgJYkNDJG%E#!oB^pFAR4r+Py* z$@Tb@fo>8)KhvvcNbBGt%*J#k8++hEz5XI+us!=tarEXv+YyQ5={rSrH1e*vRO7=_ zL(L>C6Xs!Xh=)Tl0J%}n1rl7#5XCV@PJ0Q>R9r)aT>2aCcQP7m*{=6(esq#Mc&g_t zAG|$3qQ3H{jgCx)=Vg91N$x?mRlz{S4cE2=9(Xl@xk5ydq@hxL)Q8$BxYtE|! z^k08+o0lK&B58>VI*h?pF&t*g@Xi2u0pOXiovtQA5(|MgC$L)=fTUO!Sv3qX> z@j0nZ@9UyRm0t#GqpF>{fW*8-Z5{e*jCK%?I%`Z58 zx*IB!KRgGNO@ z=zs%f!(?7i%R>SjjBTjMYa&D5)o<`2Z{v`yQB|c)8@%0pT8A{+=+CBQ(D61*_FD0* z%Qj2XBgX};+2fSRA{=pGxYql;8VKVURmVd;crF00U!fPk+7Xc;Ort0q_Nhe#V_e0+ zUys*L*Ct2DKL6l%X2wgHB}UE;vpxBz(l_Xzh{1zY(Eo>Z&RW?p#WX#1j*=femnd}C znZp=h5dS~7U|6|W1#b12my~W!5<;X3NyiC_^d_Ju z3zF5wLJ28uhC{^ISNmOq43NP35j#+OV6R;bru+#J!8YkmFOh?`+fTx(VDebYtSaGz zK(a)wN7Nz>%n=NCfs&85ylrWEIutEM&^WNQ9)IR`=)eBF{wLo#>HEo>zVyRM&hoC3 z!XYie{<^l0H-tvPh z&Oh+JPyfRE#dBvo__?o?wcQ5kKAQKalDrk-w;iw?HQ6yI7O24ibs;JP%sC=KxC{}- zQ%( zvROR%3?}}T!1&&z>`gO2e9Hs-z4K>hTyWXC=WhKZ9+;(odW;HZJG1Fh%tX3NL70Lw zX^rqaAD+ZVvs%ILWS67uiGeC}!35~M_UAX2iL{2bpebJ{i z2|86Z!2DwsUpb6Byk}h}0?K)Gc~;U>w7;RLJ4XhIq2s$nKHM#4-MmAeJa+HN_g{Es z=jj7W{&;@H-Aoo;&P3Z2=oy+Burk2q^KQbDRXAA8)_F8<0A+|M#!d7ov#CkCdh?W3 z&-&RjU1qDD<6nibP0+4dTj$;XjrqQAKh)9dUN|_H?mAbxPPj4Qdgk};J;}P)pYZfW z|8DvAqTs*-%UKWIJG;*Qwyx!6NB~K-Vjxlq;Sz0$90w@Ume^x#lYuhIHZ+;x43fb? z(Y&>HsJu9gr~+>0rZ?Chfznq#(cgG)!gU)?*;}h~9`EVFCx7CdazT*IYU)Q__V*xiiim}JbAJ9;l7OlYVG1*omyDkLn6GHiV)tRe~m z(v9=a+^~=gF(d(Kp4c20nygev8pT*1q#6?x%|L7+TUU|@QB$LE;!}}VGY==mwj93T zW54+4n#Z60(G{Qm+qqx+9imEsR`7W0YI`p( zgLEc=Q?xxJ!d!q-f~XJ!lIpNu5l_DH&d0P}j?Os+h6yk*hitt%WUID71gAPPrgsE{ z{aDdJUKpKcl2Fo-7(_;@kaQP-h2xXaocp^!UUbMo$3FbS*UXUJ%!)aqlV|DW6AJV; zl15eFQ)mG^x{!-17lKkEcQ5_UN1uMvVIRHaw|87T|BVCpmw6c@iqeE>M_tXR`*Giv zG&==>N18!Rejy3Nl#1=F#$gc^Vu-2+DU)xHs2zgbm{5ldS^p5La4HDn`qTdR@zZ}f zYir$p&QCt@^to@PI3-{(NueN=VQiTSBQd}-Z6R9{?=*lJYaGs_si_k|W2}W&OwI%; z+~5~m(Kol6H*%aI`OubN~IWy^nv_LtU#b+`azXe;feJD9{*76MzKOLdXLlvC!?$I>FGvfvg^u+K5+E4-&=Ic)4w{n zJ$3%kkN=PbQVLngw%o}^VLO5$Z_29}pbX4V;}91|RHv;zUbVKpg3kkmG(8p+a!H~HO69|y_0 zKzraB^uK_<&7D7#c7^3ra!iv_er_YI0H!mbGXc4VaG!6m}nJ!z>Le|KI?2LCDZ`*ysgv`h7<|`OzCb z{oTgF*M4N#|9Y=0wFyW>@!bKZi3~Xkm*FXday>#!(bY$jO_O?P*$u7(o?|3PV6=)W z5>olav(k;GMPq^fA&-3G`;GG-dV1iFci(r^KUP-Ap{LM>g4Jk9jES=>x89K)&5!=rf-ecfSo#E$IH|J&k>n%XC!=*2|Sd z(?Hg}t?!ngCddtb+c6%Xg2_|O#$?|nelUukbnm|xlYJTsvH3%`KHS9Vaivch%&%TI z`0E3&y#3-0XFt6Emrismyg7D*TQ_Z@a7<881-Ue@YX|NUqZo>T!2C!!%3>tjADt{P&tR7H zi~r}TqWeCg{iEj}tlJs`N77pxHw^#h?$UAh3(_I;|NY2UuKdj6KYioQSHE<|J{O(9 z+(yHolKxqc6>Xfc&jn2fvy`DyNMe`_*#b3w2$eKU8ZimEYsQ8o43{BFV`k+Ceo0vs z`s&`M6pLX1$Mv5))HQ#3;PVn(J6U?y>2^1_VPDzv!8Xy~T8=DplXufMH-F|2M{n4> z{+xSXdR_jDq!RwYA-4q94qRrf6>*`YBT6AMRK<{Dr-Hdo)s+8`nJ?C;6y29o0^fT+ zf#&=dgPzQG^=>-pJ7#UinSO9x-ZA31I@ytoO&swA!P8S>p}P)4=3%2^Q}l_J6|h^M zFKv#-O$$Cr)A%^1vxD9d2PvfRK^XEJp$E^22(9A~D!2s1UL9JGIrzN28(q#E4mL6> zh0zy0MeP@%`Fa$`Hz7H>ve=|ifw4uI7*JehnzG{kKOQui6I=c`^}xPP8)p3sx}3(K zE3{0Zb?L@r{N)%>0ckv>F+FRIGgL-9VN4YXl7x(1n5k1yOqtMy2!)@W7Go?OP8zK* zqR`9e!dHG-&dNYVN_QWg|!VOc|vri`khG(6<0z3LAo*nA1igVb!n_(!biJY)SB>uF;|!Bh7{vgq4{L?S z!E&nFqY^_Z%@ax4JvZ-n^RU;X!3Dnv?w%HSB$mN(;oGJ7Z{u4uA~2)BgvZoYBF z0U9R%hP^yRConjim4`~t+Ez!p?wBO4Ll;T6{&S*1ZQRSGn+Ncdz;XPtAo$>mUCQ7( z^5I%2mvu@76rn`heALc5Ppxf)_|pDwTjw?OD?f3H920aY{7Tm6gQUTCmGg9~9F+Y& zTK&Cm%6n~$KH7P~k&-!2`@o1vwG+`0ucM~ytsY$2n+*ld3rDz_hE&Rz*JP{qPy%>ha?n{<5d6Q3i~FeS!ey`C^I zqAEpd=V{c$*S1!41WzBM-7Q@2@)4)CZOtd-x-G|p-{VH+$=C5A z4QZ-@D_IPdmWor2{^j8eld%941C)shXdJeTn7!dmp^P-cEIc5T!PRJ-!WbFe`uUm! zR_5!|F@TPg?Py}M*hnz&Pd1$!vU^~)=U!^g`bX-df9+J|J@|1o%nV{6ki9zPd_^j# zkSwTRtXxj06p)4#%vLclPAI57ib=>_lUqo_a2X;JL<1Xq^uv&{mR{A1d*zo|8>Gs% zcA)e4Jcjp9m}0X2Iih>8Q>a|Nx2#SL*w02lR28x&{nGvz7X-i#Mlldd>K9ukRK<{D z=fX5=*XlAX13Gu8ZTk&|69_Xi@{fef)DI5@axaFBJ;z&`eNA(}?6opaQ0MsAE zw9KvpC^9#`^QlqA?f7s(F@yV{6TZ-eh<149V4s-I^=Rbb7(o+Xl&XU(dnidYpEmK0 zrKt#=955U-OnP zOdQi3Uk3~+0bDoiVUH38bC?DgWV49wt;57StVf^n?AoZK3mzbuj>3D@tsZc`vV2C(t#jryih4%4UQ3Qc{2_m zvl4qKCJwo4-h?C!7b8@OAe!i}Vy2GQsu1AIJ|uNNG^~|bT(87C!UU&Go zGjQ*H11bOM0FzoTI{j675ovHh1)taQF{%>FgsPBqM}uvjqD*zObF8&r6jLFP7R6Wv zKlP`Z<2|jHASQ<&;fBH~d5$@}PwM((Efvbjg)M`) zp|Npj1@F}vywa$J=)xQHs1z_7fAJ6w(?qmUAU^GOrm&@0mIqdto3hBUB0$-Pw~axU zlbavFa0`BOl+{Raw=x&&t-;OBd6}`&7srE_oX?2LauG8jAo6<$Zn93C7@-0?>6<4b zt%iYc$gv%H59)-6`G;Um-oa=7?H#~W2V;L|**PA(dfbpyhk=QCg{)YmQ`&Y_lGGF; zTRl`blVYcw^+y+?4SfqQHSAgWf{jgLL5Uc6A}-qrq7v9v{0)SS>!ib19E}W>yC&sH z_EslIs$OZ!9)z{~o|YhUNC34}>p<2wyQTMWV6GUzc%K{8bbx`gHp^P!jqhb6&9GhB=?B{74u(d{NlRp1V>Am})-L!1L2Xzq9Veczw4%yDxK zZV=o)P1dJOqYQWNJ|w-bu7TeWwyC)3+Ch_f(edmyyYy;}!%7+{g?q!uNL$|22pV+7 zYL76fXfkpwLzIkB$=VcuZXgCc!)6=XRT|SXyy^``?mSFVnJ0D=zkRCq+j7l0?Yv4J zf3Ov<@}TF(zWFKe3m%!IOsZa&tI$+znG$Vd#4JHm?{R^uOdaykN<6qj9I)j_0b{30 z-8po;FLzwr-!g4ofLFc;6x*jPUJ4!8?3*-ho6fJdieo7!_hV_=$cm#_`f(G4I*vPG zae~N=P<*NwQ8|pph=?4B8+$OZqHtp%2xAq-Z35BgAas)%#iLJD2Y3p1BMu#AgqShg z23VoT0aeL4G?jxtnOYcTR7mCFcY71)cP@3reViKCiNbz);u?Ir-hKmC_Ipt;Buq2< zYOIkw4EuTge4wb1VB1+rhb+f^jofG?C2O6=;l|3R4eVjTwsPHTCD-HnVpd}n2v?kO z4S$nbAQ_WG%ZNpKabR=g$AK~&n|U0sZH+f&42KzmXeZuMBWeAi_ejQ3h{#bz4-6K; zh)xPUGZcni2JO-5iUl~K42?lmp-{)5+>z0N8`Sk5Qe%!P<*gS-Zu9Hpsbor-*`BKx zx<-aRfoIvU-({X?8F12HGfKrV1c8rd+>Go|K5U%t=^$XKe*?j%iB&;_0;6DXB>nB+Z=-ol+9g?-1D~WFUp)7vamLw)*UZvVFM%A<7zGDNKb)}zP@Ka|X zhF2clyxI5JqjJksD6ve5wly){#zYX$$TEDu0JEt+nmGY1n)f^1ohptQ@cy;pz6Cuh3$)fY2AX(VfhXGfA`DP7C{UVAC%?1m zF4S;D`OKAc-#Q}vHnD?ea$;NU-irzLRfri5x z^>%4ehCGAq@)lx@%E1^_It%mfYwHils=+)UPb-Xnj-ty8H7asv+_Ih!x_IK7N>D>d zdYZ)03{700fnxu+xGwM_K`SGT9zFh*6k`O2XThw+@W6V%f38PPLq&9*k- z%Bc*a=mm-alq_0Q6fX{xEs7L}!C^^o(F~U$7-M_VATd z<_zpUb)hug;kcgnooUjWe$4R)KR&JQfZlhy`8=!h)47#L1{nn+_Nc6FHB(U1NGSvj z?;~w_GY%+GJ7QANWaL_g2xIJUc>i;I;GQ&bfNcE*TV^V}1@?C>du zI9Lt>-!s0<^p$CIdjnJVxa<4rFNv1hr{R%r27Q^`e2v`S;BziD4pMSIK4;DzJVK{N zf)g}kqM8~jARd&>y)f}IM|xpw-8>gbGA%Hc#_DN=(OY2sHweZ6j2Va${ue-pfeCt5 zf*&G;*0Df8(Xhdp9_F>WprOID4qyW1C|o7jfLNsOad<>sSfcB9(sZ& zBFt&|gQf}$Bb8ykob+J!hEjM4D6Um6#Nf6hTi|L21Iow>lsMv9$R*xT<)*7awTv@5 zTXfW!WjLvAyNt?CE8Z!S&D^BIR{bU#)XD` z?vf1)=OZE_L3j%e;a5EoqCAEqRbg2XPlTnAtqQpx0`3Oc>bzuCc@fFZqB8Xz`ZFCnONRxz~KEk3>BG$c^ z3#@vIi5V!W#JXaWWhp6)p-kls0o!BHpiaj@Vu*wuvQ;))V~~RBz`fB5L!8d{6c8N; zm>a}H0LgJ^5*K(;(xMoI6(bxRuf%NR@ycO(N6kDAz?4JAF&<1dglCJ0JRld1oQ(YL~8_(!d>|EuuLPq#>6XU^2u+wNf!0 zYRmA>1N;<2Etx|VDicW0a{S)?%ya9-m_zx6gTKPb_Q)o2mz%mCGu#@SD2r=hDEf7> ziabeN>u5e{cOrDuB&VW;j_iw_g1Yd?GW0~-&&r+2F)lXlX)I6Z%X>hqI+;?6no6rQ zNz9#z!PkP03`2LfH7|;(lHB&wVGsXUxR%Ct9vkt)-Pjye)7x5_Tk+M=tAK^2`ntGV zKrFbJwAde198fQXRO#)@ytB37Wu`48D`sI3=c)oC{?dW3`rttB&>Rt@%3!8J+{`hU zx=^m>vRKd1J7(dC0qxC26RJWg6hXcoh7l9uTHJs)ODnuW$IFG00uYgKy(@!8&~b!O zI0=@-0H?=RVo9$u-KB=e3$D!%t3Ed-G%wCcS&=HM?Mmf*G3oAi!SysZ=7KfsZ z3V;GJGsC20uNVNu2noVvh%hE6FHCKAhWZR<4C0}O+4h`~kTc8nwEKw)29jRai=>9K=e%648e4dV6V ztHGcTTQLwF4~|?iOjqJj3a0e-<=*M?gsMEMJjRqqF$QubYqk_@<8c$lR)uP#IKYLu zK<|8veqpgEJPmanCpBQppmKguYzm%d2On%@<>xqw5NE=`gu1e()Kpt^s6LuV+5{(r zPK3IyhIOE-N`u5Gpb!xc!yxg%!jQD2B5KA=1jrQ&Vc=Bm!s3{UVNlVYIWa?NbT|7D zcCLV$0Btfb%}V%0E7nmBX{ddRMN~UkTWhZmd};7ez}N`}{l`gq2pe~gL#zhVnr$?C z*{OcBx3wvESh}^1rmX?O8eo zhp=`i23STB3BqNFk(l}^SLm^DeQ+*d_yIlx=7- zg&5zEiH$LiXx>x47 zaJ8F0R;J5QlJcHP>UKFsoz~NgVd89K#@#$apmsbc*%0&;lckGqCRn%$@>(AXJgyV>LM76 z#;QBTM`gy7IiAK?;1DLZG*Jr2{y3ZStI%xhdM&Ac^1E;pA%iDk9I9mey3Fx1}24E5nua zF{q^>KPUq&04)881mQA-j~Gt@L(-))(%pvPIB;0)HsucX8=u~%OQr7YQD*ouOs%Lu zsdJO-lJfmgYz(fEDmAoYATI&M zyApelNyI(O&yrL^j0ghKpgqeEu3|wu3dEDUCu^CX#&BmZx|<7j_sxRm)UfGEc5#f# z`Q3|7L-)^*$f_{!YHSZVc}^cYv`Ui-GNeKkOc+Ci;wjXY;hhEegc>@>0@v{ng11r~ z8Egs;P4}DUl6T5eGo@?G6zOs12E#44>?t?u86cr*)c~vC0-b-4vJ9)y?qnRB63VC> z@qA9l3gfZc1aKtLFatH>dJ%P99bS+{hWT^ueOdfU7ATCYbR}Wp3?&LZF}xl0g^d&| z!o-3S9Smjbb)qk`tBb0E#zs>H7ZYHz+z#m(Y%s5e@Ls5KMYT2#-g~uY3ju^x0e)r2 zNvje~7^KekJL78r+7UR4KO2%O9B1NZLGa3EZ1=%1t_e2O%`&SCl$_X#0pzGf4908X zt7;6ZifE1wZd;&UwSclj-5!k7&R_@BJR8P&6yg4NiBb&-xzxH6b_-}od6vA|$uq=i z68e&5cw2+nHVg}F0`wgL{k1R+*6EHT^}-I0Q5vnM$tq8JRL~Uk@0ES6Gw22F!R+ko zy#~}jzVgI^eg4y%m02XG;i_YkjEjKmO8OYg%is_ek7B^V5s@HVhKORk1n5Z0Bvk=T zko4V!W^Ge_hrkj2RX1P=)SadVI+c-GL+C{veUOnA9}vCa`Q60JROJSVjRYs*GMi4l z$2T^DJ=R})I4K;~ISm7VNEMP&+boVqg;H%p5>gNpPbKyUDGV`Deg*x@Y?+N>29FS# zgCvxuI$*@00~GCdogj1C-VX=3cR%U=$v$|7WsO-iwcZKtzqngEo^l2||Mnj>@bg8> zpAYIIWsq5&aJ^84HIzg1#2A3$sYY!ht1`{DfY2~T&XP?@a5S-8K}Gxa$*G=ScT4AW zO|3Kgv+5`;pE80CU;ZR3^hJ_(x9p~Sb8lCMOshf{v8}QiXCvw9RcUu3vQS#%lfbZC z2jQ}Kwg*^Kj@?gT4};FNZRgs-6kRGK*MLmnh=F$E^B7)1Cxb0)GSe*%8_6QhEm6&YBc-O0c^^9PtxFKK zhrF`PFCBI{+IVNHmJN(`a&o}HHrS226U$$EeeB@4hbWC02fcLLG}$R-_c{zYOk;di zu$@@#!xQz~aKUMX;-tJ22~3T`_~szgFYJaRLj^;(pXrE(owaj1rV;K9uqxwNe*Bk= zRWU%OHecI)g~e(pE)wlTU`t)0G)GB9WB4~A@)T?dh^Z>Gbsuch^+X*$lANa3h#D6E zq4ti&rqT4s$GO#f3>X;3?X^=`(yMD)^%%o(6q;(~71In*py-*;VpKQ;mBH$+c>^dz zl*XXBVe^Ca8_CIDwR{xjpX2cox-}!oJ>w4Bx$G?dmR<@bgXnt z@}K+on$lGTEDk*c&q{s$MM?j}pFpx=74Fqc%NT&-sYY!htBT3%&9tu+bb$fwNWL)u zGG}&hnhEM8Fn>XhH9bY#YrZdw`R>x5Jwehv7lKX47qXJu#}-x$!+h}sGBhCGkqNKn zXK*ar0ztvfwUWotaRZ>*px4N;cx=wY08|>=68kG8=XHDH^}>Xvmz`34k|hg8^$&qz zyqGFa1YV<-yWoD}z{uAF0$IavNe zOm)Bx3?v9-OAwCeRZlQZtR5$QIeFyf>`57DY0F=g6OM|pJHZCR(d16p;Tobb?rGN- zxJlcbXm33thq@${UK*AG$;JYcM`*9f4w;Tc_VVHj%wJyO@>F1#u)l($%5fEA24UC0 z!&d2Nvoi>rrhs3B^kAJHP2K^lUt1R)X*Y`K1dI$R^2=T-W;rn;yW|T=`&@yS#DS7M zvr`?vM@nHWOq*l)FToc@RQBbFv18=g~%V5PBo@(h@#+;M-2KjgojK#n0QUS%y zHx8PrAza=Iu)2>tFh}(ph!9xDC|(b{T=5f7rO7D<-2InI(9`b*EeocJ`p?hR$-t$r z?vqa)iKkz>-qrt}taKZ?lMf7aVB^>rW)MJUuBjXH+~z(>9XD#$Z$v4ufqb2s>}t?9 zBq2HNpbA&po@(BN6oxnS5XAsU34Fj91|mclqY;zM=0Jy95C_3yk2r19j(gSSH8))> zJ-MqU&71Yrqa>I+Aa`Ewcz*L=+Fi*^ZujMVzU%kLaU=ePDc*r?f0j({aBLr2qJk!x zF#yFARf$T!gfvpBgoJ6fDIm`b8Y`n~;OfizPsl)e1}yK^yR8_9@SF~Rc7j8qcs&j- zd4t~K%y&iQt}v&y$1~KDd%G*kZ&J#e&nLx%1zQbq(kL~5IAA%&fRe1)-xa63ReY`S zLw>y&v%=!xU>JenA@r*J7R*2R3F+vY5yOjUjg6nR3&Zn`a+?F|%`1n1QQR&XYQoP`BL}u%x*I?f>3A)B)6d}z2r|x|Kn8Nwz8oIt=pkq#I&TKhF9Qni9-CX+Zs z#7P=$K(v#97*nLd+7_+fekUQ)XlWZ2DYeqB6#Yf2Hnvg`5q_U@-^?Vlf96d>NYbC&$b5 z_R?u;RsX?AZzugAK1e%zF=q8qv2sClxASZ#IoR-;%t!G__jVXHuhQDrPG^%xb$nGO zy}*cA!jH5lp5&^Y&F|VMG0hRgaE#JDPmtsqAqHW2a z5pDRXZZHl(ei2n_)(rzU;WF<5e%G=q4f+Ij{f1@9J%(QC@iK|~8qYvA7a3#z1kG1+rp zXHq?>(rL!M;dE2^&cAW7q`NDRb}#TmOx9OU#NKD&U}(Xgs$Cs-tDMdQze_s{+Tpp2&h*{N zmkrU2os>B!EuU2xRKeLppK%91-8U*}qm;P%-UNk{&u6l3Cp{Q2}bp%EfL#D5xooF209^rT+D-1O3i3JJsd01O!;0%BP;ff{A z#gL~Zf=N*dhGoQB!CY|D4~7iaIFRRnMoKvHfeqma)a8fk%xXkx84c86JOauHg-C)e zIwD;-Hj-7-hfoht@M9$0lu=KCor-OswhT_KVcKDu6<}hbN(68yB^^>`)P)w~pgx>+ zsP>bxIzb#Ta3*cgBtlIe$Ui!1wG;@db|u(i@5ObK)SC*R8{mnJR%ztc+Aw$#KX-Xp{DMmrLZvE)ZYa~0C)M#8I2T{Y)5PPX$?s} zJmY3-Dgocf2#9GZ`2>{8dH9eo?q^6d(!zk%Aj&B)heNp5QUYNc0i9Y3en&{l&8P+# zBT;H8lJl}4DOGcggpuDBwMfWMZU6!}SIWi-)d00iror`eEXGjSa>6r*4pl~jo1oK>zZwe*z>@Xy0@H2>FQOp`ygkl+WV zVG2gOo7^nzaKd=K`XCoTn_`y5sny>X7u?a2Yr54el!GT&wj9`cx9F2^kM2wsS z^}wA}%`-mBCkbItytyOO?e6k(@AyDI-KjjieD139+Mydxql@2iIxWl|jF`gLVureB zYaK?*=AZF;FR7oOZJbw43uX$9Bnw}rE)>Fy!*(YvfqI6Kh@g%hukY-Xya2|=7?X$; z7?shK(g|v*8sU~d{$<$wEeTcg6BlC#jt`U4`=&Ul4^8sumzUdH z>$-eF1&g!a_A#Q3=fe;QpVp{zdRQ~y=qRLRpxd(Yd)z@7X$M#?Q)Q=zRBr8{*X8^^ z<)_^hp$XaP&Xu!Yr=x)(AP>nsUf!j?GH%;Cw|3IXlBB$ehGoFuVK*S?>fkr@Jcvj1#)re_nkHQF zUz?x}%{Sp6?@l-*Fmp`%(@PLIHadlA9JKZwHNPlhx?Z(yb9t{^gPgDrlnO(B$?8DnI{Xtg6@bm~Hy6 ze44O2np`=28Ax60u-b_a_fQe@0y_tl|H}@OY7#uCb>z9|uR5piV){#LC$P2V($-N- zJ@9gWSqO+3XbA{YFl1@jF|Mg&X4Fv`w(B-?+v(WGbe&!)`QInA`JYZBb^B|m zr&5XD=Dulyp~O0(NBu3NANf#;S04y?m8x4o+%Fj3NP~or+fqgYHHv~!Y4yWGAuKYt zDJ=ulmX+V*3VvUQDuW`oL)Gxt(DFA<+!W`MyPvMr<*vOEzPGYUJI*tuDpos@!wZ07 zQY_<77?^IL;sfzo5Lr&A6a+?DV~Nw?5UX7=Io<}7z#|`9LKuTm+!ZW;l*CzhQ7elB zt*>aKqsi2}KufAspOSf$QnKdFLjAX8u@jYP>J@3*MX)v}Bf>T*u#Pg~SG=6g0xp!8 zs>{G%^nE*Lhv^fZ5ujr71(1y}54F<)8OX9a)GWtI3Y=1!0zUvzOu~jBW(om$YcCXh zEJl}W0OK3KrUFaM!c{NNh0o3kU2l*)IHX!DyaV5kmo9@($rA&`S_Xs25zu`^_+$l* zG@h7I156{-Dxi_6m@0UzA|x15>Vi?h&Bp7Ih-k67-^X!1)(tgPfV43{5le%K85(fZ ztf?{ZPk|)UPS+DYdkZDEU#&Z{H^cMbJ6%hIk;WTF6p8TxU&hjhmrX?U&B3<0zAQ4;IhjG+x$4Iy-vXAn_xVIW{K{13@4eY^-g65!Qg}W>>iL(b^j|M6*DYyDW(9*>^6|XciUE%9dkFW* zk_x{1MP+V7C`67zS_XPW%C_euTEx(kFjS@kX^MfpVnDrRARNY zqu6)gEtW*;R4AiC8@Y0c^Fe25ksS(HOp{!Ar1t7J+)H>#1ifffO@h`0T2zity4Mm1 zYrk#(*amKeZ5y){Li>5$SZ$Btio>O<(bRC45(uw?%S0QXF&LuHbCJ>;wG(~57Pnty zIQ))Wt?U>-1{P>4L+H&;t(44`othwOH~4D@YFI9`q;7zBy&t!;KHhxD!>Y^z2dn!W zTpU&*$B)pE-w{gFVRU9q%L2sX=}`sx)}b7Jl%w8I;bv#hoA)s&Xlj9?&p1{81#H(5N)?NxeC~CL@UD`(H5y(Zl;T(jmMkhk zn*gNypk)K&a1aptXB-g4f|2u)87wJk2X5GQ-v@6Qk+y(8+7YYX`+B2u`v&4ZJgVMp z|H0Y4=`>Zpa4lu8I2hr)y2LO4;G#^f9fIs?Z0LU|MU}bdWU$>jJP;`cPF)%4>i5np ziET=&1y>YOha<%fAtFUa>Cz6lU`-pzPM>}xZAlp$%;i_QYT^CMO?ksxFn_QB&dX-J ziTv$zP5I9E5&hjyBF0;OhN0wRaS=NdR{Ko3QniEKjq{zY8tgPUwRc2Fv2Ya9vJ|Kp zh%I}(PDTht^&jbQ^6QAWasigK9E$2``W(N@+%iy{vGX3hLE7VK`j)eu_PrB(4bJna zYiEz0CJ^G|0^3Gc^^ zQCraD#w8#2$wBTG5I$c6Onwap7~KWMt6PxczAltn1r(gl@ULa<3ScjPhuE7&@RRCs$5G zn%tDvp2a&lg%Zng5r%Y&Re`FY2h&ibJvda2LB^F-@wq|(yX#>sJLV+<)PTc~GOu)Y z6-G0%4r8B6mf&lP&b&P%^GC&5h;Z6ShP?GrfO4M3@Alm?A&z~U%L#m&Z7EU)ahNWH zb4#$Qad`lA4G?u58SES{2yLw~D%w&@y9Pd9jw{5MIt~UCUW841RP<1s$0#!*DNe=M z1;LAEs=}Fs^U_rJnw?!VeF+V4|6O=tD|=^pu=up26EvmUP9Be-Fe<7s-8z+DKh(%b zH9{{xV5|+W5EA8U2`C(*K7#|@!H&Je5~;P%UJt4A?;oN;&buy|j~8$ofo7fYCA8@q zT@F`2Jg>@+Ty-yK`V~Tddv-EKpZisr_T(L>__-Naz5f6nI+S1QQ};rtJOogq$zby4 z^Vtrvi-Ir%stpRoM1+P=2(rXQ6fJ?)(l$_PoqDuB8W^KgCe)+-u9l4I6L{A;<`?ZJ ziRktMwn2sk;$^`Woz_4Wjk8NWpjjfU_ zjaa12=a*n%I??mAWv>i^B0OgFD<&5uZHz;&}L50q3LP1ge_@7K%Nx+BzyCv{ori2#}J z@Q8;PVKJ39fQ=v~iF6$5D7nO)8Y;8|F>|FVSJ4n=2zYQ^8^mm}9A0HM;qBB8x#NJt z=>BMa0hZsQ4T8}PMw5%&9EEEtNvxq0?a&-8M10VCmqSLhJK6vbl zsyIK7Et06~_^Zs)mU=V}Ya>#Jkq9_O+kyieL8~ES^=Y*XTxW_3vq46%@4@?io82HhN*@}D4eCZBbrcCly8VRko|DL4Of*_& zZ-q+H+I>imt+PB!=J?>_b-X#Bb-e?>^)#nW^W*mqSNx~pjm_=wN}2wL<8JkVf+6R0 z?JX!#Z|E+}8jtJG`?>2?w_fG#gdU7W-Hr$;oXX0ozz!r8M^o!-B#bRBOOBMaWa=uj z@pevCytx{b26lq47^qQ*^j7>*k%grj+92we`5}A>;^+935q0U6g&4lKwv+~Z+%s>N z2UyO5s99C{8uem4vm%G_#;Z~jO`qTx8BKU(L7o$+DC?}AfrXw2~bYLLfu)|Fs?gP^$sYKhE@sWr2MG?8Ouf& zROIfjbEIL_N=zL-q0ITNZW5W#@S zXeCnyO$#h%Vd-Q6gKaI9Cn!)E*dt8Eu`!z5xcEl3^wu1%glgeoIz8BeD(wO{=TfFx zK>(I2?gp7@n1%uIcBFOIY(k0KWFk;N=$!(h>J@RDUax&o5)Uv97V6M^Na`+`ApTBVD=+~CaX_T62% ziAFvwi{U4X69cn(f?e9VFzrP*toSgl0-sMQaa(kg2o0gY6boZ*N~;A|6e4G7fl>O74}Y9i-}Veq z_0SYr`u#Gkobo;ODJN*9mL%Qeb9y+x2blQxHBsfTf~0ueE{{T5mI{NawFHkEs_CFO z%T?}*oEej${V)ww=rpv#E>oGGJ_s>S#Vv+-hjV>5c=oQUoP(SS6$!oQ`R-d;W0r9ciBM@qNqknR^ zqbs|l2YQK?mEcO7E+8o}ap;OgH}~Rjr(%bFgFq+@Vx7?{;#?@=2}7{AdQzKDDYsg$ zRztMa*bo6;RX;skPaXHy!>uq@2Uo}h?GK;PW6ZT^e7(RIl`)>yKxIR;9s}Vjs4o-- ziS;0`Oep4wLSRf9MWr$gVf~2CY8V369KE`jg2%JbbrRNdEW$yTmJOP?V?(W*Gp>Pj?V*KI`V_D-x?RiK;{f3{`5Ktps;5 zjy(A_iq&irDw)q26f;nb!U;Md2i-8~l+bw@A|pZT6wHJOo16AJ_-P56hOoCFV=fW9 z4Au-ww=8iYGVhayhm4gj%0(|r1y#x`j85(o8Vl?2Ot;adLFQQJih7i2!q=VZ>AHga z4953$Rk~ay6yttbtL${WGkS>7`DQDzmoU)ozK?0lQ8nWQtTv||dyJ*1i$hBQt!V`{ z5|Or)${2@5!H;>O!)EyY_mGi9#30qb!Z6+WCZr6w+|FfPin{dEH4eV9HF2l+@i%&@ ze>SA{Z;#X9(p8Rk;{#E{={J+=8>CNFP(v7)zTcyp;8A%Z!W?NUBSl1nhENEy#M_is z3$7@n4x{v;C1*^PNyhb)$ps_Y?26%KP1#sXP;W0dv^I_o=wKJcvfzaQs&%Cq%D+0z zS#kdbcvQ2qV`$NLC-0{I_pA8c2eI$&rq5FP&v%(X+%S5e#oyeD$B;EWa6^Q{n!yd` zQAo>DrBJhQq{`}Pt$+C^MQJgOg^L(!F^mUvBCeWRay^rKHD2Uz18idp-eBd=(Db=i zWu0=w6Bom9wcy8Bec9PeuLJ!OE~sr#Oj?mDU0ww=g(rjKxPd-GWk*3&ETI7$h%9M4 zM1L6POPD)pA0VOUbmNk#Xjt#8l`9*p^MHPq4&tinxTSD%w#zeSscg$N+Cd6h9X6$e zlN*7-BjRmEb9IBXECmh`ROFD4F+#u?`xADO)fpNGM2Jy@lEx@&3@ZvbTmeA}Q2?H4 zaia&=l(A1((p7;Yu2bhQKO#w4y9ndJwuIpj z5Vpk#*I|>L(IPZbK&5&V(l!(lQhSSRX&4J4L__N)NIN2>o-pdyh@amM;;542B;cLF zadJ*pauYu_g^3voRP7ljT@Q@^D=IdJl`M!xP5^n2TN++K+p|m_hCo*GF*P58)@b4o zyz#QNWC(L@bYfu13^3yt&m{X1G&S462T^RpA|A#t%0@~k3Ki6SGL~`0L7JB0N=0z{ zhnWk%Dyeeq2m!g4Itov*RZ=)=8nAsyX>g?_tZ=j;Z39Kh95o0}7F9&=WGSEf2kvS!T%vM1%Yz^9k)+a395B40+ptWH%e(@~KQ@u}7Mk{M3eG)o6pi@n%U@$ViaN% zER=*hErEI)8HoUjc)40jVC6P6-un>{)MV5x@YNBo7YkQ}MkwSHKcnFxjwkzX290%0 z&SpH&aNKzOrorrb?)>*Z(b@jr9l% zms4W;tq}7Wyx0EXOuxMQ?mTVz&1BEHeZI$QK8Qs_|9);-wxkXNP4`UH{VU$(RSi&O znYC^xNK%5qVXW34U)qLNrTj5~e^xOlwH6Q87EcVq^O99p^N=3GBlMa|Ta(WA=4Rl9 zeMsXxM?3t=7o2wwUl>hx-b9Hh!;>jaZ+8mbu!A?hOyN_JZY)0S+wMK0k&rPCq@rdLRH;*kw>=WT}% ziFuSP#uWB8L0O3jL4_^P2@Iie(CV{Tb>&zuMz<_@0y158@a(~nM+8LwjBeyO2CwEp zPhu-!09nMXBrXyLKI~>~qEHM{oGM&U(RLNa<#@8sbs&99ibkHyJ9(G$pg97}i1>+% zdP%FCphDk~fe(Ti%cMV*s)v^{wc-h?(jD?7OB4p^CU4m!n8G^N#LH$n=I(Qd);znOBR@LV)7x$&o%-#1ZQEiTI`w3?x<5wVJyWsO z5|UUB(b)iw!$Ki^G}6`;A~XcFoD_~WhycrfgcReK?tQn9y=O2I3v2uO@Q&6cx5J~t zE3%Rs2K>|~vF7!PnKZp$JSv*u#5Y~*ne1~*+zqqPEpM8uY5UHpH18)fi`#^|5HROG zJb~a**~u^C)rDj!X5>`kN=vv+X&VZWGRFW9O%Mubvw1j~TF)>^Dy4Xc+-YdZcDmm% zy}_aLs-KPe&h!3`ZaiM~^Vo)p_G299t}L5t=mvjPCpO{w6Dydlven6@qRT?sVtM4GvHBOj8}?)SU{qW54Cb9R{5|z z!ODCK<9#7?Wje}=&0wzP6atQ!fE&h-OO(&pzOjgicOV6k9{CLe76ftV=So0B9|vY& zW%V@0?pKfi!oF;JO$G}Ow5>t#T7ZUHHC(Tv#X$^y##m76g=1wM?=-Bx3rISKw1|R} zu*LEb3wO&PIdMq{0fT(E6d^;mE9z#%O52I4ZrW8=bFfsn%WcfqXU|rS>lDaQ#g0yV2G?n@`NF2 z9mYY@K3W3O5gI567jLO$d=WO@pECjKB1H06V+#DNwX`SD9&pD4gN**`e193GOW8?N zY3RnyRM`2t-}Sr|e?3I+ctNp$<9mqDUInY;Hf##4dyoEhf*VcWG?oYrp-^o@ls2TT zqtwOF5@^y#9fKvONo9!p{}Xsr8go>O*KE&wNx6-{L;&?Iy`4#aw!;tgstu}>Pu!ms z`5->WXH5KuQ1U?GRSx_A6`|4>KZ)bMpy8K4BbaDwOyCV+=D^tx4@2P&QZDoZIeE2U&|clyADD=%Yq*s* zFmm!Tmj$lT(RBFG1P>K{Cb#tuVn10jrqOlU+2<;$GMj z#yoX-7V|EkL>?Y@Iu2+j#-(yNBPMs|F6y>Ww|Z8!gp>2*HBFam+nyL~(h$=hCI~r^ z!x+Q7GO$gWjFFp~qK1@x;+{cX2V3w#b(E-rGS5L`xZ+WsF$Szvg!}YU1(I2+z580h zfPy(Hdq*O74<`D!XXfubcd*{FA4^2U)e;ak8z>0huPHlVGiIjb zsh{Z<)MS_IRj!U`T5(HIOfXtP|Iax0O^n^%#tQ~$_e)clf89a%bT4pd%}X6_WW(8g zbns$hs^#@9$<#Bw>Vq?>^NJaGLWCs7QK^f77oCF?{2Ugg4QcBrQcgh2sYxGo43?aF zeHI0A|9_NaL_8|eKR`iYq*|Fm&Lgn>Bt9@M#loPH`$`Wvw|H)Lt|2F#nbZ}3F-a?? zABfWC|HeveJoTgdGMmlR-@IB6h7m(P``7^7{lryjlM#_1f~hNrkrLPeezb(!l=esi zkzz*++cpUWz*@9Wa<Fdtho#k{8>aTRU(sHd~G3A)2lk4nq3W5o8Cm%#jHB}i7)Pxo-!l6oF zJmxGWb;Ab>NMi6m9nX&)`03s(VoE?EdM+6_W{9DRG&~RwE7moKO08==^#v9lihn{7 zIA&a9Yf@OV(G82JNDLm8%8UFEktHDvSX_!8Vxxne!H9U)<7aG%>i3C9<-iGrfh659 zV#xPUwY+0*ai!y(d=OSJLdlOOf>3@2r5Jn`;QdtfB1)iBhOr4zlH}s{*T{5~(X!n{ z6cPAXLKt^RpnN%;Q`1Kb;-O&Q5TrEltg2LKffInxjp-42x&R2Cgh~V2Elsls;O!{8 z=0LJrf*|v!F%mC?P7+X=x((uOaj9FaCZ>lRYop|EgzW3A^exE+nb{g5w}E>CP~3Gy zsdF{DTXem8PJ4a=QtSLQm2`~ax#n|Z+TcQWRX*&cm*~jQv`s!`b~{=ZUo@L1_6?YB zd7#n#;QFB{mD7vj`iiL(KZAe>3sp)47ebg~5 z^+tW7GJyX8s%2beR^%Li;Mo+W1EPwmnJz<*zR}Z_b#L}vZ82M%@W3kPDC~;9)6M*B6y@-^FaEa=I6k)^WwS(n@W;4AP8vyR5}}cT42`3? z^_x(eq-|(b${YhclqSElOQ`hvetZV@B8zEtjo;~clvR#%5L(b-WTTY9t+81|DO@)7 zQrD+mCZ#(yz1J&e6O-sHnM-$e|0!ksD>3^b0a~lRa4B^D*!=oa!x2-rP z8z_?aF&GOR=nQXfG9Lf70iL2f6fCRTEvP|{v@sy@c^tjy-UAyIEra9W7pJsA@6<$L zur+9VyX@kP7v4KfS}ee=$`EB56Kdmg4`0cJM>T+lnpn;04`B0jxE?I#=xmWzkf5mE zfy!j&Dg=%&y21Jr8k9cy;6W^mo}0jl77N3d`4F0m-S9m;ZL#U0OroRN$#*v@s=`a| zb3j5$Ia!&!!eEYXb87#Mhd1diuLPHusU?>tRI$MD6N9ePeIA+I&ZtU$ z2D2U2Qjek2=U}4bF@@_{2pF_V&Wr5GMTbajTFb*v?~fSu41CX3FVV^^tEOTqZZYR} zJiM~%@0n!EA>@eSZ26Pr@ni)1ND-=y6l7=|?MmCAMjWVH%C-+4b#v~(+fdt@BGY37 zC>a|xD}C&Hr{qGG{WxAX3WGqGdPpA9Z`{?V^}YuvoB!+1*u-BdUspDfF(2s3(jjb* zQodW>whV_#ILgbw5X~PYXdj-CLE8*E)E(96coOk3F6S9OP(<1^y!*L~?Im*RF}y1( zZyoVj;9@IQA>t1ez*|aL&E;-By^mL%m#|(1H+9=7#G?Qz{f^_!Gfjb1ZAHJ z5J=(2xM-vuc?Rssz*7f%jHwg163!&vpoIUL7Doz&x@o}L6Lu;=bb}QW+GZT_^$K037cn2xu4EC*HGHUpB-uFE8xU z0@2vN4HG(0PPc(0<#xfiZbwhYpTS@0Up|>B?D_AzqEGF=^_&Z*-YJjcj|8T)M1wXw zGUKF%u?iOJGgX4N#VDsW>>UHI*)xNav`=-qhtBlyfy{3Y-SoHJS6tll>d(HErTgE6 z@oNNVlbn^`L~nt33C~$Ye?f~k?kbcV6CKFq6!c`G$w=kBddOrkU{&eH1@qr^^Hq~S z_s4GbtalHM^4l3M?^qCAJI2a6mNaPzBLy8CwmV3qF*1T}+gL_Y0e!ke_qv@P{cw^? ztFPSPChkM3cvSu$oxwu~KWa#+!MEPI*er~lzwyuC{~teFdckB{^2n2uc>=PTmzFq7 zADBU1tBajZ{-&rI-1-9P;b^MD>*qO@{u{KLGwYqM9kYJzU7Cng9^8G|&)@mo_s_WM zNK!%AH~5X!MBsuXHbiI$g~X$gwyqGX7DTjAZPG^_gC(a?pP&GeMRvHb3K?+>-)R&Wjc&NIvJi@viTyDW|ZFXv-M@E4Qy+Rkrx)AFYx z-ZinZm$>2uw|dv(9cov%R`l$*mN5P2MftPL;F;6*e5ZG)XTsUr{_z{}58|=>NT{?B z!3eSl1<-P8Q`&}B8>OZ2QGncqqAnvBtVc!PG1uvmJL42)Upo6=2XFfhQ~dZ)Pk!>u zADX|odq%L^B zHt)VFD;LQT&@?3Rh|H53)s5P*%8aulnyLu*Ls&j4`8j+jLVgF9;^e>|#Wela1>RX3ZkqqgyYBe^F8TNG zE|Bada1|dJZ;Bk5aYDl$$;$`O3|f30x}GZcQ#xzx#eh;tO(#&PPzC^Vr7`~A}1B#d*YJfS=XY$w>>xT#EQRPe%aUm zao;=c(LwIWGsukv)v*#!P#OkwwH$Sc$@?rXZB9eifv6FTSN)X4>sqTmdFR$4XO~$~ zojC`ec^(PUyKs3RL+?{ix@akn1D$Oxo*iIS4f^65yo5`SP&KwKx_H^cnR&Nde&e59 zf90(gUi8|O)!&gcg&JTST?LsZ{JgFU{G5a1pV`k=r@|0m1gSl^Aa%VEb#mUjdy^E8 z_P+1pT>tXRelfjpV9}D*V+>SXq*$9(9dYkp{mdgY!@Hb5NkzS?13eRW#om9aWggGV zsMOi8*YdUJ-Fcn2VvYAB_k|Vz=e}KI4phOKC94nHeMhPDWvfcs!w40j-*7&p9*x7c zQv(I@;_EP$+_c`K>*qtDx4Fbi^M;at48-4@>*y6Ja+g=~+o~V=yUOK0{d{xh??oRR zqphO}>#N*mJFQR+2m35YCh5CN90Htkj_ zi@xUe=Tgxjo)J~FC(}jo6^nfT#!WpmL0xbBu8$9{ivQa?V&0{lk#nVr5ui`+(7K}= zv&wJtZusfyh<^9RS5u|8;F)h9Jd>8cXR+Cy+m<5ztHtsA^UiDad!Kx8xBHbJm*2c^ zjeqeNsuvM(0l?Epsi-a_OT@@YQUF>`ZA#lvh?Ehzj|FmgwY>+!i;<^TF|1(qJAKz# zsr=seOez#~DRRcyK36%B`PfBY{95_DqpR>~cSj=an*rWX!V|tVC2+s1Fa)A`?R9CF z&VXpU=i@W36Ufuvy!_`b{_#H@8hn1}))|{G#`SSbI96%KB-WJZ1nO=|YbT;GC1S9r z62_k#tF%*+wiE!&b;CN#+2nchDo?XX2R-p<$GO~7ngK)+#}{b5qvtO?i1TcN=|DyQ+UzIVZjQj z^>wY<+}PE&rneY-&K{;ULWILY0p)0TfyEJ^VZ0n=4k* z1n~1)1FjZwV7r)TK{<_`1J1Kfdm+ zZT!;H?>r6fkc@y)2_y1@D36(X6p7>aP+`;vr8OyQ0g1& zcb~P?*PrUjKZ%mfz!>1h9%R62z>}_(IHKAS?x0D&%2uT{fqiT$r%BOTHL&anC4*ukEOA3sdJz>T}BC2fD)66 zG`lqL$$y{!z)tf1@H%?mgXE=05UWQIGgD7FslWG$g=o^%ZyHz;&n40N1(^fTBNLqWv`=(3kI-y#0W;^~`eIo7L}_EVRA5 zD;hCxg4&*rks?`dwC$!X-`)IYm;Uhj*>C0II~QSEbR@7O7&(EXR|r_>N^58d2oGWc z28XS*sryUDL$l!X^l3V9hev$aP3C`TM-7)gY_s88bYBAOSv|s)q;Cuqevq(>7#)$N@Y^?O5E%9 zuT5jxa}LE~S1|Eho%UATOM3Z_oZkMWNodYr^x)NN{jJ$M-g)JY6?@h>a@8LJDt&9Z zys+p}`Krn8S>1!4e#g_Qmp;)&**hN}SX(8h$J_L3;&q?vXD4UoUA5x5E%Dbs@flum z>%wcMj39=n5)lfL4n44jhY_u-?678#dlb?#&}~`yJqD;ST%FZuRd*1q>^8VjHnfU1 z8$;FC&_tv$Rd0KI)mIDqrho0=pl3ewg?}Bxs1#of@zm|4%|FP}4}2V=ayeDa)Pa7+ zmWm4*H{$v=-k|r!ZdROh&*{$e-u8e0Y`OEkFP47@qx)X~u#e%+U=gv{oD3zzJPl^a zh~}f@nt|$~iJ#c`dMCas@_XLH=Wl&z^i6HhRtrhHTTpzBi>s(d#%__rSTLJUT59B0 zVJi5<@ZG96kBr+u88CaDsY(hS@jJuxvOEj10tZr@e# z&!Z!^+O)Z2|1S9f7=7N`0o z9PZfRk$KrjbQ|$K_ExBRi{PW)R#Y-zsf1&s>w9^O>9lvtG zmb5*)2x^H657Ju<4vZgxHM2wn1)fe7Uk+2t%UB2e02WAZ-w3r}m(J{;FJ)TrWS5)Y z9z)mJ|M7uO?sCt*@AmCaT=eO0p7nZLwCPmZkouHg=^M~A{94?&%=$CEX8L2rHE(|~ zLQAjd^RGGZs|~z$%S5O5(YJw(gn!#p&)xS|pZWIi2h^w6zjX|^Bj7JCb%+R}ID>;l zgoaR(An`V()q;CuqX;qS4U8j%2oSsT~m* z@>^yaTDiBkyU%n^F~8k*+da!RXJadF{Tn57G9y7m$m8%w>EWsKt4)I!$D5D!c|*_;u8BV9kQF@6s^N_PV^k5D8CanQ)5HO4SXoOMJh_1xVYov| z9*Mn@6#{HR5KcxmOTU-{F?P!|oD9fSE+JqDfEY_sYtZOv(2$0D((ul$Np6W^#S~^w zt7r!Yj#Lsf$>gxBrF}5bnjpLkfqKPUZ%dn+wC9s>io#hGZ?U@BF?1E~*w(|S`tI-1 z;MAk22-*%;{2M3UhYRI&UB+d7GUZo$Lw=DyFvaiFz213droWA!=9K7jZO|!OQnfY- z7G6nUAug?mtp(P6=h50@|QLS zGrm$}TyRO3Ug}VV(-VHrkIe=zdP~3Gy*TI5vV6qxuEH)$Uw$!CER|=Hce<`Wv>un5 zux>3Gb3*WVju62FtyWI~1r{o8N~;A|%GN2@>neluoaTqk>#Omv5$%`#s>EO^dc&+`RzoLicEA|ydcis z`qxOOchyXKY~3!n|6x$UV~+!O#~XU6Xq0g4BH#{5lo>!>6qaZsqmF7Mbrgyu9s`(? zSK+R{Y9c$^_04A;jd9Dr7ao-+I^W-EZk#&U4ppq#X5Yz1dBSr`@V5I$qdo3J6V(3d zlge|t(nftSMkU&j!R+BgD^Ms+=TVC&v&3 zB6vT6Fc`3Mh*K3uYe0KHMjALKVQ|L1pU`0H>ZU;t3nJxiJDS200Iv{KgZx&YG3hW0 zQY27`IFnloV1a2VkwLQJs*30W=Tr!_#o;@HcYVZ(N8K*DVaDdgg-1rhuzWik|_ z-tS0a7-%5y*hsb^#?E?$Q^mK8l?gW;vda%H^|m8v#?uys>4gXqLcoYryrhWt0I>EB zJA4o=)98?la(I&)po#X0+IC~YkJd;^OTf*LV)294e8+b2?Nt*X6n9 z&tqEVuc-8|lTCmrWyS|n+*2IVH@&xnDM96If9GfMP23N8X1~TBLVHgqFS~=xcMLrD z+uxwtaM95BQ7dQxMu|`x2Q4!!XJJrFU=(VTv<(zN6_5rQ8)KBp1U-T~wY3HVH@*zg zwQ;daoPuk0`*eJ~@P)~wH(itwtWd}o{2pf|dtBNviTDjSP^t2$sw~7FjCAl4>U#LO z4(j|Jy!yWGMI}q$!=N$<5xhLmPJr3Y$wxo1;4M0 zP_;aR-RPYdu@1ahO|#SNVWiigIW^WKmcS9xf*==y28d2hGHB?zA6!+%67eFUqA4z11cQIv1QP9yhA{|K_|Q&S zV*$tY*zI``rJQR>YfzP`L7%fA5qHShpTvD(xT@fWftKwW_&KG3tt`@PiiU7bD`H`E ze=luoi&2B;sn)5$jIL;06ZG4Yku@}krZFaTIhT`shpkFutlJn}hepz1Q}QWbR6d^X zNe}LW6AA{QB3Fb>!}yV5WZ%1Rka2c#gbIvTnkzsT3PW;6I-YLGNuVO9He}Ah&|PiI zqJR)P6~HmVg~neGrtVZ#Vo@p}o-_%lO5&}7wMg$kpgxVauv~WRJd|fA0@tI%sVG(O zb$Cos;4p1yN&(Mv>xj56oC4si*3xFohhn~t-T+V0Ih%5JvpfTSou7BLajg4basc8oa&8<-5ItgHsMB6}2t0@F>WsU};}D8p@(3?wdB`AU~BiPQtUa(b#QCMCuP3~P-2 zl<58oXkg(BsB?W6p2hqsPk(p&tkV1+->Q<^Hs~8Z1p<$NGt7d90B##A`z~Uw1#grH zjTB_EV6-W%7F?lLhf(^_k~6A4QJLh-!eb|^%O`N-)-}VU%55;Lp%0NUax3CoiJff1 zz$YX6TzL8OJ%0H=yHnhat@AWWr8iHa&eBswGP4}_YM`j{Hn)k}F-bGj*;`U>L2^gw z;cyCaL9@B_H4?^_mL*519V;*gC3DM5b}_8cy||JM(_L=ApVB(s2HmXHIYy}APJjMG z5iF_A8f(gK_6I%LymrgyQ_4OL9`1g-rw77FvdFKD4#PXq`BUl_mxjJ&a8A~n-ZgD8 zq==YssRR)>ZzV-B=-%NztkPOVRcpB#&mZEU9-34r^DLrL#&K!@yv(%pM4CVfJ1Gtk zX~>!*ZKO|XmrC**4njlW*$%Fou?j?VQb^cGlu6)n=;JLdgB*Nk5r@GM3h1$!WGL2& zM5y34#MakEeUy_>1vVxZJUHG0xw^^O*w`nZh75ADQUdnmGk(b>num6fX9v29I>gXC ztA9&gP{sp>SAjfNikvcFf_IUiA3V~sTp6^wXetKL#S2P{9fX1bMgkYDq3bE<+=kK$ zUs55)L%+o!B&}f(b&P`@bAl#7Y72m#1Ld~BIni17)hf(QA#HfM@Es zx*j-gzburbNwfq+1hHg;gT!crO~zqS@ME6n!2K-(2X=Hi1^yuHUHYouQU1_lQ;h!kOj?$GR;SB)qg(jg8+;`UwS*FO zle>KR%Wm*;MCvW#bqCIc8#4}sp5Ow2EbXKI=;^Gft1Iyc{ zkm^kqY)c6*EkQH+$uISpBCz^goHPzmnceojJ6cP@&(TQBf-lsL5qzVQtf5|gndjzZ zS0LT%P*fESMqVbmun=kjXa|Gl&G*tb)X>`wO`>=@qfF11DO3eH&;34ak7r^`Iak42 z+5@JdYle=*t!wPav=bcmTnq@R66jk#G!A9qsoyd&&V|yRlF||kIcqR*D0E0cOJAJ`m_@45(pax>`W=!uL$CP0od zL8TlF2bn4?0#~)%;R&W7e~hzX4UO~|$OU4agMhq>?3P5yemCa%BmlFE#?hCDWV50W z@R;DTqLiuGR|x)z$%aO1AR%u*PQ6ibNaIEXvsUSPZp&X$_Zl4Ol{i7dSuiROJ1wyT zn+zTTBUKq|aX<+J*kwZKoI+rTZm{fB=LU>L`FxlamMJ(nN&h*lq79DkRj;Kjx|{q2 zN-a=6duC@BcNrL^zk4zZt!1uP^l0CtHm;@`6@R3|zMUWRLBx+gu#l7{))EjQVew>e zz)m1G4#rU*s12V}%lJYqBlkGU#Aig(9m+4^5?nO8<0EMk6-HWv`pVO4H3zVpc6ZR68 z8yZAJ7E0IN@eg4X+EnX-vjB_EdQh;_UI;+Yh?Xjtwa+19b#63LT7n@g4y?+gVgO(% z0I2>Xf^Tf)Ih@hrM0uFL1=}fMRBb`tA(O=w6T0Y^#jjFW<823G(NNB#LH8P^wQUQw z3Ts7H%j_btMH$6G;B~Yr{z~O(nUSjC>H^;YP!)@h;%O}V zatH8&u`0-udn`UPP@lKJrKLmAodu0&IpVH|fK{a#XFzM1S_qNjon2d3!4AKxM%vC% zaa`t!;eBGj!sRg(T$NF@;J|C+?;3zvx;-kdDw7H1( zRQfR_Y#Nm0)?oOd4)&+v9(dTf#8a4EqFmoQ6?tFFKe=%1Ruf^kpB(ZKy zOLCdc_(SDbM2OH25!gt&aM}jx&?CWCgD^82_?9rsQL;|LIJ3Tz6mKC-kNP_V!G0vz_ZGw`O<5 zkNx-}pALMj>d}EgSt(`LLD{0ZS5x%f zK8o~f8lX1>j{w4mx)jG<(5k4yN_6o5`;km+o@2`XKQVC??v*~59KMiJ6IR(3M`7d` zn;9!76NdIO@*65U8XC3`Y{)9Sf|8DXqq8bBFlAY3XNaf-&86`PZ`;n+JZ1lz-X57f z(DKv)4TAqNMgVD=*5JUf`x*-)#OO~2V9WS)!h9!y2Q5!JZhwa4+~$Q@W(7GXipF^8 zVnMp-Oq#*;IdFwgjR7fcLr+8+_*B1Sg2a&^?CK*EjyWtXV5Bq{Z63n4q)J6K6x&uV zc&cUCAxn{K3yrz3zz^zlm)F(iaH_%xi3Re!Sl{ZAhHez!%uFpVIeX#4ifgU z@4GFlhb5)Mrr_G6>`aj)wSaZf_K>R^e>wctst3V}Mj1C;|Uih#4m_#>HP0#A|c zBV|v>u|#MHg_M`BOdKf&)ltVk9+d(=;oWmlwPXJ}?{YAD`#4rLzVkIiQ%E(@Bk$>W|e3$Lai|3w^A=}#i z>RBdQ`5QbhjS(!uQ(tL|tLjumsXtKc9XwA@G_X$9opBT7_GZ*hiehD>zgoZ9U!MMe zww)!EX6mEyl(q31CWn?dYJ^5_6kD(jEhIz5Ty-i>nQc=wFH{>?-J zqe6YoFD84kD9EIw@+J{XJq5p7!fi_1(5jRMmJNfWej)Hi}5H94Vw=QotAp9|{F@f_a@lCM=f% zw$9_kDD7aUcvoYYwVkRr(goU~r=@fR$TB~TNWhbA%%sFlJTO?Wdcj>3*$X(vHl1-aV!NMl+-X_Y&}~<|MH+#pW(W?49C^&#OHPfP!_2k zN2wn<=$%c%OUf9KCCr+Rk$!xVYQ}MN#8!3GjE4KPIfUzOay8!iR6BbdcvKYQH@ida z(A5deL^smV3_Pi?&{PamPkU*nI@@XrEOnE+^PJMX=2|D-j;1hA6KiAZoTHPqfh~go z8V`q&fCwnf!Z=9mMN2@~Y@i_Qu61libXJ#d4lY5;J0@~}?n!0xbICKWdYqO@*)UMc zR^d~V-*}bpY+C24n|_)u@|F&Ir2E{0KL>0~nO)L^iIm*_gN~XNMojYe=@_mO2#gk? zk%HWYC}X2GNn1w|@&Z~;V`8x6G^q?~?0ul7%kBixpdj=waD0C7OclKk1#xZx`{(kvuwLFwEDU$iN5krBCmn3Agde=uKK3Z4nfhcHI`B8 zI?Rg2IUIeZLos7bSwlg+Rw@Q>zM^Rr>@`St;TfGIv;%ntmL3GTBo2l?aP2hdGK$M} z3=xJN0a#xZ3Zey;ZWR;>#XkWEa+e|8aE!708v;q0&NDCua@iH%%HRlv3NY~K$&^58 zKnf=f6b^aUYn=S^kv$tnwWmFD_!2y@MN^h1`OVfNMglJn%&`>5lLEW2f`u_?3cuvS zAdCb5NR_AIhNgm~)&R4S>ySq23Z8!%eD>G58%dAAJA-{MI=w4cfaX@B5 zn**d}8z=}D|1ii%3{0?(?x=)0D)pLYXJhwfqE~w>Nrkq60iC&7_+NKB=7!ih*SqF% zcY7fcD<*#c>bW08d?%Xdmd;2+w?%5}yAc@|;N%TII2oU-gwF~QhFCBmf~O_W5DMXj zC~ZhvM-dtVT24*+sAI6?G^q^nWFCVye$BnF)3&S+kE*wfFV=0mkBh#}bm%y;TY|xq zizccJL>BwrvXrJreS8RG;|%Iw`I4s6mKaO5W({xHuf8-{M*R@zB7;4R#?gZ@QrZbf zX!&VV+J;txqQ`KSHUjKWwc2sR(SwmHlUGz$&&+buL4M2g|IgmLz*llqcf!?uTT+iK zdwOPMBODu-WPyb-_VoiY;dvwrNA?=HhH)4m1lf4a@Cca@lJMq8mW=?jkqzNDY)JOn zaoE8S7ziW|NtWx0A%-~F!6bbC^2_oK&dUpgc(YDoz`*zaS3mA;-Fv(H&Qm5iQg>IK zI(6!tQ>Ut{tE&4dQ_+q4E6ba=Ht}tjsawtM=-?k2lK-@N-m1$R?!_m2%@sn4OQB_a zR6qhY4IJOAy5eUr8nP$Hl2|^+4qbytxQH8lT7Pk3pEI@MaR7E${sPA3R|iII9Z$>) zM$K+)-voEToH{ zOv7&kg0JeCVT*~SGEfps;I(ES+kdz=hAA%3lF`%{#t%#Cx8!0>PpmLy$`!yI{Q*<2 z8sGdVOa?X!9iKo0Zv?Sq#c0T2kRWc=4}X+A-@q0^SHztH%;K!p z+=o&ijiigA7(J}9=iOj0G%iwWj=-~6B zt)*g6C7Cy#m|)s(o*F%IZ3h^BX=qQaf#2Y2of)hI_YK=C51!|Fb3YyTKZQJzIk@v3 zL!46R24}x+^gx)npW#`Uk2ObMQ5=T+Edwk)BSHAI^R2Oe4eXmB*aU{=V;E7HrkP#F zltQ#HvCCIbmBYl`047|Ii3hosQO?YIg^d!w|o06E=5buL6?K zM>g9~Q88r@{pP6UJ*FY7YpB5P4%^Yg^ceAlz%|tRubP#p0iFKItZg~)%jRai1EM`RYZ~@kf0O@< zfkJFG(G{YFyqLHhD-@#E*@nvUN#5c(&R}nREhIq4jc=S$6v$_BS*UMv4-yQQ0kjd& zEZ_)zvo`->Sbi@et623Twg!ULkR(euXncVXaI3X0J{!cho=!=X{XQ?k}{)JhX&2g-#O3K?M4FTWSID z)v7kUn?F>yyLM0bOIN5ye~CBXZuNuOfuGb5HP61uj_&jtqsF4Bsq%h`A~+{!;HW?V zLE ztC)@Y)8?{S_~&QqYILB5pT0PG&WxOGjQxmbgTHyL(N{@y$=oge8bv4s<+L9uI#p=D z&z>m-3R+ZfCS#t#fjcy>!iu=j=V3yjJ`||q(K<;~uk`WJ z$+If(#D}X$T1WYw)+RA(mqp@~Ray}+4Cp-Z(#~h#7@V4UD;EP+5_IEe~T^o_1l756l>UV9~z}2EycV zD4#ZJcb4~5$iE&?1;-hz*d_eFxH120%*@iM>^1NQ^TbIV+c6_veH%VFQU=h1+RvL2 zbCbUunp$-C-25=cALcG}S9-QtH9I#gUmZ?GO*!x$TgHw}o;4;sQnNC0gdr`%P+``D zvH%un8DLAvNDzL%MW<3W zp;c>Q8H4&4Otp45jjA{9?O*xcg0Z)IYF=?7K1#bQl3f_QQG`NJ2##9Q04mt^Dqu$& zGK0iHrb-2EQxcaE2C)p}?2%F^Q*tIl9Q&w5_l+3Am?yXzx2iP^hK&B@NKm_RMz=RF z_n5sH1S(mOoP9AqNVWYP_#LUo`Qtn9KO>l*z=&$k%2_Kv^%p;xxG!m5+svx8GtE611a}OtnASxr#{cgt$Zrz~l>P3!Z~Qm-wlc#& z-#s;L9x;N~aaAPVxW{oT6~IW`=3`pZE!E3hc^XtEC$<(!^x&^ zyiWn8bax4G=fm^B5)jp4vO4Keri6`>%0s^#_hWI1m~v`S!Bfin6=Xo&&`O+tF}~pH z9@|)BiV>B38nv*7L4!p+LNSAZki4TF2g`k=sIij(tfYaH;;^YeR_gfab){A*3c-F5 zfC>4i#d~bQ)Y%B)Nitd;HS=uH0rOe5KK!x~2X7^y>&j$)TaxGKCu6zTEMABy${#=z zE7MdMZSjea?k=>ICZnacvS!wkaY3k5kGw4 zuY@zBd>eKyo(By9QZXNtXc#}m!a z6=!hLy%d^M;rdOW6Vj$iDkH)ZF#yX`MQt;yX1STUI!Iv6uE5yq-Z<4j$%zD*qgpUK ze9!w3Iv%OO4fa)|FEdkS{$eu|T(np4!Rs)bz1%uIWRtm!+a+6#)7ynqV7sA6?0$;{ zJHZ19K33NrQB9(&It?iVDq9G#eSaEXx4H=?lQoP9_P`>7QM+q8s7~jZ7|gmK5-eo4 zffFr$lT4z^ zgff_bhG(t8)hcPmN~1Ugb?5ZdN(0CIidib>K)CH?K1Br;Ed>Z$K_GUP4ulVi!P*QQ z(k2RWu@6Y&FJTaiDV`R3MzAdge%yMa0p1q1V!ndS0QUvn=!1!PVna-YH=Yd5Hk{H!pwOENs(};8os78`j_P9AkBtV3BGP6;l!5RLF7EfE6s{j&kVs zaotipi^H%!GZG|X7~oHT3-$eXXIpdk#h`Jd7hJ5tmro4G7w`)Yf-Ggg*Z1vRpFJTk z{>~|FTyM?V9mBp32}dj!1DR3? zXR4&6N{ZgvrX-{wSe|nBNGX&lIVOm|pk<7nf8vZ;d3q@ARX@^w?CE0cE0MeW;ZI$2 zsl6ujyqN{L`?4R7ER5c8s!T@Twz9JKB#F-XjAs{jY-!bAu{jDA<(5LCLMWunsgPli zU~yE{HnS@8(AZ) zlxQ*YrmTL0nJo-Gf)G3~RzMWk=xUU(gzz;niKFX#>TF_ti4Hk|?sW)Y4McZa3s=T8 z%YQ?QLFZ8}#8?4L#A!P3nS&Nq=AcQ?jfk!?Wg7D?Pl!K-fY=mK#h^?+M1_huccd!A ztVzoNsLMXxu&f{#=J9c4{_ZeaEf)ek zv?gdakK|%FrBh-IJ87sH;DnnIILeB70Xr@M$Nwd;uSomEbdH7cd}xL$`u5^(a8-0B z?awnJoU@V}hn@uNZrBK<6CkbP1laAt%?$@}m|>gy2x24sDkPpH;UgfS8B1V$!fOO{ z2E)p1B*hdQE@a(KaU&)pbjN675i%*;Je2}=6+OK${&*av zP*>g-EQ_Tz@Mw)JE;}QcFjK%SmNEl@;)assih0?XadJbIc9lgK)MU6ie!nfdU|*U?pqW!(}J@)B^*rW?I25iEXBKk~{p@&F>Vj za!KH|;XrUZte*%4G4px-pQ9N$c;G#&QR}gIiSg!Yb4v5fWv!X>CUw?ru%5*7vHEYr z?47TTNU$(w=Eq^ampN~XOF6!0W${@{hP$A7x%!SBhQ6XW90@Kh<8O-g~dhzTB zzq&cN?5lrNVbzZXL4^s+K$i*`28l|FirQMzl3NN?EoTqQl&$oN#pf{9$}oXUXhcy4?&-{F3qR+>5UF z_EWLP{}ID!y;7({zJ`*l%MNV}QU}mB<3_HB0&Wd_IEs689+k02~i~c1G>dVXV1G#?->+imPX}C)sgande3!gcU4gac|g~ zi{Q@bt!ZK_*H)HYS_NURCL{Xkg3;MJ`n-h*-x{oQlz?7*&Ihj*-^_Dy+WABUmK%?R z-@4=5`*{7Birt+`2=q$p4QZ_8Dzp;1y{R36Grh%~6RR^b==ZQxO71JIm_(MZDdELr zDWKsa*>Qj-${ZRqOLU6J)+8Q3tpU4uB5U8XXouw>B!MfrAF>INBiu5M3cwN;QdC0t zl8=ztggpzRvB?nY57V$M9K1ilu}`r^#K1~|>c==rW3$-6jB=LgwklT2gq9P{E>nX~ z-4?HclCcCS3*BQ3jn6gHGKfD*P)2oZ(?jp$!Vwjo-yf$FYZMk{l$ zA}s?R4(MwHhoOwDP{rX$5M8)q*o6B}4;uTvFGhF&qzUGkdg`-azfbAfQpFLKRb*-f z*P3QiH~Z!j|1}Dpj1R)eCu`BjpTERJjqh(VtGkEI?4Nw!+%n7(N?^82g$8ptGp9-+ zu0(c`3b9?CS#Vbb42w*vn>B-t3UJi6bH=o@>hAjd}H&B`P8K;-;yhe z^?&D9HA`~=pl+70`hll2|F}8ScYVX`y7T7Rn(-rV{DMa+toNX(*<6)cRJ>#c$}9uA z0kl<+JFD_~2%xVKV}4!COmiD%)Nfx-Sllkr%zO|At;eGKssNPOm=A^Zt6}Ne=dchR zJ@C?C6I$I>_=XUi>J(q>3byw@I0S-nu;nDjngW}D&?Ma~Qe=jVq~$wjXT=TxzNtIv z-8|F4xB*UiWO5zmyT*sjG7UOaiK_*r;Mh2@3zo7;`-NM(50L?ZKBj^0eQBw{CQ8}9Q44p4 zRb=wVtnZz_cbm@C&a<0lc6+<#?ih(0%Rgq#zWpX#+O)EAV=z6hAT0)qR@+~NOeru? zGU`v7)7Fxf21%jI9+oLRO~!;8Ru^`?Xp?C*{m6~bYb3q#?Jy!fh7*43Qc;o>0(zeC zuTJ+@>#BPFzmA0dX_I26e^3i&z$@7GHf#2;T^G*ZdaCjFeb;!@tPq+=g_62VsxCXY zM45VbptS%0TAM7}Bp%TN5NaUgF)HHLV(QV;X>Mm7IF!%5zR-kdi z)8JYR(-iKs^)mV=W)N_+Ft=)k{R>eP{h$gci7ZbW1cWZB5axZF>L8KVis}&az+5FE z10(Bo+KO8$?Zm|`>pm?Jg8LFNYdjT#jZ;})5(hWHSs{aBL^X;DrpT);u30A(CrEI= zU+_G{J?K~hFgEfBM$xvcH;lpN5D?=ZO|p6DA?z$70g9>g(?)R84F{4smGz*IaqTcb z`>`|eeG?<2GD`_pv7BRK`VMX|3q~GRrvq%|8Jfek7rGHoCsme0qKKuf0uI>u6}b zw_&@=>U*%*g5?YJTvMjCS;x{sl5E` z-+bPGx|zNCquz?{`$_B^XKL*!p82)!%2L%;KcmPbpT6DfYnsRV=9`<1op{qy_{OHc zWKTI=?D>DUY?deSn<5|h^s0Dlnk5jD#v1VLQo;FtVnHRFirRu(y9OBUvWF$MtGvXU zXa4uO*a-bX<1dhE_yq|mUS&Ib@td1NA9GZds+EJW=-z+BBMnyl{4p%rJ$N5J`i$QP zu^ds&e)ByI^RF+r;kFO1YK2F?r~&z!N|_QntYs>2uAWA8Fo3qo49t6oz>W3ZuP0A@ zeDrfL>`j|c#=;L{L^V`8Slk`w3y1!C%j^|1V(y(2JYcUqCVC&fusSm|AFhRVt5N+N zmM~@mDK)(&4+hyYBJFY9fzAeZz>Uknd?u9NG7<@qrJ8}tWy32p{OOcg+~Vf>6sTYt z8n&Y*YI8gHy-a~5D*_3rk*MUG-*K{iMgK-;!p|vp$ z&jGI)yyT9hF|cUBt(IWExjBs3zeuLET`_QAhB?v@mdXw1j=y7Vzdn)tK}eW^oPZ!k zRNFF4{{St}95RdM$*s@%3!9!3nyKd5YUN6d*X+|9`=cvOFm(U0ZyT>O^ZnVhQ}B_` zXhJcDIZ6P}RVj1Wn&1svFq{>st-V|LXOSxnGc#{p}C2YW1dHF|Q8aX5_AS*36c#Ju7(H+ILTN8;kp~ za(IO<^%c+RhcHs;vO^mK;sLY;*VIM~9U26~tPa3qSA>_{Jegg*^wiO zr58`&0f}QFNV8dE(9ah`Wcbsygv<(?lv!ane`nG=pzjmkf@Xn+D+EOs{tCdG z3Xcs2*k6wx1mJHme(OaoLD23M{v_hfZ9gGFZ-9062W9~Sgyqw0b5j^JhIFxc*=it| z78w7=lgua9-WjglAk%kZx;XQ@-n@vNu|A$4@kQh5{xpZ5qGUmTune$QWYq``=)G

?~c?Nq&0k+4Hkb;6B;gRT#645E+7+`6oMD2KKxER!(3#{LGf1XndfZ^c76lj z8nnan=BsCjIq-Eetv|%?)Q;IwZj{)L2Oq{pcZ__yRmd<%RLWIRTT9xsbV0bw9u~-q zyD*ZHFwCqwU;CWcWVNS-Ay@r^8GKSv{wFp>RoX{2pYmK$$}Z7Qe`ap$ZQrQ{wWar} znRv&htMB;=e);Ey$CxdRy9C4~U}3B1R?pMdZZ+;^Gs6s&l(jyVSq70*6-BtJ$sC*1 zWXv}JG!t4(pa(64eSHMa6WePTw?8(Ja;EC?x5m7{Jrf%_3(}k#tu~|oV$2P&oxg7y z{rkrw&--i4vR46b)#%9XVb4|}9+c)Vn8s67s4TY!g9V3KhoX!Yf^e(^K&u&b>#1N= zpT=*$1~pS_MyB4tU63^%_@q-D#ekb6+P_q&qhdZsI#oSm>BSHYV@AgvKV#sOa#)8c zK3U6j<%#!A7>WzjbVdmtZ!9svGB#F`#KnjyhO}LgYNnXc27+NT4s{6X zo{!fkpB##itKlNqU>lxHph>Gb&|5I8VAo(WkM2?iF!WgB;AN!8Ha!+TfQnes ze23>@4JFuz5mmf1JuzdENU>RWK1WETX3~T^FrpHCs>FC-^2}}KO0(c&goO%lJ3xzg z#c5$3zbrppPIrhDmSO@ZxMhG!vLOf#SfS5|YIvV}b6C_L_lV)6O814VshY9UvybXSq!dNv@YEr*t=GqfsL}?xh^o@ zKM(H>e$T`1nQivkcR!|a{=|VT)|6WcHw86;{)V^gli0HCR0WsaVSpS!TLpPiv}N#+ zV9L_*0QLCd&e8U5Xp6Y-&r!x=&^Xy!YFrU|;bpQzt}&-Za_fVqb+= zmH)*He~Rjrf-hzi??-G{xFMAcli3IgqgCFcA{}6n&2U+K{2=QU7<{1jULqPFjuma9 zM(2F9PuJ-Bg`h6g=6p{Ia~!ioQA(w7o=B5IojNLrt>h$5lGk0Bm0gb zSip#VaRL*_b}`*NbG~(?#V61NyE;jvGjbfmiGiqZqBDh2Bc1?J)?Ad{^*{!7LbU9GH+~JQ&<#()vb6hBuT8tFbZ90-3QtRw9a-kb+q! z79(G9#i}313yC0s{24I(dIGtX0*ieCx}}x+nAIK6*5=|Hy}SMER?U`)cNo8=_?4_L zy*&Q0hpA3+`!Qyrt4DFwuZj#kWV7kW#kxv!6l&@ncRa7J*G0MJpb1R}Nu*1O<1;-H7Yk^7+8 zrLC?x8L|EpgQS77t4#@p%qf1&)aQ(+?!`f+&iH}cVM7_-rCfW+5z0X#)yuaislFQd{d1K#pJ7L}gmilED~$h!mG%;KT#FI3XExSws|9 z6f3!6iKT$Wu!iJClDJz7SSlll3h_q;90tf<+GeEdw54P;NCJaoMV7dD8}pnY4>r3d z127l_sZ~&I(aTHRFh-Ruy6cCgN~iru(HrocdnTl+DI*y#D(f(~4x(+!4cQ#Ds9^j- zMKFY(1s^O#;z1nFCfjjEUY{8Ja+8gpn1P{$C9vCN%@xaUFDBeE_Go`Vk&=~yVmQ`c zg-j{%fqX@ziBjuGO3N=KsCT7WV3b(aTQSIx78B%B7jvjj2Q&hAX26M)s%!C z#R_uy=xxYdDN5G2v%4sT3Gt!AR^*Go1d#AzH)m3e3t1v4eg$na66QHnm`yUa^$@3I zWag^77Hw@|dosBI(%TlywCpDfWZ0B8zBUcjXOLV9kCNEgV%&N?Dm@t7QNn^f0#9ud#A^4EzNg64+s&CYs8SG_E5BVR$dW`WK*GG|J z80qg=YMOtpnLtiX>!^eqTCxtkvTE67%#L8XWyRp0j9=(8(OSw_7*L)n0XlBHWE5U8 z3~De8R#}aca4Xyo?Hs~WEu5TR^I8V2#BtWq1?%F+I2yUx74F(pEETj1r&!dt3S{mL zEAjV!R=JQhjhr-+G3Q_f2=96s^y}p?W6i|8VfA%^?ZO;81k8b&2W*96&Phq2P7|kYl|s`kO|$K@Xk5u!un?Nr%%mCGyUmw1ek1mNOnE*qVzl|RAwT%l6NAvc&Km!hTuG&;*qM&U zh-wJ3S;|M1D2Cu51UMFnWx{EK1Y#`zfl-X8vT-}`ePIqfr!k_kv$vmNCnNRDt=DhX zdmsAYj9!EHxb4?RgK*~?@g?ZHhcS99hd@Zf5f$A_8A;^ozyO)4!usqcrBYoaz&Wry z_oPs!WaW_rK<<0~4!0{LTvWHUc)7d&uelQSbDTC0`_^&*7Y7v4(ckejUGxzVn z64svZmNgl%CyYPMmvax+=FBHHd-F-r#XLb2-u(o;kVCT64oyacCt?7WC-UpEhcYFn zZAQYBLj=7&F4$iVR$ZCoqr`c8JX^(5!W_oD*i3+0quoPh`IgfnZ)|eROoz`yeQ-o| z>jiiU95=6uX$#NF)K&9JH(gywd8`wyE70Nv0~bIo#Q~0us#wZ62FWkDo6lpZbSkd= zC|om2p677HpmpA7%O~fV0lvw)Qie7Xz&3y(P4q)paF}73$udv^3OagY5b}9tf$IgQ z!oQwxkPyV%N%<>fZ0m80cY(5#52>__=vb%6mcs9tG-GYdguCKI8&m`^;SZDvoW?y^ zvu6m!Hxn34weV81@!jgy3#zc22a%60$5m*L4NYu_#n@7GAWh2k>j+r%7C6d)%>;nw zAp=JEwlNwR-Y52O?^;k;+XHq%u#n*hEolqE)smw~IOnKw+c|#V>KcX#M-vxn{kJ60 ze4bPhYn+|W%&fW946DCvB1K!fe`RlNJMDctyy+2dHEf;@i#hZ2QV7CS@z;;2dKs>e zPhlb*R!Bj%tR2PS8j)py!e%50bEvgAUyI+-KJhO^`7Y1m!5h41&Vo zKhZ+y<0o1$)~`3chF-eaw7xYN{lYDecr(-A{~UkP-TSS1aO$^dt6hk%RKafLhziSE zR+$93jAbBG3gJwZ3fiV5sdoED~Zw70>&0s?ZQJ zAO>K0BIzI|W1d3;+q&JxIj1DP@_ZYG8E8fw&oSXGH@r6xv-_-Y&Yb%k!8cIt6?^{) zJ~EHh2h34bnL}-hfDu(Uux^hRco=715Em}9sSxcYWL8-z(5%?N;kxrJcN$r^6<&#o zj#e&5$}C>Oo!M!`)xTC@NQX`xhe4z6e4I~_b#0HgEn`{~hOR_^t_XrJlz`OYfW?F( zDqo*7*gO*jY)Bn!)MR*TePu=u?RP{6HiG2t;zRS0BTFo;a9;>UY(Lpy1nSV0r+g0!B(fNMk#Fd%5&oi55|897?v}Idx5)thhQN=|ZsWUqmAv5Ui zMghtQtKBl-;mAl3MnYtD1fQ5hi+Xhd7R?mM7j2PqQPj5Pb5yXLQ*W8$Ru; zD!TuoX7Hg&PZz&60ylfU8T*c`wH|nX&G6$*r6A@czxNzf#M_@H3^G+JXq%FxWm^Vv z_DCs|DLIoN?uy-hBA;dPz|lm*i5`X^KRm;IrOY({1zW4?%vcP8W%>zW7`*s7YFfYV zo6)zQ;Ys~&tYdhmugN2~$jr4bsrjG$%gup%0i~E7)^~!&60V zGpjPsA%XP{q6Y;mn7K(j-yXzx@4 zat#wrMa84G6<`rG_KLK9aB_j;Ebd2C*e_7bQMLG4@Tw3PuPW&gq$_Mf?5rGQR17#V zv!sNg_eC7qT3k3@Ozv)r7T)E);0&X2NfCy`Q3>FMx;hi6&8RC@S4MwS0+~3OG?J9# z3`N~5z;<6i<)(EL`?`KUo|l4C4FY5!&a1OrSuJLmjT4}StifBwl|Y`GCsVUm{Rlr} z%T6i>nv3;|s2M%FBPwkVmspRi9HlU<63YPfr|$?3LRZ2%%v&qC14@w(UKaX-!bY=V z|6fk$*i~1oyN9L4X0FTfdw~Mk;so)ATlJ%4Z3C^huC+`q1ekyEFLA;HUb`ATJia^z zUf~L|LTmRR({wde$cu@1s;YzCaOXa%cC8CZk_xm8gS1_2R#Qyr@&h6ENCQi8MNO4* z##=@v+@1)ZP<#5>I5dk(E~(U~;t?gDugxnp;kLmpHk;)bSTHugb(wsakG1W9ftOpCK%kwM75k=XY|NUn)-n$iKN-AW(+Z&2+YUn6;3KMf z-ntJ$$1)HY!Wv^a|0leI&f~Dxo)T@jqG>UI4xqKC7y!u(uZ1wB#+(T<&QS>|GxHICMb8~J{+UhWbxr|LlIV1;0v|7~$}j#nY|@lRxZ!tHGZNxUA) zLq*zTn%UQQViR&Op9Tu9v5$6nYC(=;nw061mC72e)B>`sH$b~OatUYi(DGCf;Sq00 ztmq=VL*Ubw!z#>~1I@*bQ$%`~n^~cEGO^r0yWLIXIT~kNz6y@rW+nj28Hm^=mu_x3 zCA#bNKl{b&pZ4CLU){Rn``x~yDAZq#iPxPg8hc%?V-v09RdAskSiMg}bK{S@%z5o} zX8fV(sjq(cf#=-%zK{Ln?_Fun$mK*t@rx{iHht7bRI$|b1Z{^AgG@POm^8eRRwU~-A|~+RhpWgyxJIqEfJ0?p{_5dp zed*h7ZJs0@pme8=Iigy^0LV2C*1e_7RE-#k+YC|t;l7^>mxak}F0|0vGXr1YEgT+L z^5Rx2H=f`Szw{q|hWXY5agUN!zf^RsGRY*t9jEFXv-Hy1S*yX+DV}U} z?cDTRUjCw`-}!Na85mV39k?SMR5DCDhOEo-gjiORt5!yWSPEgNBY|^E0Aefy*oWw@ z4EF+HH)nNlEX^R$TNi|tSBZ#|^s=SkBBnV@Zq5Boh zEFp*CuRXYm1yuo@)xnedI=AC!QSOAhmvKJ`WI1g2%t2E1;?=<&6qzbLN%O^~B;E#;=q*xj)PHv~#cCb9zlWD@ zcN!grCoSL5T^b=C$M+M-+aG9p10_W=~%_nKT><)&dz_Y(}t~a{-^@}fU zefP~T4PTs+bX=MJQDCO5#RXbn9|v?291c`@ftu>n#7Xd=YaABt=B|j>>wo-5Ctv*s zzkkiX4}9+JlK!k25>jxk>FIPDKN?phQ;2FT1C%$bOctLs|Cj`E!C`UrOeqAFab~v+6l+kTzVM$vAK3*(Ki*+C3 zx1>&rT@|n*cMB5r%^TknUH<*6-uJ41`IAe(bI*Hzz?y$bR0phz~*^Ag} zwXZB0`x%dBX+x2Hy_K=mAKW|k2OnK}>*rtbXJ5R!ohu*OJsi~Ym<q3EsZUQvMyYt&V{1jO{ z>AcOKpL*s0eaq7~Kc*w8Gbr)KzE0E;3wQhR5n%0?^MIxFOh-od$pLcb_oE@z51u*y zr!P47O{<$G?Df+NughgPHjy5FJV3#cW*7R%AXXQAA|ap;DT~83dHoY+vfj)(UTS8Z zf8Q$(KKAY5-goS|tnt2ur}Qgoem_ZESDwex#@* z$<`jc%qcAX1HE#T;NlsH4L71v)Ia-@NGZRt+i{qO@im*)2!kbn1yTFC2yF|!HWtpe!=WQ-`K9);bAKBe&{Jx&(c*M76 zg$`^S=Cn8zhXXaHr9L*;t?*&Q5(lR4N;fVAdbKU3I{pOGDT3{c^bErW>2efXO^ZZ$ z9ASfx#2E(8*`V^(z{VE0WVR6@H$Xs_mesvhkk2k*9>FIZC?6eA83(Dc3>2G;6h{=gHlp<9zPo+%b2JM$TZX&`A1A^9 z6`~&1Kx>#-25GQ3EY)%xYbyGd0|r!ZqP(oKVh|*E+9Bh!%-_Qxs5_^pd@RLwT=5}C z#%u@bI0rM8ddY#O8@d7pNKJPsK2bFx3G>u(aDZ)LBew*&f=FBO3f}4@v*ndUzQ-OG z+C{o&*%`zOZP}(zLJ76nB%WSP0Q+T;B(d<)oGnZ~&WVk6<)=03TEe=teAM0O@~C#B zJ)})zo?XXoLq+*4d+ly3h9J_jSmOHwCGM|6 zrWB}@O!|}Nw6&zAK~kXFy(zj(#w=a7beORnzFoZYokBIquozfeQf#<~;zRbWmI2xj zuP%g_89YjY1m9$@0BWQ_1#&mw?jR`^MFFyeE~Rn?aT$-)Nx!{h&4F_*%PxmT2T3X< zQQCozgVh?cQvXK>SXSDt_*4t$<*Gw!SE-)mM}X40XWD8$(4}r_vMj-7p+|q9txGc1 z?aL&l=qmLqpc+qGV8$n#a8VkkbNC_)ndV%(8xfx+KnKJSt9T1GI=N(gxS!)w_ z2KG9YM+tF0p0yoZA7U3I>yf?Uhv?3|SRH9xQ*F%B^{1cxNwY5+S2KhzE5R2O=;gIf z9W2YCS%`tI#+gfH1ZHwlAZQssI-q1rVJrh44pxugaHZ3Cm61eVVVGj=yM8iGm`B9c zo}$s7??w(IWKsxTsERV&=+%NUNQ#{nM25j#Q0$^2QVXg$=_=-KKu8s&TZIe*3dK=X zTT9wbU8r`>9vMZK$^%$JzV zT+T=;8N-EO!KA+m{5d@u(Lu^n8OsvVVujc)5+aWTG{A&K3P)7Vh84I{8o-_rE?mXJ zxxnF_I3>{y(v3Ri7*<6mNF1Tv3PD`GnI?L*0?>lAbxFQmhuC1zi#z1;j3UNn6nhx2 z{UKf%M>;#Ce+O_HAJS4#KkExpRR613*RPO3c)Eor0$Q&oS6*VUX`ug=gOs?h60AmV zJYcWXhRHNo$xqy`u)+l;&Bd!KPFdefOuh(WieMue3Gzl}u0!h2o^n|;iQ z@nBZU7#_WVk~Jl>3{XjmC^(FdBdcPP0E8zbXw<~K6eF`dU572ucPria0ugHW57y@(mo;(b&k z>as%{gH#sV+a6uoDo9;k%5pdmHam9`+ykZu&Yi5xP)JF!2^5ktsWQ+FF1;K5D8WFn znQRIG-}H=vIircQ4x$41q~4umOYN6&(Ja(2P)^Y==P|&yI`xw|G(q1-J91#-OQo~3 zRE{#UR2mT5O_a;A;UmdJw_E!SjL0-37EjH1wppd03Yz=?DQv;L1G2v#p#ddYWvQhs z$@)R(d{;l3qlss~*oGmz2}OOBH$ymBF@VYq5$QGkiG@t6^-+bUbbQF`u)rQlZgH8U z;}=w?#syC_Zq@JhDg46tfLv_}{X$9lF-aD>L63W@yVbMKbN5ul#Te`ETA#evxez8? zU>WZOtT=4>d$oE?V48(h3?76gPb9Sbhi7@5SrGoCSRch&1;UZ=S_%m-da34D5Xn8Q zJtdzG(l|kgMX`BpEwRj-LVpVShL9{JVK^;z{}e(@FiV@=Hu;80ie8ZN zR3@gfyE$eSJ5OwmgoysI*hfj{-YIux&+*I>Ql+FP36VLIIYjl->Ufwe2RsgI!K{zJ zIM<$SqGc5)Uv*AxN=<_{4Y+GH+vlSK~Y_I7B>>quVvF{Ou=L#C_y08|`nvOk#2EkyNUl;w8mX^Gm0`tZzMxE&yKN3kKIVk{}+Pbw;+6<`fC zj*#Qu^(u_DF(9k1ZQCG;1cPKnmi30hNI-dz`Em&znBDlKqPk%_srtiiOh*h> zY=2%%(QQR4IEnZbM!+D&Ldw`6F6Z$%32v506wW@P+>Qh@w!L0JCv9hO=rIH z_S8vT9`0-;1=Ox@C`d0#Vq3H%U}H!caY%jJ-C(X~0u`lF941Lfq#OdP%f!Qm;1^V| zRc#f;3X2`?EVi3_#X;W$DyTyR_QshC!h+)d85hfNOsegK^-85ACMHR;FzX?K?aXBj z_tFVb^-g@Buy*2Goe>w#dS3Q=+Lin$MhwFv6_y%IsqR;3S=ofFj$N#RlRCPMdirYY zL9G68Zs~g%O7HQKrdUzraBiaAR=E=+&Yqf!SJzG|Sjtu`^03GQYce0%a8<+6?@JLB+d(rwB{af%qee8xW$yk zXUpXE#ZHp@5>t|5ElGrkQUGCo!X=Ybn3qZcEKeX!ZzTP!n(nWkQ4?HmxWio<}pEuRL*G^ zD-36H7-B0!E{A0{`edfBd-9>pN+7du30*EZS9UI?J2D9Ey4-%e&Yj1`+lceHUa_`f zwli7Mh?9#Zb5OxjDd|zW%3*N+YLYk6nykWko_P!eno(PZXqe2Y5EdMjpijN`L04j# zBcf134C|0w6^H9CECZ?B!U%wdu?+kHLQWxK>Npgn+NmO``T__8LCJg!%8C{8nj)g3 zSWr~}QssEHCC!uq$spRMlBK0v208>QG8rhdV$b1*73L-8ZjPva>e&f~*fOvr@Mf}U zJ}*0;4f*176B(2ucZJL+^;1FDN`kt5#fm#faR&8wwYC#=P*DQw4Gbri0UrC z!c1N}6Rgs33fL6q0@NdeTqMKx2_)9&le#g`LHr&4aHV4lXt`v9hn5~xv`(tD_DJuE zN;C9K+#pCgDH@A~tX1!QiR6+z@2yjWma++s<19_Wg%{gDRr)6#tCV)rm~zti*iIGq z`4uE!_qHXq2(9fPbq(y;iy=!%5y$I#Sc1fA31)^<1)V#z4cJ$!gg!Ddz>+~kd3Iut>uWyZ`Mx4&#?ajbC!T0J$$|i7WTZeyI3D1j_g)G zP0|Jtg#gio1ie+rlmf*d+NRvdnP7Qhlc~T2R9Ug94?z++J* z{QMC=zv$F-Uv^BnyB~G{Nh}$!g}?=$zAA_?FVzNEp8AsZcMxVfRKOe+ON=2(=BV16 zWz&>CR|~w-NEsxm#PQT(S?b{gDtP(fupcJFt+;@Mt8>1KmwrD$Kn-+LXrYE_T5rURmYVe(tG3$ZOWm@%P zChHQc>kH!5DTFIS_e<>bNKO&j$~-nW;rb@#R{dg`OLeSPJ?l&8Z;;Xg`)941B2@`{ z&|TY!TYAeZUYy;u7B{N0(O##es`N133#pxD9~<|BW)xLeGV3tA>rfes!%+8(1mP^< z*OI*4g|DA%VE6T)tA4K7cjt=bjIiZUgT?ahFE}cJkSfP3m6TsHG|FT^rbWsC_02&q9!UHDcxEC`8C&jH14O3d z>4(e+!qY`O5mIa%>v!JXFJU9#VQ|)a0)i`*s__+w299DtPU%TuiA}9T2df6Q8rkuo zQeze~RebEXcDvIvElY($enQ|ax@&T>ybd%Ym}IP8aGK%hU-1%%qIa=z=fqd0;wFbz zZ&5goQ*bE*bk;QU5?Ze%ZOnq94&YeJcEk&VWxM^fF!xbPobki>s|R!>sMFC3U5RPy zDKDOVW(vvxS!DqtdfU*YU7+#T-&cafsg zsQH9BV6Ke~)0;3gWY)@ilN~!O1rip=HbAKqhpS%809_;_L3sZAp4WWn@y378Ge^zD zmobUQ3T+-d%aMlny<>I-@nS$Jeu%9^vZuDf377VmhrBE^uP>IkzMx1EruJ4LQwkKB zDmhZtzQvI*HYH&K!Sa+7ETvGUqk?m(0-YZ7Cv%6p$fC`6&D8< z=QX3Vc>chhF|M^ZrR=;D1ky!hyD*gzuGT73A9X^Zi*lS$r+Z%KOmjip14OeoVG+Gj z4I@99#E&uv|40H&WuaNiX%|Xph8YUbIm?p6m7ct~d+Y3L^LbkNXjzPP)*n#XaRfR# zM^tV%D&-J?-ib^?#GoL9X4vTTQ5_c1-@q)?H2g^6MBd_$8HJm9=Aqf*%FT2Gi&J}a zQCYhC!DC@<@Q^mXPYAbA_6bKDsGYD7N|iMTmTYl2KgmcCf9-8^X7ORHLY?pojHq4? zQ>|PWn1e8sE}d<^I_a1z)PlAu`b{ck90U=+n>zhRN?o<>3mfWiuCdaIBr z1&U0S-lS|5T_og8usrpoP^RQehIsuZHTo=!G;e$WBdY($8?schg`bZxAAXkbBh_yE z87}=n0^igd7^J52e?r<$*)56{3nVsLRRBC<^;e-KrFFbrm5XmtyV$Z8IK~y>aZB| znVNVQdNw3kKrqTxzlVl`@PQFOa@(Ei%EAkw_vl+lY{W#fAuihDusAIfsigKRutj%`^uUx)*`7G zu+5JIv#nDzFQwqpTl?vLXBxFW`Y;vu_1f=!7qelO1+L*t^4Cghcc|%_G8+Z)V zku7%R-@t8k1Uot^fPD~U!`iz#W%uwE1gEBt`8D5?O$D=)Y+aS6N-9%?Ct?7WC-Uqp zw%kFOYhY-gap@-iC3@kK4fgQIdEN*q_C6Eai2rrQOstJexoR!t+oC}`R{e4r61@_f z%|~;T8#jUFWu-y3r5`CfYxJ=U~wsgDTANMHg48nS85bVb65m4jqvK&b|QL&TRm_I zsvxOzyU~1o+IexqJ`!pt%Zs zLKHbTAAw~uhHzw(%@I=q!gTQ3ME_DoD3@g*!yugT1Zg`VBh3|?l8^_%^3;<;nUZ6I zc>Q{dAD^8L^lvXWrrE$3uALzD5hKI5&ovkC!Kxp7vJzOdB&dzW@5T!wsbI=TuGkr3 ziXo8xDhRWZJHYbPpEOr&W>w~)mIH!JqdXi-7b-k~g%Vn07*V|qv3)5f#+RH!bq|tV zRAu`zx-w9!qY83}+|^&8MP8ECRmul54I&k|Iuk>^VOPP-ZFwSeHkKIk=sY*$ySrIc z=RDfhB2;7Fjp!yb-$wQgS)|Yr+Rotk@zh2k;y{vX!9`s50)iuvq-4^&g?9BFt~?vN zk~ND)(R0PU@fd=O#&AkB?GzB}1D1O6D>xx0NiB(D6R8ne%Eu*hElO5u27I%

    >Z zw`m0^*!3mt@aUXDmTzrEnSo2N~gf({>y!ruP0kqf4lp-MH$A z7{k2gB*i$vSbn&0JjM`P2q@|_GmT=UYO#gv%yzFpg|<>)GKjXRL5j;BAk_{)n~?Ut z<4wt&q(2f3_U z5lC5L1}A7mcGYUBDzi>H+Zm}IM*W#pYmGIRc|vSG z(_~EeP&i9Lf6)mZD|plPnD zCUa!&0WTf#_pm5hTNp^erh%$gEg-BWQ2_%~Kf#^RdW^;4syUOJ-oyG<9EKz)v$Z;B z%q0)ur=BjvJ$~02c#>MW3?r&jSImR&^aHh z$!AH$@^dO=7$hi;0@9qemb9JPbP1MGaG62CWidA7Gk!*qCQs}KDRcKoV;Q_SOG%v4% zL*#mvMSpE*Wq4+bo+UGQ4JMS~zp9xT^Au=WzA@GnI%6(Gv;7Qr1I3_e;790+Cu3Lz zFo(mf8grA`8Y04qjdv0wPzC`OpN*7I*^=}PoowmBiUa*RZ}pyG`><{-pZh+uzM7q`~6}&$gOiKDwj;gIHni3~Uza z4D`l!QaAzfh$9+HrUC}#DabIq1SLI;)V*j0QMiTxZRgHLq>iH)EJ#TKqhi-u2S`VF zkf58D=$D~fo_;wqyk?<60yCl*QEf81!{R12k4exE!ZYqT1FJJ@^J-pSVQ+$SrF+n$ zEk1!=TOK4I-9Ry=ENn8C0W^yIaD=KT4o8AZYxShiJmK{i{QMsF$g&B_wZ33PHEZhk zd)m5t2o19gFukqV`Z2!p6YGk-oJREHIVv}TXi>6Ku%PI#LZ%d`6h{GRPFqV_8YBe> zmLFkSrt~x!6V5z6H1}O&{npbq8~?+P!|VK$p%r$`i%mZ;`~Q$cC4hC&;DnRs-9}C& zZC^EcKSdEG&_sG~B`KGiwy+2g2GCYXU#X@@*ac!RFgH&FKAQ8aKHD=}n%@w|uzmmo zZ}ZZy)s?9SBGm60!_VgwgD~0hR00JWNlDhDm%LTu6=%0yeruEN$Es6ICxlD*V)fcg z)w0>AbkWq(6kP zQ+lv`Z?njBnHg`r1^GOVwh4D&{jT*jNc`IC;^)E`-TN#=-vc(SXIlp2=7?+VVTkI- z)}s9j;b>b*og_HNqFM$h6MaQ+INzYG%1C0=R)jq>!;zZ%?peitAPgX9nL2XngsFee zEG_S=H1-6~`bc0-d6I7|vnRy{^Ndh$&NCTaW088;!!j-9E{st2q%qj9Of<~Q3*isY;7ES$?D2bjMu9 zkn&WLC9>O8sTrYCAmK}wi{nYzr0fHl8&RnxS*n8D0p~D4_A0hbDxl~lA#!lAE!^0L zFZXYrIVfJF=Ox=V{J+BfAk0x2^Cnp?<+{5c1@*{)=8J7qW+|;{mTMygI7hXHj@v=@ z^isEoqg7J7GR*{30|DKm2=IecMajo2&7$V5p!8%!70Y4GC`MGvQgz}p&eiMOAQHA& zXeZ`;t&RTo9_l}E`1fv^BcZ<>2`0yb$IO6*vh#LOPXin5Do!5dIk`_)xM2#(*>SMn zRvbon%3+8o10?~9#iu>MCcUK#u{mCptQ0r~B%vi`7q1M1L?uOk(oCrwNj%8xA($n% zuJXu)(3Q{w6I1p-lUIj5gtF6QJ-CB3-891V-k?DDR+2VsTL$5dVmlJLl*(}B(l7vDlQ~pR24ygW*XkX=pz3srea~?{&b4QMQ z+%d3}vaH+yg7;P-mZh)1VRk2UVH^Tk`vdmtD^u4O+Y(|si*>UmrH?qFbSzkP-Se}7 z40tOGSYK=(Z7pd#tEyA55+-TZoGslPRSrY4LZJBvC>D!^#bCiKSEVzgPfeD7y264pz0v=tpMB=p@9O4|Gk8+?P6CML9v{pk}mFedkN}!%ljYr>G|J0@W76n z-u>mjc;^>RW}bD>TS@0@nNr9yfVRpKm^ZeQ3moZF>vae2Jn(FDTWj&)NNeKD63!cK zp^%3z#$LX-qms0;1Q>$2bLWXlB`|Wr{WpcbGJgH=YCXROXH&dzrC*k zlI*DO>zSQ9?X4CulF#xA^wB4TbOKo#o2%qV0QVhV2Z*r3fE`z`m!Mz;W&V?+A06DQ$3y~ZuRf&&~V5cgvN3wz`qADvk6^A&n7i?4HgG5lW5oOTj|9fw` z=k;{I{@8hQ`)0QPb@S%+zkmJvf4uj)|1+q@5-KGBJ=dM~~ zhi?c?R4PM!oQwmXIC$5gE7tzSqaXZy|Ls3{$2U}(6+%`nDW#i>_cPBuaNW25-;!AV z;r(}uD;|2@enCH@ZuFK9|N0X@wn~qHixibI#@VaZKJp!Je)7YY-}6uQU3UFVH%8t9 z5ozb5!B7qrQeM-vJ79-@@N;kCZ1C*;k3RSAf5$F6`&s_IveOwp@Oy85J->ePj2I2C zeLF$_PyAg^zV@ay`LnP8+TZ`rfAU*bj{iH!Xpnd9!zJHt*`$i<47qqpAdoGZp|(GI z{$Tft;ghMp|DzjT@X}v?&3kXy|1$Qvx2|noOAkRdMIR(n-TGFamo6TE>C)v`ZMp+m z+9d64{=wJZ#*fq8Q&AzvF>3SdYrg;Ex1ad2$Csa2f9J2i{A}uJIcAz>uW$$lg@Xk? z;1m$_LX_qg8q^l_GCOPyJNK@yKK~!Q=-AoS*WJYiN5v!SG-hS(IJ@>Y82fXlo_vz- zJ&>frBHZKHfmz&(A?T&pmn9m->GF)cPyEW!yM9)%Xa3GlcTc^|HBnVV;6?~KD5BGx zoEo}ApkYPJp{*X2dW2yQ6$`}5r9<-<9{AY)8}_jy-@CsvketcCz5jnNAAb6K`hU0A z>HmW4ehYJ((`@nI-zLp&u-^F>|K;w!gMa-)KeGQX{qCRs=0C^NJ0@kO6%`q)rfkyo zj@yDi_7bA*KeBy|+&AoWiizq=Kd{BV{ee&K?_BDcsHC!~j!W6@t_`=}vb_9Kv3BF> z>z=;uZk(eRRru)SZrtc{@1GrF=h*Q6n_lploU&+0XsiqxYWL zDrPUw$XRtwP7Jfe9KPVl(%2FW#(VAOGSlKmDHdM=tL@`i+l#a^<`A9f5EIvPmz29pc6+)RkzAJT3nu zYM~)zyjjf#E=bByf=?#Or73uQoA=RwUZ#El%qTeD@d4H^m-@Ic>&emh6tl`RWqOEn z10Oq2(o5p9sDEG~b#Bv(;hPV9rB;mHrX5oQg;zF4L*vbQ>6oOty`D=4MIV)`> zH_*HMiBCx4-uz?|TtD^UibESQQm5wk?yS z2>JP!^*fx=jJzZf2WQXH(_Md|S5{Qe#EQIf>rZ-DUAlbYrr|UFxBuxUUobhaKdErH z!%c8;wa`1pHz`@5^( z{15;3;Jex5^wDpLKKWv3#ccV%x)8I(?AfC?PK^RG9jvu=GDf=6V zHPIzt`QRdfQEHNrXb5beA>r6m%uYacPzD5JW+8{=iHF~HKbiW}($Ammt}XL_f9K}b z(pvw(Qy+c$C;sS?zOJaEjj#6q=$0Gqy6b~4efg)@fm`qTD#Dm|867$L6Cxiv%vK&2 zU+CTPtLtZO`RX;VUH#1R9e*ZRPks^~{gR}+@%aAVdGoO^-Sdi5M~?r}HOJq-2$?D~ zaQ=;3gM0U}qc=bBg~1~|CO&n~m##eWv|M@ap$@zHb$X(52Rur4$y81)^5A3l9N8GY z?cmZg2R478>-ZsBCZ&Fd;FKDJOjuX4L&LVZo!d@#0AG}Te___aj=k2H9?1b54&=_V>32dy=wc%uex=m zzrjv@<(dcI*dHDcpL^lGH?r*yu5G<@AN#AF&stxm`(Ku(dJQy)Bf_B(rn zJHGs{4*iXLR_u(9<{{_kHY*?4_vY@sm-JVD|M;)6tFERW-Fw~D)&6V5@BZSOK0NFf z6IEhp{6}B>hF^N#*5T#%{I&n_<=5MTv98Ro4DLCEiHd~&{?Rfnf^VLB%YOP3QPxCt z8Xzt98N)WkAHU=Di^R=O$)~S*|0RdQ4?WRjP3|NvnAS?lY|X~2{>wKn+}l01{oM~9 zJo1N2cd|#8*68t7?4N#{maWl~DXM0B_#HtaC~#em9i&S`*yn%l8{dCmb!qq?Pk#2N z9{<3>JAjxFfi_MyoL-V%1sBJT*3iD@bVCiZ3IYxY$Hs|}=rQs@ZEaR}*cyx@?b3I3 zU&!dml(Nq|l9Q!}t~<(><<02E9WJKX;>ih$tFPJN8N2MSJn_+e^4-JEp%-2FTVH)< zwNRp?BG66#99E`G0EMtgI5vQ$qzq$rO+>Bbq6Zlpiz_kX-UZ(~xW3>4&N@6XYXb|) zKbl+OqML&x591;+P?a1s5}`S-8K$Ta^9$qTm{zxICvMRew-XmkV*$rlvyvz@q0j^Y zEVG+bP=MBdqfqf69uJ)- zq|jFbquo-t+EMQkS74;jbNeEZ7a7JIuopG=C)mNa@AD^HA(tmlm&tZ${A53$R4h!} zl^Lv|<&roGlaJnMX%C%%8mflO<~^mxAP*2FhbL-X9zVfPX^Q901LBiOv&B8b!eSRb zj%r^4bXHX3XG0Ty(kzo8ahp|aKtMP)DoBBbLP#_W5^7uZ)7TLW#ki1g0IFs?6|=H< z-l~R3;6hU6GD467L%~kMv8jcc(dw*X%r1%RSG!RtJMzf>52ZVzY2hH8eN75)lI)^# zIerKX+ovaoX4zQ=tXqY5MzffsidtbYL)&3gb2?G!)v&ToPm~85LYdLUfLd%FnwSJ? z&ahb-!zTc8bLrBC5D8{A8<-&+8x^)d!)*@`5^Ywe%+{1h&z872x|P{=G)88NBGgPj zdcA`96_)_g2tjM8gVW0c)j=6-7_&>#^e5WGyA($@n=>$n8Nf8qq+p`TvbQsU_0lOj zJlUj^tZ`*ZjX}n(mDHgL`G{wsI?dYOcZt!`?xmE}OTdZpKzphS8A3SC+94QhV1{sP zi~_A}A7$O)a!0Wf+qsXJD^nU~{ho zn&Z5<8NgDJWj-^IQe#krsyxt(twR%&h(xnNN&$qs!qJ5cAyUjLHZVgtHjy<3A+=eZ zGFt=2kZ=Iv&4%cFTmpl0MHNnAM&t}EZUzQz4{g|X2eW^BI0Gp)2Bk@t2WpMCG(dzU z(QJ@V05Ph8PDnJgr@D|KWs2E7P*L&fJz0ziH!fw|3qgy(wxQ!}-IR!wRW zjnPysuwUsIj3jexzb8k?O#Ibgm0wIR02jC`qqcsOyt0 z5>kr9Tb-4Op@bBGR}$sY0OFP5l}R3Zxr)wD`$Eu%fuIub$Y?pR<3#zMy?Bz4`bmb{ z7)N3~^w6Oxk)G}TWV0SJ>Y|Sd+d$x+Tm6(s<>^>Mz4cF;w4+sQVBReeO&i8p-YOBT z@+R3CnLJ`*fHCd(FJg?eCVhuy!h+SL%Y|k;j_(FL61^j{c%-6TN{7!FNyCV%W*$=P zFl0`${%9refRONFn>V2h;3#C7n+%N3?ux&b#!^(k7jsv_7@XnQam^DpiOBPQC z_H=@{CoyGLW%kiux&#M#0Nm5JI9+=q%AFoBvD1Nd<1WHAT)7VFyqJMrG|f5dOvz$# zrLC_VF_vFK3c%r+?yxn0ghT>RUj=FQ=wn&WrHc=;m|~U_z8G5uJP~%iJvH}Qzxpj% z8dwNQHQVO17pu2KA5dn4d`50L;L5L69TX|6W*a?Hu-LqutWY8^2xS^6Zi}0SptWN~ z)1Ki>X|~48+O@ht}!4v%`i0<`p=UXx7Uosn;Fk zyx&K)K8_nfPAw8?pGU#@m?b6y#VH>HG%&b)vP@>6>8!{}1G&BTGO(|>LiMR2rp>_4 zF8EZdg};$C25}4U8*99+0mLgb=}Y`mW_zQmq$e=UUi7daR-SU&hvLvlx~5H*Gtn6z^=Ent3AQB zP0YYOoR$kHeSx4PHd(wG5Zv26RVOCZEHN3#nTpBMj4=}Y{&iYI2r1~L>jsI2zy^m> zuheIt@d{s(=#Mw+Wh*-CxpZkmI0B|02h*~+4^7o ziu8x5ihg&HMg6XWB4E{QY|5hS?+6sAmTXSAzb9q+@@c}B(+pntvBv+#!^P5s_&*0U zVIh^n2(ugvMea~Rw>C0V428t!e&`j0fQ;lwJumqJ}Nv$ftSbhm9 z05=v}TN5+YNbtm)1==t5T)L1U0tu3Os1Yp(wmRJzVf#tcP@~k+jtF!YY*l(FZRwlY z4x6WJk{;YiP?`5$!CnC)R?`SJFs9(JN4JQ7*S77 zDofQVlH&=sJm>`eU~QsVFXtxBj{*qSth+k?_)drvvx*JOBq>7)KA9+oMu65U8=X|M zUOKjMX?>z9-*XU2O0*M+VnZxd>cNHPvlrFV2sMO|+w?LYdT46^@ybb-YSv4~7+t^h zA?TopM2A8yOgw4QidI7c#%I|rn*ITX&(hl+apT%+LROj}N$0?|H;r%%#x)pm5fjRu z^lc3oy|T1iPW+`=iD{-+osXBz3DL`i&NUj`A=lg?5PLb>(;LTrWVD(M5zayesiZ?| zz_dr-BAilz*}a`ItAp6iXcx~beKo2ALLx@1a1zjxSRJ+|>Re4UTN?Ll1^V0}=!ujN zDP|QLm?0dS$T^OX+N@5QtpQ^|1P4HwT}MMXCehIBfJBMn5X>}aN2Ons6Lu7~9yF})s_luZ3*u0#1JO(r!Moc%nX0)u)YxT65(L|#iKB;KBO_vFs z{6q;PV*3- zB!B=2#em`(KLhI|;|89$Ga!X2Y0WlIo4=a1IepBZsG_n8ti-#>&=s z(t1a5+U-?wOg!AuC0vsU(7l@Sg^wHSWs@SOUKFCd!Ko{NtX-##1=CtdjU_$KWn@a3 zm0`0I-uXmQrvSjsr3)ECD6=(CX9WRf2*<{WkmxZoLv3wVbqp*Gh6RNK5pUMdcRf*A zT=uir~Cd%T`z)YE4Idng9%HD7C}H^r=C12cqU zV-yeyA<<)GhT7V!?yxl&7ZMIYhxz{O?+8+c2e?=2Ll78;ph!42^-vv@0l}CS34BA+ zU4j6z#AE z7UEVi3}aW*Ya2WDPb1V2LRk+zbZEfl6?%4p=8QAzrDI1so)8%BoE_qJS#`; z&Q>&Rb_-{Dt3ADliRU;L3#Voun`M(`9noqCMTmKMcSTyK1GgN)Z^FAP ze0R;)SZ}9SHO^j4b@FjTG9oy20I|eGcJK}iQ>}bfdC3zT0^@M?Ruy# zHVg>HKrF{Nv`*ih9X1rOpd^@u+d**$+019;pz&P>aAjYpo&26Nut*t@b-{?b4z0(; zU<#%lX{s(>YcNw&Jti99*N;lz4Up}S!dp)@kVLsdzZxIL6{B9Ol%iGd65bdE1pF1y zT1&aJ!-it4S9)wi0x&Y$SEWWzkW#qJ0$nehamD4Ra1=YnOKc*e<-k@?SIaStuu`Um z8XejZfo`w`1>cT)&E6oup&;1z$`^Q3d z+q3LG*)X|Wk_|Jqg-$f>NVmAZy=m6Wmotzvu$MC+nhqXI+U!Nbgm;}b7EA%SvDn%g zKtdt`h&LOe^Kt3YhDaocBF%DOQ3NS5!uFG3h+RL~8bw|AjuJ1?Y#mjhm$Y@4ji{)` znW6mzvr>)4`!30P!*(U&-@_vJaO^qs~Tx@L(AYP$NNdV%^hL<*Es-8=iHiVM&@<83i2F5@VY7D@w`e`QL zQN6M18Al@|?jVuF@gu>hkr1tfNgRMIIRiNZIRiNZIRkq(0}_$fmm|jVOGp8@vDn%g zKtdt`h&LOe^Kt3YhDaocBF%DOt7Wngwx2`|MV%thz*y~wK%>xLCnSkxLv#!J9aS*w z49FSC8Hmb2>;KcCz9Bp+oD6DX24owZYQm=?Fn@WVA!JX`t0)F^sWqu7+CX_lqBq{G zm#gTk=hB4?;Uuv2P#u&3!5BzlX=8myr5zE94evooG#lh|2U&b0s>3Fd1hW!0F*Zxi zK+eGKXTbO%H@e;(&%Y8QPxWO#nzEMcDKZ$-TM4nuq+@}YWCx^}ZM>YDH2)CLJrAvp zKNb?g6>Vsi2Qx{^P=Z^bI5Y*XZ}YxRs#zZ!V;h&&C#rIf2a%*iOX)9?tZWZ)Zdi|4 z+(x&08li@e&hD@^1oO+r=;?^@X8nAOJ}T6675}{kPhlj{p`4_K?$}0`6|IJ#ceey* zW|P93Bx{7L=?EKfDxM@f(G=)|JH5RVi$gvac==MLeN~gv>|kg zS;eM|aO|kC1sVz=(a`orQ-?OIJ8TWcg@gm(H+xt?@E(+UE`hNKiiBfR57j{#5RA!^ zGmtZoGq4vjFl>F0t-pP#^%+cdFr~&Ia%&}ZXhJ>+0>JD#fb~_0_6#tu5QhN3&7}(& zLTFAm)F=-W1k4bQjT0f!V`PTf+N|!dH5lg;LFPCB@n(H=d?d-mPE5bN&$qb zfg~E*Q(efAGR3T70~v&4V-yeyAg7k#KAx z&FZXT%q|IT?rKu-2Ywe*a6UFEyh*amwZIv`1ehfz13U(_=$JX3sPt-BUmj=(9YR$e z=*8Bdi8<1mGtO*~QUKxR!gaibZjuzUime=(R%6f@yMD4Yin{ilN*a#N$kL{kM|B|n zdM*JN!Y1L^)I+WFKmfmsg5Rl|l*3JuWv-lo#m)d`iY5gURhGS-fu;*^d2!O2Qe%)u z)=KKogd9hv63u!kCG`p*R1GB2(4Oi-hLkC06&uJP9Gl1*gOJ*+awLC8g#>2F8ORyP z8ORyP8Cc8=q|_Lc0aYI8(!!yMNmQcQAf*68)j$#r?WrzpNSR_*v4IT2v6-TxvTH05 z289C=Z#Kv$>bV34BWx0mO+8d+*MMM5mYji{fqBlrpzY2N+wNfYZx3f6rN*E%Su3eS z6O+wEvq4G$gsOog8rsubQ6U{;F=`+qWdfjFQH@iT_i_g2Far`k>-n$#dIy9=U{je0 z29^c@zi|51T>=ts)=zjdeE_ZF8je5f5s3s*9n|q#LGd{eW738aTwqKkQ7#Q2UKw7Q zxnnwxVgXILlilqE+4`J0p`vObjrl9sfm)k=CT|&`emcn)qa$ zXx8GWDkM3ji*YLinwccyBYc8ci6LOik~6SpGtia`K;j5k*S3Jxx~55Ici0+&1w{f8 zXBKXRrJhSqq(mY?R1bAeb{GS(^1-3CCT@^GtPY2!MEbXhX8mN;>kbm%?~F4;`w3>H z8jJTVIRkCXz_5)@89wF5ycp>aMk_aR2IeCJ5^hG;7=#pn8;h;20VE_6fOxYZIvbWrUWoc^$nm#(k?=UkDR>FdRoz@US3VNA~t*rsXE0ie-K)hKmUGYGmdaiN7 zkY<*{X!W2b)EH_gj-fyUWAk@Z$V8T$ft-Pyft-PyfrZR~L{#K+Ztx|I=aXZwSxl z(B92}MCkr?T0;ma=#?uf%7H&+$dam41R6#CWNXkBl3Y=RGL-vs266^;26)m_{At+a zT()FsG+_0)sK_kZ^1w&FWdL9D$KpLKzt4IRwl7IRj}K&{v}>ASA|H zg_D4m#OiQpN~C|AXx2|gy#fe#2zqKIM2cC(24)DyCUTA=q&BNlW^2G05)MGT*$|zN zOJFdBeUoPnHyqzvfQP!$jo!*vy4tf{UJ8;bEk zNi-Ye6F|5_(1i>kQp_qgFhe*tMm`imqQ^)XwY6E@VQVlhBpiTvvmrVkm%v~IMZ&R( zG^?|UF8G604LcR4|0%O5W!m+7`TIYcPK1cW|V=Zlgnr1=kC+aX{HiO8*3%qK}CgQm1s72cm)vdQbT_=M2Hl#iVe&Vj!k5Z zK}c;@rEFknKo}GbM7-G`Up?1QVDMrFa^DWqu;vmkBS$;_8CkTZ}o(25L*R(RoaB2VeQ?Bu?=&VXpW_ltP>$<}ARMGoRK ze3noKP7q*0Gqn|pJ*|I1v!s*I{?DNMw6A$5XCP<5nSl+bb|I8o3lYV92EhxrM?a;s zF$DFa6zhnJdtF&JB;&YwszYz(I*yJ$X>S>l*_aBB&xH)218c-=Ou}dTb3v$kX+odWgOQn91BQ~`b39*TNs^j zTkJ4n`-(xGvZK^+KpAOLD##VtW$Wx9lie-G9-_06PS5gKlaZ30-s41F5wc4^*dAn~ zG76p+>?2JP%8FoQb|eP0JmaXZ^sHNs1@b%DOLS%Sf5^LzvY>d3_bxyBHVvm9L37H+ zw=Vn)lUH2UWp6yn)-GJ=Cg%3b+h;5x&l3%-Uol*dCiC19^S!Rd72rI=9Wd(@) zVYY^poZEowIBc9=BgYJmdOwsE3*k2lPq}go9Ln_~L58Oc&oHPH&Q8Dj?bXg3*cLU< zUAWNiuoqv=hRkI%s#IaZKTijV-2$#l=`|!(hg2n3 zfkMM6IAH~PmaxG^Ko(!EE%xAqNnff8zsO==`&GEwV-KDzTvA8>d#U>aHvg4lNzQp_S|sessM&B_-=gNvEV_Y=7l|qw`976=whi zC7~Fdn&t5|5S3H2JbD=7Jb6L-gY+s+O^ehRLa5?QB)^ISug>PekAg#4(VkZPFiu|6 zby%q%qM~&owCmug6~`4%lj@L8Bjfxt4}Ed6Y1R0gDbK%}6U239jZbelIKZY@af(wC stvV5-j`CzHE`l)^PFxaETBPejFmd1#eO-($mFhJ%K9|eY 2147483647 ; 0 ; 0 ; 0 ; -; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|clk_count[2] ; 16 ; 0 ; 0 ; 0 ; -; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|clk_count[2] ; 446007188 ; 0 ; 0 ; 0 ; -; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; > 2147483647 ; 0 ; 0 ; 0 ; -; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 272 ; 0 ; 0 ; 0 ; -; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 648 ; 0 ; 0 ; 0 ; -; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 310 ; 0 ; 0 ; 0 ; -; mz80k_top:mz80k_top|CLK_2M ; pll|altpll_component|auto_generated|pll1|clk[0] ; 13 ; 1 ; 0 ; 0 ; -; mz80k_top:mz80k_top|CLK_31250 ; pll|altpll_component|auto_generated|pll1|clk[0] ; 1 ; 1 ; 0 ; 0 ; -; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 42133724 ; 23 ; 0 ; 0 ; -; mz80k_top:mz80k_top|vga:vga1|counter[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 925161 ; 1 ; 450 ; 0 ; -; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 7604006 ; 86 ; 0 ; 4054 ; -; SPI_SCK ; pll|altpll_component|auto_generated|pll1|clk[0] ; 157 ; 0 ; 0 ; 0 ; -; SPI_SCK ; SPI_SCK ; 2673 ; 0 ; 178 ; 0 ; -+-------------------------------------------------+-------------------------------------------------+--------------+----------+----------+----------+ -Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported. - - -+---------------------------------------------------------------------------------------------------------------------------------------------------+ -; Hold Transfers ; -+-------------------------------------------------+-------------------------------------------------+--------------+----------+----------+----------+ -; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; -+-------------------------------------------------+-------------------------------------------------+--------------+----------+----------+----------+ -; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|CLK_2M ; 665 ; 0 ; 0 ; 0 ; -; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_2M ; 274 ; 0 ; 0 ; 0 ; -; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|CLK_31250 ; 664 ; 0 ; 0 ; 0 ; -; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|CLK_31250 ; 274 ; 0 ; 0 ; 0 ; -; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|CLK_31250 ; 1 ; 1 ; 0 ; 0 ; -; mz80k_top:mz80k_top|CLK_2M ; mz80k_top:mz80k_top|clk_count[2] ; 16 ; 0 ; 0 ; 0 ; -; mz80k_top:mz80k_top|CLK_31250 ; mz80k_top:mz80k_top|clk_count[2] ; 16 ; 0 ; 0 ; 0 ; -; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|clk_count[2] ; > 2147483647 ; 0 ; 0 ; 0 ; -; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|clk_count[2] ; 16 ; 0 ; 0 ; 0 ; -; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|clk_count[2] ; 446007188 ; 0 ; 0 ; 0 ; -; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; > 2147483647 ; 0 ; 0 ; 0 ; -; mz80k_top:mz80k_top|clk_count[2] ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 272 ; 0 ; 0 ; 0 ; -; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; mz80k_top:mz80k_top|i8253:i8253_1|signal1 ; 648 ; 0 ; 0 ; 0 ; -; mz80k_top:mz80k_top|vga:vga1|counter[0] ; mz80k_top:mz80k_top|vga:vga1|counter[0] ; 310 ; 0 ; 0 ; 0 ; -; mz80k_top:mz80k_top|CLK_2M ; pll|altpll_component|auto_generated|pll1|clk[0] ; 13 ; 1 ; 0 ; 0 ; -; mz80k_top:mz80k_top|CLK_31250 ; pll|altpll_component|auto_generated|pll1|clk[0] ; 1 ; 1 ; 0 ; 0 ; -; mz80k_top:mz80k_top|clk_count[2] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 42133724 ; 23 ; 0 ; 0 ; -; mz80k_top:mz80k_top|vga:vga1|counter[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 925161 ; 1 ; 450 ; 0 ; -; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 7604006 ; 86 ; 0 ; 4054 ; -; SPI_SCK ; pll|altpll_component|auto_generated|pll1|clk[0] ; 157 ; 0 ; 0 ; 0 ; -; SPI_SCK ; SPI_SCK ; 2673 ; 0 ; 178 ; 0 ; -+-------------------------------------------------+-------------------------------------------------+--------------+----------+----------+----------+ -Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported. - - -+-----------------------------------------------------------------------------------------------------------------------------------------------+ -; Recovery Transfers ; -+-------------------------------------------------+-------------------------------------------------+----------+----------+----------+----------+ -; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; -+-------------------------------------------------+-------------------------------------------------+----------+----------+----------+----------+ -; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 552 ; 0 ; 0 ; 0 ; -; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 512 ; 0 ; 0 ; 0 ; -+-------------------------------------------------+-------------------------------------------------+----------+----------+----------+----------+ -Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported. - - -+-----------------------------------------------------------------------------------------------------------------------------------------------+ -; Removal Transfers ; -+-------------------------------------------------+-------------------------------------------------+----------+----------+----------+----------+ -; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; -+-------------------------------------------------+-------------------------------------------------+----------+----------+----------+----------+ -; pll|altpll_component|auto_generated|pll1|clk[0] ; mz80k_top:mz80k_top|clk_count[2] ; 552 ; 0 ; 0 ; 0 ; -; pll|altpll_component|auto_generated|pll1|clk[0] ; pll|altpll_component|auto_generated|pll1|clk[0] ; 512 ; 0 ; 0 ; 0 ; -+-------------------------------------------------+-------------------------------------------------+----------+----------+----------+----------+ -Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported. - - ---------------- -; Report TCCS ; ---------------- -No dedicated SERDES Transmitter circuitry present in device or used in design - - ---------------- -; Report RSKM ; ---------------- -No non-DPA dedicated SERDES Receiver circuitry present in device or used in design - - -+------------------------------------------------+ -; Unconstrained Paths ; -+---------------------------------+-------+------+ -; Property ; Setup ; Hold ; -+---------------------------------+-------+------+ -; Illegal Clocks ; 0 ; 0 ; -; Unconstrained Clocks ; 0 ; 0 ; -; Unconstrained Input Ports ; 3 ; 3 ; -; Unconstrained Input Port Paths ; 153 ; 153 ; -; Unconstrained Output Ports ; 22 ; 22 ; -; Unconstrained Output Port Paths ; 1746 ; 1746 ; -+---------------------------------+-------+------+ - - -+------------------------------------+ -; TimeQuest Timing Analyzer Messages ; -+------------------------------------+ -Info: ******************************************************************* -Info: Running Quartus II 64-Bit TimeQuest Timing Analyzer - Info: Version 13.1.0 Build 162 10/23/2013 SJ Web Edition - Info: Processing started: Sun Jun 24 13:31:15 2018 -Info: Command: quartus_sta mz80k_mist -c mz80k_mist -Info: qsta_default_script.tcl version: #1 -Info (11104): Parallel Compilation has detected 8 hyper-threaded processors. However, the extra hyper-threaded processors will not be used by default. Parallel Compilation will use 4 of the 4 physical processors detected instead. -Info (21077): Low junction temperature is 0 degrees C -Info (21077): High junction temperature is 85 degrees C -Critical Warning (332012): Synopsys Design Constraints File file not found: 'mz80k_mist.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design. -Info (332142): No user constrained generated clocks found in the design. Calling "derive_pll_clocks -create_base_clocks" -Info (332110): Deriving PLL clocks - Info (332110): create_clock -period 37.037 -waveform {0.000 18.518} -name CLOCK_27 CLOCK_27 - Info (332110): create_generated_clock -source {pll|altpll_component|auto_generated|pll1|inclk[0]} -divide_by 27 -multiply_by 50 -duty_cycle 50.00 -name {pll|altpll_component|auto_generated|pll1|clk[0]} {pll|altpll_component|auto_generated|pll1|clk[0]} -Info (332142): No user constrained base clocks found in the design. Calling "derive_clocks -period 1.0" -Info (332105): Deriving Clocks - Info (332105): create_clock -period 1.000 -name mz80k_top:mz80k_top|vga:vga1|counter[0] mz80k_top:mz80k_top|vga:vga1|counter[0] - Info (332105): create_clock -period 1.000 -name SPI_SCK SPI_SCK - Info (332105): create_clock -period 1.000 -name mz80k_top:mz80k_top|clk_count[2] mz80k_top:mz80k_top|clk_count[2] - Info (332105): create_clock -period 1.000 -name mz80k_top:mz80k_top|i8253:i8253_1|signal1 mz80k_top:mz80k_top|i8253:i8253_1|signal1 - Info (332105): create_clock -period 1.000 -name mz80k_top:mz80k_top|CLK_31250 mz80k_top:mz80k_top|CLK_31250 - Info (332105): create_clock -period 1.000 -name mz80k_top:mz80k_top|CLK_2M mz80k_top:mz80k_top|CLK_2M -Warning (332125): Found combinational loop of 816 nodes - Warning (332126): Node "mz80k_top|vram_select|combout" - Warning (332126): Node "mz80k_top|cpu_data_in~15|datab" - Warning (332126): Node "mz80k_top|cpu_data_in~15|combout" - Warning (332126): Node "mz80k_top|cpu_data_in[0]~21|datab" - Warning (332126): Node "mz80k_top|cpu_data_in[0]~21|combout" - Warning (332126): Node "mz80k_top|cpu_data_in[0]~23|dataa" - Warning (332126): Node "mz80k_top|cpu_data_in[0]~23|combout" - Warning (332126): Node "mz80k_top|z80|reg_adrl|q[0]~0|datad" - Warning (332126): Node "mz80k_top|z80|reg_adrl|q[0]~0|combout" - Warning (332126): Node "mz80k_top|z80|i[0]~6|dataa" - Warning (332126): Node "mz80k_top|z80|i[0]~6|combout" - Warning (332126): Node "mz80k_top|z80|Decoder2~4|dataa" - Warning (332126): Node "mz80k_top|z80|Decoder2~4|combout" - Warning (332126): Node "mz80k_top|z80|i_cpblock|datad" - Warning (332126): Node "mz80k_top|z80|i_cpblock|combout" - Warning (332126): Node "mz80k_top|z80|sela_hl~1|dataa" - Warning (332126): Node "mz80k_top|z80|sela_hl~1|combout" - Warning (332126): Node "mz80k_top|z80|sela_hl~3|datac" - Warning (332126): Node "mz80k_top|z80|sela_hl~3|combout" - Warning (332126): Node "mz80k_top|z80|selal[1]~1|datab" - Warning (332126): Node "mz80k_top|z80|selal[1]~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux22~3|dataa" - Warning (332126): Node "mz80k_top|z80|Mux22~3|combout" - Warning (332126): Node "mz80k_top|z80|Mux22~4|datab" - Warning (332126): Node "mz80k_top|z80|Mux22~4|combout" - Warning (332126): Node "mz80k_top|io_e008~0|dataa" - Warning (332126): Node "mz80k_top|io_e008~0|combout" - Warning (332126): Node "mz80k_top|cpu_data_in~47|datad" - Warning (332126): Node "mz80k_top|cpu_data_in~47|combout" - Warning (332126): Node "mz80k_top|cpu_data_in~48|dataa" - Warning (332126): Node "mz80k_top|cpu_data_in~48|combout" - Warning (332126): Node "mz80k_top|cpu_data_in[0]~23|datab" - Warning (332126): Node "mz80k_top|cpu_data_in[1]~18|dataa" - Warning (332126): Node "mz80k_top|cpu_data_in[1]~18|combout" - Warning (332126): Node "mz80k_top|z80|i[3]~11|dataa" - Warning (332126): Node "mz80k_top|z80|i[3]~11|combout" - Warning (332126): Node "mz80k_top|z80|Decoder1~2|datab" - Warning (332126): Node "mz80k_top|z80|Decoder1~2|combout" - Warning (332126): Node "mz80k_top|z80|sela_de~0|dataa" - Warning (332126): Node "mz80k_top|z80|sela_de~0|combout" - Warning (332126): Node "mz80k_top|z80|sela_de~1|datab" - Warning (332126): Node "mz80k_top|z80|sela_de~1|combout" - Warning (332126): Node "mz80k_top|z80|selal[0]~2|datab" - Warning (332126): Node "mz80k_top|z80|selal[0]~2|combout" - Warning (332126): Node "mz80k_top|z80|selah[0]~3|datac" - Warning (332126): Node "mz80k_top|z80|selah[0]~3|combout" - Warning (332126): Node "mz80k_top|z80|Mux10~1|datab" - Warning (332126): Node "mz80k_top|z80|Mux10~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux10~2|dataa" - Warning (332126): Node "mz80k_top|z80|Mux10~2|combout" - Warning (332126): Node "mz80k_top|z80|Mux10~5|datad" - Warning (332126): Node "mz80k_top|z80|Mux10~5|combout" - Warning (332126): Node "mz80k_top|vram_select|datab" - Warning (332126): Node "mz80k_top|cpu_data_in~42|datad" - Warning (332126): Node "mz80k_top|cpu_data_in~42|combout" - Warning (332126): Node "mz80k_top|cpu_data_in~43|datad" - Warning (332126): Node "mz80k_top|cpu_data_in~43|combout" - Warning (332126): Node "mz80k_top|cpu_data_in~44|datab" - Warning (332126): Node "mz80k_top|cpu_data_in~44|combout" - Warning (332126): Node "mz80k_top|cpu_data_in[0]~22|dataa" - Warning (332126): Node "mz80k_top|cpu_data_in[0]~22|combout" - Warning (332126): Node "mz80k_top|cpu_data_in[0]~23|datac" - Warning (332126): Node "mz80k_top|cpu_data_in[1]~18|datac" - Warning (332126): Node "mz80k_top|cpu_data_in[0]~14|dataa" - Warning (332126): Node "mz80k_top|cpu_data_in[0]~14|combout" - Warning (332126): Node "mz80k_top|cpu_data_in[0]~21|dataa" - Warning (332126): Node "mz80k_top|cpu_data_in[7]~32|dataa" - Warning (332126): Node "mz80k_top|cpu_data_in[7]~32|combout" - Warning (332126): Node "mz80k_top|cpu_data_in[7]~51|dataa" - Warning (332126): Node "mz80k_top|cpu_data_in[7]~51|combout" - Warning (332126): Node "mz80k_top|z80|reg_adrl|q[7]~2|datad" - Warning (332126): Node "mz80k_top|z80|reg_adrl|q[7]~2|combout" - Warning (332126): Node "mz80k_top|z80|i[7]~10|dataa" - Warning (332126): Node "mz80k_top|z80|i[7]~10|combout" - Warning (332126): Node "mz80k_top|z80|comb~19|datab" - Warning (332126): Node "mz80k_top|z80|comb~19|combout" - Warning (332126): Node "mz80k_top|z80|comb~21|datac" - Warning (332126): Node "mz80k_top|z80|comb~21|combout" - Warning (332126): Node "mz80k_top|z80|sela_hl~1|datab" - Warning (332126): Node "mz80k_top|z80|comb~22|datac" - Warning (332126): Node "mz80k_top|z80|comb~22|combout" - Warning (332126): Node "mz80k_top|z80|comb~23|datac" - Warning (332126): Node "mz80k_top|z80|comb~23|combout" - Warning (332126): Node "mz80k_top|z80|sela_hl~1|datac" - Warning (332126): Node "mz80k_top|z80|i_setres_hl~0|datac" - Warning (332126): Node "mz80k_top|z80|i_setres_hl~0|combout" - Warning (332126): Node "mz80k_top|z80|comb~20|datad" - Warning (332126): Node "mz80k_top|z80|comb~20|combout" - Warning (332126): Node "mz80k_top|z80|comb~21|dataa" - Warning (332126): Node "mz80k_top|z80|comb~29|datac" - Warning (332126): Node "mz80k_top|z80|comb~29|combout" - Warning (332126): Node "mz80k_top|z80|comb~30|dataa" - Warning (332126): Node "mz80k_top|z80|comb~30|combout" - Warning (332126): Node "mz80k_top|z80|selal[2]~6|dataa" - Warning (332126): Node "mz80k_top|z80|selal[2]~6|combout" - Warning (332126): Node "mz80k_top|cpu_data_in~52|dataa" - Warning (332126): Node "mz80k_top|cpu_data_in~52|combout" - Warning (332126): Node "mz80k_top|cpu_data_in~46|datab" - Warning (332126): Node "mz80k_top|cpu_data_in~46|combout" - Warning (332126): Node "mz80k_top|cpu_data_in[0]~22|datac" - Warning (332126): Node "mz80k_top|cpu_data_in[7]~51|datab" - Warning (332126): Node "mz80k_top|cpu_data_in[0]~14|datac" - Warning (332126): Node "mz80k_top|cpu_data_in[6]~28|datac" - Warning (332126): Node "mz80k_top|cpu_data_in[6]~28|combout" - Warning (332126): Node "mz80k_top|cpu_data_in[7]~31|datab" - Warning (332126): Node "mz80k_top|cpu_data_in[7]~31|combout" - Warning (332126): Node "mz80k_top|cpu_data_in[7]~32|datab" - Warning (332126): Node "mz80k_top|cpu_data_in[6]~29|dataa" - Warning (332126): Node "mz80k_top|cpu_data_in[6]~29|combout" - Warning (332126): Node "mz80k_top|cpu_data_in[6]~30|datab" - Warning (332126): Node "mz80k_top|cpu_data_in[6]~30|combout" - Warning (332126): Node "mz80k_top|cpu_data_in[6]~50|dataa" - Warning (332126): Node "mz80k_top|cpu_data_in[6]~50|combout" - Warning (332126): Node "mz80k_top|z80|reg_adrl|q[6]~1|datab" - Warning (332126): Node "mz80k_top|z80|reg_adrl|q[6]~1|combout" - Warning (332126): Node "mz80k_top|z80|i[6]~9|datab" - Warning (332126): Node "mz80k_top|z80|i[6]~9|combout" - Warning (332126): Node "mz80k_top|z80|i_ldnnhl~0|dataa" - Warning (332126): Node "mz80k_top|z80|i_ldnnhl~0|combout" - Warning (332126): Node "mz80k_top|z80|selal[2]~4|datac" - Warning (332126): Node "mz80k_top|z80|selal[2]~4|combout" - Warning (332126): Node "mz80k_top|z80|selal[2]~5|datab" - Warning (332126): Node "mz80k_top|z80|selal[2]~5|combout" - Warning (332126): Node "mz80k_top|z80|selal[2]~6|datab" - Warning (332126): Node "mz80k_top|z80|selah[0]~2|dataa" - Warning (332126): Node "mz80k_top|z80|selah[0]~2|combout" - Warning (332126): Node "mz80k_top|z80|selah[0]~3|dataa" - Warning (332126): Node "mz80k_top|z80|selal[0]~3|dataa" - Warning (332126): Node "mz80k_top|z80|selal[0]~3|combout" - Warning (332126): Node "mz80k_top|z80|Mux22~3|datab" - Warning (332126): Node "mz80k_top|z80|Mux23~0|dataa" - Warning (332126): Node "mz80k_top|z80|Mux23~0|combout" - Warning (332126): Node "mz80k_top|z80|Mux23~1|datac" - Warning (332126): Node "mz80k_top|z80|Mux23~1|combout" - Warning (332126): Node "mz80k_top|ps2_1|always0~6|dataa" - Warning (332126): Node "mz80k_top|ps2_1|always0~6|combout" - Warning (332126): Node "mz80k_top|ps2_1|always0~4|dataa" - Warning (332126): Node "mz80k_top|ps2_1|always0~4|combout" - Warning (332126): Node "mz80k_top|ps2_1|always0~5|datac" - Warning (332126): Node "mz80k_top|ps2_1|always0~5|combout" - Warning (332126): Node "mz80k_top|z80|reg_adrl|q[0]~0|datab" - Warning (332126): Node "mz80k_top|cpu_data_in[1]~18|datab" - Warning (332126): Node "mz80k_top|z80|reg_adrl|q[7]~2|dataa" - Warning (332126): Node "mz80k_top|cpu_data_in[1]~49|datac" - Warning (332126): Node "mz80k_top|cpu_data_in[1]~49|combout" - Warning (332126): Node "mz80k_top|cpu_data_in[3]~33|dataa" - Warning (332126): Node "mz80k_top|cpu_data_in[3]~33|combout" - Warning (332126): Node "mz80k_top|cpu_data_in[3]~34|dataa" - Warning (332126): Node "mz80k_top|cpu_data_in[3]~34|combout" - Warning (332126): Node "mz80k_top|z80|i[3]~11|datab" - Warning (332126): Node "mz80k_top|cpu_data_in[1]~24|dataa" - Warning (332126): Node "mz80k_top|cpu_data_in[1]~24|combout" - Warning (332126): Node "mz80k_top|cpu_data_in[1]~25|dataa" - Warning (332126): Node "mz80k_top|cpu_data_in[1]~25|combout" - Warning (332126): Node "mz80k_top|z80|i[1]~7|datab" - Warning (332126): Node "mz80k_top|z80|i[1]~7|combout" - Warning (332126): Node "mz80k_top|z80|Decoder2~3|datac" - Warning (332126): Node "mz80k_top|z80|Decoder2~3|combout" - Warning (332126): Node "mz80k_top|z80|selah[1]~5|datad" - Warning (332126): Node "mz80k_top|z80|selah[1]~5|combout" - Warning (332126): Node "mz80k_top|z80|Mux10~1|dataa" - Warning (332126): Node "mz80k_top|z80|Mux12~2|dataa" - Warning (332126): Node "mz80k_top|z80|Mux12~2|combout" - Warning (332126): Node "mz80k_top|z80|Mux12~3|dataa" - Warning (332126): Node "mz80k_top|z80|Mux12~3|combout" - Warning (332126): Node "mz80k_top|z80|Mux12~4|datab" - Warning (332126): Node "mz80k_top|z80|Mux12~4|combout" - Warning (332126): Node "mz80k_top|comb~0|datac" - Warning (332126): Node "mz80k_top|comb~0|combout" - Warning (332126): Node "mz80k_top|cpu_data_in~42|datac" - Warning (332126): Node "mz80k_top|vram_select|datac" - Warning (332126): Node "mz80k_top|z80|Mux12~3|datab" - Warning (332126): Node "mz80k_top|z80|Mux12~0|datac" - Warning (332126): Node "mz80k_top|z80|Mux12~0|combout" - Warning (332126): Node "mz80k_top|z80|Mux12~1|dataa" - Warning (332126): Node "mz80k_top|z80|Mux12~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux12~4|datad" - Warning (332126): Node "mz80k_top|z80|Mux11~0|dataa" - Warning (332126): Node "mz80k_top|z80|Mux11~0|combout" - Warning (332126): Node "mz80k_top|z80|Mux11~1|datab" - Warning (332126): Node "mz80k_top|z80|Mux11~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux11~4|datab" - Warning (332126): Node "mz80k_top|z80|Mux11~4|combout" - Warning (332126): Node "mz80k_top|cpu_data_in~41|dataa" - Warning (332126): Node "mz80k_top|cpu_data_in~41|combout" - Warning (332126): Node "mz80k_top|cpu_data_in~42|datab" - Warning (332126): Node "mz80k_top|vram_select|dataa" - Warning (332126): Node "mz80k_top|z80|Mux10~4|dataa" - Warning (332126): Node "mz80k_top|z80|Mux10~4|combout" - Warning (332126): Node "mz80k_top|z80|Mux10~5|datab" - Warning (332126): Node "mz80k_top|z80|Mux10~3|dataa" - Warning (332126): Node "mz80k_top|z80|Mux10~3|combout" - Warning (332126): Node "mz80k_top|z80|Mux10~4|datab" - Warning (332126): Node "mz80k_top|z80|Mux11~3|dataa" - Warning (332126): Node "mz80k_top|z80|Mux11~3|combout" - Warning (332126): Node "mz80k_top|z80|Mux11~4|dataa" - Warning (332126): Node "mz80k_top|z80|Mux11~2|datab" - Warning (332126): Node "mz80k_top|z80|Mux11~2|combout" - Warning (332126): Node "mz80k_top|z80|Mux11~3|datab" - Warning (332126): Node "mz80k_top|z80|Mux15~3|dataa" - Warning (332126): Node "mz80k_top|z80|Mux15~3|combout" - Warning (332126): Node "mz80k_top|z80|Mux15~4|dataa" - Warning (332126): Node "mz80k_top|z80|Mux15~4|combout" - Warning (332126): Node "mz80k_top|cpu_data_in~40|dataa" - Warning (332126): Node "mz80k_top|cpu_data_in~40|combout" - Warning (332126): Node "mz80k_top|cpu_data_in~42|dataa" - Warning (332126): Node "mz80k_top|z80|Mux15~2|dataa" - Warning (332126): Node "mz80k_top|z80|Mux15~2|combout" - Warning (332126): Node "mz80k_top|z80|Mux15~3|datab" - Warning (332126): Node "mz80k_top|z80|Mux15~0|datab" - Warning (332126): Node "mz80k_top|z80|Mux15~0|combout" - Warning (332126): Node "mz80k_top|z80|Mux15~1|dataa" - Warning (332126): Node "mz80k_top|z80|Mux15~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux15~4|datab" - Warning (332126): Node "mz80k_top|z80|Mux14~0|datab" - Warning (332126): Node "mz80k_top|z80|Mux14~0|combout" - Warning (332126): Node "mz80k_top|z80|Mux14~1|datab" - Warning (332126): Node "mz80k_top|z80|Mux14~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux14~4|dataa" - Warning (332126): Node "mz80k_top|z80|Mux14~4|combout" - Warning (332126): Node "mz80k_top|cpu_data_in~40|datac" - Warning (332126): Node "mz80k_top|z80|Mux14~2|dataa" - Warning (332126): Node "mz80k_top|z80|Mux14~2|combout" - Warning (332126): Node "mz80k_top|z80|Mux14~3|dataa" - Warning (332126): Node "mz80k_top|z80|Mux14~3|combout" - Warning (332126): Node "mz80k_top|z80|Mux14~4|datad" - Warning (332126): Node "mz80k_top|z80|Mux14~3|datab" - Warning (332126): Node "mz80k_top|z80|Mux13~0|dataa" - Warning (332126): Node "mz80k_top|z80|Mux13~0|combout" - Warning (332126): Node "mz80k_top|z80|Mux13~1|dataa" - Warning (332126): Node "mz80k_top|z80|Mux13~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux13~4|dataa" - Warning (332126): Node "mz80k_top|z80|Mux13~4|combout" - Warning (332126): Node "mz80k_top|cpu_data_in~41|datac" - Warning (332126): Node "mz80k_top|z80|Mux13~2|dataa" - Warning (332126): Node "mz80k_top|z80|Mux13~2|combout" - Warning (332126): Node "mz80k_top|z80|Mux13~3|dataa" - Warning (332126): Node "mz80k_top|z80|Mux13~3|combout" - Warning (332126): Node "mz80k_top|z80|Mux13~4|datab" - Warning (332126): Node "mz80k_top|z80|Mux13~3|datab" - Warning (332126): Node "mz80k_top|z80|Mux9~4|dataa" - Warning (332126): Node "mz80k_top|z80|Mux9~4|combout" - Warning (332126): Node "mz80k_top|z80|Mux9~5|dataa" - Warning (332126): Node "mz80k_top|z80|Mux9~5|combout" - Warning (332126): Node "mz80k_top|comb~0|dataa" - Warning (332126): Node "mz80k_top|z80|Mux9~3|datab" - Warning (332126): Node "mz80k_top|z80|Mux9~3|combout" - Warning (332126): Node "mz80k_top|z80|Mux9~4|datab" - Warning (332126): Node "mz80k_top|z80|Mux9~1|datab" - Warning (332126): Node "mz80k_top|z80|Mux9~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux9~2|datac" - Warning (332126): Node "mz80k_top|z80|Mux9~2|combout" - Warning (332126): Node "mz80k_top|z80|Mux9~5|datad" - Warning (332126): Node "mz80k_top|z80|Mux8~1|datab" - Warning (332126): Node "mz80k_top|z80|Mux8~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux8~2|datab" - Warning (332126): Node "mz80k_top|z80|Mux8~2|combout" - Warning (332126): Node "mz80k_top|z80|Mux8~5|datab" - Warning (332126): Node "mz80k_top|z80|Mux8~5|combout" - Warning (332126): Node "mz80k_top|comb~0|datab" - Warning (332126): Node "mz80k_top|z80|Mux8~4|dataa" - Warning (332126): Node "mz80k_top|z80|Mux8~4|combout" - Warning (332126): Node "mz80k_top|z80|Mux8~5|datad" - Warning (332126): Node "mz80k_top|z80|Mux8~3|datab" - Warning (332126): Node "mz80k_top|z80|Mux8~3|combout" - Warning (332126): Node "mz80k_top|z80|Mux8~4|datab" - Warning (332126): Node "mz80k_top|z80|imm1~0|datab" - Warning (332126): Node "mz80k_top|z80|imm1~0|combout" - Warning (332126): Node "mz80k_top|z80|selal[0]~3|datac" - Warning (332126): Node "mz80k_top|z80|i_lddd_nn|datac" - Warning (332126): Node "mz80k_top|z80|i_lddd_nn|combout" - Warning (332126): Node "mz80k_top|z80|selah[0]~2|datad" - Warning (332126): Node "mz80k_top|z80|i_outna~0|datac" - Warning (332126): Node "mz80k_top|z80|i_outna~0|combout" - Warning (332126): Node "mz80k_top|z80|imm1~0|datad" - Warning (332126): Node "mz80k_top|z80|i_ldnndd|datac" - Warning (332126): Node "mz80k_top|z80|i_ldnndd|combout" - Warning (332126): Node "mz80k_top|z80|comb~27|datad" - Warning (332126): Node "mz80k_top|z80|comb~27|combout" - Warning (332126): Node "mz80k_top|z80|selah[0]~2|datac" - Warning (332126): Node "mz80k_top|z80|i_exsphl|datad" - Warning (332126): Node "mz80k_top|z80|i_exsphl|combout" - Warning (332126): Node "mz80k_top|z80|comb~25|datab" - Warning (332126): Node "mz80k_top|z80|comb~25|combout" - Warning (332126): Node "mz80k_top|z80|comb~26|dataa" - Warning (332126): Node "mz80k_top|z80|comb~26|combout" - Warning (332126): Node "mz80k_top|z80|selal[1]~1|dataa" - Warning (332126): Node "mz80k_top|z80|selal[0]~2|dataa" - Warning (332126): Node "mz80k_top|z80|Decoder2~4|datab" - Warning (332126): Node "mz80k_top|z80|comb~24|dataa" - Warning (332126): Node "mz80k_top|z80|comb~24|combout" - Warning (332126): Node "mz80k_top|z80|comb~25|datac" - Warning (332126): Node "mz80k_top|z80|i_neg~0|datac" - Warning (332126): Node "mz80k_top|z80|i_neg~0|combout" - Warning (332126): Node "mz80k_top|z80|imm2~0|datab" - Warning (332126): Node "mz80k_top|z80|imm2~0|combout" - Warning (332126): Node "mz80k_top|z80|comb~15|datab" - Warning (332126): Node "mz80k_top|z80|comb~15|combout" - Warning (332126): Node "mz80k_top|z80|comb~26|datab" - Warning (332126): Node "mz80k_top|z80|Decoder2~0|datab" - Warning (332126): Node "mz80k_top|z80|Decoder2~0|combout" - Warning (332126): Node "mz80k_top|z80|comb~20|datac" - Warning (332126): Node "mz80k_top|z80|comb~15|datad" - Warning (332126): Node "mz80k_top|z80|Decoder2~1|datab" - Warning (332126): Node "mz80k_top|z80|Decoder2~1|combout" - Warning (332126): Node "mz80k_top|z80|retin~2|datad" - Warning (332126): Node "mz80k_top|z80|retin~2|combout" - Warning (332126): Node "mz80k_top|z80|asu_ci~0|datad" - Warning (332126): Node "mz80k_top|z80|asu_ci~0|combout" - Warning (332126): Node "mz80k_top|z80|comb~25|datad" - Warning (332126): Node "mz80k_top|z80|imm2~0|datad" - Warning (332126): Node "mz80k_top|z80|xy3~0|datad" - Warning (332126): Node "mz80k_top|z80|xy3~0|combout" - Warning (332126): Node "mz80k_top|z80|comb~30|datab" - Warning (332126): Node "mz80k_top|z80|xy3|datac" - Warning (332126): Node "mz80k_top|z80|xy3|combout" - Warning (332126): Node "mz80k_top|z80|sela_hl~2|datac" - Warning (332126): Node "mz80k_top|z80|sela_hl~2|combout" - Warning (332126): Node "mz80k_top|z80|sela_hl~3|datab" - Warning (332126): Node "mz80k_top|z80|i_inblock|dataa" - Warning (332126): Node "mz80k_top|z80|i_inblock|combout" - Warning (332126): Node "mz80k_top|z80|selal[2]~5|dataa" - Warning (332126): Node "mz80k_top|z80|sela_hl~3|dataa" - Warning (332126): Node "mz80k_top|z80|incdec8|datad" - Warning (332126): Node "mz80k_top|z80|incdec8|combout" - Warning (332126): Node "mz80k_top|z80|reg_load_f~2|dataa" - Warning (332126): Node "mz80k_top|z80|reg_load_f~2|combout" - Warning (332126): Node "mz80k_top|z80|comb~21|datab" - Warning (332126): Node "mz80k_top|z80|Decoder2~2|datad" - Warning (332126): Node "mz80k_top|z80|Decoder2~2|combout" - Warning (332126): Node "mz80k_top|z80|comb~19|datac" - Warning (332126): Node "mz80k_top|z80|comb~23|datab" - Warning (332126): Node "mz80k_top|z80|i_setres_hl~0|datab" - Warning (332126): Node "mz80k_top|z80|i_ldhlr~0|datab" - Warning (332126): Node "mz80k_top|z80|i_ldhlr~0|combout" - Warning (332126): Node "mz80k_top|z80|comb~18|dataa" - Warning (332126): Node "mz80k_top|z80|comb~18|combout" - Warning (332126): Node "mz80k_top|z80|reg_h|q~1|dataa" - Warning (332126): Node "mz80k_top|z80|reg_h|q~1|combout" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux0~0|dataa" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux0~0|combout" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux0~1|datab" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux0~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux16~1|dataa" - Warning (332126): Node "mz80k_top|z80|Mux16~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux16~3|datad" - Warning (332126): Node "mz80k_top|z80|Mux16~3|combout" - Warning (332126): Node "mz80k_top|cpu_data_in~41|datad" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux1~0|dataa" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux1~0|combout" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux1~1|datab" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux1~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux17~1|dataa" - Warning (332126): Node "mz80k_top|z80|Mux17~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux17~3|datab" - Warning (332126): Node "mz80k_top|z80|Mux17~3|combout" - Warning (332126): Node "mz80k_top|cpu_data_in~41|datab" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux5~0|dataa" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux5~0|combout" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux5~1|datab" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux5~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux21~1|dataa" - Warning (332126): Node "mz80k_top|z80|Mux21~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux21~3|datab" - Warning (332126): Node "mz80k_top|z80|Mux21~3|combout" - Warning (332126): Node "mz80k_top|cpu_data_in~43|datac" - Warning (332126): Node "mz80k_top|cpu_data_in~45|datab" - Warning (332126): Node "mz80k_top|cpu_data_in~45|combout" - Warning (332126): Node "mz80k_top|cpu_data_in~52|datab" - Warning (332126): Node "mz80k_top|io_e008~0|datab" - Warning (332126): Node "mz80k_top|ps2_1|always0~5|dataa" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux4~0|dataa" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux4~0|combout" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux4~1|datab" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux4~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux12~0|dataa" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux6~0|dataa" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux6~0|combout" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux6~1|datab" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux6~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux22~2|datac" - Warning (332126): Node "mz80k_top|z80|Mux22~2|combout" - Warning (332126): Node "mz80k_top|cpu_data_in~52|datac" - Warning (332126): Node "mz80k_top|z80|Mux22~4|datad" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux7~0|dataa" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux7~0|combout" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux7~1|datab" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux7~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux23~1|dataa" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux0~0|dataa" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux0~0|combout" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux0~1|datab" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux0~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux8~1|dataa" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux1~0|dataa" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux1~0|combout" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux1~1|dataa" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux1~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux9~1|dataa" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux2~0|dataa" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux2~0|combout" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux2~1|datab" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux2~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux10~1|datac" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux2~0|dataa" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux2~0|combout" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux2~1|datab" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux2~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux18~1|datac" - Warning (332126): Node "mz80k_top|z80|Mux18~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux18~3|datab" - Warning (332126): Node "mz80k_top|z80|Mux18~3|combout" - Warning (332126): Node "mz80k_top|cpu_data_in~40|datad" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux4~0|dataa" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux4~0|combout" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux4~1|datad" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux4~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux20~1|dataa" - Warning (332126): Node "mz80k_top|z80|Mux20~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux20~3|dataa" - Warning (332126): Node "mz80k_top|z80|Mux20~3|combout" - Warning (332126): Node "mz80k_top|cpu_data_in~43|datab" - Warning (332126): Node "mz80k_top|cpu_data_in~45|dataa" - Warning (332126): Node "mz80k_top|io_e008~0|datac" - Warning (332126): Node "mz80k_top|ps2_1|always0~5|datab" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux3~0|dataa" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux3~0|combout" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux3~1|datab" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux3~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux19~1|datac" - Warning (332126): Node "mz80k_top|z80|Mux19~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux19~3|dataa" - Warning (332126): Node "mz80k_top|z80|Mux19~3|combout" - Warning (332126): Node "mz80k_top|cpu_data_in~40|datab" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux3~0|dataa" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux3~0|combout" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux3~1|datab" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux3~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux11~0|datab" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux5~0|dataa" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux5~0|combout" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux5~1|datab" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux5~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux13~0|datac" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux6~0|dataa" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux6~0|combout" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux6~1|datab" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux6~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux14~0|datac" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux7~0|dataa" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux7~0|combout" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux7~1|datab" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux7~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux15~0|datac" - Warning (332126): Node "mz80k_top|z80|reg_l|q~0|datab" - Warning (332126): Node "mz80k_top|z80|reg_l|q~0|combout" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux0~1|dataa" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux0~0|datab" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux1~1|dataa" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux1~0|datab" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux5~1|dataa" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux5~0|datab" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux4~1|dataa" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux4~0|datab" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux6~1|dataa" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux6~0|datab" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux7~1|dataa" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux7~0|datab" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux0~1|dataa" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux0~0|datab" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux1~0|datab" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux1~1|datab" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux2~1|dataa" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux2~0|datab" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux2~1|dataa" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux2~0|datab" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux4~1|dataa" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux4~0|datab" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux3~1|dataa" - Warning (332126): Node "mz80k_top|z80|reg_l|Mux3~0|datab" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux3~1|dataa" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux3~0|datab" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux5~1|dataa" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux5~0|datab" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux6~1|dataa" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux6~0|datab" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux7~1|dataa" - Warning (332126): Node "mz80k_top|z80|reg_h|Mux7~0|datab" - Warning (332126): Node "mz80k_top|z80|reg_load_f~2|datac" - Warning (332126): Node "mz80k_top|z80|i_ldrhl~2|datab" - Warning (332126): Node "mz80k_top|z80|i_ldrhl~2|combout" - Warning (332126): Node "mz80k_top|z80|comb~18|datac" - Warning (332126): Node "mz80k_top|z80|Decoder2~6|datac" - Warning (332126): Node "mz80k_top|z80|Decoder2~6|combout" - Warning (332126): Node "mz80k_top|z80|i_inrc|datab" - Warning (332126): Node "mz80k_top|z80|i_inrc|combout" - Warning (332126): Node "mz80k_top|z80|selal[2]~4|datad" - Warning (332126): Node "mz80k_top|z80|i_ldblock|datad" - Warning (332126): Node "mz80k_top|z80|i_ldblock|combout" - Warning (332126): Node "mz80k_top|z80|sela_de~1|dataa" - Warning (332126): Node "mz80k_top|z80|sela_hl~2|dataa" - Warning (332126): Node "mz80k_top|z80|Decoder2~5|datac" - Warning (332126): Node "mz80k_top|z80|Decoder2~5|combout" - Warning (332126): Node "mz80k_top|z80|i_ldnnhl~0|datab" - Warning (332126): Node "mz80k_top|cpu_data_in[2]~26|dataa" - Warning (332126): Node "mz80k_top|cpu_data_in[2]~26|combout" - Warning (332126): Node "mz80k_top|cpu_data_in[2]~27|dataa" - Warning (332126): Node "mz80k_top|cpu_data_in[2]~27|combout" - Warning (332126): Node "mz80k_top|z80|i[2]~8|datab" - Warning (332126): Node "mz80k_top|z80|i[2]~8|combout" - Warning (332126): Node "mz80k_top|z80|Decoder2~3|datab" - Warning (332126): Node "mz80k_top|z80|comb~24|datac" - Warning (332126): Node "mz80k_top|z80|i_neg~0|datab" - Warning (332126): Node "mz80k_top|z80|Decoder2~0|datac" - Warning (332126): Node "mz80k_top|z80|Decoder2~1|dataa" - Warning (332126): Node "mz80k_top|z80|xy3~0|datac" - Warning (332126): Node "mz80k_top|z80|incdec8|datac" - Warning (332126): Node "mz80k_top|z80|Decoder2~2|datac" - Warning (332126): Node "mz80k_top|z80|Decoder2~6|datab" - Warning (332126): Node "mz80k_top|z80|Decoder2~5|datab" - Warning (332126): Node "mz80k_top|z80|Decoder2~4|datac" - Warning (332126): Node "mz80k_top|cpu_data_in[4]~19|dataa" - Warning (332126): Node "mz80k_top|cpu_data_in[4]~19|combout" - Warning (332126): Node "mz80k_top|cpu_data_in[4]~20|dataa" - Warning (332126): Node "mz80k_top|cpu_data_in[4]~20|combout" - Warning (332126): Node "mz80k_top|z80|i[4]~5|datab" - Warning (332126): Node "mz80k_top|z80|i[4]~5|combout" - Warning (332126): Node "mz80k_top|z80|Decoder1~3|datab" - Warning (332126): Node "mz80k_top|z80|Decoder1~3|combout" - Warning (332126): Node "mz80k_top|z80|asu_ci~0|datac" - Warning (332126): Node "mz80k_top|z80|imm2~0|dataa" - Warning (332126): Node "mz80k_top|z80|Decoder1~2|dataa" - Warning (332126): Node "mz80k_top|z80|comb~28|datad" - Warning (332126): Node "mz80k_top|z80|comb~28|combout" - Warning (332126): Node "mz80k_top|z80|selah[0]~2|datab" - Warning (332126): Node "mz80k_top|z80|comb~10|datab" - Warning (332126): Node "mz80k_top|z80|comb~10|combout" - Warning (332126): Node "mz80k_top|z80|comb~20|datab" - Warning (332126): Node "mz80k_top|z80|Decoder1~8|datab" - Warning (332126): Node "mz80k_top|z80|Decoder1~8|combout" - Warning (332126): Node "mz80k_top|z80|i_exsphl|datac" - Warning (332126): Node "mz80k_top|z80|comb~27|datab" - Warning (332126): Node "mz80k_top|z80|retin~2|datac" - Warning (332126): Node "mz80k_top|z80|Decoder1~7|datad" - Warning (332126): Node "mz80k_top|z80|Decoder1~7|combout" - Warning (332126): Node "mz80k_top|z80|selal[2]~4|datab" - Warning (332126): Node "mz80k_top|z80|Decoder1~0|dataa" - Warning (332126): Node "mz80k_top|z80|Decoder1~0|combout" - Warning (332126): Node "mz80k_top|z80|comb~19|datad" - Warning (332126): Node "mz80k_top|z80|comb~23|dataa" - Warning (332126): Node "mz80k_top|z80|i_ldhlr~0|datad" - Warning (332126): Node "mz80k_top|z80|reg_load_f~2|datad" - Warning (332126): Node "mz80k_top|z80|i_ldrhl~2|datad" - Warning (332126): Node "mz80k_top|z80|Decoder1~1|datad" - Warning (332126): Node "mz80k_top|z80|Decoder1~1|combout" - Warning (332126): Node "mz80k_top|z80|sela_de~0|datac" - Warning (332126): Node "mz80k_top|z80|selah[1]~4|datac" - Warning (332126): Node "mz80k_top|z80|selah[1]~4|combout" - Warning (332126): Node "mz80k_top|z80|selah[1]~5|datab" - Warning (332126): Node "mz80k_top|z80|i_outna~0|datab" - Warning (332126): Node "mz80k_top|cpu_data_in[5]~16|dataa" - Warning (332126): Node "mz80k_top|cpu_data_in[5]~16|combout" - Warning (332126): Node "mz80k_top|cpu_data_in[5]~17|datad" - Warning (332126): Node "mz80k_top|cpu_data_in[5]~17|combout" - Warning (332126): Node "mz80k_top|z80|i[5]~4|datab" - Warning (332126): Node "mz80k_top|z80|i[5]~4|combout" - Warning (332126): Node "mz80k_top|z80|Decoder1~3|dataa" - Warning (332126): Node "mz80k_top|z80|comb~17|datab" - Warning (332126): Node "mz80k_top|z80|comb~17|combout" - Warning (332126): Node "mz80k_top|z80|i_cpblock|dataa" - Warning (332126): Node "mz80k_top|z80|xy3|dataa" - Warning (332126): Node "mz80k_top|z80|i_ldblock|datab" - Warning (332126): Node "mz80k_top|z80|Decoder1~1|datab" - Warning (332126): Node "mz80k_top|z80|comb~28|datab" - Warning (332126): Node "mz80k_top|z80|comb~10|datad" - Warning (332126): Node "mz80k_top|z80|comb~29|datab" - Warning (332126): Node "mz80k_top|z80|Decoder1~8|datad" - Warning (332126): Node "mz80k_top|z80|retin~2|dataa" - Warning (332126): Node "mz80k_top|z80|Decoder1~7|datac" - Warning (332126): Node "mz80k_top|z80|Decoder1~0|datab" - Warning (332126): Node "mz80k_top|z80|Decoder1~2|datac" - Warning (332126): Node "mz80k_top|cpu_data_in[1]~13|datac" - Warning (332126): Node "mz80k_top|cpu_data_in[1]~13|combout" - Warning (332126): Node "mz80k_top|cpu_data_in[3]~33|datab" - Warning (332126): Node "mz80k_top|cpu_data_in[1]~24|datac" - Warning (332126): Node "mz80k_top|cpu_data_in[2]~26|datab" - Warning (332126): Node "mz80k_top|cpu_data_in[4]~19|datab" - Warning (332126): Node "mz80k_top|cpu_data_in[5]~16|datab" - Warning (332126): Node "mz80k_top|z80|reg_adrl|q[6]~1|datad" - Warning (332126): Node "mz80k_top|cpu_data_in[1]~12|datab" - Warning (332126): Node "mz80k_top|cpu_data_in[1]~12|combout" - Warning (332126): Node "mz80k_top|cpu_data_in[3]~34|datab" - Warning (332126): Node "mz80k_top|cpu_data_in[1]~25|datab" - Warning (332126): Node "mz80k_top|cpu_data_in[2]~27|datab" - Warning (332126): Node "mz80k_top|cpu_data_in[4]~20|datab" - Warning (332126): Node "mz80k_top|cpu_data_in[5]~17|datab" - Warning (332126): Node "mz80k_top|z80|Mux23~3|datad" - Warning (332126): Node "mz80k_top|z80|Mux23~3|combout" - Warning (332126): Node "mz80k_top|cpu_data_in~45|datad" - Warning (332126): Node "mz80k_top|io_e008~0|datad" - Warning (332126): Node "mz80k_top|z80|Mux20~0|dataa" - Warning (332126): Node "mz80k_top|z80|Mux20~0|combout" - Warning (332126): Node "mz80k_top|z80|Mux20~1|datac" - Warning (332126): Node "mz80k_top|z80|Mux20~2|datab" - Warning (332126): Node "mz80k_top|z80|Mux20~2|combout" - Warning (332126): Node "mz80k_top|z80|Mux20~3|datad" - Warning (332126): Node "mz80k_top|z80|Mux19~0|dataa" - Warning (332126): Node "mz80k_top|z80|Mux19~0|combout" - Warning (332126): Node "mz80k_top|z80|Mux19~1|dataa" - Warning (332126): Node "mz80k_top|z80|Mux19~1|datab" - Warning (332126): Node "mz80k_top|z80|Mux19~2|dataa" - Warning (332126): Node "mz80k_top|z80|Mux19~2|combout" - Warning (332126): Node "mz80k_top|z80|Mux19~3|datad" - Warning (332126): Node "mz80k_top|z80|Mux18~0|datab" - Warning (332126): Node "mz80k_top|z80|Mux18~0|combout" - Warning (332126): Node "mz80k_top|z80|Mux18~1|datab" - Warning (332126): Node "mz80k_top|z80|Mux18~2|dataa" - Warning (332126): Node "mz80k_top|z80|Mux18~2|combout" - Warning (332126): Node "mz80k_top|z80|Mux18~3|datad" - Warning (332126): Node "mz80k_top|z80|Mux17~1|datab" - Warning (332126): Node "mz80k_top|z80|Mux17~0|dataa" - Warning (332126): Node "mz80k_top|z80|Mux17~0|combout" - Warning (332126): Node "mz80k_top|z80|Mux17~1|datac" - Warning (332126): Node "mz80k_top|z80|Mux17~2|dataa" - Warning (332126): Node "mz80k_top|z80|Mux17~2|combout" - Warning (332126): Node "mz80k_top|z80|Mux17~3|datac" - Warning (332126): Node "mz80k_top|z80|Mux16~2|dataa" - Warning (332126): Node "mz80k_top|z80|Mux16~2|combout" - Warning (332126): Node "mz80k_top|z80|Mux16~3|datab" - Warning (332126): Node "mz80k_top|z80|Mux16~0|datab" - Warning (332126): Node "mz80k_top|z80|Mux16~0|combout" - Warning (332126): Node "mz80k_top|z80|Mux16~1|datac" - Warning (332126): Node "mz80k_top|z80|Mux23~2|dataa" - Warning (332126): Node "mz80k_top|z80|Mux23~2|combout" - Warning (332126): Node "mz80k_top|z80|Mux23~3|dataa" - Warning (332126): Node "mz80k_top|ps2_1|always0~6|datad" - Warning (332126): Node "mz80k_top|z80|Mux21~1|datab" - Warning (332126): Node "mz80k_top|z80|Mux21~0|dataa" - Warning (332126): Node "mz80k_top|z80|Mux21~0|combout" - Warning (332126): Node "mz80k_top|z80|Mux21~1|datac" - Warning (332126): Node "mz80k_top|z80|Mux21~2|datac" - Warning (332126): Node "mz80k_top|z80|Mux21~2|combout" - Warning (332126): Node "mz80k_top|z80|Mux21~3|datad" - Warning (332126): Node "mz80k_top|z80|Mux22~1|dataa" - Warning (332126): Node "mz80k_top|z80|Mux22~1|combout" - Warning (332126): Node "mz80k_top|z80|Mux22~2|dataa" - Warning (332126): Node "mz80k_top|z80|Mux22~2|datab" - Warning (332126): Node "mz80k_top|z80|comb~27|datac" - Warning (332126): Node "mz80k_top|z80|sela_de~1|datac" - Warning (332126): Node "mz80k_top|z80|Decoder0~0|datab" - Warning (332126): Node "mz80k_top|z80|Decoder0~0|combout" - Warning (332126): Node "mz80k_top|z80|selah[1]~4|datab" - Warning (332126): Node "mz80k_top|z80|comb~19|dataa" - Warning (332126): Node "mz80k_top|z80|comb~22|datab" - Warning (332126): Node "mz80k_top|z80|comb~29|dataa" - Warning (332126): Node "mz80k_top|z80|i_cpblock|datac" - Warning (332126): Node "mz80k_top|z80|i_rs_hl~0|datab" - Warning (332126): Node "mz80k_top|z80|i_rs_hl~0|combout" - Warning (332126): Node "mz80k_top|z80|reg_load_f~2|datab" - Warning (332126): Node "mz80k_top|z80|i_outna~0|datad" - Warning (332126): Node "mz80k_top|z80|i_outcr|dataa" - Warning (332126): Node "mz80k_top|z80|i_outcr|combout" - Warning (332126): Node "mz80k_top|z80|selal[2]~4|dataa" - Warning (332126): Node "mz80k_top|z80|xy3|datab" - Warning (332126): Node "mz80k_top|z80|i_inrc|dataa" - Warning (332126): Node "mz80k_top|z80|i_ldrhl~3|dataa" - Warning (332126): Node "mz80k_top|z80|i_ldrhl~3|combout" - Warning (332126): Node "mz80k_top|z80|i_ldhlr~0|datac" - Warning (332126): Node "mz80k_top|z80|i_ldrhl~2|datac" - Warning (332126): Node "mz80k_top|z80|comb~68|dataa" - Warning (332126): Node "mz80k_top|z80|comb~68|combout" - Warning (332126): Node "mz80k_top|z80|comb~23|datad" - Warning (332126): Node "mz80k_top|z80|incdec8|datab" - Warning (332126): Node "mz80k_top|z80|comb~66|dataa" - Warning (332126): Node "mz80k_top|z80|comb~66|combout" - Warning (332126): Node "mz80k_top|z80|i_exsphl|datab" - Warning (332126): Node "mz80k_top|z80|selah[1]~4|datad" - Warning (332126): Node "mz80k_top|z80|comb~25|dataa" - Warning (332126): Node "mz80k_top|z80|imm1~0|dataa" - Warning (332126): Node "mz80k_top|z80|asu_ci~0|dataa" - Warning (332126): Node "mz80k_top|z80|comb~15|dataa" - Warning (332126): Node "mz80k_top|z80|imm2~0|datac" - Warning (332126): Node "mz80k_top|z80|i_ldblock|dataa" - Warning (332126): Node "mz80k_top|z80|comb~11|datab" - Warning (332126): Node "mz80k_top|z80|comb~11|combout" - Warning (332126): Node "mz80k_top|z80|comb~20|dataa" - Warning (332126): Node "mz80k_top|z80|i_lddd_nn|datad" - Warning (332126): Node "mz80k_top|z80|retin~2|datab" - Warning (332126): Node "mz80k_top|z80|i_ldnndd|datab" - Warning (332126): Node "mz80k_top|cpu_data_in[6]~30|datac" - Warning (332126): Node "mz80k_top|cpu_data_in[6]~50|datab" - Warning (332126): Node "mz80k_top|cpu_data_in[1]~49|datab" - Warning (332126): Node "mz80k_top|cpu_data_in[1]~13|datab" - Warning (332126): Node "mz80k_top|cpu_data_in[1]~12|datad" - Warning (332126): Node "mz80k_top|cpu_data_in[1]~18|datad" - Warning (332126): Node "mz80k_top|z80|Mux23~3|datac" - Warning (332126): Node "mz80k_top|z80|Mux10~5|datac" - Warning (332126): Node "mz80k_top|z80|Mux20~3|datab" - Warning (332126): Node "mz80k_top|z80|Mux12~4|dataa" - Warning (332126): Node "mz80k_top|z80|Mux19~3|datab" - Warning (332126): Node "mz80k_top|z80|Mux18~3|dataa" - Warning (332126): Node "mz80k_top|z80|Mux17~3|dataa" - Warning (332126): Node "mz80k_top|z80|Mux16~3|dataa" - Warning (332126): Node "mz80k_top|z80|Mux15~4|datac" - Warning (332126): Node "mz80k_top|z80|Mux14~4|datab" - Warning (332126): Node "mz80k_top|z80|Mux13~4|datac" - Warning (332126): Node "mz80k_top|z80|Mux9~5|datac" - Warning (332126): Node "mz80k_top|z80|Mux8~5|dataa" - Warning (332126): Node "mz80k_top|ps2_1|always0~6|datac" - Warning (332126): Node "mz80k_top|z80|Mux21~3|dataa" - Warning (332126): Node "mz80k_top|z80|Mux22~4|dataa" - Warning (332126): Node "mz80k_top|z80|Mux11~4|datad" - Warning (332126): Node "mz80k_top|z80|i_cpblock|datab" - Warning (332126): Node "mz80k_top|z80|i_rs_hl~0|datac" - Warning (332126): Node "mz80k_top|z80|i_outna~0|dataa" - Warning (332126): Node "mz80k_top|z80|i_outcr|datac" - Warning (332126): Node "mz80k_top|z80|xy3|datad" - Warning (332126): Node "mz80k_top|z80|i_inrc|datac" - Warning (332126): Node "mz80k_top|z80|i_ldrhl~3|datab" - Warning (332126): Node "mz80k_top|z80|comb~68|datab" - Warning (332126): Node "mz80k_top|z80|comb~66|datab" - Warning (332126): Node "mz80k_top|z80|i_ldblock|datac" - Warning (332126): Node "mz80k_top|z80|comb~11|datac" - Warning (332126): Node "mz80k_top|z80|i_ldnnhl~0|datac" - Warning (332126): Node "mz80k_top|z80|Decoder0~0|dataa" - Warning (332126): Node "mz80k_top|cpu_data_in[7]~31|dataa" - Warning (332126): Node "mz80k_top|cpu_data_in[6]~29|datab" - Warning (332126): Node "mz80k_top|cpu_data_in[6]~28|dataa" - Warning (332126): Node "mz80k_top|cpu_data_in[6]~50|datad" - Warning (332126): Node "mz80k_top|cpu_data_in[1]~49|dataa" - Warning (332126): Node "mz80k_top|cpu_data_in[1]~13|dataa" - Warning (332126): Node "mz80k_top|cpu_data_in[1]~12|datac" - Warning (332126): Node "mz80k_top|cpu_data_in[7]~51|datad" - Warning (332126): Node "mz80k_top|cpu_data_in[0]~23|datad" - Warning (332126): Node "mz80k_top|cpu_data_in~45|datac" - Warning (332126): Node "mz80k_top|cpu_data_in~47|datab" - Warning (332126): Node "mz80k_top|ps2_1|always0~5|datad" - Warning (332126): Node "mz80k_top|z80|Mux10~2|datab" - Warning (332126): Node "mz80k_top|z80|Mux12~2|datab" - Warning (332126): Node "mz80k_top|z80|Mux12~0|datab" - Warning (332126): Node "mz80k_top|z80|Mux12~1|datab" - Warning (332126): Node "mz80k_top|z80|Mux11~1|dataa" - Warning (332126): Node "mz80k_top|z80|Mux11~0|datac" - Warning (332126): Node "mz80k_top|z80|Mux10~3|datab" - Warning (332126): Node "mz80k_top|z80|Mux11~2|dataa" - Warning (332126): Node "mz80k_top|z80|Mux15~2|datab" - Warning (332126): Node "mz80k_top|z80|Mux15~0|dataa" - Warning (332126): Node "mz80k_top|z80|Mux15~1|datab" - Warning (332126): Node "mz80k_top|z80|Mux14~1|dataa" - Warning (332126): Node "mz80k_top|z80|Mux14~0|dataa" - Warning (332126): Node "mz80k_top|z80|Mux14~2|datab" - Warning (332126): Node "mz80k_top|z80|Mux13~0|datab" - Warning (332126): Node "mz80k_top|z80|Mux13~1|datab" - Warning (332126): Node "mz80k_top|z80|Mux13~2|datab" - Warning (332126): Node "mz80k_top|z80|Mux9~3|dataa" - Warning (332126): Node "mz80k_top|z80|Mux9~2|dataa" - Warning (332126): Node "mz80k_top|z80|Mux9~1|datac" - Warning (332126): Node "mz80k_top|z80|Mux8~2|dataa" - Warning (332126): Node "mz80k_top|z80|Mux8~1|datac" - Warning (332126): Node "mz80k_top|z80|Mux8~3|dataa" - Warning (332126): Node "mz80k_top|z80|selal[0]~3|datab" - Warning (332126): Node "mz80k_top|z80|selal[2]~6|datad" - Warning (332126): Node "mz80k_top|z80|selah[1]~4|dataa" - Warning (332126): Node "mz80k_top|z80|imm1~0|datac" - Warning (332126): Node "mz80k_top|z80|Decoder1~1|datac" - Warning (332126): Node "mz80k_top|z80|comb~24|datad" - Warning (332126): Node "mz80k_top|z80|comb~28|datac" - Warning (332126): Node "mz80k_top|z80|i_lddd_nn|dataa" - Warning (332126): Node "mz80k_top|z80|Decoder1~8|datac" - Warning (332126): Node "mz80k_top|z80|i_ldnndd|datad" - Warning (332126): Node "mz80k_top|z80|Decoder1~0|datac" - Warning (332126): Node "mz80k_top|z80|Decoder1~3|datad" - Warning (332126): Node "mz80k_top|z80|i[5]~4|dataa" - Warning (332126): Node "mz80k_top|z80|i[1]~7|dataa" - Warning (332126): Node "mz80k_top|z80|i[2]~8|dataa" - Warning (332126): Node "mz80k_top|z80|i[4]~5|dataa" - Warning (332126): Node "mz80k_top|cpu_data_in[6]~50|datac" - Warning (332126): Node "mz80k_top|cpu_data_in[7]~51|datac" - Warning (332126): Node "mz80k_top|ps2_1|always0~6|datab" - Warning (332126): Node "mz80k_top|cpu_data_in~52|datad" - Warning (332126): Node "mz80k_top|z80|selal[2]~6|datac" - Warning (332126): Node "mz80k_top|z80|Mux23~1|datab" - Warning (332126): Node "mz80k_top|z80|Mux23~0|datab" - Warning (332126): Node "mz80k_top|z80|Mux20~1|datab" - Warning (332126): Node "mz80k_top|z80|Mux20~0|datab" - Warning (332126): Node "mz80k_top|z80|Mux20~2|dataa" - Warning (332126): Node "mz80k_top|z80|Mux19~0|datab" - Warning (332126): Node "mz80k_top|z80|Mux19~2|datac" - Warning (332126): Node "mz80k_top|z80|Mux18~1|dataa" - Warning (332126): Node "mz80k_top|z80|Mux18~0|dataa" - Warning (332126): Node "mz80k_top|z80|Mux18~2|datac" - Warning (332126): Node "mz80k_top|z80|Mux17~0|datab" - Warning (332126): Node "mz80k_top|z80|Mux17~2|datab" - Warning (332126): Node "mz80k_top|z80|Mux16~2|datab" - Warning (332126): Node "mz80k_top|z80|Mux16~1|datab" - Warning (332126): Node "mz80k_top|z80|Mux16~0|dataa" - Warning (332126): Node "mz80k_top|z80|selah[1]~5|dataa" - Warning (332126): Node "mz80k_top|z80|Mux23~2|datab" - Warning (332126): Node "mz80k_top|z80|Mux21~0|datab" - Warning (332126): Node "mz80k_top|z80|Mux21~2|dataa" - Warning (332126): Node "mz80k_top|z80|Mux22~1|datab" - Warning (332126): Node "mz80k_top|z80|asu_ci~0|datab" - Warning (332126): Node "mz80k_top|z80|i_outcr|datab" - Warning (332126): Node "mz80k_top|z80|sela_hl~2|datab" - Warning (332126): Node "mz80k_top|z80|comb~24|datab" - Warning (332126): Node "mz80k_top|z80|comb~30|datac" - Warning (332126): Node "mz80k_top|z80|i_neg~0|dataa" - Warning (332126): Node "mz80k_top|z80|Decoder2~0|dataa" - Warning (332126): Node "mz80k_top|z80|Decoder2~1|datad" - Warning (332126): Node "mz80k_top|z80|Decoder2~2|datab" - Warning (332126): Node "mz80k_top|z80|Decoder2~6|datad" - Warning (332126): Node "mz80k_top|z80|Decoder2~5|dataa" - Warning (332126): Node "mz80k_top|z80|Decoder2~3|datad" - Warning (332126): Node "mz80k_top|z80|i_inblock|datab" - Warning (332126): Node "mz80k_top|cpu_data_in[6]~28|datab" - Warning (332126): Node "mz80k_top|cpu_data_in[1]~49|datad" -Critical Warning (332081): Design contains combinational loop of 816 nodes. Estimating the delays through the loop. -Info (332143): No user constrained clock uncertainty found in the design. Calling "derive_clock_uncertainty" -Info (332123): Deriving Clock Uncertainty. Please refer to report_sdc in TimeQuest to see clock uncertainties. -Info: Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON -Info: Analyzing Slow 1200mV 85C Model -Critical Warning (332148): Timing requirements not met - Info (11105): For recommendations on closing timing, run Report Timing Closure Recommendations in the TimeQuest Timing Analyzer. -Info (332146): Worst-case setup slack is -34.936 - Info (332119): Slack End Point TNS Clock - Info (332119): ========= =================== ===================== - Info (332119): -34.936 -9377.424 mz80k_top:mz80k_top|clk_count[2] - Info (332119): -32.140 -3694.987 pll|altpll_component|auto_generated|pll1|clk[0] - Info (332119): -7.401 -121.075 mz80k_top:mz80k_top|CLK_2M - Info (332119): -7.006 -594.451 SPI_SCK - Info (332119): -6.618 -110.932 mz80k_top:mz80k_top|CLK_31250 - Info (332119): -4.583 -71.203 mz80k_top:mz80k_top|i8253:i8253_1|signal1 - Info (332119): -2.023 -36.640 mz80k_top:mz80k_top|vga:vga1|counter[0] -Info (332146): Worst-case hold slack is -0.877 - Info (332119): Slack End Point TNS Clock - Info (332119): ========= =================== ===================== - Info (332119): -0.877 -2.243 mz80k_top:mz80k_top|clk_count[2] - Info (332119): -0.412 -1.310 pll|altpll_component|auto_generated|pll1|clk[0] - Info (332119): -0.029 -0.029 mz80k_top:mz80k_top|CLK_31250 - Info (332119): 0.449 0.000 SPI_SCK - Info (332119): 0.453 0.000 mz80k_top:mz80k_top|CLK_2M - Info (332119): 0.675 0.000 mz80k_top:mz80k_top|i8253:i8253_1|signal1 - Info (332119): 0.735 0.000 mz80k_top:mz80k_top|vga:vga1|counter[0] -Info (332146): Worst-case recovery slack is -2.223 - Info (332119): Slack End Point TNS Clock - Info (332119): ========= =================== ===================== - Info (332119): -2.223 -153.204 mz80k_top:mz80k_top|clk_count[2] - Info (332119): 14.024 0.000 pll|altpll_component|auto_generated|pll1|clk[0] -Info (332146): Worst-case removal slack is 0.336 - Info (332119): Slack End Point TNS Clock - Info (332119): ========= =================== ===================== - Info (332119): 0.336 0.000 mz80k_top:mz80k_top|clk_count[2] - Info (332119): 4.796 0.000 pll|altpll_component|auto_generated|pll1|clk[0] -Info (332146): Worst-case minimum pulse width slack is -3.201 - Info (332119): Slack End Point TNS Clock - Info (332119): ========= =================== ===================== - Info (332119): -3.201 -217.003 SPI_SCK - Info (332119): -1.487 -486.249 mz80k_top:mz80k_top|clk_count[2] - Info (332119): -1.487 -29.740 mz80k_top:mz80k_top|vga:vga1|counter[0] - Info (332119): -1.487 -25.279 mz80k_top:mz80k_top|CLK_2M - Info (332119): -1.487 -25.279 mz80k_top:mz80k_top|CLK_31250 - Info (332119): -1.487 -23.792 mz80k_top:mz80k_top|i8253:i8253_1|signal1 - Info (332119): 9.666 0.000 pll|altpll_component|auto_generated|pll1|clk[0] - Info (332119): 18.366 0.000 CLOCK_27 -Info: Analyzing Slow 1200mV 0C Model -Info (334003): Started post-fitting delay annotation -Info (334004): Delay annotation completed successfully -Info (332123): Deriving Clock Uncertainty. Please refer to report_sdc in TimeQuest to see clock uncertainties. -Critical Warning (332148): Timing requirements not met - Info (11105): For recommendations on closing timing, run Report Timing Closure Recommendations in the TimeQuest Timing Analyzer. -Info (332146): Worst-case setup slack is -33.231 - Info (332119): Slack End Point TNS Clock - Info (332119): ========= =================== ===================== - Info (332119): -33.231 -8921.813 mz80k_top:mz80k_top|clk_count[2] - Info (332119): -30.351 -3422.841 pll|altpll_component|auto_generated|pll1|clk[0] - Info (332119): -6.888 -112.587 mz80k_top:mz80k_top|CLK_2M - Info (332119): -6.568 -550.906 SPI_SCK - Info (332119): -6.055 -101.552 mz80k_top:mz80k_top|CLK_31250 - Info (332119): -4.189 -65.009 mz80k_top:mz80k_top|i8253:i8253_1|signal1 - Info (332119): -1.811 -32.260 mz80k_top:mz80k_top|vga:vga1|counter[0] -Info (332146): Worst-case hold slack is -0.741 - Info (332119): Slack End Point TNS Clock - Info (332119): ========= =================== ===================== - Info (332119): -0.741 -2.003 mz80k_top:mz80k_top|clk_count[2] - Info (332119): -0.535 -1.806 pll|altpll_component|auto_generated|pll1|clk[0] - Info (332119): 0.024 0.000 mz80k_top:mz80k_top|CLK_31250 - Info (332119): 0.402 0.000 SPI_SCK - Info (332119): 0.403 0.000 mz80k_top:mz80k_top|CLK_2M - Info (332119): 0.615 0.000 mz80k_top:mz80k_top|i8253:i8253_1|signal1 - Info (332119): 0.658 0.000 mz80k_top:mz80k_top|vga:vga1|counter[0] -Info (332146): Worst-case recovery slack is -2.150 - Info (332119): Slack End Point TNS Clock - Info (332119): ========= =================== ===================== - Info (332119): -2.150 -148.220 mz80k_top:mz80k_top|clk_count[2] - Info (332119): 14.497 0.000 pll|altpll_component|auto_generated|pll1|clk[0] -Info (332146): Worst-case removal slack is 0.319 - Info (332119): Slack End Point TNS Clock - Info (332119): ========= =================== ===================== - Info (332119): 0.319 0.000 mz80k_top:mz80k_top|clk_count[2] - Info (332119): 4.316 0.000 pll|altpll_component|auto_generated|pll1|clk[0] -Info (332146): Worst-case minimum pulse width slack is -3.201 - Info (332119): Slack End Point TNS Clock - Info (332119): ========= =================== ===================== - Info (332119): -3.201 -217.003 SPI_SCK - Info (332119): -1.487 -486.596 mz80k_top:mz80k_top|clk_count[2] - Info (332119): -1.487 -29.740 mz80k_top:mz80k_top|vga:vga1|counter[0] - Info (332119): -1.487 -25.279 mz80k_top:mz80k_top|CLK_2M - Info (332119): -1.487 -25.279 mz80k_top:mz80k_top|CLK_31250 - Info (332119): -1.487 -23.792 mz80k_top:mz80k_top|i8253:i8253_1|signal1 - Info (332119): 9.638 0.000 pll|altpll_component|auto_generated|pll1|clk[0] - Info (332119): 18.351 0.000 CLOCK_27 -Info: Analyzing Fast 1200mV 0C Model -Info (332123): Deriving Clock Uncertainty. Please refer to report_sdc in TimeQuest to see clock uncertainties. -Critical Warning (332148): Timing requirements not met - Info (11105): For recommendations on closing timing, run Report Timing Closure Recommendations in the TimeQuest Timing Analyzer. -Info (332146): Worst-case setup slack is -14.921 - Info (332119): Slack End Point TNS Clock - Info (332119): ========= =================== ===================== - Info (332119): -14.921 -4020.444 mz80k_top:mz80k_top|clk_count[2] - Info (332119): -14.623 -1714.893 pll|altpll_component|auto_generated|pll1|clk[0] - Info (332119): -2.558 -41.495 mz80k_top:mz80k_top|CLK_2M - Info (332119): -2.408 -184.558 SPI_SCK - Info (332119): -2.296 -38.342 mz80k_top:mz80k_top|CLK_31250 - Info (332119): -1.305 -20.020 mz80k_top:mz80k_top|i8253:i8253_1|signal1 - Info (332119): -0.305 -4.130 mz80k_top:mz80k_top|vga:vga1|counter[0] -Info (332146): Worst-case hold slack is -0.646 - Info (332119): Slack End Point TNS Clock - Info (332119): ========= =================== ===================== - Info (332119): -0.646 -4.158 mz80k_top:mz80k_top|clk_count[2] - Info (332119): -0.187 -0.187 mz80k_top:mz80k_top|CLK_31250 - Info (332119): -0.063 -0.175 pll|altpll_component|auto_generated|pll1|clk[0] - Info (332119): 0.147 0.000 SPI_SCK - Info (332119): 0.187 0.000 mz80k_top:mz80k_top|CLK_2M - Info (332119): 0.275 0.000 mz80k_top:mz80k_top|i8253:i8253_1|signal1 - Info (332119): 0.280 0.000 mz80k_top:mz80k_top|vga:vga1|counter[0] -Info (332146): Worst-case recovery slack is -0.886 - Info (332119): Slack End Point TNS Clock - Info (332119): ========= =================== ===================== - Info (332119): -0.886 -60.997 mz80k_top:mz80k_top|clk_count[2] - Info (332119): 17.326 0.000 pll|altpll_component|auto_generated|pll1|clk[0] -Info (332146): Worst-case removal slack is -0.025 - Info (332119): Slack End Point TNS Clock - Info (332119): ========= =================== ===================== - Info (332119): -0.025 -1.350 mz80k_top:mz80k_top|clk_count[2] - Info (332119): 2.184 0.000 pll|altpll_component|auto_generated|pll1|clk[0] -Info (332146): Worst-case minimum pulse width slack is -3.000 - Info (332119): Slack End Point TNS Clock - Info (332119): ========= =================== ===================== - Info (332119): -3.000 -181.072 SPI_SCK - Info (332119): -1.000 -327.000 mz80k_top:mz80k_top|clk_count[2] - Info (332119): -1.000 -20.000 mz80k_top:mz80k_top|vga:vga1|counter[0] - Info (332119): -1.000 -17.000 mz80k_top:mz80k_top|CLK_2M - Info (332119): -1.000 -17.000 mz80k_top:mz80k_top|CLK_31250 - Info (332119): -1.000 -16.000 mz80k_top:mz80k_top|i8253:i8253_1|signal1 - Info (332119): 9.746 0.000 pll|altpll_component|auto_generated|pll1|clk[0] - Info (332119): 17.928 0.000 CLOCK_27 -Info (332102): Design is not fully constrained for setup requirements -Info (332102): Design is not fully constrained for hold requirements -Info: Quartus II 64-Bit TimeQuest Timing Analyzer was successful. 0 errors, 822 warnings - Info: Peak virtual memory: 4795 megabytes - Info: Processing ended: Sun Jun 24 13:31:25 2018 - Info: Elapsed time: 00:00:10 - Info: Total CPU time (on all processors): 00:00:12 - - diff --git a/Sharp - MZ-80K_MiST/Output/mz80k_mist.sta.summary b/Sharp - MZ-80K_MiST/Output/mz80k_mist.sta.summary deleted file mode 100644 index d419547a..00000000 --- a/Sharp - MZ-80K_MiST/Output/mz80k_mist.sta.summary +++ /dev/null @@ -1,317 +0,0 @@ ------------------------------------------------------------- -TimeQuest Timing Analyzer Summary ------------------------------------------------------------- - -Type : Slow 1200mV 85C Model Setup 'mz80k_top:mz80k_top|clk_count[2]' -Slack : -34.936 -TNS : -9377.424 - -Type : Slow 1200mV 85C Model Setup 'pll|altpll_component|auto_generated|pll1|clk[0]' -Slack : -32.140 -TNS : -3694.987 - -Type : Slow 1200mV 85C Model Setup 'mz80k_top:mz80k_top|CLK_2M' -Slack : -7.401 -TNS : -121.075 - -Type : Slow 1200mV 85C Model Setup 'SPI_SCK' -Slack : -7.006 -TNS : -594.451 - -Type : Slow 1200mV 85C Model Setup 'mz80k_top:mz80k_top|CLK_31250' -Slack : -6.618 -TNS : -110.932 - -Type : Slow 1200mV 85C Model Setup 'mz80k_top:mz80k_top|i8253:i8253_1|signal1' -Slack : -4.583 -TNS : -71.203 - -Type : Slow 1200mV 85C Model Setup 'mz80k_top:mz80k_top|vga:vga1|counter[0]' -Slack : -2.023 -TNS : -36.640 - -Type : Slow 1200mV 85C Model Hold 'mz80k_top:mz80k_top|clk_count[2]' -Slack : -0.877 -TNS : -2.243 - -Type : Slow 1200mV 85C Model Hold 'pll|altpll_component|auto_generated|pll1|clk[0]' -Slack : -0.412 -TNS : -1.310 - -Type : Slow 1200mV 85C Model Hold 'mz80k_top:mz80k_top|CLK_31250' -Slack : -0.029 -TNS : -0.029 - -Type : Slow 1200mV 85C Model Hold 'SPI_SCK' -Slack : 0.449 -TNS : 0.000 - -Type : Slow 1200mV 85C Model Hold 'mz80k_top:mz80k_top|CLK_2M' -Slack : 0.453 -TNS : 0.000 - -Type : Slow 1200mV 85C Model Hold 'mz80k_top:mz80k_top|i8253:i8253_1|signal1' -Slack : 0.675 -TNS : 0.000 - -Type : Slow 1200mV 85C Model Hold 'mz80k_top:mz80k_top|vga:vga1|counter[0]' -Slack : 0.735 -TNS : 0.000 - -Type : Slow 1200mV 85C Model Recovery 'mz80k_top:mz80k_top|clk_count[2]' -Slack : -2.223 -TNS : -153.204 - -Type : Slow 1200mV 85C Model Recovery 'pll|altpll_component|auto_generated|pll1|clk[0]' -Slack : 14.024 -TNS : 0.000 - -Type : Slow 1200mV 85C Model Removal 'mz80k_top:mz80k_top|clk_count[2]' -Slack : 0.336 -TNS : 0.000 - -Type : Slow 1200mV 85C Model Removal 'pll|altpll_component|auto_generated|pll1|clk[0]' -Slack : 4.796 -TNS : 0.000 - -Type : Slow 1200mV 85C Model Minimum Pulse Width 'SPI_SCK' -Slack : -3.201 -TNS : -217.003 - -Type : Slow 1200mV 85C Model Minimum Pulse Width 'mz80k_top:mz80k_top|clk_count[2]' -Slack : -1.487 -TNS : -486.249 - -Type : Slow 1200mV 85C Model Minimum Pulse Width 'mz80k_top:mz80k_top|vga:vga1|counter[0]' -Slack : -1.487 -TNS : -29.740 - -Type : Slow 1200mV 85C Model Minimum Pulse Width 'mz80k_top:mz80k_top|CLK_2M' -Slack : -1.487 -TNS : -25.279 - -Type : Slow 1200mV 85C Model Minimum Pulse Width 'mz80k_top:mz80k_top|CLK_31250' -Slack : -1.487 -TNS : -25.279 - -Type : Slow 1200mV 85C Model Minimum Pulse Width 'mz80k_top:mz80k_top|i8253:i8253_1|signal1' -Slack : -1.487 -TNS : -23.792 - -Type : Slow 1200mV 85C Model Minimum Pulse Width 'pll|altpll_component|auto_generated|pll1|clk[0]' -Slack : 9.666 -TNS : 0.000 - -Type : Slow 1200mV 85C Model Minimum Pulse Width 'CLOCK_27' -Slack : 18.366 -TNS : 0.000 - -Type : Slow 1200mV 0C Model Setup 'mz80k_top:mz80k_top|clk_count[2]' -Slack : -33.231 -TNS : -8921.813 - -Type : Slow 1200mV 0C Model Setup 'pll|altpll_component|auto_generated|pll1|clk[0]' -Slack : -30.351 -TNS : -3422.841 - -Type : Slow 1200mV 0C Model Setup 'mz80k_top:mz80k_top|CLK_2M' -Slack : -6.888 -TNS : -112.587 - -Type : Slow 1200mV 0C Model Setup 'SPI_SCK' -Slack : -6.568 -TNS : -550.906 - -Type : Slow 1200mV 0C Model Setup 'mz80k_top:mz80k_top|CLK_31250' -Slack : -6.055 -TNS : -101.552 - -Type : Slow 1200mV 0C Model Setup 'mz80k_top:mz80k_top|i8253:i8253_1|signal1' -Slack : -4.189 -TNS : -65.009 - -Type : Slow 1200mV 0C Model Setup 'mz80k_top:mz80k_top|vga:vga1|counter[0]' -Slack : -1.811 -TNS : -32.260 - -Type : Slow 1200mV 0C Model Hold 'mz80k_top:mz80k_top|clk_count[2]' -Slack : -0.741 -TNS : -2.003 - -Type : Slow 1200mV 0C Model Hold 'pll|altpll_component|auto_generated|pll1|clk[0]' -Slack : -0.535 -TNS : -1.806 - -Type : Slow 1200mV 0C Model Hold 'mz80k_top:mz80k_top|CLK_31250' -Slack : 0.024 -TNS : 0.000 - -Type : Slow 1200mV 0C Model Hold 'SPI_SCK' -Slack : 0.402 -TNS : 0.000 - -Type : Slow 1200mV 0C Model Hold 'mz80k_top:mz80k_top|CLK_2M' -Slack : 0.403 -TNS : 0.000 - -Type : Slow 1200mV 0C Model Hold 'mz80k_top:mz80k_top|i8253:i8253_1|signal1' -Slack : 0.615 -TNS : 0.000 - -Type : Slow 1200mV 0C Model Hold 'mz80k_top:mz80k_top|vga:vga1|counter[0]' -Slack : 0.658 -TNS : 0.000 - -Type : Slow 1200mV 0C Model Recovery 'mz80k_top:mz80k_top|clk_count[2]' -Slack : -2.150 -TNS : -148.220 - -Type : Slow 1200mV 0C Model Recovery 'pll|altpll_component|auto_generated|pll1|clk[0]' -Slack : 14.497 -TNS : 0.000 - -Type : Slow 1200mV 0C Model Removal 'mz80k_top:mz80k_top|clk_count[2]' -Slack : 0.319 -TNS : 0.000 - -Type : Slow 1200mV 0C Model Removal 'pll|altpll_component|auto_generated|pll1|clk[0]' -Slack : 4.316 -TNS : 0.000 - -Type : Slow 1200mV 0C Model Minimum Pulse Width 'SPI_SCK' -Slack : -3.201 -TNS : -217.003 - -Type : Slow 1200mV 0C Model Minimum Pulse Width 'mz80k_top:mz80k_top|clk_count[2]' -Slack : -1.487 -TNS : -486.596 - -Type : Slow 1200mV 0C Model Minimum Pulse Width 'mz80k_top:mz80k_top|vga:vga1|counter[0]' -Slack : -1.487 -TNS : -29.740 - -Type : Slow 1200mV 0C Model Minimum Pulse Width 'mz80k_top:mz80k_top|CLK_2M' -Slack : -1.487 -TNS : -25.279 - -Type : Slow 1200mV 0C Model Minimum Pulse Width 'mz80k_top:mz80k_top|CLK_31250' -Slack : -1.487 -TNS : -25.279 - -Type : Slow 1200mV 0C Model Minimum Pulse Width 'mz80k_top:mz80k_top|i8253:i8253_1|signal1' -Slack : -1.487 -TNS : -23.792 - -Type : Slow 1200mV 0C Model Minimum Pulse Width 'pll|altpll_component|auto_generated|pll1|clk[0]' -Slack : 9.638 -TNS : 0.000 - -Type : Slow 1200mV 0C Model Minimum Pulse Width 'CLOCK_27' -Slack : 18.351 -TNS : 0.000 - -Type : Fast 1200mV 0C Model Setup 'mz80k_top:mz80k_top|clk_count[2]' -Slack : -14.921 -TNS : -4020.444 - -Type : Fast 1200mV 0C Model Setup 'pll|altpll_component|auto_generated|pll1|clk[0]' -Slack : -14.623 -TNS : -1714.893 - -Type : Fast 1200mV 0C Model Setup 'mz80k_top:mz80k_top|CLK_2M' -Slack : -2.558 -TNS : -41.495 - -Type : Fast 1200mV 0C Model Setup 'SPI_SCK' -Slack : -2.408 -TNS : -184.558 - -Type : Fast 1200mV 0C Model Setup 'mz80k_top:mz80k_top|CLK_31250' -Slack : -2.296 -TNS : -38.342 - -Type : Fast 1200mV 0C Model Setup 'mz80k_top:mz80k_top|i8253:i8253_1|signal1' -Slack : -1.305 -TNS : -20.020 - -Type : Fast 1200mV 0C Model Setup 'mz80k_top:mz80k_top|vga:vga1|counter[0]' -Slack : -0.305 -TNS : -4.130 - -Type : Fast 1200mV 0C Model Hold 'mz80k_top:mz80k_top|clk_count[2]' -Slack : -0.646 -TNS : -4.158 - -Type : Fast 1200mV 0C Model Hold 'mz80k_top:mz80k_top|CLK_31250' -Slack : -0.187 -TNS : -0.187 - -Type : Fast 1200mV 0C Model Hold 'pll|altpll_component|auto_generated|pll1|clk[0]' -Slack : -0.063 -TNS : -0.175 - -Type : Fast 1200mV 0C Model Hold 'SPI_SCK' -Slack : 0.147 -TNS : 0.000 - -Type : Fast 1200mV 0C Model Hold 'mz80k_top:mz80k_top|CLK_2M' -Slack : 0.187 -TNS : 0.000 - -Type : Fast 1200mV 0C Model Hold 'mz80k_top:mz80k_top|i8253:i8253_1|signal1' -Slack : 0.275 -TNS : 0.000 - -Type : Fast 1200mV 0C Model Hold 'mz80k_top:mz80k_top|vga:vga1|counter[0]' -Slack : 0.280 -TNS : 0.000 - -Type : Fast 1200mV 0C Model Recovery 'mz80k_top:mz80k_top|clk_count[2]' -Slack : -0.886 -TNS : -60.997 - -Type : Fast 1200mV 0C Model Recovery 'pll|altpll_component|auto_generated|pll1|clk[0]' -Slack : 17.326 -TNS : 0.000 - -Type : Fast 1200mV 0C Model Removal 'mz80k_top:mz80k_top|clk_count[2]' -Slack : -0.025 -TNS : -1.350 - -Type : Fast 1200mV 0C Model Removal 'pll|altpll_component|auto_generated|pll1|clk[0]' -Slack : 2.184 -TNS : 0.000 - -Type : Fast 1200mV 0C Model Minimum Pulse Width 'SPI_SCK' -Slack : -3.000 -TNS : -181.072 - -Type : Fast 1200mV 0C Model Minimum Pulse Width 'mz80k_top:mz80k_top|clk_count[2]' -Slack : -1.000 -TNS : -327.000 - -Type : Fast 1200mV 0C Model Minimum Pulse Width 'mz80k_top:mz80k_top|vga:vga1|counter[0]' -Slack : -1.000 -TNS : -20.000 - -Type : Fast 1200mV 0C Model Minimum Pulse Width 'mz80k_top:mz80k_top|CLK_2M' -Slack : -1.000 -TNS : -17.000 - -Type : Fast 1200mV 0C Model Minimum Pulse Width 'mz80k_top:mz80k_top|CLK_31250' -Slack : -1.000 -TNS : -17.000 - -Type : Fast 1200mV 0C Model Minimum Pulse Width 'mz80k_top:mz80k_top|i8253:i8253_1|signal1' -Slack : -1.000 -TNS : -16.000 - -Type : Fast 1200mV 0C Model Minimum Pulse Width 'pll|altpll_component|auto_generated|pll1|clk[0]' -Slack : 9.746 -TNS : 0.000 - -Type : Fast 1200mV 0C Model Minimum Pulse Width 'CLOCK_27' -Slack : 17.928 -TNS : 0.000 - -------------------------------------------------------------