From 4240e1b8c338c951b4fe05cdec4b69f573ecddf0 Mon Sep 17 00:00:00 2001 From: Marcel Date: Tue, 12 Mar 2019 05:20:39 +0100 Subject: [PATCH] Delete Pisces.sv --- .../TripleDrawPoker_MiST/rtl/Pisces.sv | 193 ------------------ 1 file changed, 193 deletions(-) delete mode 100644 Arcade_MiST/Galaxian Hardware/Z80 Based/TripleDrawPoker_MiST/rtl/Pisces.sv diff --git a/Arcade_MiST/Galaxian Hardware/Z80 Based/TripleDrawPoker_MiST/rtl/Pisces.sv b/Arcade_MiST/Galaxian Hardware/Z80 Based/TripleDrawPoker_MiST/rtl/Pisces.sv deleted file mode 100644 index 13aa31aa..00000000 --- a/Arcade_MiST/Galaxian Hardware/Z80 Based/TripleDrawPoker_MiST/rtl/Pisces.sv +++ /dev/null @@ -1,193 +0,0 @@ -//============================================================================ -// Arcade: Catacomb -// -// Port to MiSTer -// Copyright (C) 2017 Sorgelig -// -// This program is free software; you can redistribute it and/or modify it -// under the terms of the GNU General Public License as published by the Free -// Software Foundation; either version 2 of the License, or (at your option) -// any later version. -// -// This program is distributed in the hope that it will be useful, but WITHOUT -// ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -// FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License for -// more details. -// -// You should have received a copy of the GNU General Public License along -// with this program; if not, write to the Free Software Foundation, Inc., -// 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA. -//============================================================================ - -module Pisces -( - output LED, - output [5:0] VGA_R, - output [5:0] VGA_G, - output [5:0] VGA_B, - output VGA_HS, - output VGA_VS, - output AUDIO_L, - output AUDIO_R, - input SPI_SCK, - output SPI_DO, - input SPI_DI, - input SPI_SS2, - input SPI_SS3, - input CONF_DATA0, - input CLOCK_27 -); - -`include "rtl\build_id.v" - -localparam CONF_STR = { - "Pisces;;", - "O2,Rotate Controls,Off,On;", - "O34,Scanlines,Off,25%,50%,75%;", - "T6,Reset;", - "V,v1.20.",`BUILD_DATE -}; - -assign LED = 1; -assign AUDIO_R = AUDIO_L; - -wire clk_24, clk_18, clk_12, clk_6; -wire pll_locked; -pll pll( - .inclk0(CLOCK_27), - .areset(0), - .c0(clk_24), - .c1(clk_18), - .c2(clk_12), - .c3(clk_6) - ); - -wire [31:0] status; -wire [1:0] buttons; -wire [1:0] switches; -wire [7:0] joystick_0; -wire [7:0] joystick_1; -wire scandoublerD; -wire ypbpr; -wire [10:0] ps2_key; -wire [7:0] audio_a, audio_b; -wire [10:0] audio = {1'b0, audio_b, 2'b0} + {3'b0, audio_a}; -wire hs, vs; -wire hb, vb; -wire blankn = ~(hb | vb); -wire [2:0] r,g,b; - -galaxian catacomb( - .W_CLK_18M(clk_18), - .W_CLK_12M(clk_12), - .W_CLK_6M(clk_6), - .I_RESET(status[0] | status[6] | buttons[1]), - .P1_CSJUDLR({btn_coin,btn_one_player,m_fire,m_up,m_down,m_left,m_right}), - .P2_CSJUDLR({1'b0, btn_two_players,m_fire,m_up,m_down,m_left,m_right}), - .W_R(r), - .W_G(g), - .W_B(b), - .W_H_SYNC(hs), - .W_V_SYNC(vs), - .HBLANK(hb), - .VBLANK(vb), - .W_SDAT_A(audio_a), - .W_SDAT_B(audio_b) - ); - -video_mixer video_mixer( - .clk_sys(clk_24), - .ce_pix(clk_6), - .ce_pix_actual(clk_6), - .SPI_SCK(SPI_SCK), - .SPI_SS3(SPI_SS3), - .SPI_DI(SPI_DI), - .R(blankn ? r : "000"), - .G(blankn ? g : "000"), - .B(blankn ? b : "000"), - .HSync(hs), - .VSync(vs), - .VGA_R(VGA_R), - .VGA_G(VGA_G), - .VGA_B(VGA_B), - .VGA_VS(VGA_VS), - .VGA_HS(VGA_HS), - .rotate({1'b1,status[2]}), - .scandoublerD(scandoublerD), - .scanlines(scandoublerD ? 2'b00 : status[4:3]), - .ypbpr(ypbpr), - .ypbpr_full(1), - .line_start(0), - .mono(0) - ); - -mist_io #( - .STRLEN(($size(CONF_STR)>>3))) -mist_io( - .clk_sys (clk_24 ), - .conf_str (CONF_STR ), - .SPI_SCK (SPI_SCK ), - .CONF_DATA0 (CONF_DATA0 ), - .SPI_SS2 (SPI_SS2 ), - .SPI_DO (SPI_DO ), - .SPI_DI (SPI_DI ), - .buttons (buttons ), - .switches (switches ), - .scandoublerD (scandoublerD ), - .ypbpr (ypbpr ), - .ps2_key (ps2_key ), - .joystick_0 (joystick_0 ), - .joystick_1 (joystick_1 ), - .status (status ) - ); - -dac #( - .msbi_g(15)) -dac( - .clk_i(clk_24), - .res_n_i(1), - .dac_i({audio,5'd0}), - .dac_o(AUDIO_L) - ); - -// Rotated Normal -wire m_up = ~status[2] ? btn_left | joystick_0[1] | joystick_1[1] : btn_up | joystick_0[3] | joystick_1[3]; -wire m_down = ~status[2] ? btn_right | joystick_0[0] | joystick_1[0] : btn_down | joystick_0[2] | joystick_1[2]; -wire m_left = ~status[2] ? btn_down | joystick_0[2] | joystick_1[2] : btn_left | joystick_0[1] | joystick_1[1]; -wire m_right = ~status[2] ? btn_up | joystick_0[3] | joystick_1[3] : btn_right | joystick_0[0] | joystick_1[0]; -wire m_fire = btn_fire1 | joystick_0[4] | joystick_1[4]; -wire m_bomb = btn_fire2 | joystick_0[5] | joystick_1[5]; - -reg btn_one_player = 0; -reg btn_two_players = 0; -reg btn_left = 0; -reg btn_right = 0; -reg btn_down = 0; -reg btn_up = 0; -reg btn_fire1 = 0; -reg btn_fire2 = 0; -reg btn_fire3 = 0; -reg btn_coin = 0; -wire pressed = ps2_key[9]; -wire [7:0] code = ps2_key[7:0]; - -always @(posedge clk_24) begin - reg old_state; - old_state <= ps2_key[10]; - if(old_state != ps2_key[10]) begin - case(code) - 'h75: btn_up <= pressed; // up - 'h72: btn_down <= pressed; // down - 'h6B: btn_left <= pressed; // left - 'h74: btn_right <= pressed; // right - 'h76: btn_coin <= pressed; // ESC - 'h05: btn_one_player <= pressed; // F1 - 'h06: btn_two_players <= pressed; // F2 - 'h14: btn_fire3 <= pressed; // ctrl - 'h11: btn_fire2 <= pressed; // alt - 'h29: btn_fire1 <= pressed; // Space - endcase - end -end - -endmodule \ No newline at end of file