From 52a20df22d568157f33c6773cc59dc98ea9d01c6 Mon Sep 17 00:00:00 2001 From: Gehstock Date: Fri, 8 Nov 2019 07:12:07 +0100 Subject: [PATCH] New Core "Solar Fox" on Midway MCR --- .../Midway MCR 1/DrawPoker_MiST/DrawPoker.qsf | 1 + .../DrawPoker_MiST/rtl/cmos_ram.vhd | 356 ++++++++++++++++++ .../Midway MCR 1/DrawPoker_MiST/rtl/kick.vhd | 4 +- .../Midway MCR 1/Kickman_MiST/README.txt | 3 - .../Kickman_MiST/rtl/pll_mist.ppf | 11 - Arcade_MiST/Midway MCR 1/Solar Fox.jpg | Bin 0 -> 34542 bytes .../Midway MCR 1/SolarFox_MiST/README.txt | 3 +- .../{Snapshot => Release}/SOLARFOX.ROM | Bin 28672 -> 40960 bytes .../SolarFox_MiST/Release/SolarFox.rbf | Bin 0 -> 330223 bytes .../SolarFox_MiST/Snapshot/SolarFox.rbf | Bin 323806 -> 0 bytes .../Midway MCR 1/SolarFox_MiST/SolarFox.qsf | 3 +- .../Midway MCR 1/SolarFox_MiST/SolarFox.sdc | 7 +- .../SolarFox_MiST/rtl/cmos_ram.vhd | 356 ++++++++++++++++++ .../Midway MCR 1/SolarFox_MiST/rtl/kick.vhd | 12 +- Arcade_MiST/README.txt | 1 + 15 files changed, 726 insertions(+), 31 deletions(-) create mode 100644 Arcade_MiST/Midway MCR 1/DrawPoker_MiST/rtl/cmos_ram.vhd delete mode 100644 Arcade_MiST/Midway MCR 1/Kickman_MiST/rtl/pll_mist.ppf create mode 100644 Arcade_MiST/Midway MCR 1/Solar Fox.jpg rename Arcade_MiST/Midway MCR 1/SolarFox_MiST/{Snapshot => Release}/SOLARFOX.ROM (69%) create mode 100644 Arcade_MiST/Midway MCR 1/SolarFox_MiST/Release/SolarFox.rbf delete mode 100644 Arcade_MiST/Midway MCR 1/SolarFox_MiST/Snapshot/SolarFox.rbf create mode 100644 Arcade_MiST/Midway MCR 1/SolarFox_MiST/rtl/cmos_ram.vhd diff --git a/Arcade_MiST/Midway MCR 1/DrawPoker_MiST/DrawPoker.qsf b/Arcade_MiST/Midway MCR 1/DrawPoker_MiST/DrawPoker.qsf index abf083d4..4a79aa4a 100644 --- a/Arcade_MiST/Midway MCR 1/DrawPoker_MiST/DrawPoker.qsf +++ b/Arcade_MiST/Midway MCR 1/DrawPoker_MiST/DrawPoker.qsf @@ -203,6 +203,7 @@ set_global_assignment -name VHDL_FILE rtl/T80/T80_MCode.vhd set_global_assignment -name VHDL_FILE rtl/T80/T80_ALU.vhd set_global_assignment -name VHDL_FILE rtl/T80/T80.vhd set_global_assignment -name SYSTEMVERILOG_FILE rtl/sdram.sv +set_global_assignment -name VHDL_FILE rtl/cmos_ram.vhd set_global_assignment -name VHDL_FILE rtl/pll_mist.vhd set_global_assignment -name QIP_FILE ../../../common/mist/mist.qip set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file diff --git a/Arcade_MiST/Midway MCR 1/DrawPoker_MiST/rtl/cmos_ram.vhd b/Arcade_MiST/Midway MCR 1/DrawPoker_MiST/rtl/cmos_ram.vhd new file mode 100644 index 00000000..c5e34893 --- /dev/null +++ b/Arcade_MiST/Midway MCR 1/DrawPoker_MiST/rtl/cmos_ram.vhd @@ -0,0 +1,356 @@ +-- ----------------------------------------------------------------------- +-- +-- Syntiac's generic VHDL support files. +-- +-- ----------------------------------------------------------------------- +-- Copyright 2005-2008 by Peter Wendrich (pwsoft@syntiac.com) +-- http://www.syntiac.com/fpga64.html +-- +-- Modified April 2016 by Dar (darfpga@aol.fr) +-- http://darfpga.blogspot.fr +-- Remove address register when writing +-- +-- ----------------------------------------------------------------------- +-- +-- gen_rwram.vhd +-- +-- ----------------------------------------------------------------------- +-- +-- generic ram. +-- +-- ----------------------------------------------------------------------- + +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +use IEEE.numeric_std.ALL; + +-- ----------------------------------------------------------------------- + +entity cmos_ram is + generic ( + dWidth : integer := 8; + aWidth : integer := 10 + ); + port ( + clk : in std_logic; + we : in std_logic; + addr : in std_logic_vector((aWidth-1) downto 0); + d : in std_logic_vector((dWidth-1) downto 0); + q : out std_logic_vector((dWidth-1) downto 0) + ); +end entity; + +-- ----------------------------------------------------------------------- + +architecture rtl of cmos_ram is + subtype addressRange is integer range 0 to ((2**aWidth)-1); + type ramDef is array(addressRange) of std_logic_vector((dWidth-1) downto 0); + signal ram: ramDef:= ( + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", --000-00F + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", --010-01F + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", --020-02F + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", --030-03F + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", --040-04F + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", --050-05F + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", --060-06F + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", --070-07F + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", --080-08F + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", --090-09F + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", --0A0-0AF + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", --0B0-0BF + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", --0C0-0CF + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", --0D0-0DF + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", --0E0-0EF + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", --0F0-0FF + + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", --100-10F + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", --1F0-1FF + + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", --200-20F + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", --2F0-2FF + + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", --300-30F + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", --3F0-3FF + + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", --400-40F + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", --4F0-4FF + + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", --500-50F + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", --5F0-5FF + + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", --600-60F + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", --6F0-6FF + + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", --700-70F + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF" --7F0-7FF + +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", --800-80F +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", --8F0-8FF +-- +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", --900-90F +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", --9F0-9FF +-- +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", --A00-A0F +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", --AF0-AFF +-- +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", --B00-B0F +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", --BF0-BFF +-- +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", --C00-C0F +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", --CF0-CFF +-- +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", --D00-D0F +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", --DF0-DFF +-- +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", --E00-E0F +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", --EF0-EFF +-- +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", --F00-F0F +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF" --FF0-FFF +); + + signal rAddrReg : std_logic_vector((aWidth-1) downto 0); + signal qReg : std_logic_vector((dWidth-1) downto 0); +begin +-- ----------------------------------------------------------------------- +-- Signals to entity interface +-- ----------------------------------------------------------------------- +-- q <= qReg; + +-- ----------------------------------------------------------------------- +-- Memory write +-- ----------------------------------------------------------------------- + process(clk) + begin + if rising_edge(clk) then + if we = '1' then + ram(to_integer(unsigned(addr))) <= d; + end if; + end if; + end process; + +-- ----------------------------------------------------------------------- +-- Memory read +-- ----------------------------------------------------------------------- +process(clk) + begin + if rising_edge(clk) then +-- qReg <= ram(to_integer(unsigned(rAddrReg))); +-- rAddrReg <= addr; +---- qReg <= ram(to_integer(unsigned(addr))); + q <= ram(to_integer(unsigned(addr))); + end if; + end process; +--q <= ram(to_integer(unsigned(addr))); +end architecture; + diff --git a/Arcade_MiST/Midway MCR 1/DrawPoker_MiST/rtl/kick.vhd b/Arcade_MiST/Midway MCR 1/DrawPoker_MiST/rtl/kick.vhd index 6b77a60f..9219e80b 100644 --- a/Arcade_MiST/Midway MCR 1/DrawPoker_MiST/rtl/kick.vhd +++ b/Arcade_MiST/Midway MCR 1/DrawPoker_MiST/rtl/kick.vhd @@ -706,7 +706,7 @@ cpu_rom_addr <= cpu_addr(14 downto 0); cpu_rom_rd <= '1' when cpu_mreq_n = '0' and cpu_addr(15 downto 12) < X"7" else '0'; -- working RAM 0x7000-0x77FF -wram : entity work.gen_ram +wram : entity work.cmos_ram generic map( dWidth => 8, aWidth => 11) port map( clk => clock_vidn, @@ -714,7 +714,7 @@ port map( addr => cpu_addr(10 downto 0), d => cpu_do, q => wram_do -); +); -- meter ram, 0x8000 - 0x81ff meter_ram : entity work.gen_ram diff --git a/Arcade_MiST/Midway MCR 1/Kickman_MiST/README.txt b/Arcade_MiST/Midway MCR 1/Kickman_MiST/README.txt index 72ce28a1..328e35f2 100644 --- a/Arcade_MiST/Midway MCR 1/Kickman_MiST/README.txt +++ b/Arcade_MiST/Midway MCR 1/Kickman_MiST/README.txt @@ -4,9 +4,6 @@ -- 3 November 2019 -- -- VGA Only --- KICKMAN.ROM file : Main ROM + Sound ROM --- 1200-a-ur.b3 + 1300-b-ur.b4 + 1400-c-ur.b5 + 1500-d-ur.d4 + 1600-e-ur.d5 + 1700-f-ur.d6 + --- 4200-a.a7 + 4300-b.a8 + 4400-c.a9 + 4500-d.a10 --------------------------------------------------------------------------------- -- DE10_lite Top level for Kick (Midway MCR) by Dar (darfpga@aol.fr) (19/10/2019) diff --git a/Arcade_MiST/Midway MCR 1/Kickman_MiST/rtl/pll_mist.ppf b/Arcade_MiST/Midway MCR 1/Kickman_MiST/rtl/pll_mist.ppf deleted file mode 100644 index fc447489..00000000 --- a/Arcade_MiST/Midway MCR 1/Kickman_MiST/rtl/pll_mist.ppf +++ /dev/null @@ -1,11 +0,0 @@ - - - - - - - - - - - diff --git a/Arcade_MiST/Midway MCR 1/Solar Fox.jpg b/Arcade_MiST/Midway MCR 1/Solar Fox.jpg new file mode 100644 index 0000000000000000000000000000000000000000..0a08e8e0d0758956307cfebf57e53a55b75f7949 GIT binary patch literal 34542 zcmeIbbwE_>`Y%382#CNSHKe38(jWp-14By3fJiq;ND3$-9nuUb%@EQJBGNE)w~~UC zbPjj+aqr*n?(aQk-xK@9-N!ZSpP5I1l% z0Z0Kb(J?SF&@nMFFmK$z#KIxK!NJDHA;QDQB_JgtBO@guAt9$?q#>uErz9b{!+wXJ z=`IT^3y6l}9tZP1MrIb~pDuFk#*G^|SU9(FaBee`laMq2&D+%n01)ds;Mym&Yjl9? zz-ws0YgcUmD%4Pa*#O@+bc`FA*U_-9VWa+5A^-p|uA|*RLqofM9TOAl25M5*(au7(X;B_D^H{op~G;|_59tl-P zNes1&vX@aUyO{LGpNWTf)xSAiO#^UI$6g1b0RiFwqy_*W{cqi6t^l%SG-D4a0@-+2 zGz&Vm#Ka7;poP7?Fow)AW*$)@*^JP6n_HJkEle{xZM$2tFoSW|WI^8*U0us70Nc#& zgUHJ}$VA^A!7BhQ+Z7;}r%dJK&4<_xBDe+|!%Qh;8vkxQVtDUdo zvTw0iqHnIs*MYAK7xlPWh`^ z@f!j%%yF0Pj525X{5BVM=aD%JZp}G%KB;jPmVLNWEw^5U$azai5AVtGy`)otg-?fP zCqs~_3D4VnHFoVOi@I{O3Mnd2PF=(8OSm{qqeC^`b{(iv2XJ{52_6cfC)+37Zmps= zbJLx+ox1|WnVDr>lsJ6Y6F+!$W^@H;T)6NrK_>RJi!VxDSI<|=d1Ub|DaOO~kto8hwZYbhX<}516%21b; zh-RZ7?V*juC6au$ID9F`H;)CbtvizjYepAM!)%>wCVo_gLGks0!FKXpw@Kbgv&Jcn zoWku0Q?p~ntkElg!+EDTvhvIb2@@|7FY(=dK(2iu)_J*Vc-pLT>YI4-FdAM(nl5@4fJ`9pR061$e*BH4819YvulAy5~&#ytMWj z`olH|?T2A3p~g*<)|C-LuVVcCW;O0xY+o5AUtQ{cu)Jk^1!!)C#+5a?lpL(^vwjtE z9ufQ$m~kc>6m=MiK7Mao=yfw4XQ!u^P5FGq{Tkp=wOn_Cx!>Snb#v{W_;|Xa_{iPE zwZk3cjaTwlfWS!XW#RdubnTli^VZKoE*}EKGw<(EPnpeqmu_!7> zXI^rWS0M#1JMGV#F4=4jHj9(NmgfCfwv=j88{|w0(GuC%gzhG;SyoKLzIkR_+|=wH z>d5z9EwTELk8im+`=Fs*n_EPwqD0B5h{&YM=2IO{%3FbDKCBtWID+Hu4RZp@JEazu zy&mOo1?@S?uHJ10SvYdxI072Qnj22m$OKhY_qU(4Sy~)TymIIK5w$epG6a3 zOf0Hi-Zb1sCSx$_%jE585#xAUkqfGF#jquD~P9e<(k$&ZLZqF!Hri#-P>lFY=n?>(@Kl(Lt%2&BQ zLh#b+p!Jf+_p$HeE5IjrzLFE~$a6&VzEAqz6@dHOYq1g|!`xaXTlvSF$Py?>$eTAn zM5P-xBIsM6&3fE#ect>!G;!Zs)~?o0Q0wWWR4W-(kF`w*%e4+sugO6Pd|U&0ItzaNZ^6U6g)EZWR8>~Z5*dKOZHQ$Q3(D# z9m&&Bv?XAF1?VX+A3|!^eDa_Wb@1q=dGgG>(#myh86bYz|M+%xCA1C5~GZ7x$_TnSAmX(<9ajKg4OeR98wZuMkOk zvbo15zF{S8@r)232IV?UT=LZ^%NxPZzo5Tt`rIgPcLjjwh*nOUUI9KU6~|nhMp}gU zwgsT)J<5@PJFvvhw72L^3Gyb>+`;rW zT8$*VGqGHs*m)}}xW@VlpqYTAQ}aF|GFSsP<ZlO4 zv)79Vr9ta27%ZrC$k*K2NV46EgUycIu!z#V0;tQ=i{!s~-ZSNk=)^vQhdjj}CGTPA zJ_xiOfjs3Y`iZxp^1&DTCs+`)qKw2p-dV)`ljr|$CW@#jU& z+qQ^i`3jp0cKuGD(?I<<7hSp;Ow9EL;(c4;w=M- zBFwH=L@p0GGA*Iu?OvvcwkNnIe^iq_h6}QRU|+rFr}3p;rlm%H(RqdLt(s$JK2qa- za_pbyKFy*ch+Jc#XQ6E#&T8H{f3tRyNA7*^-4y_O1*mDj->~caX=++q4XE$_6{|H@ zfLqN7L*B2-=&k&UCLxym@5R*$x4l@kzFa_UV=A_ZA`1CCFke*=peYpm+_*e?&i}8W;3;sGN zMJGyCDXXi!tEds>^}%w{XORL6x9~#SQA(;8Z>-!_;pyioSLHN^K`Y%kOBE5H$k{N* zM%j?3xF`;B;!Y*qh{Q>2Y~Z^BsC4;Sm_xG0WK8OS`^pI@YaRJlkiOkp!DH4nIifGQ z%<`#?Q~()6=me*^y))nr5Yu|9>hp5FF-r4qzW2$zlxyQFDT>3vKeV{^mcIfur(5<~ z9p8qVM`A|{i?#+77yPIP(2O<>L-KX}!Vl9pkCkE?HbK)o^R@+QW5=>QJm=9v8@@G{ zOX*htJ#Um4DyC1QPc)xU-(o}3m>~DLE*A1Gni0>9R0=1x*WY0$6DEW<7mjx*(08)_<23Cjz2Et4yvpXg;6}R5F}eLYahJzKIP|@aKEUseF~gfrR_K)a+>!VR zijeL4&QW~_WQ5Rb`NBtw`cf7jEzhYU1}x&Ze7#vTcTy6Lqnyg{sSbNGI(__?SPyX4 z2;XW5infH0m^9d~2n32P(W&jThanf)pi0-_htBJ--6Evg5_^Lmu~OU$7kS-d;W{-r zb~$<{wy~F?5UMx6{2*a`px{KC@CmMwO3o4o*>v|*ixSMx!F&s5~jC28Y?O8J$ zodB%~n+B>${;bRSwKB?5K&vd?Y7E}0>zx~xc%AAJ)-;Q<*B8aVD$0bqyPb<8OORDH4kK12 zL&@S_M-oo=MxT4R6;Cy9(18cFEdmQyBJPC@j%2$PLD+^~PYG-{PK-w8O*&&Zk{OOS zY~Km2xB?WqtB0=*#G;h$Dj)HiqPb!OclyYt@WYm;-!R0du7+v{%Z>JQI?;>bZk-fUADP ze3&B0sB$z6?EiGPg?s7z{4p8e#^^i$Uz~H9_4n!ZAKA79mc6rj-*?ZhLPcn`N1|pc zC*Gt}`A)+Z_Ixi1#c^46YuSn(=*6n4*oc@HJgw<5qs-vRO zM}4blDH(Q|iL#dmt0@B~&kIbf>>c>ADL94%)C3jF`{Fj_%lG5z@)WF2sn@OmLvEgCE4k_L@Bz;3lkYTj8kz7$}-*t5Julk~^)yW#$0oyi3h^nekmCj{u@`92_I10~{X%*crH)>q?<9q2i z#8odBB0r=hXurCP(*RCGuEbAKcjfV3u2!7ORaU6`6o6lVi z6@L9n#2{-Y;eut4@>;WQLo4qZ5LvFqHZgI?q4=fS_LTfg-YKwfQ#qwb4<(mG^^G7Q z&1t^lWA^Ha-&#%9T@xd%{6U%pXG4)GqIs3ZctflBn$bFBYeG|? zF~9{^Oy2Bs`p)1DdOHKQU-A%=d!z0456%gKk*R3_e z^G*+BWs`U?8DX;dsXScov0h39q>S439dKV|+R!dA`eO{)OT$nmy(8N!F*BY135h66 zz5RRb&SdF^aZGeaVI@qOIz2}cz%QQrw1a)0`zD1FAP>qu$t>+QdQqL8i}r214nvl2 zC0(OZJs~Mx@zm$I0+^XSrLH>K^4(7}96LfL@9mN0A$!`F=4`g7eAn0)^0qDo)|Mp{ z3R_}c8)T{YI`!H{nySN)`?{CedQi!=^Ve!6m;%Js;nyyY`S+Wml$OuC&5mF;-U{iP zqM)$uU|;|r?A+l#%Hqzo+14rwwVAcag{j+;wqF56+=8?}JDjtzy*MnXvAF`I>>*2P z4i*xk!1MvVQbj?!n0R;`}nDY1;-MOk+xrE>Z6*@0tF`lKFl zNc;Ta*W6KrzB@0_Qk|sAAPkc-0dFe5?AYE@=X8MPqFgaAi^|^1R+MaC?)27%l)@rEcjkNx z-=-@*+HRY7Xber~DLWw>-6pu??=Zgt6euW_cb(7n!C{v<89GGLu> zN?^0O^M;MFdZ0f&xchqwuH}QK_0||Ao+wu)`0>C+jKE9;RmC)!fDo7}E4$I@h0aZ1 zm=SP~!!T6CRo#C9F61%y*Z^h?6%j_6GjE40z|)Z{z|_DMz^8P7_iGiiV-z)CN;uuA zdemK;)GL4!D*mbBsYf7~YWGan@;Rqs-t-)yek_AV;q|>6unRKI@4(O4AdOr<2e799W>XC!05rr>v{kI2~?4p_fiML_i6!Lm6q%~>yopqRrk zW6kq-PF1rEX|MC>Xo>IV-MSE3VYQjbg_^ms)FtomQ~Q5sU~t~8Frhit+cWbvt8Oec z2|J7=0eTB+Yv*{4HLE&{oxE278Xvy6%_TPQF)}rIbrU1Y#*UF|!gnwEEtl!cv@7__)=hs?7C)B7)BEO_NCdxF22(N_ApbaP~}S@K)z z&3D4_gJx%%Zyhw-B~qlE@GRcD(9^LbGmiwc$#PJNa<&FUIqaVfdD;s4Kh?+IJ*A=0 z+MOWDrxzF#IUhQziMNf1YlgI$b>E-4*yiO%aIndbq@f?Sj7yy%lkF?Fd8W3v8gDJC@d^cKRR3gfE&>?N zN|f80Kkvyf)#%PU`iC`W)o9WS=$fKHH>TcmEBZkc_R{s`$yt_Q${-D;1gXar0Cn<* zFd@2uO}iE6?f|}vA_VB=)0Mkl8WFz1@P$zd{bVc(H#%DmMn$&sAl22T;bQe%sLwIA z4d@Dxl~jE*P_0#b6M4r*Y(5-W!R6pa_!HB*O4|CV9dI~9VfYHKxW_3Ay-8s&xcOzz ze9dBv#GfoTH7&yreeJN>tIO33s``AVTl-AJ51b(_PuFWLF5kA{t<8-4jvL#uFKVQ3 za(>Yqv<3&}Lif2d8XPH2PQqqA^6)?{2}Cf|bZ{RFuOa0Xjk2Np=g8h!qvjlbz9iqj0&sd_@^Q&?A1WT$mMObve7hx55 z>Z-z46$^W;n%+c<;s%{Yh2X{~$YrZHS$vZ}#}3bW^NOir8e>hJjt_$+?mmM%N0kWv zPPF>-ft^AJfn2krD4ilL&Q{Lc1FPF9uDDA5_lx7gGwKo~>CYq8>t@e`A5!m~qYVAt1z>s^IzMwU4r`WqiSBBE%0R~E6hVKe( zk|n1+gR)UbIdl5U9#iKz*BukS5MB8u>aT-8)}Nc-501Zin;)KT{??Kub3Rw&OVp?8 z(M|F95T$+b_r;CVu!LzD<1(EF-1_99Y-PtuZb6}qYAu0)RRgTZZBgYl=WRxSSMQ=1 zRw+$ks*-9-I6DcGjk;Lh!$EbKCPnxVeTyA@()W3E@~W>l)T4@*t1_hBxXcywOfX9> zWvSHI-f_&f$nF-R9!N9mncBZLD-SqMXgdNv5!b$-lqF2A!>%}<>z(xSZEmP1Og&T9 zZ$`ZodCDk=K%Q0aTbmnNp)9@eCeMZgI7+zlN!#pF!IaK41UxuAqx0c( z&Jiuab)A~!WtDCUWUDs#mw2BMQw-EbYw(gOE$3+iqfuk8U)+ zzgrr5?W}He4AeE;Vr*W;yE%FbgAY2|lS=r2WI8qQxSQp@#qn58vO3SXT#*(VsZoNu zCtq;C2qx1Sl~zy3;B0RO@Ujy=yY1cf7T@E!iV+Fgp)iIRllN>0KGdzw^aGF~#vBzyQx)e<_jWU8_FHVdOI1{EjX zZEm{2z$A|xa+2xY0|qz_eOXjgg%gkX}L zK&qiQ2sk^2jfJ%wn<;tiQf}+UPlx9peGRkWEatgO~{f znW=fW_SA4R%t$|apVc$*0_L$_;xDNX%1h7BCe{@ehuD97e7Sw!-R>Q(ZhFkkS+TlW zN6=j-(x4Bs&H_Q4ZBHw7 z{3mY#*wVxrpCGx)PL!)hY82I`=8SsFV7(}q6Axkdrn4Bo3Q->nqp!$<$Lz7X(x!jZ z7gJe8*dx=*dKtRZui_!vlZtkf{viIeL9Y8S;9E9yU9Angqg_dEGibiZObfOQ8Q}Vz z^5Rjdtxx{OJvEQqYW&buUjXQCvd&4&&trkv3!mNaa=-Hr9)_fs>onl@_=d(smGQ)L5 zPu^Fl%aF$?nQDz|fkTxfV0`?2iSrv*Gg(l7di!w0b7P}ejioGs#gPD( z+)`{~R~?&0XO$CIv>^=hS=9eD#Cea@zSE>~rqT9DiPLctJ^c%5XykRpAf8p8dCPB3 zb_t20oF?TiP5o;pgpn3JIJ;G-q!nufy?bI}|Ho&UYDuxv`r5|?g+8gcRVYs&yDK)1 zq4CKk#|ug<9;UR#=3#Gw`q_t$=7f~fAfN%C-?g+){(x(2{=X}03I@s_G_~(B=S#jh z=p>nOgG_fOHly>j$JxK#aX@Fh{rzvs``S6%Tv24#*@Rq56lM+SLi}0q6&>wrTvCfX zLU*Ouzccv5;MN?^$BUHmIWW(JPb2qn~R?ye2B@dZIIiDvz)rbYs~r|XXsYTrwJ9}_)5 z#^U>ls*hgNu19cGZ^u+ca{FMJ{mebU?_;I?J|^G`x{BYNE7te1zWF|;pbtRZ?xF2&e(+>a4N!D_IXSruEz!oq)NLYbF0 zZwXtu_G=hr?Mhh!ST6)%U}rmyvz#zNTvhjWW9fm4+gR8IHTn9JO>uz zj&4)P(qbxERNm~*mA1rb`B|RAel|<0U;rTKyGYWK{mg$vPXJhc-%Zmm+8FpxI}Dji zffK7l1*TIizbnv@;6zyMapapNV7oB0k|0pidweTto-$rZV?#B5c9NT9Na#SLb`f$@ zZ7_kv!*+-~xr}i(^uZS%NE9sLUMS)P;4{Im?d^4DR7E7lca28>i!KR6LHB?EVTMwL zDjAwt8ta7GBrea)?M8LBa>BwQrBGuaR}2da>+0%41Rj`4YD#U8P~?nRj_SS>>fLFN zM`&s7L0#VTyo+H=lVXgDw^P{WYTz{U<@wnjdDNtiC4UIichgIUoV}Y^_xdoi+155V zIZP^l7Sx!iB|`>d;k|>f*Qi=mxrDkDH$~JZM}XeW%KIv}murrK<4@)0vj)OxZW?1b z2*)cHFBpgAdXyLS=}osAUxWeY`(8}iP7j*O9t%!1XOgD-p1nMa*t`6^NU3Cnrg&c( zoBz!t00tL1PQg=#k-Nfp&R#6HW_WlBi=F5>*lh8n7fyRSX&=PX0|jO8CQOJpaGg3?duE2$oOm%%s^HPsTuy3RQAU445|nVuM;)0k|PiZxyTJ0A@)mj{qS3?v+y3Aank`RODsrU!^qpu!?67=LC zJlQJUDg2bucS_~eq7#&?d3$m=z}b_-kUC%#kYOLr^ff)Dt6#oAEFip`ui73|y9i}t z1Vw6sh&Q$9RxOO_czNitH|v<}Ys!!mt{8T3Zne+t1z`K^Vao7^WQgmAz}~VX5kw?A ze%RHTj$YY7EnVxxj6f7`g!mxDf)v?xd+4a&F?hocHgB7DuFT~{n$S1>h} zBK$Ovp!TtV<}0J_9?_X_K0hZXC0KTM`jrKo_>Y$K+WrF{PBc)8U{ zd#U888zW=(itMy!G;TOeS$404JzI%l{%9^k3^kGLW5Eaprs!4%a~oA}_@%DvJ4U7~ z)ttMr5!3khf3~tRZDFVhp-A?xvar9H_5bw8Zoc~`7ymDK#s5_j`adH8&XUlq&ighl zaF;ZiTcn>u=9T99H{{a>tDI5w1N6gZn7~L%_&c>sHFtmhnczYXl;gxAZy`0-7ho`o|#n4le6CxEhacTu(!< zsAPb9pWJ<>&Eh%TaCcR);7W~rSl+I;U0*uhc^1ND|M5YU_JdzXaA@3m8z=P-oO5gB z6Cj^=hkVG2m*-};v*BAewRzL`M7iD?k$vk)T480p8zf~=n^Y5H^SnGZ*F_ZC<=b{x zo%#IQC6BiQXD2Ya&Kh!4~yzsL8+`0Ui~PW^*U75*IsP3ND(%3ne?UgE+ZVeBtq ztReR&NED`i2eglxQ>lC(XohfAPNq{-?xJl_sw*Zs;WZT zPs!*~5O+Yt7aAd7A&1!E@3JJ&AN2({@%h~-eFQ+y{9WBgzh6ft{+a)n=l=V~0sr!= zaV73DbiLv<;XqZRGfjB}m9L82RhZrOeaZY=e!sFg0d5GARpwdtnSaNG>RUf%^S1dU z3A9O6aH;yc#+H4*iGpDNp%9&3%4!|u=d3g7tX`61h_#DIg)d~_ z2Tr+l^1+Zrf(d)3;^pZD_8gW}e62m2Z=!bj=>Y9~j6lkqMeDTfk9HL(eYW!qE?a}Q6OAf%)-B1Ol@ydnxIaZ$Zmctr> z=OWvY+#`Kn!(;mUzXt4Z+ieOLzkKUYnqxJ%8`vsyVq6pB=3F10SIME@@7s0o{-wW3 zC#y|tery`;E!Rcsd8OjL9yQqDp#$v|;P&`*@Ggeu3mwOv$4v0;O{N)vYCO(ky;#q; zB?Bz`xW13yQd8O|1Xz2u;=CU!eic*|A4gKiwvTw;ktXmBw18DvZfB!(YB!w4azO)i zwrG9-WuNN7L=%VR!2f{e5L!QI`TNoGkBmf~{w0JxeB;5%&~EjefPI*0&)gAnk3}*o zVyw7Gi>s@JXw?YNRkE0^t*4T&X!nd9i!Q)cX+4`j)b9m=kw8=ZB@;}z%Q<;U&VDK? zG0r9mWDPd!>XH{ML!68~P!}*I6(~#Tj`L6wEGLxBh@#=)mWUo+Ckk;ZLb4bdaaTGK zn2virY}_yfzbFF!Iv!#C+i^6S4Wm-H^w6j=BL$tat(6-sn?<_C8LxTY<$GOco9E3> zr;0y!n~o{xfb9BS0qt%_$|yuIys6^AcC?7^1Hu~!4Z14&UopTyFlVgBE&$#?j(ka} zUo(PCE|5sHj^>&03Yd?W*RiTW*~8%$&JfTZ1Ub8Tzj0u9BEn3rNSLZeJ`UT9Paw}g zqDM4@64?zeheWM)JM+bx=7;r6pzGhkoag#j)kvkQ$woLb_fnWyA(=l(Lte6ug%y&d zq2IG?0S7tL(+2&G=;MD1)&A4@bN)9oEV2KRq=q2ut%jLaj+)iC4GRU~Ai>0-N@X>< zlqp)n8NQ4aV7;Y$C=2sMuKrR_xI3pHb><2r5&IT2Y}-6%;ZTO0c2k~uxju7CUgf|u4Qq*fnIJQ@RZ-&U2e(?72975gSs)6z zRiG8M_$)PBD~8#iuApd-(U@lg{iYU-nlw`2DRQVF?yMt6Ty$7xL0HHU8_*e5zXE~* z{g3ox1fi&?N8=g^kIML!Tqz)@siSPq~d7N;S3bx)=CBG|9fIWp&v0} z*frAPv**vw#JFCH;P+UktJ_$WMSqRzW^S-%)Sp)sEKuy-7GF@Z70=pL65L>PxIJ9> zs0@$BUaFiyRJ2_z*z!&M5UBj=l+}u0RaaB$v;tU8DZZgr8~Oa~Zb0S|K77=g=#-bP zBcZx~QxF?3kByVPJin`}3Ibg3Lij4H`n*xKI%y5ztj<<@n)7f$Ygi%Qk<c*w9C*1M^4gy&RY{S-sN&-X-ijZh?X`6 zQ>IK?U|g_S(C4XjtQM1CebmRX+7m75Ga3c-hSLo;8`&W0@qT#K|BzQr?~#N7*0k1S zN~g|ssYra~5fm!D!VugSO?+7)PpwLk>z`j99qwt?{~&+DZ^?0kQzJ32%K%h!#3lu! z;>-AGkSs_v^)`i%(L*~%3X&hK>(U40*J@U`A6IbE>G036q<36_TX^Sc=69Qdv)7c0 zq+_P&){a{o?oG*hR7A!dgS^4T(Q1q3AOi;T3O-Pt)Fmtbol&|r9=J1g z$QCu`rx=U#O~TmhoDsdSJ-C7@T!1gyUfNU|@?Zl}zqZE18(k2Yk!2gsksM$z6yMVg zHW>rUco7HH!6t*k5J4ZkI3dZ!o4x^aFQL|o8nuqrQOR(=KzjStVT1bc#yW!6gv#rT zm8nTVK_dwod41oZMcJSyI!`tnNPEO`|cs!D2?pVPRZ z!DOgRHVV)9T{FFZ<<+c5zOn}EdS-9p*w&=*7bW>{+Y(e!bwjL#RgcoLU(w5MTJa1* zL%xo%^0NdpbiM{ogf9hSXP0(^l12@!eYFeR0_g=RQ;&>2Xl0Yx%#k zRO~+<+568*43B+tabHuikK>GK{hWEh4ef5In}euNlf1JKU-mS7_>p@lj=x7kUWFi_ z!I9sAY5dfTMe&Pg%^TE;x36~6C)b-EvJ0(n-PSmEy=|8ay+P_#EHC;r>kNnDirQvJN|mQ0#&&e)$6LUNc`fuiq8u!9ua9a z^YI01|3kx=vl$qY%0{@1udA&;5F_VmC2mY^NF9w6&9Gi|M#|vtaCGqHF-SAAg`SN*?1%im51{~sk-{~l-ikC}jZ_amA02T5lArvo1UI3V}WuYda` zJO8(Xg@5bVo_D@vk_I`09QfSp1bPuN3EWfnAFnxIV1o~-pIe?l38Xv_J{%n=CBSqrj78n@5I`8j3jYwJn6fO~x0x+y z+l7#?>I$o(=UFyoq?lZNZk@)G%yTnYr{A=vF*kV%n|`n>5=Qp6+sY+GMr7QVsH8M; zS{RGRM)d9DXFT)|wH$u4qF4SOETa9P!GFIL`VS5M`_b}mEJq;KZXa4o<*(PMSHTYm z-EDVZ+FpCcsA8#CmvRM&TK7i7>Wt8sU{PgxAW6Q}HrAL7U5U-%&DfZiLf$Q|y8Q{~ z5Ky`l+o+^IH>p%@XG>|YUB!GTVVI`AN``ED_&{im3wQK5fY>_=dIM;~!OEefy_R?y z{=jaL_dU?cbb35_Zzcz zHsfmT*>Ah4X&k*SgbkchCk);Tb6-(%iX)6^qkT841nsVRuPd*9pSpNie9?18RSZz_ z=q8@9Lfe?=od1mZcuV{ISG7nD;?or|qsXtLXszMHyL~F|M%vT-rH&-!!@#2qc+J)I?gGmTl;ktJ}6}32QB~GX!-lD>-Yns zH2>B$0skDI=#N(a`2R+r{R6c40Ra5~)Bf0C+7B83A>%(}{O^_V|I13||8&>}>(|W; z2>&H3bN(&Mf&QACzBl<0Lx)=zR+IEVKiO=Rt~YTrmCLyfiJs*dDaQAlBZ#(fRhOs6 zJA?G#=SuAO`g}hqTWjf9D z=;|UGQr$8507vFfLIAlW%MM|HQIg$Guj4ou(9SlX)`z| zfu5FmzLR%Jn zDDvy2GQY0tiv9gNX8qiRrXFm z%?jAKJL&SP!@*GJCv8yar6IMYUy`h8u5L}Qywrc<=^YBvsYDhHoAd_+=17XohLS)qJQBxO}pU*-&(IVa9QL?k`>%-NS5VmqizPS{x3osm5XVXt?hvkpBJ*fOn=3A2zn8#YO@2dq$TINyqOOBOmcbW9kvo?_%=qm3H| z+we*xxrh&Esoj!fmtsxe{_<|~8rotbV@p#BP$fI=fvVkOU5@ zkCAf{*tTPw$)D+s!ct|}j)p>}@`J*KpUFR8_@34CshXe@=4SQVj}(Z#ZEh1ZYe!)2 zPp!csZEh(~l=FDCigmTnLhI?uM@q@hznuj7(UivVFE*w5k?{I+d9f<1!#L@pCN)gPd2Cy>$;Y6t9pBpghjmQD`mDLC z#0xpc+OkKj`Lg$-W+c{rwsg$3QLj-YL5%-EpQ^vIdik$7?4R)1Zx|JL-*3G)^BPA# zX+leuj<3-kDfu7#A4hJuPllfj^Zra3p?a2tg*yx+z@&JbgO7tmturk|>p^ znvUNRD&;tVd=(yHQ0~3tPU^$q{h6cnAqIx;X)`g6DSwbVl zCFV=^Br>#+!C@;=xvs9B4pGBVy_5n>WRA3R%5{QPq9@%y-tVO z0aZMX&bXd-tlcfJM6Lo$q;SR=^g)c)NvvI@}5sbPp(gk@|YQCx8c}eUgU*!Gt)Cf0f9-eIaI+cbo9KcFhaR7L{|`k z^bX+@=GWh&EKw514>8P@V=9rVd76urrfQ^UcJ9mO(s3M|)vtQYT@lizVARJN!qz|b zr{=8jbtQx&w61p56b5srUgq%)Ed;(9s1Pk@^j|*Ve@2nVDUs(ZV*lYd;Mk}}r9k2q z$&iiSRvZh%{Hhxp&_^1re)v87bCIxoyLGN?foRZ1WNExv<@qL9=nE5{uE4nhWA^Z2 zSWx74wQYeaPHT@LU24Oh%3ojhr4Tq$P!Dq5?L&3u{FbiAa_Am+g0H!IpvOw>*E7TH zl9gA!81LU$X||kG>985L<0X(XJ?kzMl@4P`ES-9G%2mu!IU>i;(Vo8vfso{yn@dYe zoq6GU%RZ2Xv$biEw;?zl%Pa5UMR-@@Q@6}=;N zA$L+7sFpoa5WWO)sE&RHx6kC3rMEIw5HJ-eT866AD>wV7YiTmSM7Z{IUuTd@r}pNA zBEN9iNw?5_m}mO1KJ~=gGH+~A`;(}4>yTx>=S?=-jNyp1-Vi;)y`hBY_@U-<-lolI zXB)=>*+V9>vk3QKHSM5${@_Ht40(Hs@YVvkyCfTGJ^JkLlzQa&1-_ZnF~S89*8L4v z0G`o5-;MhQH{!)-LI#ycu_|y%M{1V!H9wh`jcPB}pXOX^QvAqYpF*;V>g^x4vR7j5 zKi^^MNsf90OIZD%e`(9ZBjKnZ>0s){GyQw3d`)ox)WlAXb4K3^!V|$Y>VC6b_o~ZM z-fM0>N}fbSN~xmyKqUNy))Ca5Jlt4O^b_fFt%<`&8ffUDXiM`6YA@zrCl@@Sqgf177Q z9EevpZ9u&%kH@f^!nzLlQEgvA&;ER;*q58m^aR0#q8_LqoWh6s~nkULx({z0Zc1ev@VMGgw=Z16@3 zaoXJNQ$`OsIucW9M@8Z|iz|2;Dp9RM3#KEI19p!HT1E}}WfTjDZ$zaz4=MKX*cIqP zq6|24q`}hWF=|1v^|w?KvkJI)(?#r+`su**UYp0`>7>spl5&NloKh z4IUXUExbNjkes|EPOd>poI8g{V*zPfE3DKV)cGC{<6clYP`3xW9Io(87lpUwN6Oq8 zHm`)ULSWb+$UpCBHK;b@h6 z)Tn;e!)JBF+P%@x$I>%AD(9gxJ*Unf9hcpP`A@s!ep3FyM8{VESGH-_D**WHXwxnA z{bXtB8`D70E-qwGrt>9_2bia|{9Z0ylq`&iAx~FI*=~_V-pa}`yEX;_XW>29GAzTD z*m0C5e}BKQpc#_I`QS#PQ2Wq!7bxnBHLBAv&&Ho`PK-9W3Z^Aw@Z4V~b0&Y>^WsU=ljmN; z8;>YP5%f@ai@hlsRHxsN^(bFy(_A(qAGHpp_b)76!8d`C`O8Ip9mqC9Sb4GjWK_QG zD8T;?I*CS@8UyKMOT?mnkm)zr>7Pxe19wT2KJYW5Gp*fqsO{>mTwg)?7w^-X++JY~ zGFHzp1wCIb7|%ODHmnn_;t+-=Mo^yF)G858@w&MwpGKPItRPn%CY!GSnJnRhNtwmK z^m$ec+jpjpp!Yj1>s=fLhKGs-wR&HhoikJfgKax>| kHM%km8mQ~B|6L9IO8>VGR)*P3=5c3|$t1(%&Lm`JGI`vDDmqF^(-`nkf@lFTqOvuhwfOqV%f}?J zoqH$KTEFft($a^!wTj&?1=b2ySNdRier%b>S!FBk#?Py!m{iLm4-qABGy6N|&g3C< zwf%N8GyikW|NP(QfBxs(3&va2*ez^W;d!4Ba_Q;J(S_#uZPq<=8Dzm>d|>!+;` zWv>fR5uMjJFM9FTM0X~4sg(Pp z)Y_dHA=>1=yP`5BWvWPt;iqKDJqhyiXJ;H;5Ir|lJ=vbeh;iQ>b>$X|>M`{7zQxfq zxrasdl!N51lpT2nZem~yzy$dTvOD(&X8Zd`7v{V)m-e0A3M+G`X>JY89an&8eK50K z8&=Io+9i+@;3RZC%drq1@xTOAT~S?fVTQFQP?I zL2EPv#Y}4!aq1WI+AwI>=kL2#LV%O$=wi3Uq=S&@M2G*kZkBKn;R9lF5#E_I^&}-K}Hfx>Zm__RbdDM;*(; zi}t4>1SojL{9)!IM|X>*r2S_(B9+p1CmIKxfJy?DeIMB!EZbU>caTy7#MDyCDHE#@ z5A<4BWv23pwjtfu!2-T*a@3j>ed%mAS1Gk13CXDz3P@W7z~L4VkhDmEUu%(h-v#Jt zadW6}=mh+T@h9%jY~8H6TYRneXOR2ZmQwWthTDWOa)@j&W5R|k_A}aTS7puEYtq&a z%w@z}O8@#_qRNR5?B#9P*jcknG8f9`T)~_r9^GbBm|cRoP+a|-=649~B)N0%A!+^Y zTLSA}y`{!|NNT4x#=50SKf0~s#5TVZyJ;URPdU`ri9rNnl#yQ6C`OFN;!TBL7}qK7K(;B zOXwcbH9ck}DLDJ|-DXN0AqT9akVS9MTIRHtx{?Fx@65ThXM{xEd_MX+)!o;l<@&m# z*{v{9-3J|s`!ed&ycF$E!Gzzw>1a)6zWv&pj-HXrqSE{O2S43az-SoL!o)X;6yih z$9VJMKvxp| zz3M9>Dr9&o~^hzw$Fi2~tEW;9CsZ`08ID(Btv$otFr+<^MN99ELKLWVtJkY<@NuLa4TY{Tfe#mOi2fc^s1_0czj z1p1*br|}P1-6M|Z(4N)5(1c5B zA(q!x#}r&t&Q$-5G;)V+vk6&(04%CQ266(inKl7u!|Bm}u)Z(-HZvvzumD8D=EPDJ^KgE!ziTM zW#f-1JymY?iE}lHRUcGnY%D7!qcE_&ZXtD(PIF)%YUebZGQ4Na68mPeEOvC>7L`gx zRVk<1IIdg^4X0JomNdPbun z%{hkATg!;Gln#C@B!i~TL(4ne0Q-3MT2Z6XGJ+<33AT!oc~kaap$~N zN<>x3HJ0vst;C$>-3!>|HWw-}0r{UYm-)=4We+FKX`a3RQ4$kL$b8UkKA@zq9xKc) z*<9$bk+S)KgCRT3Wz<|M#N+0J)OWUS5*yn}it1y?9m`h9T{asbnK*G!Yq&&nW zI?YwMwlQArQIc^NTp1@di<04&*bFn?(piclJo0jTwUPCA#m%k~b784{B2SqTBwaD4 z!@S=K!#|Y{gu%Wngsj-f-XlnFZa>!k`~QW@U)G*ybw)=mMQyPL1{(-=2d_Nl;Ug$p zy#CABcj~m(m6`qXhA#W#;nL6cMDR$}!BrjpZ-bW%pl&CG6}*xeS$Ad$O^wVvmOw#%}lHU|PHy zedt7JL;jgYC`$}#I1H!p;fTxd7+#~qC^e3g*~YELy~Y~jZ;fk=n~bGK(s;yZ?>*If zt+B|s&-iOYFhtIE%yJtZy};%pKQf*%b{Q`l1IFJQTZ~^DFB+yXqxZw!CycGeZsVXK z88T;k^Q=-(=7X}6hL{ed>(edi_l&$zmX4(p>6yL5z3&@RdQ!R}U1s==piv1ERinzN zHYOW2;Oa=0hP$(yynl>Lr;UrJqUfO23>A7?U{Hn`grXt_YN!NDI9cy>-3Q zdXJ_@(!Snk@1?z0_llX5X|XqOb#Hwy9ue4I-fl)=alC?1(dmoK(DPJ~TxC&0ZX#Pi z-AOl7;;+5z8oVG`tCJ^!8-vdTe;J%SWy+M6Dc4LHm2-g(RXGw5EbNb7Yd4L&A%)Q- z8|lUq5J)af@a9SzS!*}ml2X=TjSiZtY^=Y$0v%eQx!Oiv!yAIT3;u|$i3;}I7wl%1 z-E`QEZ9lD!O|FSV=|whDvzs{oqqFC*A)Rv_pibz;_T-%FIrg*skJt@uvgg|DM&b=A z$ZoKaSJ=&G?4}NXkWKB;%$cmEQ|*yxH<$3H0CYNU{tXLm?4;A|$(t75dMns{IaTVR0j&5bLug*D%2D@rp^v61bi^`ozOQzn?n0SFNEF>iJhuiAKMZ8$JpDkeC%YbvG%IkX|;=M zAE^Cm?fTkhYM-k8ZSAqz*K1?(YvPy2Q}Lh1zZ-uk{x)8Gor()}W;{^WSQo8pt(#Xj z8*n*bn#0@c9!3APx)18!sjH~JxIR{YJw8RKUtfP${i6DZ3!fj>f4BZ;h0nj${}R2! zfS+$TRev3RYJ=49`G$oJ*8#>Gi!k2U(m1v8+QxZ}*EcR~{8HnsjbCm|HLh&@apU&J zZH?K+HyZ!5(XCZ$le9V7wV?Z=meg+3c4%*EFKUzYrk197)16HWwTeTdY5eDVO>3Jr zHN61X*VGUAUeh^1e{-aHZPVq=R{<_+zNh)l=0(j9aHwu_oY|G%m5+?<0v7m@u}@@R*GOc5 zkVonxLI_X$aZpJRua!r}*t&umZ_XGG_W=|peh>xoAa z>k=ChPuygGnBrXCh5DwDeAX#bN`;RIZ`~ge$%S#02=tuYUCO&m6?mvCuauAl0?lW0 z!)`*dxhAg_Bmz-Z5M>=vmJnqFQRWk68_|`SMA^+q7~5wQ-#E5hPKh#By^;ud{j8)@ zqCd}}-JdQn%=!2?=!Nma7s4~reQbi{zE%3aS#gM4@tDjQ?shY1_g~~z*!|mGWBAhy z|A|udMJjVn=Ry{7X!mXB&d1x%osYMjJ0Jfs--zN9u$;MlSQY}PQ$@+*K44Hn2 zA7+5~fr=vP!&l7Pi$n8@mtYOYF&B7ugO|)_#S(lkF+Pg5rHoJY@JWi&YZG9V<-Fn{ zT0xLb6a{7!BzZ!F;XEH5Sl>TaY5qw1>&!8 zVv$m~1L6mY#Ck!rpX8+o9IWO^i|8~rkD2uZm=OrdIDZPxLb~EC|8L~9@BE0o5+Z_+ z3Xj8=2Y6HtGcJu1S+ZO5pyaVCx_<|! z9Cd%$D5cK!9hMeZL9Q=4!q)Ad!bA{7;dovY%5a`OMaKGzZClEG`DTKk6*9thfrm|y zBqpLCN|8de4hca|u;9JJ^!l)%$RrGh!z|%3F2kzR$s||l<2w-clnN4A(aZ`6*1{Go z2Q?L~jY86(K zCB=4Z@_wlr$~7|f4J@EF7Eu<^fJNF4kv5Ce93qNE0_bmMn3~N*IYa{J zuO)5Ol+9Mt!Yq)*FM;qMRAa^4NE@UFbfxW2d;;EpC!hq}0cXGwkON|X+TP+kP)H}= zR>j(WZZfQObrRRT#HAD0X5xY+)>z+#J}lot?J0Zc+Cl>;T7MbaU&z%_v~n%8647z# zFqscvvI_xQK%Hxeb0={Q5N9=Ytf7v5G%#IoozHn5<9Im*cR!g6^=F)Vn{8aJ+dLu8 zCu^{wlul*-|J_gj1YsS@4CVjxr;ihE`;9%~Cc~q5htVw&$8CdX!&J72JV4|_MBYiX z%|zCTypqWG5_vJvI*Gi1%4?{+h06Qrry+L)B~|$}1#*{@;Ao0Iad5nXkO>l=n{FwICQCSn0oTf zic%^?isucJWS@2ZTI_nsiQ`W8g*bP-7ObKh0xTb%MvF%nZrxN6$n^0d*i}XK+yD`{ zz?YWVSEa66oQRa3hH8HPv+FuLZ*4}K9!y0b90gtpmixMs0Cq(Y@gGru?JV>c~!y4$+XGLL;ue%~sK^GKD#G2ALk zYzHRCF}#}MfGqg#mkGOzoNo{lYKQSFOpt@-9h8oPdSSpUUCAk2+}p3k;ks8UoOxpY zVmToPkA{yTP%=4c2MUXhMG7-0gu^V(&&P>yfWIKXU{9Q4z}~-L@b9n~As>q#zi~C> z^}15Ea`&;pVtIo2~5ttn$$c9@zdmCO{6Y6)p{A9j9ofzm-&b> zW-Q}*8rt%jfLHd&%R)2-T$3)~(eFS?RumqVeqXP%?8Z|uUo6$bXW7$|O~Iat^FdrM z$?l>;+tgq%_YbH#K7(m*Y#`K26DMfG$2@ImK%UV%ee_P;xjTKfIk>fX$yq`AOc{dA z$~m#0uJtBwq!AWXpOva_6su2()h`OHqYJDvOj&0OWu2vzb!PC6MOfz$sYd5H!a7g# zj?O#xl4^8rCDrK6=bah6^LcQQ>W(6EF(c5clcf4W6AXWhi{8maKS`?Ba=E}mE|{SJ z(jg8)KM#NG$M-UL>K-ejEIzXvv7!PM@n6S^PqWA{OyH}tjW#+e?m8^o#CY3zyp9Pf z#ZwfXQ0)hrry8l?7U^(apm-lxWM}zNcyzHD4>R{ zLaAo31oe(mua`lOhe5^8l)S49xsQrBp8HzH4Go`80DJJm`_~`}CyLr=6=8c1!Q^Fg zT#h}=WD5!`;N@(+hQn+Ub@IWFH6C0G0lw5zw}AG+dOU?mFxOZamw7^JC3;23;!DB;o@O^{2-=L&qhsY>)i1@N;8x~33xD;uB~v}@`=Oh`yEzT9jEK(JG=e|iC}p2 delta 8 PcmZoTz|`=7aX|q95eEab diff --git a/Arcade_MiST/Midway MCR 1/SolarFox_MiST/Release/SolarFox.rbf b/Arcade_MiST/Midway MCR 1/SolarFox_MiST/Release/SolarFox.rbf new file mode 100644 index 0000000000000000000000000000000000000000..fadf4de65e6b8da56ec63801a44c489f754e3396 GIT binary patch literal 330223 zcmeFa4U{BTb>|t8S%?$}t1`N#Hb}Hm#H*erI)$aK>{*dhK7+EVTc&{as9P9TJ7$+w z<23?~EfB}pW3R1<%xsi}N_0znX>34Q)dJdU58V%vm`}EiWT8^R zkt|e3c@i!2l>E+#Pt~iaraYE+*S8mZ!PK~Z7Ns2DC0@zq9E#cuD1x_x(x<3i_x~+L zdVHqx(-hs)@v@?n^yoNC@hMe`j-#bc(e>V$1K) zmUIZ7=or;M$w2pY9H#^n@vEY`vg9@Sr|8gGc@*C|*HQHQbV*+2SXMhddxWC; zA?59qyD49ys9r_5^0O14s{31tj;by3>$sZ|6{V!5JgQpfzeic5#1zq{XFf|Q>vd1p ze_a%vm!+&b-Err-@=i)F@mwFu^O7FPUPbk)6a5-<<=7G(x)yF7Rdjx3QSzF+?r$if zNw?OKu`{GdA4~i?K16vrMR-T+MYD?PRfI!wmX4^Xk8~|M%CVwz)s2=?KIJ{}R?>9^ zWt67%w27XaiWBntRmxvdM8jkNREuILiO}^Ye;Q z){BQ%QO>96)_Wk z-5=G}Q5tlvelPLsSmG<|ZlnljS+9N*%#scrb^W7CNke%o$4YrD@tx^d;w$fqcJW-+ zOCF*@b!GWcH0geczdSydQjP^3H4m3Kb(Bu2lyz^UjOw8DPS^iH(eWD;o$ILU|4J$A zRR48~^h@x*Pf?pUQ(jXP!I#dK_;eJlC5^h)ygy2l?&-QLviqe?QJwTrb;70k-=~PK zLlo7ks7|=cvW2pBr09B-4&l6&qVcD570pMI$C=7KlzkLwtJ(-}S*Q9tDS|0c$R|+| z2^-dx5wh%_XiyOi6BN;TALW*!$am8`To&Cc&vpF!qO7kg`$YYtGBr|0X(-P{ze;&6 zI;8(b93Rrzay;mGV$`1gOv#T2DboG2h^7%TPJ60;RS_L(Ald~-b)rwQAH}$yCh6(u z{qnq|LH1Qea+N-+-*fAkf6CTr4%|eMAFo=~$u_@|GFcSK<1G}8OV$4@MYQOs@(PNM zC4Hhx{$ojp?yhu&T@hhC7Oa4&lKbQW|RTTNp@1iKSkIT2v%=l~H-g|4^U2pQ8TJwTkNG1F60|s!lqgqv}h& z5*=mH7|?vFqxxR-lys<0*OHft&dZ|fgrcKpls_e0y01Fnl#lZUitfvg`YDR)7OXSMfqJyStlAK zAJt3eR78))!wV^+{+VbL9kOpamNr9uG)jl+g}&iJ(^|BxG zEp*fzKSPn8l=HpDsm?`{>OVx0FI37xx}>r2QHu0T{a%g@9aS_=^0O14(k0oT@}A&` zhO(&tgs&`;M|rNJ`tA9YlCH8&F`9~a*YSN6>6-LQb+Q+#SJAoZ%Az{;i;CzGkMf^o zL&~B)Q7Ny_r6?zo*KXLL{(Ln>bt>v}UCU<4k5x z^!d{gcv=EaOW?6eKsHWx^`!QxT(Hhsj`5^>r(Sf{jBb8!<x&*!yT{b%zdM(YiHg%Sdg=)aiOZx}o402b4s}CnmFb8c zZKQg9%%f`7OCmql#f@`ntdH(|TJh3a996u|_DWP)`Sthf*2!hN$8CU|v4m-CD0N8v zDE1p86s&yd_f;!7X zGu9w=%XM%2>`%_kH7kJMc;R-hA(*c~21|qaxwdQgX>z3-^62)=+bx9!Qt@Ns7T%7<58@rLsBl;ipV;PNq@w>yAo)q(y)lcYn%0ztTL3$>7N6f*>x2`{liqm(xHF*pp z>^HuC60nhr*%Nv-QhVy@C}{^apL$=0`E<1>)upFswddXWS5LePPJwsLd(J)yVR6wt zUF9itOeiOnmAxN2>H0Jm#S~WDnLSO5wW`bi;heSeCwp>=sy$(VNbvCI*2`?YAiQz^ z1cK6UIZ>mt6FPYRAD(;ittW9MJ|A1({b(c||Kj)mIQ9UPpU$NHXogPT;;B=Or=GCr zM_Y)~Gj&Ss#65rXczuLZV60UjvL9c{9)nd~W8{aQ)c^5qG>CjW>UfSYW#im_Z2z{} zj=Wcb)c5LcBJnzI3}<^w|4859J?;m*JHPRi^|SX>tMgOW(J%b%A1?04bN9#G0H7Hj zia~gbpH--ZqSz->x$=jPNJ6-~9dyx?Dtd(`KH17UfA?HX8vi{&Z#Xyj$!*Wu*o;5l z`sl24`keYy|C;2Zv+<|)UYC4uGI-U)d)@2f&tLnAPcH|w{ka!3ADDgkt$JqN(VgGF zru}34xT}bEx8vcr&b`##1&6n7%rEYElL=RS_vFj{w?FI211TV2DV{o8dGDbSkH-*1 zDCrX{zyIq`?76!G=sQ2Un2@a(`{`os)&7Lwp`%I2`-8=N%CuBB_%=I5Xwhs8j z%JDy2PwW%F{xKhDPtw^w?c+~-;7@zt-c#;@pWa@E(m?NYzSij-KN5=dtWv-3y}lRK ze)Oo0MxD{qH}Ck~HP@HRXX~0oz9@^!ik!I$7R`r0*cOy zXVZ#{DgxjPN~(oRCa4OWmtai@Ng;}ruePg z7)i>DjUE+(A9aO}Uza2ta)b~5^$B+qIzzDz)Y#y9>@l@qf@R=FMWphOa{%o+!{NXI z6Gkp9t>F+7Jntm3hgNpI)?yL?P%I9T3^50SCIO%ksUH+9fZwRp4IYmiM0b3+f*U8g zQ&$0Y+KTNKfXBQ`KMxaS!~+0N3)=!}z$>}NHMtT{m6CfX;0Ip;KI|TnLEn66=LqC$ zHa=VJiz3jHM_P$8cmPxv*1d+`f-NS?mqgWbGk)CJ21#10To>9JFDfx zMi)i_^@(KK43Qy`p%4;)n$gPFu|PEMo;FVJ)uz$T@LJf{_*US zXpOL$X3GpRFjFcd48VvI#=Q+CXx8|(q2(%EK`DC7ZAV>%T-d5_UBIT9_my%p%2H&J zn&FJiDr1)e>|D-(qzN!6#)dJxfYk*pP!UMVKy%VS?r~Fs!^Y;9fPQ0g_P{U{AL&xv z3)xo_`@=eO3=@Gi$_&)Pk?vM-R3Ub<2Adj z{;|1(pzj*a;S_Bkr{3`)p=`A5w$(1Wjh#X&7cUq~cCHWj9@aynA<$-~VIBEejkOaO zB|`?FWY1Vs-x~Wgk)X?_5l^Y?x0f#LR38G1B^JMiEA{D4epRpA1*0(I2_Ao_kSWQC zhz;L~wmE%z+`I1iV<%bFVVyo@?^M2FOnjPt=3BM^E~1Ujp+3Iicw?Jya=SB<@H*ulFW36^}lW z`a5cYClt(^3|GSt?w`XNm1`*MZc7~Xbi@8VGC(^LJ8BWUmAp*JE^HnH6>BP(1b)4ypH@1V4AAC{Jhr1I9#1BDjj)NY z{8gmI4O_!_-bSZYkAKzDYQXa*TCaNe`aQ3YkM4bSvOF35(K2+w)?LOirb=`MA(jXB29HIHkmymzj7NEdnCzLKA!hBXsdv!&Di5fG+4Egf`QHFZOwAU^*#5mTlx{9nxm`UMhM1blRrou zMX;EkHN5!@0nGTIK1IG<*g2Ouk26`BT8X17g zOFLbP1xE6)07o;lZfg?fxFwd+a^A5_UZE)FPx1($7fA#zjJke1iE3%L2QOy(+L7-X zU4IydEjJ8sEON<+uz~?V?hz7x>{BLEeFV~D-+8vVuLUZE0>)uXxDX^!H_oa_8oTb4 z&xOxJ!#K^Ry75${9kg81#-f;T8kGmoH@|MCQyKtteWu{cvI@;9`6t98;Jhc0VYG}GI%5cf=BNixI?v?j<`5nI zDu+sy(v?GBM_bdF>%64KAW#gUbS30wD#Nu^oriF#r(aE$AQuI8P-TAN%wj!%#(SG4C;dhMPQijh>3n=u`kI5_p%C0< z;3O##`A`A88uLu*TLU`PL}a8Ch$Q!P&cE(&o^7xd_fzy7Pa?bsMQ|cl>~jrJ2^run z^4m1bt&g737%K4SX`ZAifAI2~Sm`2%09@YOw7Z7EPV*kKw!q{;AKHaVN}!=2K>9V{ z23dBS2l%Bfs@(d2oU4hO!Za?86!3sPFraE?MS(lx&SmoU(Q)U8=p^a`9%SVhf`$cL z>NuX^Qh5>y>7LJ_JK#uBM-GNfBCDo(1|xp}NjVAL3m9Y^5F%QVR;;-JR2?@AybvHx zw|edSOkvEcSC28K6cB}IxMaqFPt=bJ_pnh67r$vdLQT=P&Z}WhV8)2z-f}z)0va)c_A0Iw2JL8S3M5Oh*=`|1+L|Qc zKh=Y>vJeG1Mp5_p{pU2!9uP5NF7rr|$iU(c#(YdjwjscyUak+PTqxICxRwFSq|(xz z1ND?9xWB3KA=vd}bstD`ZsQ&9EN|u`@ANOaZRS9oc1!hW zc?xz=;>HGlQW2{{%guc~N`!har%iBXmW@9PQkUJvxhAjxswnmUELtg2ee^r$dIO_R zK6MJGNT5g42tKlb2CYzy~snQt1igrY(C`wwGh!*$3HIXgUV@-WY7yvWWz`Z&e zv8v0B>8eSF5Vj7hLzrR&5DapGSmDw{Fm%SZ@Jq_8*S-{Nvg>q?_(;{I>f|6ox$!X~ zaZ0V!79b&n7(XIc%rG_;OXaSkwNkBk6@$o9&0)`Z_kMEB*pu-EY0ft1u0;xkN6Rn!Iz)7gL2L=@$gSFKQKah$lBozjor5O18=M>i->64t2 zzbpB-1Ee+4U~+Zdg>%pt?ijePrgL0Hlkale$)+lf9e;o$s5Oz%+O?5ghk%#!&6~vS zj>NhWfZlJ~QVR^x0J^mgk%(6eB0sB!L~*E~TN9m+f)~vZ0r1kwTBLrWJ&zZA4%6eG zdCt>Y`_8rGrDW=97SElZAu(jaxXjd3h}HCv57psNs1MN4qA$=-8qo}Qk*>4{H25)f zdV-3pgDbhqQ3LbE08@EMZX6td113tr4S1~cz zkYI!p<+VeR9ASSkBtnJ$YB)&>dcsT-_w)lb2okYz=QloMoiNN10Zj`;JZ|C^L`DEJ zv#eK=FzJ9oQl=*`6yiiZAgD4B@TH?S%Wb@g3Is&m)w#EuD*{Zu-;e&o3UZWG+*B{F zh$65eM9|4z@)W0dZBbY9tq3RRaCSIYBU-4y1p)>??V~T;a&Cm#$n}Jq%vZ*ZhwEZ~ zD0&q%m=_5zyBUVN=fq=^u4D#~lu|@yMsgm8aA@Qr3A;%TB9NCz{r1I7R79mnosUS7 zG7>}NVM1-yfn;S(>V5C1d2P5tiV>DkzP5~_bP*3Jh^COvGQI9c|~mjYI)c zPnT$#IY*MC<4_EKlt4nd^cuoMJD^0)HzX0HFMd}O5yYl?9>LOo>LaErU$n}lMMz=> z7KSmMiBOQHM3+iHijSSxLzEzuKy}MzJ0wcY%4`2b$Z5_EA@Q)R0+U2l6ozh)ug~JJ zfT>9mO(9_qX=nzqB6UMf)&L%gc6PYnTji@lIi+fEU|@r@2pwmdRo|;qctP6O1)8jj zKnk`!P(COT3C5%U=~+-_4~aGn6D~}PBq)I}S;2zl#sudhisMSthZRPw$(nJH3*V?Q z$~aT4YyxH9pZH-HRxP^=JP=`j#Ck4L1g^dl6;!3tNLrXcsVeB!A)=3IBA~j2xWpaX zOyZ~LLLf-atY7((Kk)!P?I%bE4M)YBKA9e0P~d-PTqh|rDUb0ds8Fp@4`eG=P3Nt? z?h_KJh>N5VDJ-Y}bQmCFZe;l*k`K?zyg9W%9XXQ*h+bnrb|&u*D%36aez>NlV1N-A z&;a3*0NHM*GL?EdXFMeKRF=w|BfE3bhlC^4k%KSi9s1{IX@~(C2y)JKh#2`@$n=QR zqzFO6Xm>`b8akSorBqE`;6U}jl@<#y1=UI`xBf5kzH;IXi|%kc=TI6iWu_ZAjdB7k zOQ2=~AxMBpy9H3y(w^$eXU^7zq@qtC2@LlT2#^R1E5N22dXf$smShdZyv)_DuR9lP&!vMj!=rt2=nntz#) zyH!VwqUxo%-ORdJUyO0kc1bgXO4JE)x->t^5Tc;6isBrpM|t>+?qe5^AAe@!Y=tes zAhZyOut>3{Vl(BKZ;PN2ax)d^4t))v8 zsVMdk%Y5pZxJqY=sqAm{+TSLg5Z-}4>uz#s+G`vQ<_-sY32KUETSBEgCR7wPv$VCo z8`6mpUl6l2`(_KPU-n#uy?Go)Tty!@mK0|zmUSEFlFeg7muPJk#ApL#oLIk&DtYwm zmBDi!ltJl(&u4l-A|B>SAsS1L#R4``OP7^Tm?7l=lUv()RJ)aGP$*O&`ilaq|ofq*#AI40YT^jg!kCC322~7WWgkU31B|$^JA}O>%4O+?^NR=d6Bv;5#a#Tl%3{W!yxKKpRKv=!= zBT*?^vtH0mA2WjaY)oO)(^z=`Vs&JTS=402)5;hjBTkjSFhNM9FsG=%)`aasohu2* zyd~G|dS=0=Ixn}B$O|hqMUaeU4O?gqc?oqVLPa;656hPQKySpJim?I6Tx)0s4k$sk z^rtzfs|WtQu_cA=$nW#W8d+3J&uKUg;jy|dB8DhDOTzTjC%U#Z*pvX%xB>+R$8|E0 zt*s}U`B*(4+lgADoMG5mikZJzaYj!X@zH)teNVhb^j+*vBrVo={LOv%9oAVFreASn zU9T`EJl^uW!)~JlNC>VTR4;X_bQyereDhg!pjM6Hm0RiRHx?ukgn1Heu!zJ{pT3xA z^MvvN`Ledet^{9Ia-mKB`k%TdhhyvDs?XPxRq1u!G*NvzkUp1%ML9c2kTI!?j4MJB zM63Bp?)gYzBIBAH)`}bSiLUwN2^}Ns07shOe7fXF{OVt1;egtwq`dl_XAR^|rL`88 zGiEIbT2V+1qBN_tAfbvCV}|YFqeaZKQIAolJ*b+CHM0{)@d3TWFB)!WlOk!cfqtbT zH23{o{nCs1=9yIT&;a0s17?IF*(FYviDVQ~jnEYNS+dP>U#Z3@mdTY=9D@_V7z&{Q z1;6r3)5Tkr(R}vm$O7BXAz#2?S1XSmk*K1ls1(K|YEss1`qR zbWBdvN^<7LbMogGL}+LPP+_sS0*2^Ph$qYAGkpM_t|4@$^RtX-RXv5x1U=NzbBWhR z6+mQ&Q%omZN`xR5E8K+_4w4_m<}hba@|XhYjo_W9a8LV=Jzt zHS1j9YJN^Jy~J?V7Y_Qx12fMYR7idjJPh%~AHYwl?tyBMNRNb%Myg{}%TLW6ep|B@)#q6*?k+&Q>|? zmK;9a4J(#f?qo9>BC_ogr&=#vvJCwl4FuWzTx+uhwByazn zbc9ZIRsIBxag)?3f*zUi(aqvPOC9RkN(tFS#FxV@_H(PLxJyI}fKoHK%^KzaY_OCe z3o3A-DVNZwfiSGWGg0XIT;iw`&D_fC{~#yQ?vz7@M?r!31k<#)0HYfMZgADXCrZJM zDpuyc7S+^mnie%u@^c+Eeg%LO0Op_Nk{FOgei#!zB{OVLmkl7&uAF#v;RtZaZPV?) zeXf_Hb_HOpzn-L_3N|U$pfhd^T~4U(!WPeSCX-;TJy{mfq(5(E=_64MW!CUw(#U8o z^;S^s6tZG^M3oYLRjIyBrBOB*(-&b{3s}-sbTFhp&M}pjS4q0=Wb7M=p$z{ zpFkGcX9e|;jkZn>qAJrEI#^X=1)RL9fm@uqsi_K<51M4?#cjkq%%vd&k@}456dgg% z4xc`ysE zWr6_Ef?eT-^TtXPrdE&^&{F>Jgjd!dq)y$4kBU=hEo`&selMJ z@MiYJbMC^c5OH0R=}Z;yL+4o&E&sCnxNB>~A0!;u(myu$ECr4%BHGIDIQPAca}MvA zz?S8o6G8RYFBCQETJdh26S8PJ)Gx84!^IiKEnVvzUtCLqMu2fCP6_WkT_}#VkjB)< zkcbGoXIe!YNBiTyQNzaqCt(~z86jCLN0q~g=xPC($O%QZUvdk;)yylAT6dy|w6Hm4 z4a?ez`7Yv~#$a=o|2Mx8*EIA}v(2!I9|A_evu!JBvf^H0)xxd`M-)z4pezw85g}zU z9+)h^nhy@~MX`yWqs?N;zJK`~o|F=SDpw|OF(8GR52F!AnD{M};?vCl9GEC2LRH{t z^3=GL$jUNw`8-KGe$(TZ<|CR1B|iXKr$y>HXf)rF4^l7&AXj9aavjm5aHKn`&(SlM z3)aZ9Z~U||Ac5o-D_0K7XlRgwk-ZU$ZHHV~PvT&L*NKm4c`HaN`L%)PPEo|B5W@|> z$-dVqFPK7gCxZ@!j?vM+jYLQ%z)tBXR$ixVC&K327zwOiN;aZg15xY0tlRQnv8<_J zzNkO(QukdE`zGyW80R(e+u- zEfz=UJPS3`isDduMf;lJNXm~~I~IjrIH%6iGOKt!{Ab19<%kHEYsK0*v7<~Fu?h8B ztftjaeVDgD3onpB+fkeMOJ2=VL5^HtR=@Q6r*|)F_c98rA(7i~lbC&=Z6gKkL{$;9 z{v>XhcM7p43Q932S|^m1>o(>mr}?z`{517vtXjGKpHs`46$2|OVzA~C>tR)5BW9DB zq_~Cyt7(qxpsAOLgv{nr%xBBySDb^&c{aBj?J`AZA#*&_9nDw%uzaV|tbwLAIi&4| zrdz~A-orN+AVqJDUOgow7$uuSj69*f@GzC#q+lNMwOU1!WS;OA z^5H0-9o8_j^atZjt2S~7&7R?o5F>I!N){C+HA(43u_wRd^3`YJ*eDt*z_9$BW^qr# zs*;nhu(i1v(|-|WNs|MvAV3qPCR`8d5hH8qf=}OQU4x~gE&)A`BK)GZERn*RaKRgf zn7+h^yymrI;?3~#C|Jk^K;se-4?FC-RUGD&{00*R};b!Ly0nPlZ{KL82vHN$bnVzV@aQwlnji-Y@UpqklJqT7lR1E4dM zPBn0&9_qso9C<%=p9c0?aVg<3E)c><UjA{#kVQ!i8PJ7Bg$jOomO?*r6l-Zk%g;Kg77S1=mr>2EM3qAil-p{p zLc*b!^Cn1H?lD!7A#{-q`hXsU9mB2px+TOYvMWI5M;@wpum0tlRp#Wxd6>*Np^{z! zC_+i;G7N)7Nn#1w^HE3>xWX7vh112kqN^Obkfp0%Z@Z6!ODmKT0_Ko!J63zx*%Fm zJRU}aG+F6}Mz`=tVyvO$wI*_CVbh3t*#-gd*o2F(`3V}v;szw9@3O%GIULrW0GnX5 zy4itPRx)0~mSQ}aSY@cN=@FT{VT?5Z1ettMd$B+?T8cxvB&c(#`ZtLiFnV)^o3(*h%YFVQfopHtO* z5tdhE5Qml=wZz4|gaXXa0QAdsb~@v`!?qUY^yIR6@QPr`s3HLZS_xB{wTzji;XQ9T zm#IS$GI8s1zN}(cKVQqQx7EGF@AP*h%)eW0g;imvH*;XCEvgbM9*^UVH}A1q#XGM= za?9G2tT-;;;ym*}9J70Q%xm7k9_N0(aA{#~zWUm#A0cF$vA1Vg$MpmVs&If5319j} z#BOV53ZpgB6@4kRC0?KA!>8+pTP?yLy0*3}vx)|l`NF0J=|BS%n}`W#74><^Om)b% z)yTqm=3-o(8E~<9O3yO_6drmjGdK_y5~ae-Z#;i!WxMx8ub&7+Nj{oR;&MFY9kwu+ogW73( z_KXI|a2m1Yi|(){8PM6X8WNes?N}9tD%_Duz!nUrxFz-M@|-3^1Of28EtY5XyXQ-u zMwR{B1nkCB+WD&YMH};LE<4B`jL>KsLIG&?P*^su=3(SF&LY%c>WorK`97sxVQb zWz>jQRy~X3gcBCTljCYe85l^^wbJPm+w|b=!W16%C2ACRC}9%r-^R zY%5HzcB{O$=dcC8^2!r6=7Eh~=I2tAA6n3F^(JNIbw5oym%fwEOFM`|WTq5z>&m6v zOnY$^m@dFD;ZiWfpd(jqrfWClGbJhb)lM!=Op*T|11JhAeQh~h8$AHAGHc4%K3AqQ5BF_)KhjZNN zO5MBj-xP$KVMl=4W-DB~aq6)aQ9ZCu&F)qQu z`8Qs#rhtYFKxhv!UM|9OJd*+l2SMIEGY2oeGGhcxg>cW>w1`cHiX_xA$WLJa4{@zW zQ}fDU8~&Lo|Nb_Qs9t^(sPL(k>bL*1E^6^Y--Zi9Pl%SGUi>0@z&jSS}^fHMEV3G-z9Kiw+ela8B?f#ei1leS?feSu}4|$I9qWz<3oGryj%=8H1Lw>cv z>(Y2g4joLQo&z1>@mN}6KYKeuIq@ZZHu8Z;a}|yn<`d*pxMTvC6DCoNTi;?K53STq z#MYt@nMoe_)=adL4gA2U7@-a**!vU`GdadmnJ9xXLFZ2gL19Q}Or)!i-m0F0C8ZOn z*JDEJSUOgF3BmeS&uI6$@L1LWnh+++030a+t@9oJTnU4UgS|)pcfIUg6vNHA;Q|rI zD6XPspzn%FL}`Y8AnW$Zmp|>jO^F(n{L@qXB#b2Q%KriYdD(Erru90LU3_<20WF%LRN*i}< z2B{lm1^~4zz!*}bLeC;-4aC+Tq!S6NfR{HnL^&bSefW9xbWH$dKBSU4 zQ4o;&Er@~0TrqUVkiiajr6_2MC9v#PDhr?G5UhfaNB_rX39el-fCb1#wyJnI%a}s6 zPp2XsOi{lS!1^Smmf?Rg&>%-76vd{XoG66Uz2gP?UNT8bHW0@7jAG81B-9Ea$YALp zQQKY&9Ja1(idL*Np{yyW8c}R$X%u)~=b_fW_VUO;LM_CuMn)FIs0#C1a1EuV7;Vv9 zgxa!kR+yu}Dt3^Y7p-3P{Agfi6{1sZWn$cDXO3V-OEKv?b}kWAW>eKDi?feN=PR55)`C?j7}z%>5#*whu*vk zia=*y1ttBlH&M7w5l`;`#+xu#Z=dLO6^4XO@R%?*dk^EZ>PTZiBHb2gqZMfk224D4 zAjW)w6+Dc|ly{ZkkDjK>8A05LD&IPr^;_mDIv;*d{*5exg*&z-(`HDJTVbxs&+?Gh zUhK_pE84X`i-+M28Z|Dp90V8ayTvdgzWR7{2gYMP#;J8AOVW_(}bl zZk>N-0e}q~SrdLaqFT46`*(jAS!;`u2r2T|M3E4`2!Pj`!PQdEaY)*rqJ6^LBc8I* zbVl1LIpt50qlLq)kXH~c%@~Ayvi>(^f!8$CE#xC6i=GuhyX!vr3~OkSAyE<0vC;+{ zOkj!%X{8i^Ej<%B4cYHG1jr2gl6j9eTDJFxjods`Ctl@g3ye3Ifw5$Q7tV+W($!kL zg9epoHT$P1u4&y>05I?1)(STl{K9M#Ncc%a_I61uNlR^%Runv~Ag;mW4;gGCHpI9D zx|#QYqA@%qk;CExO(JMe`0T;b#LAwZ0T>u*ZP;}#0p!EOg9ZGkx)KdQfETV~Qt74z zEOTRMW~NL|5RlrN&ln%|L1e4P;t*zda=3w)OGzN`hngDxw|@;Zc>^6%V_1|RIV7&I z-)JK!he=VOuu=z@@yH=bRd7e0;!?f-4hR6lho1C2tdX)p)_FqU-TF6YVZW?M$xblp zX*)Zt$YkZgueo+J-5gYax{Fd&NrX6~C4bKwc4n5PTf9d<69g~JTJQA-=8Cl znzSbrzO$DYT6ff-QR7iO&uG~c-73OlYUmy;2Rw3%M2KDtZdRGvwL&Z5z$qWqVXH~x zjV!DC_s|tEy5k_o!CLX&_|a!dT`+N-jG7Y*&WsCLl_|(^;Ml)MQ>b3ZMPL}9;sJvB z4=NrA*qh8u70WXmGNAZ|EXd;vvS_Dwe?3jOhEpYFBSm*kzb;7 zaiEAlWhXVTFp)S|qz|0!+_tUD39IQ4kL`kg$$l-dpx2`5O+9lY-uFxX_H@ZLJ^HN&cWSd zG-!s7;_ZF*;^GozOryOnS!eZqOT!oI7pF@xlPBXl)pk;XQVgXKG50b>yKVe2SDVva z*%I&j#980~ODaXRhC(}xRi|~mn~qKFLeC z0-Yj|mE&yD&t;zCynx2Sf|Pll3?`2>SS*}ne%HojS5t-?H$A}k;xpoQ(i_CxQ?bUO za1w-dV|e0QMC2M`9dR$U-BQ7qm%LhozGS4MmzL>jDA$|%#ly&pD5-6ZV4773cv^tQ zJ&>5lQnJ#(fRdQto5grVo)MOtRVUfIoYR#Hc;A~^6_bwy7UlQZ565|B2eXV8<6RrV zqPQ~4qk7m@&j~0+rA9TQU669;QxB#USc_JF{Wd;}&hx!V_Hkki5Fi9O;#Fu;wqpyi zDN9+h894YjQI&0+5}K#1_;RD2PBFtE9N=cSD%d~Y5vLO^;p#zsD#hS%#~YqOFCZ{= zjYz}C6dz<$@~sOf0;vHa(~4x0IovX`8?1v6I^(Vp0MWBFc2%j~GTW$OP0~{Y2?m_O z2Dl=~p=Ys7iFfM(rML`<=}3w|nJ*DPZ(AW2lgUsUvgvfXA8-U+5G`McO9aONpn^3I zWXTN;1C)UyS|N-1N6)j6mev60A$}!zeX3Jnr)xnE_|hIhKhH{!Jg+4Mr&bCCB5ub} zj8W!;0(kXjw|nhN%U6D>HPa!gBOeh#MjrS}4!F$%0USkM6s95osD{?CXq9{z^}4wK zYRF7;Qj44V?i{*W#B>?|%;loqmi0`Gd87(JDj_<8VgS=j(iRGx@pxpPo_p=)02sW*}F&X*ZX+x<@98yiyb(YJbIeJm$L2MxEAa+qt9@nqx zfT%!(RX5Hw7T3!C|CJ22iZUTqH0U@HIgAF?k`#k`yFZMrUbe}aOIJ*cM!xRlFgRKH z)6p+~vTE)dQAAucz$jq05fbBru3HQJX%h7?3n2ka*KeozlvqXsFoX`!6?iUwd(CP` zKA@N@(jxkzE$DAyL~MG|AVo<@RmjKC@WKQFls&l3ELK7k$dUcnQXbCn^; z&El!5@=2P&7#A%}TnrU_-7piKG7CV|tj7FNr9%=;y2F@Oy(t!=yHiV~xTDy{2;(EF zk`+X{c_$^_p>G!|oC``_Sq8tNi_nOmcP$_;0%N*bYsGm8ycA==ZP9prGoBY~YDWvm z*A=CH>94WHPHU*4c~ zV?qM%5dY}WJURq0VVp&R0MxI#_s&b6vmSL!cSNkElNt~Pg+Ch2dTbxX=n-UOO z$UH=ARVHSPxQ;t1mDS4-*Q1d z*z&P%R9HFy%LXK|Mkt6HkQ*XZfeI*K#QhXhS@15HZKYdbwaCYjLl=5n4X5!1wE8cv z3t(UcV7hwUcg+An3Q+ikaE~L-AFNqdHx9Jm2d@?rfM|zXEw;5D7OR#nNudsn0VTfz zJu?L0@uiwt~(wPO8Ox@?8eC99_d@kf(W36MA*X+ca~zg zDgI{O%V%@7z9@?k$LH#A@?~JAMx6{wiqJelPr;<@L(=N-P7po{!MSLmUegv-(4C5i zxkDO3!Lk$-D@?qURup0D8M(aWH%CugI`icI9p(q~e~bBu{ynBU|F$#zJItl{V*7WR zgslJH{Xfk!{zJ^wFaLogesaMJ$W>X@#Ux-u!1Nn6*Gzq;(s}6jo_nTys?Y5MC(@?1 zB7j4B=hWhk#Ran5wxN$Co@2#EIHqYvpq}Ak#!E3l8|mGM?~lspcqTqMRbAGhh-mfw z8N$~h1ZADs#TBWZ?P`4~jsX@R8e4t`2T42|;ikZ$1r)pw7k7JI!ZL7aLn(s`77${~TsHAWWX-Uc&-rj}<)Z(IOE%Ur zF!&Dz+3qax#%tLk>_rfhvb;s0W|9V?yk7hUx(Kr{UP~*R2KAbB0kVfN^FI4ZQomF4 z`Zf^&go2ZkBny>f<)N!QFWbqj?I}|0)PfA|`)HM%QPvaMS=Of;#|Ignm+$qDBz;7r zurG^z^+4+Py&kk^J9wmrD4fmKXy~Q&TA0!IsrIG3@T%~dJ$I(LWTcZZp{K`L}} zb`ui;*1ZphK@`+_b2Ud_lVorkY7&?`l7y4B3{62|^Z|=4>IQfNErdgh7xp8#ccwLg zTlws7aZ4yW3G!d`)0^OFverAQeslyU*r&ktqq!QLI#-(JkkI zCJoZ$07c(4@KO7q=4JF}?0Z{qy|?7;014_oUmsUv?F*HnnthLWj_SIKcLbe5?VX4A z0pFX?VEWdNp9LaRY#>$6(esIxMGVkhg&D4r%+f?2?|uzebt7YnWHsRdZ!8l1Mk>>d{(eszIa5cBRCQ+`WB|EsTl7ujWSNhlPbi+j<=8jCJ7|H&U`J{ zooUkcTP7#Q7Vf9iB+GdyNeFqRXXP6>|1a^?G2@7eu?5Qd{9v}mtCZ3-Z+yPS%?vE+ zBd=2v;oL!h08;nB4Yk6RLa=^?jtiZ~6|Xnz-!q|&S-oBJUccWNp%2SQdg1;*z&G>8 z$D}wZV=jakuMcQaR+R;Q61IfPoE+= zte5uI98nacA*efUCHSPLUNaHFbEi$4zKxSS7}PzlKa8`BLN9BHI)6);F>JDcenV_I zL9)3j!ls%GB^6v0KlD~!^D|z!y|Zz4Y&$5T*?Bb>ujQlA3&xX*w}4?x|4U#hO4{YBunM6z^>~CA;33P29Gc>s>L3zSE}howm<{XM-r5T34T2J=tGP{xZMyA+*Jpbnx1YJ@uEE~K zeF5-p#-8`0yMW@Z@9wP;?`7WJFsUr~ENDb-s-9ScPe8;NkVyBTZVKG?UODh^$0p}% zGYZ35$Grdc%4I*heOSMIcGvcugFj{rHEs&Moiw|F62lmpZ%&Z(foWi+ z-BoOVlGyEJ=OCF`s`nLyy521ECXn2R01k)9zh1)$b=}zk;kcqiQWF}Q5&~z;(J(Fv zFg%ITVP|#zXWlsR^YiKS1YBLYJwOPx#%xF*54`YvwdL`o!A^teEWJx4eFw+8;qM)E zk7u)k?wi9a2T79g_3O~UB5XCiBl(MgkE7@{Hwe;Txz*Q#HLgVFY{i_|8JLkD<+9~m zM0ve>ja>yp=l0f;dw!)RA(f)~^sBZ@|N7CJz!pIr0)vwL`Mn7nk}Mo&ss2VN9M+jH z`on37E4_m6pt~c4GV%^L10k5NHMy>hUpa6A0U(d(psq6%6-PuvmKAqOP=zT1gX zRdhQXKgbk)GjVJ`iyp`@;mqR5m~8}(xW_>U+F(<{&H;ltq?eiOU<-{|eEGn;_~6;& zW1Wey4*lhMi+%*&AXjRy@p*(#T1B}Zx^{iL0Lw9J@%YO}Eh0mm$v(i5_T2Bi@9r8(Dvf1wO^?6i8H7Kxvz+>!tb#{&%N%oK z|FgC6`9YV^fB(nKe(2u%7?ZP>iMeeTf#y;esGbnh^cZ=0@imwU3DoeYqaQ_}2 zaz_>!?96JZQsbc(=W!g#m@J?jM?)n|BD@4#hU;E%*1OLei~J+u-ifiva6X$0Yuft2 zv~usfVh#jWhdkMCNvspzGRHLIag)Okd%>hyukGe;JHV3js0{Xv^cIhpeL*oM+G?kF z;suq)%>0f%-}i;HCjat9ADunkeN*_Cmwa?~Pxt!SU*w--U2@<2!|&$5V_kCJmEU{M zp4VTM-Du0~llT4FAANc`n7nW1V_)PSV=X?|{^&0KgDj4Kcqr^&)BYGR_T~Q~>r^1` z(m&Y($R~0j_FuLHWOf}85i$Zq|ECK|wBSEN$taNDdn$k|KA7CLvH8I4BOji7Y0eGT zpSvS6mj)rqkC88gUNeexuu>k2%kn_apHdXRd)Iw2pn);a^n}~TTGu#n0~5# zDsP(~;B+xfLTP4!0}%kjsAx$(A|akc*_p2O(tV%(h(g8`M7Xt3!ELH}#OV=Zx66tS z-X3P0utAPi)9-D=Uj~|TC6y>hD!=$bE(o=^0NDtDWzhzgt!P~8Iyom1qUi)%7r!+vE%U5A)1{$zhYdp4jTovQ^z&-jvFdw&9S4A)#jV$>gQT&E*yv#?}7%noE^*#J{2}@W-Gt~0(CKSQ;%&GxY1jfq3|@)De^kEXghpA@}v5} zm<0~??WQmxy~7TYXD+gA?H;w}h?91kGqKkhCXxvsm@^eG92<8ta5(bRC5* zLsg`F<(_B{gHO!LjDVD_t3yKj-9-4Z5f&SfhG7SV0xyOJiWH9#ztVhn)*y?LB=pM4 z1()(Tk|$AQSr9z%{pW>D1mjm{nuVC#jwr8YJ?qUv1d(MF+)WOyzdhBCLzX3d$iPOW z+Y)xw5G{~T_Zc0jb0i`mrVYOs3`k)efv(RgE5FL_{b2j}7(F$+mjQMn{G?RHV#bQj&4svCVX4^cmu*^^)1<}tYlUalUa}e#xBK0LtdOJWNBht{oa!mRX3#M90Io(IfnP5V_D7d;CY^rTa+|9CO zEU(k3Pk|LZ019T9WPD7) zF)TqJOKbY55vhosKutIzy43<~1Wig0t>XhMWSV-sc*aCPwRh?7RGGha&-Oij`+Xt8 z%Yqe+ZsrZfOciXHo4(R#vW-U&1`WfcoqHys*z<)pN zF9ug<&6q^a;yaRLvYl+YI|(jH?rJZ@)5#(hD8_VT*&=D}exLUN`wMZsh7XgOI){_w zW3JB5PXNaIT*tddlYY7%xj6Q~HG+fj!rXxD4jxOrcIPiYt2-X< z2+uj1?VZ3aV2sMIUZ^v!vqh+8255!to@4kfOx;Bu>FVrAa)ila(RJOYlEtj|KzBM> zn6t?nw)*`=o^{>nP!vLOJdNWu8HV%o;m4Jha<0eJOTOrqq<1spHtQX2XJNuL*{QM3 zENiu4J&OIqiI1Cg=hvRSBOL4F{o5ZBv|nrmZW%5-Gm>W+mc|?zoY(XSuqQ#kC(Dv1 zu^7^8Fmxu;YX>?MrxqblJ!zj~tlbC>`|Z-#?>M zBf8%~I_Jg-1^WLYIbKKZ1l3Lcwb}Whclf<5AdlCWUYpk<*=BI%V7PT3FEJdi-^8T; zg6a9@9l@u=y#&(_^|ix;AY9h4;giES+}=QPhH3HzZ$lD#0rJp! z6SJKwcg%)266_r&s1Av|P)?6b65nx9Bk$v8@M7rn#!c+YhWH9GVdG5-Ml<5?y*A7V z!5Nw<=|I)9C_jr#_tvy^grQ9yMHwC?aGLIlK}M{aWzp?n9y~X@_zXSh^X_@xIZ964 zJGgy9c^pzY%%Dos5PFlXA~uHgPHmk~}jam{4A2yf&PY zWk86^(PSyxY@$VrS0lD0czr_PzH1&wvRla`FdZLGNN@bFAKtnB#q-gw#aZv@ zY%ocmX{!Xx*GWKGaP0SoTWzn4#Pc0|{hb5gXyd}x>k&?i)E2a011Np=2OuDie8 z7s1t^LPC)Vw&I0xJyB?4=Ezlqqs@P#qN5$*b{$z?!K z=2`A(#?97drcefQJR}vC>r%BpoZPwnva#@}gd@Y4N-BVn@<>B`@A~eI%y=ShSifFb zfUMcQBLv4Z=?>`y^%>+0>oY!***Oq8&H1?SynMh{$g`g!Au$v-Ny!5TrAx?tDa%HC zkoLWMUg0a3q8^R`$2t2vm=c&bHIab@TaU|#YA4+*1~A$052xst<`sxRvj*uIbOdre zsd58T6_Se4o|+APrUL>TdMF6!i#oJeHJjGM+vv1QE_)Z}5)~I+DHY0HXI+-}ye;PTn%%g|p;z=a*tk9qAM> zd!NOa%Mq+;Lq19kt1J~Ng8}k?&fF7stiaz<0I^c{PB6F zx}5{Q_-_N0LL5O4k)peiWzakC9DD0|W8>i%W`C>?g3yvMbY|ynvbFT-Z0#7c0eQ9b zsvc>W@tWS=aBPs9yl^TDRm}@#U@<=dJ+sxD)PTt+eXW}X$mvomz*z085WXywX z^CoB37z{kms0RK8uRQmR$(l2yNXXKRCTog3%g4%O&AL2Gnei#}ESoJ^Q)GNT`q(l) zj}(-A?_?mO$(l1~e6)AQa!+Sq=hLwBaMQNU9DU^Nb1${D5lNbhLS>8QUb_0N_eJR2 zTA_Dspy-3XXAm+twe>_s^9a^rtaH_z7k z0bcZ2z{`{oYni%EXOlRt^A8SK-C4|hV%o*Z!d(eAVQx^}-A(u+0QRM^xI_%1g=d`U zYKHU9ARfkP7RPa=&G3$w;zh+M5eWbv#o=GX1bw^>%xFETClyvEvgFvi$F$`G2bA%F z9oK;YaWqu2$0L~c7Ux*1@`f>q7EC%a>*1cszszvCy|$h>f@`d}MXo#BTN;%`EH{hA zTf!p@Y9b!^B{D$8G00!P6smDlXZde(K%)hYVS%489^``Kjv^L+G&QHKLlu-Edpdb@$x;l0K<2FMIJh+ zJuoO^7ccMI&XASaY~ePti`w&P%M6)~N@B`;E@8p;W&#&02q1k;M+ z3De=1_^atFS|l0N_LO*03==v0#!5e0?fz}*%f^jsTS&ieqNPnnP@7iRu%it&JV9KK z@6^_RKzBZ0)56MRycFQLTZ(wG+p8>W9 zN*F{C3$z+v?r@akTz!6Cp)o!vYn@umU*ydub~4j)aohYY=Y>7KwV~R3N1NQyR2*j+ zbICA{x+HL-YFlfvvq?6M8%>9j@MkeNUXIzsKm^eBVU|!DER|IM5Kr$dkuX?!>)rC2 z=sxy{bkG?(gL$S#62T`mq5<8Cm`hxLXFuyHu)=Ex1Ve{{U!iFB5(Wr5$f!kCRE-|= zQyN7vJM#rl=y? zPU~@Gl%jtmZHF=XsLdD-vgMNssjLb$;mX^;4+SU_%7uo(95`&?dC^T1lklpW{oUL_ zLP|>l9@=ez0w6MpV5E`oq6`uggL(oXAeMj>BSRU|Uf7iYGg@1gF!g0c^kdl%Heql| za;i>Z5S!MyMT^`_uz30Kr!Q*g$-*fH7-vt%e!fZ*)D8Lhsbg_GSiCtQj*jaLPvt!r zCsmXiY*?M^zI<+UwmJ+|hEc|$*>xy{C*pOery&NODVNccS@$a3C8i7+gbKJBxv2Yi z!mwBWF`A=#5;G977I5x)!CP$+LMCzfe%|vUy1_lqC(QlXY^Jd zs&Ub%kLHjt-CT4uodFco5KIiL$k!>ai@_YD(f4j`^PgA{p#-1!)=7L)%mJjaMwT>t z$SzifXd#ICW^1 zQsndwJ|m`%N2sRsiMZ7!f(9sJ0fK7A8`g>0!zgBUhf7iA^?Q{F$5M||h2*Fv_mm`G z`DcJ=cpB*}XcX{tP&tBB`a*&ztxsnM6>?RcW7Lr-(ee-26s+=bB=L54c?faK8Hyb7 z7#U%{QTUy^hOE($I$++>ZkC?EMJpR2S(h5~!~(-P**0Jq8V)fSvd=0hT_h5GzK_O&$QR?=1$r*(cpp5isx4RPONht6xdi^sE@u|sA+ z7mj!9^Gy3`on+49SG)04uhMp{BwdPIn%qeA_m*OOgtxP{&gxRQh;ivbug4Oghx|aEXrc?0oW?EL|cew^e&uv!FSxeX6|! zF|uUsYw}>wBPG@Id+$iHcCY^N zb@pHD*-NvvGguAV1bq8=@nO1o#X_;AhZsD#zMow9CStqh*2N?JfDD5c`TNQBT|%}m z49H~cy)x7P^3yhkYv*g5|CWG#dFwp`UgFUPl!NXD*GU}iWzrwI8@4LF^ibF)M(02A z-MoiL)!8>>Y$YPSVQYiEBP_n{JM)>G^txA-Uj{Q~;1Ch`N;k@MV#8t%B4xUB1`pUc5do$QacJ1(05eXeQ)W3na=X*cV@Q-Bx$0o1d}5@5|BnDiAgs(@}MI3d|=S!SYBl}5W{c-xh^)=B&0Kt zHJeCJr^cRr5jA(M?b2gqpgHI1`1dzna?F9|@o znVY55%k>WFW)9h5x2YmgvDNCc2OU5XW)N99{2(&dBtXb#ut^3rbyp1db`QRZjfrwt zPoZ0HE%lXsWv2{e9_d3Vror<*_X|v+oU?})JZ!Mf*vpd9fCO%$aAs4D=l>t}-akC9 z>%8y0_YNeFXgi*B=K{I_A?ltp1NZ`zD9q62j+xq?88Y&itJ(y$O&B+c05F?}^|rAk zZ@W&?R`=Yw5CIVi0ZQspiQCLTw8OYbEYfx#ZJMVBsH9=K-dJ+n*xPg;k&=^D@@&@- ztvYhHjra530jVFhlQ_+@&;HSo2n=TKJ@@>4&-;Gg@B1DfBqal8isRCgCcB7#+-$C( z*`w6Z*9LTgf*?*m{QoagFF7`8-RcWzY)HUaBaSwuX7D}c`emu z8@>7LHectnv)_@g^UZ6(%WFwLzrKwlfxigp=d;^5(sk0$Hjea9z9V|`=sg1*X}gf? zIMR11rY;T zU*hSy{!#w5NJUTP}uH7yGDexekg5 z$N0%>;Jo|J9Snt^S@gAhgjQSva^zj44Pb_Y9-OpjBcF(n<_EMGoZ&!U8P*2{VhG6I zp`F?v>A$_Z={wOs65$3d?&96$WSikA6triA}@Iw zHIAJ>`?c+l4L3@Sz=`mqp+>k;RjEGGl|jWkMe*j&IyW)^5sr1LQ^GSRft!@a{4AES81be{lu#z8r>%iZV4Ve$+CC$b>%>zdUJxXDDEn_FQNCub5%1PXa|Kx9) zhhZsit^7&xLVR+z^j(8LMgB5AJuJz*2{zZ*q)Vi5&^(q4z)4I^sa%p%1DJ%^dh&fe z5uk?`b<2O}50zFveGkJbeL>ywN{SUGFY(srUr2C;yp?{Hc1z2t%wTG4OBVi;nJc%- zWgJC!;UW3`*Ymo6_=dlpf+%I{hi3oU%5wf|#lF|R{Qr56F~6G@L9^PXVUlP`CcZ2n zaqC^rXP(dg@8!~4|Ng7e(SEO8_PXo;>jb7lnhZ()%H`Ph`+Tro`>_n2yiWbzko*FX zl^u}H5BM?PYUF^ENJiWaE&kGYW!uqXQ^OD2-n!*f8;B>CeAGFQ50KL z+>uN9{H5uG@}Pl$%y$u_GPO83uw}fKGz~1ko&Vc{Yy#_RhC@bQS&-j8(H|4Q`;})+@!LX3(ZY_A-d6 zp7o;|)k@r>T!!4{XRr0E|B}70K!LmxgJLCa8sRfaN-{hub(RDGbL36K338md?uv(L zg1?8yu@8vDC&aA>_c>u01%T?It4Dv7iY9&$x~u@ypk58G zU_Y6odJt&YrTO?Gn3II#N?f=nm^K_iTI32VF@MnsP+i3D!FEUO8$7kAu{Q*NtmoPU z-h`p8|Lqf~P3b}^&T~UkAl6w507^t7#1U1u(hviA6cr3FQv$)a7H7DxX;`i!N$1if zlS?vDAOpK(hV2OvbcSGE@d+%`dpwlZ!F}Qp?OyxY2MP`9P9bQek!}OnW8eX)7h%zD zFao$_q}B9)tAT1%6P+i(7P6(y=hUyiQIPa)T_bYqs6qgbQZL#j*ClSg3W!hj zR+$!Z6CdIS<(K?1m#(n><->@ueeOX~q8ZHkycg=u?>{9M8nLEn5X|N|x{Ah#KRApk z9#62{v_+7>zA(0J&{tqrZ+R^S>;a48+7(YiFl9iyUa1IRY9a|ub%Ei9IV{B5SkyqO z7=yb@U7VXQI4LWNnH;fRm}fXn;JB^uH6aX?+Kged=jtk0BHpCD2~0gv=q%0_u4A%^ zg~Dgj8|b6B8~IA?5KDnl_?_-AoWQ@>%Q>8{fukq70T zw1PUNlS7$9t07{OKb_2CoSC>3o)OO#qlqqVMwVzhYu31|SGt_O<^N6?f9}MP%n#1K zSb-WWl?E|}C!aAZcs$dAjwQN)I}&e~^a7tsGbjg{A(II#4ca6sJYFHhPDJq|omc~G zaWQ=ftCvU8&z(Eg^dF@-Qwj zYmx;|JrGL}iwB7XxK>&vwQ|~9{(o{9KFP60aUvTKCb9~-iTsFip%VkP#MB->PHb(n z!)y_oK|>j)Bd8qDqA;28GJUc2njHy0F}P~9>yRdbNJ@(3)pqvG%B4&;T7|`hAm)kF z?gm}NwN|Ve`j`1VXam4n|0K_;HLW^o_hL&(<_ z76KPFBqM-$x*%FR!hYu&+{#a$jL-{yrwq*u(mm}kb96e>L^ccBz{BX`O$Kx(p2V?c zIZ_uSz(vl}er8QBiTEjdJU@8RIX34uxJYY`t4dQG7+9+mCJ*%6@BYc+gWl!T;`C?G z&EyNX9NB2%ZmSWl=EPWcMfWv(9z5NVSeyA27R0`I!FDTaIDiPzS^0Fh(CuCfmtniw z$t4Jy;`i;YYs>a$R~87`u-R%%#6>AS9TM++v9YfK?L~L3A--M2vWfG+>_qFucV$rgK>)LrcJZX1JPy~l!|pYgTC?wXmtsd^4nxHI zUuVX;h-6R+*B^N@#(%TPS9Mq?-D9c0>{%%o!f&_2Bs5+B1q-PQ4~`Il37~@huq*Cf zz}ZE)@ku}K60ZX_;$nxk&wR>s4%u(qQ%%4r0ob?y zvE7)`Hj#JRRnuc{dk**p_nEkQ;`EC3Um^Iu@~$Y5pg4u{vi9ZPCBDrVEO80F$}a@C zJGgGZ9R?QyZItxWuQ-Fm`5*e7@=KK`aaU}`4M20$gt!6cwohE;Q}}tz)PE!*7+Ck~ z9}73K{RIe-FfgC9#@+8Z`>)uty9+8L7wmst3!C@eF$wM6`$)DY15;kiW39oSqsQ^S zh<`=ANMm;|f!d2>X!pWN!JX?X8RuUMdQ33U^o7QP?Nv^tM+C`kNF+Ik|2ZQEYKv>A zCaxhC_DNj9aojuT|Nj5vB+qq0*};jbQ`WC0sZqRW_q^(?k<>nZLt%t?=8jXMAKIrG0er22rj(YbQoSG zswFwj_v42l!Wy||q;Ajt$DT?dR|=C;VD7#W{YDlDoyPcbISvd&MuW*=@%M;xVWi03 zo2Ota5J65{2*hhJcl-=JCGpiFix|?<63!^(Uwn$xNHKMUD6KMT0i=(^fNfmrgc~IF z#)tmwR~^Ps)YzWRJs^hfq(xe`9jQm2ESx^vO9C`P@?!=mUa#bl*Z_Xzu@9Ay zgX08RGNXXQ5qAo)(Cd|DdeLW#qYF+}R*1%Y|PdSsT~ZAY_|M;SERJD9#>| zAc!i!PBM+BuD6#&d`uph<&HSce&X4GdxJQ7aLgstomorrIAIdIQyJ9&2tYe9h+x?R zn;nY|p`J8D%;*g$a4g%7=7cuwYeoR zPz3*u@F8WiV`>t&6BW8k_`1RXim`fy#k=jnF+^zKFe6l>4GUO2K{RL~l~@k0DR*+B za(HHe*)T2H^0-|5K*A*EIj%%{$b;AtNLi1#mz?(eMnQ4>PH<25hVPXNjLQTr@M~hO z&q@HdID+zQb>BVa`qYt^7tIIf?XP^9?C|`$#=sq9ynFd)`8}ZE@@Dd72?PI3>sa@p zOft34cOP23KmG(^-$%C#`K`Zw`zwXyH&0(_z5KIeod3%d^36d_NcaBgLfYTX1^(av z3?1$DFBGxsAIZdf-D_Yy41UU27$euOXx{tGcJg6HfAET%Lrj>z(kt@r_2MwGB}Cw|U)=y?bV6wnZL4L>@W!ysdslYV1`P;JzbMgzkHMYD_Yh;8CU zJ`qeX9$~f=QL;!A%S1a+MAakq8Xx77ixG~PN7*YF0DG*|p2iszB5Bpn-w}C>LrA9# z-D}KarXrni?r?5B(M}SVNr)-(pK72bC*5PV6h992mnDTYN_4Fl5eN;T_F zSiBfA+E`RS_^7NtLW<`snivW;Y)g~(FH`($pLr9O8~<4gwIiV?_yIG&TM(v?2p1H7 za3KiL01LjB?+# zo~X~u)^a!d1=v6zlq7N|iz}$mWWS;;iFBoN=x%J7o~E_ffrtgHBxDtpK+lnO)@eSL zqgYiQBaHseUCB`V{zu+SP9qm(`5eKF8EwEcUh+wb0wD_p)}lyYJ;fZ_rr}C~r1+L6 zp&$bVWOX>(X~pt&r1evTY!i>MRESzJJ&+Pfokj4n5Qb>#Cfv7V3eai0T>&|0AbkUq zD|JaY&O`zNQC!s z3#3%2Ctc>ct;05xkulJ`Fd8tTTE)pF?1(iIV&IhAWb4RSUFp0fDxGAH7h}^VJdU1W zL>ghELjt~3Xv?5yTWfbYrAtEe7WQvKrUJ{rQ$D+7L`T8V<0c4jU=?sOm$W|3F30>~ z?qhD21%?WjO~E0ekqekIEHoL*_CG|~2*8K+Di)@I4irlmay|E@IvEp4sK+Qh)0WjF4`oL6^l~}3bSa0~ z%JQT{mVOr+&`E0%b}%tUfQN+!AI*J(%k7lR^I?|2T9&Nz^sZ z`YZq}b(ZQ42LhJ>jEp#2ZX6>vEF+L5F1xEiKunY4tb~adG0|CKMN)kgliUl64naea z1}JjVL!Ubj1uChNNt-2~(4L6iG0a4kJBy$H9V~Hh8A1<|GE7~mJ&mw4tOCBYNKjdo zgI39b7$8Q4$TE8one*h7M1C@k05BV|)EK4Bg3`#^o@wMYFz9oP1M<%`zd1xBF&;e=^)-WC zj|v;mH+{I%1)52nkta;(+Yv?rZB>@GX1-&3N1vCH@RNfT8n@Yt=2{d5+vadt%mRMvgTq`4DS^|Qx z7jk2MDS$NY7e*mVsH>CY>HpXRjXW=*%1-e9&+UjHwH0JuGgZJ}$ybNkz{Ha~&^PG( zQcG^vL%8`X+2^VS#0r`{FF zhaK;9V`Y=9nYf5J?9Q_5k-p@3T3F$N# zoJH&qCpEj)3zj%@|Duu&$)e; zb(naJIX2o*`;G_SG*)~C)49&`#WE6W$Or*F7gz zM@}$CXUx9*`|E~K)gY06Y`7iFz!(#XM>yr6DTNJ!WSjHR@ z@C`{wxBu&pgm%wa652fxlF%+b32C%$F*Jpi90(!Q?DFqiI(;AHVzS6Rb#!S@29QHJ zf)ZWs7GOa2_MYTifpLskjujZ%eII7~*xu}Ndk0z65uv?GboK}SpHD$Yyvj%*y9mh! zDmr1g?23g#EF|9qa$`cY8wgGeAY*t&@;s5-3j0{lHDIOsDY+ONiui4T48+#9yBKI% z@pz4H5gMy&%@`||k)Vpx9?u{nJ)3i{4uX?|B>*oZ%FC&=^3}q#zxft4bivRbinHiB zt8(VE+`j*J1&0Enl3s(lB?tp|nn|I;5^kMSe)p2F&`|lo^RcugVj75$F+!fzfn-|1 zWd`CZXpVq$XkXhrXvUQ+ecXa=E>9$E(1tAmb#J9!zLVj1m_PGRE`{7nM#*O8nJ1TNn=AU5>%G0WbY zgIEIR7(S$*Sd|or0OBWC@d`e8^*#5nT7*$bPv-3miE}smG5iHa>ydUqAO?;+Fk@Vm zv(@*48PMDHW$gX}&)om%P;ebUC5M@o)1UWKrOUPj_%2c5J<~-|eq2e0JaCf=vJ)Jv z4s|z2a*+JsK0U)+LjMNI_{I<3o46ki&r!#Sg3vA`q=s>3#|Coarxb`tCMzjS?)pd= zGj{}CWK@_R05|S5IurEgjOpmoGtg(PAy~#_(0kFkTtOSzmCuvjNWeCkJnThsHTSd0 znSXpY4c|pb3j}>|8R7B5q=y+SNe9vTy3`053raUy^%&$_NoP%EavW8YtU#it0k`g6 zirU4fzuh1CY$Y{@nf|o!+LF*KP0&Pl+BT7S{_Iq z2QINwRW=on8EKQK8i~tJ#D?rJd{bn|U@EmPF^2>OIUz1ir_`}5D$cnd;{FZ!YK7g1 z);n^F;2`N8a1(*+(@Z{g?RS3(nT&Bn8W2Z{#(aSBi}(0amS90+kZ~{Ona&5AVhy)b zJJ|`TK3ElMBoGrR%~JRCfREH=6-ZdHAJPEk>6PX1J_AzT(>W6%blTtgQ}+Ra#(<1m z3C<7}@w5cI6YGuee5SKxu#S<=xyar?TY)Ow$Z#tWG7ndHNl+x=3WF0NsOdt1kt*d5 zLN7L@GYb^PSDZm8(%Z;REpD$tLP3$z`5}d1NIXaE83TrN;>Eevf4F<+#GVimG3d+W zNAnzjIdC(T12>Ou12?b7TyCGfviS;da}E8G0}v)(221+>9R119>AQyh?21nv*)HYF z1NbLDXpkuG13M>j_=n$r9{lsVs=Nk>`u3{G84Oe<`w%Av{&_&ut1%>4#IK1b{{->m zKlJw_FqeEnfbr$CN~{E4k%xjT(}4k#&#^uDLW~Mt`QQ8){DC^m+PwZUyo=f|{_Y=L z`y{tEuKlt7JAT#Qa>HNndgA56G%WU&wFZy_tHQ^M+d!Kk)QSxnI63W-R(_h4Z3OXR zd^hm000yBxSrl!HTKNUzDR67aOLATX>X?Bdh@YUp((xFvls&`&`q`7I@TbWwnq|*bPwRkE6Jho5!zmdgc ztW%rW?Vad?*6oGtuA73}%+UM8O=RQSvS9giJM>BGc6$pMaEvnV`bs)vcKYA3(4mb- zmfhQ1yB`3f%~uZ`ESm5C!0z^K$ANY>Kk>edI>*lK zo%bwVugMg5<=5WT3C#uln+tQ+nqz5hk08?UXkv|NJC<>s}j66bvhPptxT*9*)(=67BRfyOu3}0}GiLv+F zh{k5fyg(2!P!!6*lNI`w?}Jtt6~Oa3T7+-n1Z7Bc5&n-v>N(_8U_%#^9bFkp+$t_a zA9@9k1A9y8Iy54x&70i+3>Pc*H*!UpVW#h@+M~~jZTQ-IZsIo*5Q9-}%OOdgNn=2X z8AmKd7gA$J#iT*J5`JMoMqJM1Y8K&LfU)u^ZEQXS0E1XF|%KbRIDYvEVzD32wxk1%DRMUnnzU#V$gb*so8 zNupe;P35csiqYiKVCv?rWLVh^M2q(Vsy-O2lBQ)cYWxfiZ?fYFi;54JB52Bd4io@7 z?k{zLc~<7r8M24;d@2LU54_}Z3h^)OT!O5{C)Q-ZW?)_+iAQbNm@Eo@b%}t$2&_;5 z1K5^AH$ipgAtg|PK5_;zaL3DUjM}hdF#7RnWL*M=oQ!lGwYVO!CZxO3t-;b+b!6ZG zL8MxVK&jGPq!CjFACCwk!*9oFFdPj=+jq+CVgP_hUO)ciQmrkK+S=o$Uf@r^^rL@| z?%`<>qfIZMqmp<*a66_0>VW|+XfOHrLF9A`wqp{1fa}VD6RQm(JjWYZC1|f2?S*AK zaw$}JdD688U>jLRq=KkhqBtb%|01IJ<3m4=<;21g^#I3)tT+~lG$kJZ`bQQ^kEv1Q z!7@S!AVx#M8xzzrf4&7u;j<0^Wx;-i|_a&`bbinfC_@eH_2`R zNE;>vv6|$?vl7auG7$@j8N4bE4ZcWlUCEo!8Wb+eDyQFlnU5QqZ<&LFsm@`SA~xnp ze9zoKvD*4~e;PrF7-=-X&sN$?$GYUC*$kK08uTbFKpw*yg9lwWsR7>Bb-l4likb8@ zq5IFJxF4YWw#Df zd-(Wib_r+C=(5jf-P~QS^tIZf6j+tk^Y{(AkNb@}nR0IId}#WHSA&QG=84%zc22r1 z2mP{ZP$7!+HPA1<&PXeZ(VE|J{RgW;K*XMApoP0IC=kQ-6#$WUM?*EOTWgvk`N^dv zNvQz-g{R36MpNzbk8cD{+?nH-erIR()c4$+wvm%TE%c_^ z%H{ThvQM>u8AW+<>chod;5?u|w`s0gAd4b-`W|V$(EcQ_8vBN^l2rmp<&fWO6K9@1J67IX)FoN3 zmEfjjNe;3unX8P`pqn^~LyiK0TM6zd=gH*tBzT^M1j&f*8gBJDJVGa`#rYmDVqS(M zT%CeeIy|eK%RZs~yvgSk)ryns>c3xRPXLXU)C}yj37Zr+>IqB&a4t5y?N>(QV8XFU zP?Z|jqp%GyNpwGe#r*kmHcBrtMV(++=7{aKcxZEz8cTlAc)yG7Cex@TFd`(ah_g8# zS{u+Cc@(`txu{h#b@Jo_)N#-$XhAR;rBiGS{0c_LAUJY(iX{~R$sbzG7CGZ|*)@`N zG0uVj*c3%>j=3UODmvhpH~ga3&SB-y2Z#W+cBpS#?>U1>C9{y3%asz_Pkb87$$$g$ zc$puV1t503nL1mi+X4Ax2wNyPOmAc=>NCkFv!p=o#|7&oo2(~C?LW}bY_Y5+r`gw{ zaexC3yWw5u(3fz0Q0V1-H)bp#4z6(>!$kn6PBwL8X3h5D9}qor<%!H;=!PayXW9)k zfX_2BJRI!?YoKZCXasHYK{`TZ175&WwNC#)vyYqBFj%VxsQg_o_$iD%!TQ4Jr9ZrR zZdo{D5>^3CxC7@~956Y=7m{{BL^H)_n04fw9God47~!1Ac;Hj)EOsJD8k3C>_zD61 z3LyCje<1pW)|M@*zMlo7?FRcEP&Eq$OmY#Dduwfh90+WtKf+~nk02y|Cw}&rq$iNH z0N;L`$hFJ@Cl)^VT@LYB`5I^)5Zqb$JxEW$8)2pWDbS+ig}jzr^5xg1C-_aamRHUs zxt^XNr?%x}wAWDEw$og`{MwqlHnYGhIS#%{A%B_XY^Nvq*;l0}_}ueey1g)E!M^fh z)$#`um=_E4+INEw1|M#Jn_qJM$JP(M&2e=8=fUeAUVGE#&Gn+>LlK+#iy6r4mC^^F z@^kB+S|WL=#V$!)%rD}Cx_#aA6S8gheMs*Z$(B@EX0mu^k$@_^)D{&^cP)N=(|ueg z?GA+W}|cN{eX27 zp(t(E=2~N?^)LJxHksL!R0R2fffy_jDq|dsIjV96_p>Jv25xsKPlq;dv5O5_72HnN zre!S{tdWJ3+fY~qVxU*34FDf3B~JLkJ-!yxPaH4T_h&eO1cJ_d3%xc?H`V1f6s%L- zH#>G2q=LLV6cWaH-p=K8ZQOLRoCZBfd0j6{yt{}gNQM#(B044_#a&(t8}vM8AQq+* ziRIFT!H0Fe8qTPMvnYTgu|5fUb5VD-H{y-juEY>hak^Y>3PQVbVUya^~%A zv%-_4?qDY_v1s%FXJ8`mi2ML6rZ-aWVXIRJ6PIn8wl~oiS$*sylMGU+Wj#gj&}G%d z&)hSFhCk{?ZAF*>y8xE3T4Xrm4F#Y8?vgM?+3#cLHli2(8PeR%rp=;W4Mz-5^#Q6T z3PHsRE890;RKbWc1}iyajYOiG@`6reU9tKVw(|NK{& zv@99sN8S=>t^z35GyaO`2*>GZs@DwBpPUtA=}&wS@ws3zUnlS%+pxkyt%ipWokr~(MLH5B0ix&Aw;3)#r z9w=ssCKgxZb3yYEFg(XCvGv&etaq3&Yy^F`ug(FKQN8_ziXJ+TyFw#gyk-^l7oW>%a0@e@nm#Ku= zLO1957}HQxFmY-;|dr$xw;*gzteHk03YV?y}|%$`HZ8 zcr&S_`YQ$8@bf9?A2yDqz6=CV6yNZ-><_e}6Wo94jSiqGMgzlQWuKK%WFEw0hVlv15@GtZ1Gr^Co#*^NxPM|H1qaa!7kBtCHDD`8=jT$1KqeJ0#9+C5?$M6f6BAm1@@o7Ny->C`){-yk{e zal6OAyzY)1y5z5XF)o1Ca)clxX)>~9a^Vy46_Ah+!dARo3n9Ll3sal5NjHcme>>cx z%1>!C{Bo@Jy%*g_&qC+XE&Y`8gALV~6r^We8(+Yr`q)tL{+4ITeSJ%q5nqWr6wus= zNI#*d_nGkF^KnhB*18~O9wdHijW#tjlhQ{GK3_R*_avFWsWBVe9#oW(7uH+xef3L( zw(kN00>uX0YGj8W^eK3%?`7S!l{;k~L6j~)p}(~Gsq zPK{86@wKUaYqjZG4U`IC?Yz3EMi=~1RKEf)UDw=p^`EUr*YT&0y$&nQiy?FE$EaDb zHbK{@Aw8B|CXoc&y!s!PF0#kJn&x&rX7V)@w;Z7w&7mj(vw~5m$ie8~v5SIA<CI4-D)NLv zxjMQG(dg*1+Cm9Z;h1_1H3#yK8=1YL$W<%#cyR}2{Nhg=Ilpr;HXjK;@JTrwckHY& zXRC=TPoe%ZU~jcOXtBG{bV019B|;9<9YlP1cByn;uNw>M!Ku4p^Cn<|b=`F3C=~Kx zOMIFc)2$2Us(sW^J1Nd8^~{nNPzaz$$tB}uOLHpknLubrkL+Z7l!Hw3({Y8o9LHx9 z>iF-VMvM{f`pdKFRQjBqeQ?Tn6JUgJpunsmaDe>>VCzZP9lv8C^_?@Mm^4t2QVWE{ zhHym^XkGCEdO`pS`TWl*bk0kjfejqj{(F^4e8V9OkIIO{(N4>?PY8uy^HPjvus0kFs z+z65aAQfFG1yra0$)}y+^ZG(q7^ag;eSZL{9#*s=d-SI?(-27Q@X{jzbC5VuIYTFw z`$%>>0l0T|>H@G`JpH_$HGGy$oHp@dSQns(fna2Lmk9%uvw2y_k+~w}Uj4y8nX|!g>zoR1_2sl`@XEywh~%(K9@f!! z41qW*ckwH;0vrPp^^Bocr`_sqLoKaWy#tF;&f=}IJWTcW2d2GUzDA`$ufXi^IlZI^ z4mUMK4IOYUMt5&ka)Bf-QqB1;qKGCq-@gDl*i*L%(w2kQ%_Bzt%?FxAl{FO*_V@x< zdP*g&#}06x>p!$O>apz$ItWhb7pIJW)SvRIX6khKgvpE|NwmE^BZy}L-gnWUnTg^7 z$qo%fj`Z!sDDXKq4hVLns0bjM(bKLfyG>hBx$j}>0Z#?t(;VZ&G)L+vaWF^%&d8N%Bo>r!6b8Mw#+8_EPAG1O z2za89^nK@u^SMvn#FI*`qv0a}Zc<+g#@vN8fyF`Yw0Za{QHc2&Ho-e3l0zs%r6t6T zmpp@jNO&*P3C+Y`yz)ctsM- zG=l)1(YmwM=~Cwf-7endRv#kz7)a)=_Uy`< z>AIJ7s{5L$C?*RNxB)Q?SO58No#kQhSzy`#VVgRshd`SBrPR7u=aU-ZjY%tp(oFz@ zhZtx_WSy{GbM@Em4#W_qGyt6tN1=3<7ht0UD{v|B5<|jNaDcm<+pyVK88kVODIjy8 z!JJ~$DM1ma%@Bh&3((J)^fbR%i#G~Nwe>r11+T$kc4idUG6Coi?anAnZY}~Va}K8v zy&|{dO~k;j4o65)3k3^9EA+W&T{jXJ$^$~db=b4*Bqv*vp=krT0?tAe0<2i@55Qj* ziL8^JAK&qXn|OrOFtf}%XHEfz01;~7blS&tY=x;}#W@?8^Z1kw3p~rP%hE|C7F=r0 zvcvvL3qoY0V2AI}b7ZomZqqfq^_Z-*_}PE+MoI|-e7ADUX9N>60s-q9wM2G$utNdR zXt0ejFC8H-Edzl>Iz=taPmuwHvv4*}i-fQYKOrO$p5KSBq)-h2uS>?@S#XfZZH%X7x;sSxk5@0; zxg(z&8KVTcpT&#tw^sEA)CRgvfIenasqIm!p<@oGkf=S{uPq2yvxppHsPN3hEEoz3 z{G^TB(IUc_aWcJ{h;+bK$8?g6x`dJ5|1;l80M)*(~Uj@3HzozZ0o zZ-ZFKgf?I)vOOj+gD5f1&^NYmt-4n@c$`Q^m80!BOrV_r_fW7+}5~y5}o5 zMrj++H(u4#n@j}j$QZLd*$qv#8IL-YFAa+Rokw(C2njz0Ts0^^5Rv1z}^U^M|iP_6giyLf4{3{1BfIq6!hc zg3w}hL@w`n7VJVcm9=IO#ogEJ8~{giXXEkoVLg`yOmvc~FnQZcEnC1@ z;zs!Srdc2&Iw+b%n?JZiW*eOw@C#0vZNtiAHZj672PJgAr;!;41gpEvlM!Ub@s)8b zQKam}$fnHF&4P2TR9O7rbsHMvROT_gkt_fz3N?`Ze`xFA2YLX!Pc@d;w7of3T?!s|om!D6s*gQxx4_k$cbpcY<;xjfaj4~yL zFY&a8y^X0+zjYIqLH8rE-mJx@Ol8b?O^rY91G*94Y97~NW4d~_{pEj3!aBgbU2H#Q zAF-=-2z%v)v|J0x0x^!qT*n`&onr%AtI1}UYNFjE9Hz%pi_)SF#jW_ty3SiyrIJLo znC^2_a>0TTGD>DXg>kohAv_)K1Gby9{s<@Vgv%9{6TQAqeN-P$Px|$;T_~H!4tx{= zZ`5S%9a%Ct;NBHcF251AA zL(U8+V=%!C=Zd^G?v!i#Ie3R;%_(EJIJOVA`L+wOjHJj{A#x-<>#WuC#~%#`pM$~W zVB7$aGuTyw2-NpH>SV+2VmM!3yc7nzpf?eO%K0*jf8=|o`t!jaz5Cd%FqrJu4ipJP z1*H-vGUNzyfSoi|4QTUd-2`K9<$`&xKhIe=cZVN;(yZ@2a5N>Z^a7{ntU@8ZO8i)_ zBF6XruCFhuJoDK?9~iFw25_SNS3edmep4^*|9Ticp@HItr#P|XC^@Rmgu`o4Q55&A z-2E7*Ah&9I_r2k;HRm63Z&{o!kd8#oo9%qy5C7GhoLhatT{iU|%-Rt-9K|_dgW$5A z4fkln+wpY+PezUpaq`no_QZgR62ZxRt7dnduN-Pm(x*NeW!6K0L6H)&8v&`6{2Z2E ztqToIfC+)i9{naThj#a9a3K(yiv(u^>K|2-hs}jAHH)z#+@$(p)gy`8Et)4I2i)lD z?*%<*Rnvt4s*lpaUSxk zy~^PS4XB=^N|X4-#p0HnH!}?;=8)iuijw?HveNBe7iO7+d+sDt)vPinx{N%Kpnq~N zC)R?{AsL7JwGf7?zanE8wr$rAI>-SWx0} zVS;h&bH{HV#rRnq^A7?ByDCR z?br$Eg@-cnnrj-~)^x!J_{(I7vt1z=65wF9lFDdPH_e_{LN}N5erW`I)P1Bcao`bn zfPKz>nk*n<4}ytWHl8gD(n{LFVW6v ztK#q#(0cH^;h)mTf=wzW@Yvl;!?cruVk_emoFnHZ>SpY~5wlx?MG5fBFW7dNv^tGbqUSfys}^iG zmd{vW^#B01

wzD`~5{_0@mV3|r(H4Z_l<8f$m@FmZ&9``9sOv8J1sR*d-cL}@VX zPTO-Py08b|O2d;6q1p0P4*yMaviS8Eby9m2<5s1;A#-)LawjvMp#Z!YGZ9TE5~hsoNdEzuj9D=r82Kwv0wb@UwRS|=dj=K|Mo{H&5X5xZzz#Qe#jU3pYrBA zyqYgQ@K5=eIrbO5lA%|wXd+Og`0Fpwj=`(|`g;E(ZVhc5xt(j~pgB>bEgSjfEQRJGc#PsQs zC;tZ(Px~5}3hS^AEHJXP$zUGBR+*s`ZkuVF}#(~(PQ&X<1; z!=>Z~O+sE^d8nDu($NqILgC64DvoBmjq{_BjRWINL`w;G98881+H2W`31# zX4G{|xq=N%aK%MUFJmq>YxE3X=XRa*sk@2^bOy}7;iBACviaezqw@%K+WL?Yj+VxReCHx}|w4?mf}b_w{V*L3$4 zlUB!i^zi88S{iEE@-}LYY0fLDhP1Vgy?M&MA&7|xp*LIXGAVN+X*bkx7`uv)6qkeb zbOl7lnn(jKX4#~dO>M^2&^{6JHEVG^=Ki$mrnzosxi<04Kg;sm{U&Bq$?a}jejEqEL!S} zmA>7HmPABFW}GjhHv|6z8&{SE4+I;acTLlkxtqVjoa{ni68J!b>fuzK_dkCV%h7PS z8}@PJ&S?6cHq%aERqSs89&_-@(bZ!=OyP(CUjx&&04gd#cT;39RH+sNUJQ$+saSCejy97v>|me z9L{ZS-U>|e$hhp5w2{dcV2^V@H5wY32f@f_6{8w}#zBgwKe#DzovllM!UHJ90ouWD zhVdNWh(gbuvksjuq}D2_&OM3cM3&)QT1dlq(OdLBY+N;?xv?04u_bPaLYU&?-m0c> zp+xa54=v$@Hi$Fu7P^5)MOTOaN9O?+YBO&Wq)yf>SOz%*#Qg^{<5VS4eCZNe0yN{I`TQ%~BH?@D<4@V)2G30Q^joMqLk z2>Tt_n}>smHnlhI(R+ZiuHb+2q4et3*EZ#?ylln$}5dmCOB( ziUUn@GS&b?xym?!-k`>}tPrvw(=uHcU^G4km*POe^4E!D`9qpF(JYFI+;N!-t8{2c zc5&w{aSQVnDJ#pMn7|a?R}WY8!dm6LR_pjM64Q1c;p|$tx1E{}57X*N1QehRBgg2b z9ts%?P__jM1+o#rORKj2!527O!ETIN)J*zNUWqI9r}^kM-i=%u8@bfDBXz|)sE?&@wcV#0C;f@9 zG&UQAywX68`XL*BZ`C>uM--%Q^(~i z{+b5C21J5CTE3!At2!>Q(VFrb<%=4uZ}d5p8m;@9gi`L-uFocttpKU&FkuJ(W0H_v zijV@uSPV zcAaY$j2bT(t;jjOi`S`WZhnXS5D6TXUG)pMf8SW^hgCM_mf^94@S$dxB}}m~9NH_I z-277kc4L0JGv*u4L;~~xPW;2?p73Xv)+$HjsN3*@e|Ow|qJE+kuABEPRrj3rPxK%C zWB)=3KjnM?U~w4WVi88)?2-`NFp^Ruhl2W(z!)XU&v3B)4m z2W|$%NWeOYr6fF&^#IIL1yx$oyOp15O=XT6!4%5XkMEdX&=U`)(9RycTV2+(`c{l* zST5wz5(+8}d31Tbd{_k#UgRsh#ggOQ2U@@+<`dI&5g-;I-0Uypbf*ZvRv*COMde3V zf9$xUz~Bhp1LgtE5wyT>z89#WIMxy=MV&bRuY0}}`O6B(+QUeT`k$Q#Gz!M(ujg2k}YlG6$jA{+xaV$i1$&=NU^B<5^tYIwE^ zaIkpDJG`W)X63-*O!IGw4h+vv=ymn>uQRv|MbFrPrb+(^xaY!KlREjsE!kxbQ=4_g zJec-!s0QL19h%2@Qf)(OI-Uotm=N+gTc5h`6K_ES7-lYINU>yg=@A9l?}@NADzvqT zo^`;1=n{cEm;`4fqSM>Gm*W#49ip+zkqQ&Yt6U)E~W-Q`QC=vk=Z;59=aCwUj~p`5zQSbr)kMODa>hf=}d;sB6&6=%aw#go1c6 z(5Mb*D8N^&h`8#Uod700SKTk%zSalQ-6lRevEMMrZ$Cor?pYt=Bg^Zkf&%D_ZHB|g z85{yVrR|cI02E8kD%eRKgPryLj9V~mTFgeFV!|!MHf5bK5qCi#JQeQ{V2kb=Zdsw%8tx18p9+e<0k@SvAS5e8-JMf0(CR zN5P#%A(-&)uMRyRdTiE3brfiejfCWO>>d=v1S18a-<>rZp`8$4)I=AQ3Zb+Ls|@@~ zpsm!!zuJN)(@~owtdLxB{-Uz*liLv@!v7I`F*KjxO`aJx*^e<(ZQ=$XXe{*{Ky%oz zWY@TV_kUT?=Cx4C{nx2ruZ5ys{toe$yW(Gw1peS!uRV}J2xZp-E(e6NYoVVnzn%*Q zptW7f^#ck1oIG%U!GQ#?&I3od=4 zW0uHE=mzwf}8Lcr$c@rd_P;^Szln%k&N&u{a)5KiCoJ9IM zTfYM2|G@wlgL@@$pl*a3L1lU}?P{$q5Ne8M!{rbnAYr-E??T*e#? z5@TB4(=efUs4At@;+Kh48Z~~4q+)tpdKbqKqMzzW4@2j&S`T4E0llorNXjV5)AQG< zA9!OB*?DYZ`V@W97-iSt?bamPC*>X|UP)t@q5S0}8%d zl~F)5noym2lqKxz@_f1m?S?E&1dKUGCr9EYvt;CAT+6ydf^Am+Mytq)U4BJx)+sd0 zn&-5IFXBsv!yq$_m8*^>J@{h|F2^ir)Il z|IPTy9CP#Ebc_rJ1HnLh&Z1YP3ulaUZqq|yufQv0a5flcx-{pR@w4HKCm-N+VZksq$4_Ebi`7K^yJ`07kiHJf1s!=Bojnd1V;v# zibVxoK`1o}CK@KNXp0bZhC?n=>9&x(COtsXBTD56G-PxmP(+IdM8&Df1Rv``&-EcY!P1yw`mDnLWBn?0hlLrZ!CPN;1P50gNL#ziL zh0o7n5+F%O3%Hz|P)P@bhy8fM)!-FOFG3Dy8uWmpr(F6LT%Tupu`Rjd2V2;U`M^0x z&{rdmb(?QMejHFv{#eJkf6p7Gtqds-2O0v?r*eF4nN@vVr9gj1=?!3B>SFLN+DLJd zOHYeZz@%ihZV1L5d8@M_?&tA&^*$AhzD)3z1`@B#JuPY=#ZvI zGTepqcINg!_hao(xj+RS>vSIp?~MBZZOM7vXLCymWAORh$bQv#lgv^FLHp)?q+Qc4 zFknrB!Q^=pp1J3XZ=lt(j0Z2$WJ$C07qyFZ6*=!oemNvBsH)TEVs@9dqdJb${%F!> zEsG?PVGQ!7(IBpweOt|3p|FfeuXd8>qPxwv*k`UPP z0hCKvs@NHlG=Pr;&NCy$(s4hF+{lZx$Q|=UOWN~;)>BWYp`HFDVZmNQyi;r1IBbkf z)-^sGdBx8@{Klrc2N+2q;P~Q0`cS>*k3OtFsQ*Rlf;w9b z4(Vef=1zNdWaoi>eIKL^+hUaC0~|BzyQp3>wMO}XH2`u*JI1r*nO}K38@R_?k?ujY z;$bo4HTNe3Taq{VAE`-aO{300V_FDYwVTvGv4B<|Cw6k=Xk(OP6erT>y0x%#WHMYj z`?h`IxjPRDY_)S?!N)$E{huYx3(9Ww z?H9_Vwz|(er~0+wBYNzabAVi9f6$*j=X~LpW*@vg6W&qv-TsBfF+K5QWxcZaa;vrT zpaeqhJh=16$z;CV`LWsN`T4UyQN201+20M<2;gV$0WN#rZ}2`iYwXy)m~SweZl-P| zsq!_@wwWX87x{MyT=NbT_k3K!5iPOXp8vIP-c%B#i$wXr4vs^_SS4<@+$Kq`YKMLJ zEu`YwdzkOnRgDX{2!kCH|Ed-)lbtrR=U^6*SzhQxvrD7iZR$CyWDk8c$c`+%ul2t9 zQ{7g4_6M3*Mm}-pVT^akR74Vbhd$FftNcS}0k2x;^?IdwUZXFuAbpY~ffG#j_cjG@ z_^|FnfI_4>dh0(=IXGchY+_+MrM{_9aXt#^-Uw7dLEsryY{P-`wzq;=JmK4x`m|4u z3xVM#c5H=X9~TVh>lMBKn11`cM$ax$&o3?cF9ct``Lm63eD-f04u7(B=tekN`@2Gu zp=I>yLFZ8BjVZ7gp%poDkU#>I!9Rd3R|(`GiJo{>^@l!IXtp#qHrgpsW6f5YSF*gW z^X{ZkPC%&>3`O%w8L&e)&qLdjz65hKy}g2V?Jo?kvERL2S(Q%#lQ9AAd{-lDf8d72 z|7HFxJ!#0oD8Y({&K?YV2O}Bl0+^Oi#?bWnJxL{?gw1HST=U;WaqsMR+OpSmG0 zo#t$9s=~H`5q_IG(64}t^rZ7Q{kKi@O?AsxjsKUmw*ijhJnuYvnj>W-%Qm`a2o6Dr zoPMVPHiqEGjA#XhZF#2A1e+lE1=B7@>vM<^CBup>nY5B)TwCYqMuQqkphQy0hH;b_ z07ndy(#9gqr08s}0zj|>%d#yoijTS5D~mL()!n%^B+c{ETe#H<(m7k+~(FX2IM_ z$5(mN45tlVF@cTv!4BM+&-KAcZM!f*fH4`AT!#G><4G8oC|y_)X6Hg!1FR4k=G7Y?VWcbu!LF80DW;6=S<_M{ zTjUu7{ME2#&0;RWn{=RN%Z!_!>8wAeOg&7d<37me(^Sk_6IXan;_H~Ctw}B8E@Ld4 zy=GWXlivpd^#>y+%WSXNwuHp_Na+?iO2_U)Ja+U z+7tJTGoTU^Gex3f?I-1ZWh$5(Fq*@&~RpJY~~TER&(2ake<>`iWjlJCG(YC_m9>gBP);zz47aA#Y-HpT_}aCE#W%fu0ww=; zC0kN^gCmD9q^U8@7*UX!0b3ZQdX%gzJ@esH=1he)k$has&=$F217+dAE?-g(^7yNI zMv5o-O&@ba0Vuov^+5msnv?Y{bQ-&1{v%M&IJ;n0V#tTz&Awy&aeFq^d%&kZ`0Zv% z)4>!n{Q&uqw;nmcp8C$sCn zF_t(z>}H|4Gw01;hhgph5=#%WQ@%7q-K#o}rpq()BgB5b1Ju4lxp8Q64$nvj^YbwDIJXe|0c-?;P;% z|LgIz&)Gphaz(tk+^jWbSjVh1mZedl{vnOMHfiRTwAq%f^81x4k}sL`2 zpa@gj3;PpVCuxAu9<{#yB9Uali#YazGPMJRB#hV4mSRq8n5r>7>6xQzJ2RsdHv|aT zIciblHfP?;c2Mm6E=5zOk2Q|mGm*%6r6r8v_F;2*qzmW+E>jBwkxyDIh3tk{aH~m*1#q(Zfi@l_CT+pxpE0R!)n*^ENWVUC3a>de`ILGY#OfXf(Vs^WBA6yv@@GCI^2NvPF+zx?!ft$nzp>_-ic#Ly8#M0fnmCe^jT6E2c6cEE>~IleN~m|tdMzgwZmL# z2Ypsw!_4H18}pD_xf3Ph3nj-Z?jEeFqxMmIE&EIC46d3=8QQpNJq}AL22+x;xCRAf zkj9DdDJ12vJY_+wq|>7R=gYX|EpVh|iPy=~_@+Q9rdHI-VFHIvx3iu$nAi|w9=U|3 zRa%l-i<#WQ32mo~*>r}ivMv`hb*%LFd(ut#LMnFmKl-r<&kJ~4G3|;0~Wc9e| zIU9<#=mHW6&&sJ2%%l9li5%f=hAamN)cPmyk~J+<6U|9i#EfRqw2L&D8khigh}Wxe zw@D9=vl4%@x%@piWG}P7K~5*kQqx_|B8B}a%#(J@`y)Q5`8Cjf}S%wNhbHIk7yQYd`eBaHGM{X zQCV|x(ONRT8@b#&x2CZ=t@YnbGX7cxSQQamD9SyTbqcb%8C}a)RE0q~B-rB6kPU;S zkdQO+SP_ZKdq5ybdXvw^ABlg*w-sMV&Zhn75cR4uF_M@Q^Ba?CT6`wX<%vIHR=jTR zj1MWN0_PsJ%S$v@0}|yjdHthYs2m=LX?Dt`M7HE$@LI_8HGa7E^#i|!W4`yk8PSza z2d@a*IIueFN^YYo(G|#Be=9+n88@g^;*YjOt{Kc*A|*7RXA#)Ei>q=C$r0=@5uCI${{jRm0d&M2uB;*QF<22n{3|E5|}}}vNUZcQdFL12*}vDcEJ{s*$mMt z1F6Mlt?^6g>bA={wHxOz^C>>fL_;i?hMS?IjtThdhB94tS8^ycR=fGS^_)d}+=R7`1WF+G(Ezq1SG%;@DiiYvtmjHcP3x%Y3WqBt&+u4u z?wmbiZ%_^evO9-WrLfUmO>^Or)oo&mAl0pVk-JVeCsD6~Hd(xrnG{)7gU~h99+FO& zh~YV3S@S;CLwsx<-B_nX8yK0BEf3r!%L(^X?<=cepd5ut#Ad=K;m*vgo!x4sgJT(U znbjR4VFNRCS?#J@g=W#lD!g-tI%iENr$f(lSBc()CCgb}RV~LYr)5rc-`N#n1%;%0I;@rssg6Wul{%{cvUpX`bOr~aA(L$^ZEH>c zsC(TVScA2br@VYx!HqoHZsB+pR}WOFf!j=6qu}ao*Z=t=8a0lF-I3Pq4}BwTC1?rN zawcD*wy$VuR#5{*1)nnPq9qi|SKN|W{&7j+dFr+eT4XLhkV+AT4_P%hMCt?k%(JGotN8F= zn@c`vH1aFW5ACiLT#%ek*#&*yQh+Sweew!yn)$0fJZh_DxU@#KoUuSbR!IJo6}slx zs=N~CyT+rVKe(u<#nF{@wQAy?Hmgp*A`-F6*OgURV$syBZ32l9#X^->WA;NCud;A|;KtQz9uo37cG1~O1Ece_^0?)!=@yDORUP=1AtE!1+1 z(HV)IR#C_XfZ*XN#iFZ8GZ8E?Zp5vZgX=#VWs*^41%2ty6f~oyxnfj_&csedc1s7s z-wgZAA*!*4)Q3QiI<50g;kHtpV3xNI4p%5ZWSj#8BliYO~fBYo4SKKrPR zj-&6U4rHk>r9+ZkbeyuO+XLs2D>)1;2-Tzyf5{~BYIyd)+)*xa{*FX>DBZgRu%(4n ziVk4ulHWvI1+@f#393MaB8hsIS>}=z&0CtZi`u?Q&ApRamn7`uRkmBen%azoT>~-J zgF9JZXQTnT2i*AjLX}CSK@Av6#=|&r*E8RkG;-1{t%c`Fb*h+W$vE1$iZ*p*u5~6z z9Ma<-nFiXq2^*39<3cFbNv<-q3-;K}-$SLCXfQELO_hi4D{4!>WjWp_i+MCF5D-9> zK^rYv(25mlqjhpkx-d*32tN!-#L16tzE8Hpv}%c$j45zcW69E=S!zvjr_psMicyk; z$|is#@Qe&+nORt}^qR%Yb_DdU&*z!qYx!Xwujd)*g}&1aM`?`@y>5VKEDaJH@3pjz zPC5SfV-G2SCH0yMz9Ga~a2blU$S(&nzOGY3t!A|xG<)>Ig(cBZtL&<<&lRz_MA^u~ zHGGH-*%127R5QQ5^`6!n>lQbmyl}rDH|%G5d&79J7TL&B_Mp5urXD3< zNRp4@w4vrsmTZ0*??K7$|A##&*};;&^W-e;k+Zy0lXnYrk*zG_)BNS)SR{4H?tFI_ z%Eb*a83aXnmxAj}1n8Y4rgspaW`*Ew4Z3b2K)=!j|2;Rw`3lXudgna9rv3a^)(jNs z*Lc~S!dQ~*i~ECoP>fTdB^`R5fiWXW{N01#ROf`P$q#sV$#%+_WQ|`lc17E63+#jC za9q`pDY9UcnS;;{-+-IX?+qn~!jbJTW`z*FuHnWov(TIgxpb@c=y(4Wptvy)v z<%DJspm8_y2M0cI;LBK3^}J$mnrmwOUrTCrUg~_~Yg>7nM+atkZwS6DpXy5H60#4k z8^|fRVKO|DJE@l%&p-ei)?|zBl)sNLUqACcPwVnb+Z9Yfi&~h^B(%c+ZXg;4K>4VqHSSeadW)2r3qssm87J`N;B5plE z+)|^kM$QfA>ilZaefhYySCx65_q52uEGKix^#jTHl^Wh;sxFrmF}8uzwWM6F7H32p z6%C|WH60{^tDof;UpsU;yQ_We4?oDN#NpOv zT7998c$0!@E{@BS|0w;^!C0_$APlh%dRv+`&cV>yIWhBa`(fYykG$SIrZ|+0WCgOX~{spRP!YVr!!|K zeF!B_bHI}E!8mjE6ju%8-{yI1yip4^ZT$}!Xa%}zhT^quvLJE8OOa-|E_aLf!fP#A z3-MW2NfKE$M4UrT#MxUGpJqL56nzLe$%El%nv+bf9tWF%Q$gLUu=x7O{lPLq21V1?XXwUkeGTVAR8(ZMij z1udz;)Sv^Nvoql3J8wI&MSuI0mb>=NKZMJZ?1K~$8sHdS9xxTe%c2`t#A%u@Tu# ztehnJs!_v$a}D*X_((Xt8=@V9V&(=<3g1joer`!t1H!9Ps;t?^GV8k;MYW_f>aEBd zm>F#BFrnej$l8>b;W}Q0&PNW6o#RM8#-d`|O=^Iw(lR1muK1 zNJd@|#++pMWi-)b>*}((GJ;X>h_O@tm@leEK5HZ`6y$@uVO%J|J6s= zzWpw{%ZOcuf9u|FQKV&&stD4cg=NTXuo^LAk_hv-L0G`2;uZ7WQ+)S9Uir0$5aZTS z=3^on?B)}`bL)lp_ig`Oeg02*qX+e%OpSbpmP$eqLAE!z1m2EDeGAcEL`2rlqJIG1 zjkFX)=aOAsm@M-tn$;mCbF3xIES*ruoj$9?iyVwHYI-Cq9ji+*qg@{CQO?-eD7F46 z`Q)!TIG2zE?w9ckOc@_MCr3@M>192}iWmQnf5i`f_lL=4YJKa3#irffBlc!*t?Pa< z@_VAcuMhS_N9iQ+w1m@FTFx?~H>5sQP4wOR!1n0#BleELi^}%c)bPLgk#GF}^^xS} zdenDtd?D(iaj0=VTgm#DjPL;7bJl!GO}L$BtC4aqdkcqt@S}UmDl=R~#&}iUt_2&S z0CqX75~PfzEnQw!E-TUQ??F_hwqO#mz0NzYCJ7^ImBknPm=JkUQyUBgWA45ElktmW zctia*oAb&ifBDA=yZ=}fTJ}x2EpNEqLiF-N)Uq(1Wux6FH@m!@nozPSf`2gI$UoBR z$eRZ*RzEu5mF&Cd4!-m5Xq1fd=cygdk4gzp`y1Bco4vLDeb2iaqP6qUV9)qqDtMI+ zz4GSq;H+i6=gq(F%*}CRo#o9pcfFz1Ay-W-j^f6v8S0#<1LHf8^uy7qBD+6OOpFUH ztG9*3RW>ZCQCZZw;5^{0>nWTh&&+b@Y5@R5hdivr+YX=Xr{=d$hpd69;ZdaPHs1iI zs%y^3WT%=`wWN#4jh}bEa^eGRmIe4HqoASGR9gNxnQpU2Lp^qycpuAz7_*{#p`5I5xL-`)uY-*6C}$`;}lJ+7}$K54*t6r=PuoW34enx z0*i!KBq%YL$xbLdt*Qf2vL)NYYrI-saCZEsCBy>gouQW0f;?4@c__Ac;TjY$YkBgq z=odO=fqO%LRR|f{?T0&%gydSywxcN8=m%)}6n92dJ{Oi#F|*98Y$A!M=4UJw?fCt> z%27c4ra&`)OKmV6gnF&&#_>xJsYm5WqFt0?TZntwo@ZE{wp`b1UK+`olk3@b(i^b% zG3mLCPpi6mcHH1mi)x9QLReYl`9`1VdYR--e-K)a`59i0q8Vq|Y%YO1ItnbSEe%K) z?RuklX21TLan7oGg9k%%ZvLr@DieA)qNq~)Ee|T0wf)AiqH{mBrA}#ku{BY6i(K1v zwzJihL=(nVwiBX?7+sdkdib)>Inv+vodE4EpXf^}qL{=;;%i+Sxn%*?_Vb5U)bf zY}L`fhrgVIpV!eIGDa%G$9m831qUl5xFAg5w&a z{hU#ZKjaSBtRi>tqw}u6_0_F|1Ie))QT=7ew!{DZs3#j8ffAtnQ-)bP zMrw1dq&m3v&d!b>dZ+98?2!K&oiE3d--{0Ismmhx+Alvyu1cE~1Qs1B+w!A{tP6jy z=+x|7l5Y%ddbCI^wC$vs?VYBTGYpO~tl%D(`K~nQeA4q}eG9*B^(MW631)qCcYU@c zdoarSe)hB#jq^D|!BI4gLFd@;Wp^~;S2odta8~XBo{PTzPbst}?S@F+Zk60NwZ^iR z8J4x$Ty1tt*n`=szX|p0P1eiFuhx+a!$v!$#Kbi&8Sd19fo~a|m&}IONrqs*2IrTP zOgNPaOgaq^{Raa(iqO+8(_eR=_S_>;-HVPyGnw*5WNB9Vd=^fcYK>amxn^np=Pvfj z8gK2s(}P*}h8t~LWT_8rn>AVuis_%DqV_(c?UMRO>p!K# zIrW>R-RRRTzWc*{=fjK2Gv}kqYo32Dg1t$gr13%Gf#SXNfoOnnf5tK%z1(`EVi|>! z=R-vwuJt@7UraMr#ALD@e=uVFW7!4gar1qcDwS)7wL+~hTdx(# zgu0afQmOcf-^^4yzSQ@nhhBiYF4|Z8D_roRecJ1f&PUFOzvUNECOqC2kgs{Kg%y6m z_ylbkcRk1*tXg)~x{^8Nfn#3qIvd`M=m9uIWO-Az!_%g@=Rvz8NV)?V*6~)q@1{4u zwchulKQK{$cHw-KI#U0Ee{iO~T}t>PN=Mdd8dbd;GF-SKL~qEkPupHX2?+aH*H9;}%h|yK@JlAtwk% z;n5SBuw-+!=X1xPuIoHA^V>xP8gE*Ed4 zhgMM)VSlW;YUr$W(YtAf&$!)t_N}RZ-P!HTZrVLQy64p$TYi6HBsjvhY?qE*v$|HH zwjU_2GLIMc6s|0Nf<%3<{RyjRjco1rN$75x%UKb7IXaix9k9CZZjZLsx7Ne@)>j9* zU-Sk}*R{dZ^{1@Fq|t*HNknlfv${jQsdlT}4cp%A*xmmU9dbL|<2WnX}{p(1Z_FYFCn$hSVX`M7}xK!jLfpq$2!sn8@uiA_aEBrZF}ljlvwn=X!g)V zX0yMee&&QVjD7qkw4$(zPg~2<%Z%ltFZADAl=XbM^@=IHQczJc<_tImX^e~o&B`UT z2b0>s6W$x%^WNMJ@0|Bhmbtf$y9&K+nXi5`;=Q!_rJY;8?Xvor>8`f&iM6WZg4_6u z$6Xk``qah#J)Ip@@2MVfHa3Wrt3Cb#tr|DaREq z4q84MwQOwP5+uflgYoG+P3?PD+_#*@36kswZ?90sa-F0(SL`B6;3#Ao<_9)MW;QCW z3lH%%5ZTF5&v%gNnM^96{g)o}DqNOft5i^@fC5W)S$*2J#|948_e4+DXOCB&tUpOZ z{#oalf1_<{&ym&FI%|zvm&}KVAPkXNHl;Qa@4eWDwOXJPOc z6PZIJLEEwH^9U%o#d$J)KV&b2KS^I%`j&Z_uPd!TmjKy1Zy*z0@y_|)9`0c5MCS0N zfK2%87$29NQ;|LB|F%0^w-()u=3nWahaFD8I(j9VAAe^2={l`2pY{K@y68oMLQktv zbX?~FrJ@jdqty1s@0{*9?t1xy#0TrxD_BcM#!&*?n}HPVxa-+U<@2o0JtyvUR#;=h zCSo-G37VRG#9DqpUD|j&e{ZxoyQc8%pMTp6AHK5i`R>7k^^4KL`W63XbmFP+jE_3j zuI%Btt`(){PUhDYS6C~Cst^8bf2DB$@T1pVGNee zLF4s6ltwyDx%MN*z3HKQtG`+L&5fTvKXx-e{X5}jN48w-Jb!4JD{@jZjv z#*a+w_|6`72pBe=zns1tUVuWEO*GC5_mOM6hW`iavEdb+EB0--R<)kB-s+F+hPT~6 zZ0}<}Yr$-m`^_Ff7qW|3kZ22DSc;1D-S0=?4h*92N6*ZE|J5Di=lr+pH|vqi=reUD z)c>dYvF!Nk(w}BLI9V#_y-QZAPU7Gl=J9Cl^zhp73w%Aar?9sEgZjew?EDWFu4N}S zAAXY^ANF(8J3g5RUge%E^r=r@2$WT#l7+<74YXpsV3azxlp0~BGWTRX*cKhB!|ML4 zT)y>f2ps|JAFya2T9_&o@{Ns;(S)M&G3zmFt1A4pm+Xu7Mc?(@O@4NdS1I?j(-wq1 z0#J|$fd@P61h&+qAI{}IUq5uh-(EjFQXgHYzg#~!F19qAz2ue@+{;!)J#6=@PUV)S zEv+jvz)JyU;L}EHY9)_P2IJR^zsLo}44r)ThCNQUhYd!=>p+pko`z_lgwq@5!zo-i z`Y(zzg>V-C0Cymuv2MIgr9gdRlb~I&LVS0?OO2(dkVw%PiY=Ph*Fda0Q1lwwM+8U^ zNU!6R`P-C|L=_gHY+b8LPTSOdrJP(V23ExBh`&J`o(!Z(Gwb%p1T|$pz{1H=FaDh+ zzKs%CB=%9_x8ug}8=D8u0d&}}B_}UW+zPPZZO9GGKSe z!pUM`I^RLft6 zuBwsUl>}FFN0XQyQ|vpOHCHm5Cl$oY)6V^*IL8^8Q^<#(=V!CY`AUU9)UW!c@qH^xHv(E1NUM3qaGKGd>{_yNH$XfG~{Rd%$g_tGm zN>7$aI+L|7sSm8ouo_qnnW)pLTr!pv_LqiK?t(h*&NOzoU&fP+wneKQD>#CJ56jO# zACAw`;A{jt{;YM8WTl4@pD-h_Cy-0{ysi(} z;d+Btq70_;f^c_0!yP=U!&4R;&Q6ug$u>ju zjPF3dUi812LBc6^=Z2_F8zi~S|liYQgu#5s|&WbM(-JeP>1>yMa5VaGiS-x)b9c3}~o zSczg{106P-2?0nUHDF#!fG1WuM~Zm|%}BDSax5#fA%KZ+Bf}S|7>!-5&pGRy(P`}O zsz2E|(AntsI~#5x>6Lja+%3{$;bnT3JsC8=R{iL%(fyfo?QF0|o3Jwn`Tyi-k+U0G<@j`D$13Q5=zmc`kOkAb8soKPRI z?P&0z?|2vMGQz`h%R+cIWRQ$UE7$0>2<22=0fczPM`14Z!st8>sxvvMNQwj#bKQRR9#riAILVmAg=JV)*5NTv z*CW``iBqZ?=VUvS2>~vvDr(Oim$vkbU z7^YJY4^e2g2yo=Agg-PCQx2g7`8N3P!iaB%I<47E$M0=a3Z1d;ET~SgUfh9mzU|3; z_b?U)6huGRc(=egtuXi;%>lDc>y%LP(NJpv+SK)3(@X}k_csCh)_pe zBED{iIiKB+^kPmyqT0iki}$hs%+5LE-pWRTKHmRnN9+BC=o#+|{J!Y+gdJ}HZr2a- zIC0{sM7dHKHkV#9E*rFQJhiFayJY@#Wqx>fW_iOK#0#46Afq=Zxx%btI$H-85@l)= zF_Q*X+rm?g==%|m-r=_wPSjtkpRX^RKxzkQ2hj*uRcVdcbwj!NSX$wIRC$iD_L5Ua!-|aaCyik+J-Yb-dVn%rMeJQm*;mSzDdH zo~ZH=1#pi$6K>_@gX0Is-|&#XJHFEsjlw4@3@p9lM*2BCBXi))t~2}3Rt^kb1J`Ih zFl<;dvyi#rVcm@Fp5I()-547Nw35ePnlkpZy|A})$o}Y=QOJqT;@VZQsH)NGLe5N z43wt%Bmp{hUqF!NBDiSM+u$FjuOn}2iA^Z}2%ZdC7-A1jc0Q^a`v&ZW+**9DEX_kv z=~>SAhdpfY7t8>iUNSBmLx!4}_LD|ic&T{FNYXyS^YW>F&&Iu4c=cdC_3G>XmTdNI z%)R#gJ%5BaeZXojVN_KH9x>C?)q)31I4| zTCaYn&Y3@FL5LyK_1F83U+&)BX<7Z(Uvb$p6~DWFIC1D=eELfLXDffP(>EhB*8gB6 z7(cdrMY`=dV_Ckm{KwV>Ylu>>PhNx8ec2~MeKVU6y_|g_ni&6Xc3afv1TgcmU|rAh zDTBO$p|-O{b$_LBcDR}`syS4fE5Q_k@fgpe2@^*<3g}T~O=X61*g<{HIbs0y#-JY@ zN{wDz=&85vtB)pj*4H`X$5EI1x{^BB{cX3q;cj>Pt`x4QjZyb*Wse;gP?^kNhNOc_ z@W+!u+qL80(Kc85Eb-?xkPDOq+v@3BO?Y3N5L)PD9jllf&tHR3WPa9E7z)#BS{dO> zKsF_N!izB@{0@+TNsiCprN)yoAqYckj1-(M;Ix?idahynusMzlQzr5|8*zhUw3_|V zSC(w9Y|H#TSQh;@r>w(6b@T_>@+ibSON}eA5i?hd1UY}&z}OFtInRc4^B_P({6wY^ zSsuSDK6g`7vF2ns<|6FzoY=jfEjN5W5^qJ;VbgS}J5Q@8LkTmD_?QpXM^Cwqaygx#>3sm{h?oL$)u6W&u{6&l7E8E^D zoqwoh4~N)?cbJV-*!g0qajg;UaJuV=+^q}Y3??`L*x*I)n^6~P?=_Hgwax%l)3cwc zEX$t$%bJS9hkkBA@M{|7KyjRM?kEk4{$~Ib*?! z@0eh5s3{)p3tnuzy7f6sjPBE(|EpHwWvp}7*o_13K&UP#&QU`_W1z3aFmi7nZS$LL^hSO^dgm(`G}T~I$)Revx#?yhwQ$1_9fR}G`_>;L`)XO|01T0l=|;($D7 z8VcQ6aCI$o$>GwriUFxP>_|t08`CNEq!W{!RFtqJurJAGT0GjAdmAk)3mf^k)vsmz z9^l5=c=A*0s5sJ;-y5BBl^AN1s$ZewBue(hNJP*gi!M0djWi}{61SYYmzaG%M`*Zt z6>@?wvWQ+HZj?!G)FdaV#{ zKYt|!H_?dDqVYGq5*-3l;?jlXSe|Hgq_oL)Vve(}NTrgRcAa*ZtT1PFHe?`}7Wf-+ zRzyU@C1i=e2UF0?CF@%~XfrM}!Yt1b^e-JG+yJ`D-fP{< zhEgDNaW7-t9~5Bs<|)k8?em-c(BJOW`*2LpM}K~T1nKrUYw4vlo@jb1Um7^|uu*8Z z8?3%*@T}dQ|FpN$|5mcR+Y^{^C4PMrvsK&FCIR!^fzu0htW^}Wovc5Vs{Q$4jdp|3 zx3DCC0Cuw0AYb+YZLM)ST|Mk@r@ej;2aTKl%EuuZY=nDZOy{$0_Zhj+V&U3X%mdb? zOz9_m+2rQ#-x*xvcSV_vQTR->ZDNQ2&585G3STlrm!Y_)^6EgkvD#UdS(Uk*G0$|A zV5TkS0B+o1cGeqvqTMcLX+tL6&L!r6g*fz}ZQGH3PdFF)bFbLRkTVay?Z53i4ymAd zP`F96`zQG^z56n@qyS|72iybw2XO4&79!+tlPaKH{m-qEV1;9@k?4S0({5!zh_FcX zIFlu|m}mQogpwJPNsdiS_y(Pe&koFl-N@2J_sWCD0*KjBvMET3Z0z$3jVhc9>!Hiw zP8fjW>IpWZVUEpKaF@|J5>uv%WEj;};N2uT0*c7AzEFkXYr3SqDd0ZpneS@ck(%cRZ)SK!)v=%js z%G$0Tv-^6c5m@)&E7YpgB0~d zx!oSR>oxC8)CEK4cq0TjVC^URrUpbz1ImBOSYh+@seWJ<+r}lpK*A%ybZxGQAZUE@ zL0J)Yax6HeRQ#ZkkV1xtvcemt+ZtBYdi#2nD#9B!n%5rOW6Rpu9#!JN z;?-xz2yf%}TTZrZi84zCi%mUf3sjbzyq08ap(NPK!vR7nWlfmYDF~L8OKPLZK#PJn z8efK0$tA)$_kpk&nZO(x7C)wn5;=kliW=1d=GC3Hw%0~@%Zu98KfXy=6L=c4EInB) zm3m2hlANHLF7wYB7~Dzv7o9Y+WJO?Ot^N4dHzF&FB{;XK}X2UW-9cp9XA z%^K+dd*U-x_lA#T3^@GsfXn0Cjt#=nw0i1jJkwlhtw6}PV?#`td$tp?Doj@&vlyM- zw0n+HW6r=uMod*=o4dXRn`b+A8UuX7e}iEC&V}zZQ$$j<5ryh%b;rP|N8Ao>PwA_b zudTM-(M1U5R#5-?{LgdDR}G&&+kVD)&S*O}gr$~Azpi$hG(bAhsLVuQ+=B#GLXRJ8 z1ky=LMa7yl6MHk<+vt@{HM9Jz9on6X_9|M7Z&{8BWIgi!iifuLy@40KK7!3}_yk~5 zN1h+OWmUpbwbxa((|rxeKiPQYtJU?&OIjUzuqj4--mxgZ@F6H5^kUB|5c$zOscaf* zxk>yiQF`c&nQ8T^L~np;g3c~1PJHt{P|HSq@|bib2gDyBqv{>D6T=|~y$(gE5TX9S zsm>8{=z&v`w;N*P*Vq0PLOi<{TZtB`ZPr;8Oj}M@nDh#c?$zZ=qPOvT+rrVjaClq8 zDmi{Z&DqG(+YS-o!rb!_EH;>OeFW^Sl)5*?DPZ^nPDVyXx*9GX!D)eCwSQ$8UcN)} zie5L0#ccaOVCx0P+|{Lw(Y3qO|9a)3_cw1h3K7fa)*CDn)iU(=gFOlZuo#JFEgb_ zi1!7+_%zKTv{NcMM@y?$yAJNPzCGH(Kn}PvkcalD*MPw`*MlSDN5jRCf}J~T(K#iE zZ`=No%)x4VVA_5x+U%_El;DE99x?v5&sy6@nL^fvAG zDuMT{-Sdkqi2GX>If#IhZ$Nl4JmT!Mc&wsNk%nOdjwmQvKHOQAw1-7m=zM+ZgK-wD zN!|tq4T8{o@XusU?4%NFnRDb47&>h%e};rQ=%G6S`qR!}>Q}Vc`C0Ej^_0>XWdgML@Qxtoh;XoZF$@7(EMB&MuNF9f|}5U>CmY5!0Dy!g67G5 zaDAVNSQztUW&@cB1W_{sR$L!30-J6dqY$#`LYIbDm54I~L46UQjgQA4c|V+qk3lnJ zs3Cb{1C56somKe zrQk|>Sfi$4ur2U;MP_3x<9~W^EQy2+7VQ(^HRPr!;MgW^PRS(p3D$3TDmEW5hW$lb z_!ehgedIZm9(TzeasW*UtosV9ePrMigSa(hz#77-X2HtCA!@UW!Qp9MKvFBon6LzB zh!_d13fG03We9S^i)?|Ezl{>|d~+(aU;gqgBt+9hak8b}(R{!rEhaMJ9W53+8?}?7 zHKtjetO`8H8dTsEvF8c}WJw51$Za=`e4uAhZHs&dBZ}G)D-EV_S)=?X>*CfsKAtC^ zjX8(;5O!&rrHDP%w1eWILLu6m(9B=NI8hU^`tYi81Ry^N{fyxj0elFZ6gEIWG_TJZ z40Sj`#1-=6o#pV-5APH;vJz|ElyfYd&hyMQo4 zE1^Y>OFCcw^Ax;^&|GGuWrLfvH9BOj!hEMi*{(`GpQ0ij89l*vg$U2qSq9ug6Rzpa z14>_r>%;fH=AQlD3mYB&pauLI#oL2z#U(nK3{J zC(YUt!8_zTW*3<(`Qe=i8!mNv?snk9w=#2f zx#5<*i0pK%n+0l5aDI+tnKvv%C?i4CnP~8D=j|)rPd&_9&Zo^xh!H71brzYrUQIB@ zvr1pr7(6k*rhhw##K7}JHdFGAp#P9Al@{cRw;74vlWE}B?kOV;S&J35#ns))Aw^bc z*s?J?E+W4M_`d@0hnQ4R&t;BTn%ffD$(`e}vOX>AOCt6;i-gI>ChTp9ruBi{4Q!kj zcc?G*QWWPuir~#B3RgNBn;x~ljW?ps+Ev?qDVm4AWDHjN9ESIQ^-8`j{^UtZgbwkf z7;+7DM$RGfmZyQea`ZWo%^=V!NN_xocdbEL?P9LRa#uXu=3k2|jt}5P<(Nd4d1u3Z zRB!SJ=crx(U;b@QXajWE#y*_WG^#agTzip)5CS6M#8B>WN^N*&a-#U^x2ha&`D5Y? zN=j)g>{vWwr2WV~F|gmId&&=x*+-|fl4v-SZM z!bMpOXIu<|nt*UC<-Hhk`O(pzEJZlNLo;^8XL^z`+Vy;v(A+|ne5x8aFp|fX1#i#K z0|J7Wiw7-C(Uqtra66||ZTi4^MFf5=F1WY7v9*8^w>|1>$8i&*_Db@o#zl0e=l(bmvq+^(o4GiOBUMYvN>;|ogjd$_Y>eqqm_$f zjKrQ!?S=dx{qQ?ZjMvDt!F!ZgZNc}|ZWYyX72LG!i-$8!ns6POt?lflEA zEvJNegmQ!fCEO+)7Mo$1WFSYFBQmiuiy|d}Ym|8qErJ;x}V{n zNw=R&?Sh~t8-3#H(cxp6*3|ehQvb?gB)qUG2eAg`y_xyG&+~h}@1EQ|ODsG1;fWeE z3eeVzg|TL6_3DA?&iwDW>d-6E)`9gZdfV%nM+6`_7T2V+zHyJ_x&*I_uR2{^Mc%#9 zy}u;xw|ianbsDde2obLDPLeDyZtGP7GX&PeamMow;?Jq@XXO3f2Sa(QBrfNzYBgyH zcB&slOKzZ?Wz?iol0P0bomXfoR3^&P_oBDm+W|dnxq{yly+#g6-(A8MI`DQuUAAyv zBk;mb)p-MMEZo4zxG_J!xw}IK)0bi_u^3wa_-}~G)%-5?^q!<0JnR}2i#cT`%DP*o z7eJ_OnOZJu&+Nf0v`QxPiL{?q=}=cxg8GDgaSxKR6{nzwtnpY;NQ_vt78Wp~Or)9U zs@PHD*+(0X(6GkN*`Bl;Kw)ayKYuEjrBn+?0IP;EvF5laEF|oPT3-A94hB+6kdh}6Foz~KXO$c zGSD_5F_0TF0pr(~SJC;IE#jE2ET&HiXiAbq?h;tL&S?9T?o}Ex=bs+u@ksk@#1I!# z4o8nTfk|2yW2J)9{&yB;zN-;YieRKDAfcfwoLGSa;X-$T@kKwaCn4&%ld6F}@{YZs zf*r#!IiT(Y15s6%1c1oxr;eOa?qr0&cw;8h`UVLAJs7Rb>WS>AMZ`Zws;x&)_9;1O9Gq=zFOBaP93Ws9^HUKHIDJsCaiksV6XdlW!4Axo+=6>H8y zvZfmLfJ&mI#&^eamBnyIPf2b!c`}HFADsALtPi1{iE1ti%90O@2L1t>CEP12rKz8Z z0oE)_H+%De6)r&@=afSFa-erPWH=xSEL4I0k>BXPQ4d_Ke)w&Y1qrFUb?%6rm@qWe zqtjSX_STqNy*@0n7^iJ#M$rfU&G1Q(o!DRrE$Xs*M=|@>)0vZ+Ptb|zc6 zsiY?+j%&GL+Dn+$W%B|8^du>cRH0g`ecmF0BpxTwAQ=iQ7k>5(;5^BAF(Dcrbev?Y z*?Umpe~J<(vC*nH;iz>|6|TAF`i^Pa5&HoA(2wnd9sa?&oKqkl=XY9Xx#Q zEv|#?3$T|GVprfwi1)IX%Ld-&`Y7w*qw(UBdc)ryV@D<_27m>Sl!)w;_wi?5X?xuQyv*Dqx69`;Af)7& zE~zac!fKNHq+zJXlYZ+#;BZDJKMYT16?VLZLzh!=ARAtEG0XZNvqyY1XhjQLsW=^L zAB-n`!CC(Vn~n(F)_#o)!INeyn?D5f-zWcs%_s13 z?MpFjg`Xfpu zGCy6&roMIlR_F-l*tws45651sMjq`Xn_|71_xvxA(KWi!ivp=F8Etavg7eGsAHa6j zfa=qu!H-R}R%{V08~zv>@$iy8_xA z`v9Fue1O;=!(Qe)&Qck|4oc?sdE4egANF~LRW;TO);#@grbOz{Xx)!3}JJqR9t(MFs-GX@RghzH`|HjY%MLj(t8 zC<4pNHEgL-crQ)`H5;L;lRveFZhOi_WN>&DxiDiNiGI5Xd!nkg;u_ z=bz?_%uP0P`cbtOn%vwcaiGD4 z`G*d=I;GfssrUg)?`!||9`UreiB==gBTo5!ZC^60V1YYTr!k8?{pEMUK+iJxp8D1L z#CPjYoyk@xQJgI<3)fK?L#0NsXV0po_Zh12#IQj$@K=|o7}N&Y#aJ*&Nsjjk1~Ks) zJ^pE#$CQ>eEUT;SXQrFO7pcuf#DJ7Lcrd!4l5g@hf>2`7I{$2c0FIY}OhfH8R{>IXypHEI+QKNtP;6K_*XIera5kzB6PY$qs5nzTj)3IX}T zTdl_};wy_oz7>NL$=?WQa7M7`dz(cEv2%PW|A~?ypX=1xzRdFLHnhHZ*?mo1nbq9^Zo`gx zPknyJ!Z)A)TpdoH#U!HTLS9`fBEAb#_kH=sE-RgQ^!F-7YnzR>z>#595#@l^&E5-b z9UZdTlj08zqDUw?jA}Q$Uv*(}z*LlAJb-WS&Vb$Iu3v%n9Xgsj8dC1nY-VOMiu(mhI@LYe&N1Us`I$&ODM_b(6uBvw8e z2RL%zg}CaA-g+z!p#hODXV4+1pM9y~5x6soTsHtKPD?QLLmKU$>HBT7JVbr`(A$Hwj{Ds$Q zC#Df4bN8;wP;U&T=r%2SDR`?8J&o@7Hy>bXz zpc}D3zxv9$C$l@e*G@BxSj2Vf>&S$5J4=}k3)+BjJaEHBapI{U39B_r$2R1^? z=J#zXne@u(Y9Wa=cdDOAip2}=kS?Aix6lbYW3a?yY))5gZatHX_V$5qGc>D@!yD3iLWczC1#W*DuF@_XFxMXxf*H>g#5JLnOV zVb*&7^6{Tp#rBtrq)|Qe;CyAJwQhLDBklb^G0$3nw@lctE1Y^^_a5?y;V0Zc|84L5 zJQ&&FPr>;HVhd(4%m4m&CbkI2Al2C#s`olJo!Rv>X={@&skM!5jkQc|AqOr zGP;8J627V!UU3^TPMWf3W2j6VHEFFqZ%@cSHqPpOdFV5IJ>Yh_m(`rwTG-({F@He5zAaxvijPTaM-qeO0UM|`{e1vju7uDh z2?qv)u~6QKW|lA@3VH|eM%X6RITGfkOC>ZPLG9_L%zbBJ@kg99fXqdb2@FwtN6f(1 zxflx(d6Ns9F_rj}&W=s*XY^Xl2u7D=RgMj$oI(|JGVBR0w;o!w#DY!6<|lxGC0y)` zAFxiB+E1nlXSOw-z?D1HZ|S~NNQvBKsEe6){y+yJ)%9!tGC!~3Z#s-iY`(#}Fk}d9 zhE^W0zdLHMJ{gsh|Ef!Z+bcl--h336=Of0q{;~IoZ8B*PNCw|{-KZM(52sgK9iJ$z zE_UpK+u`xC&-#xK9Q^hh?!oXz7@gchl2M%w8Y2rwCTP2`<$PV5q&yk4SFTxBp>oN* zZduF!%-df1%<%T%!j;yyS|2gjA(e%fx8k_4G(`#i->kiVd=%$>?>jrJowe&Gn$Zd` zLLocPj>I4^panY{oz&SGjpRX+K@jY6s9!Ct6_=3KHpDrXm+!gl&T0fJBbgsn8YOj4 zkdO&+lg9k0FUie4m53ZG32AJ{ZD>yJD+ZGsr_HIs@o7nJ@5z0CXC=Ui`#SfJyDY0; zyED)8e8124$LIUwVRqzgadR`Xb^JcmI6mwXOy!%G{MUo4Gtt>svCm0fo{f5c5*%Md z&M4`IBs?6=5!SG;c)M5Gr@hnOT5na?%llm&!lKIIHpj>t z?HmKF*GG9*ZS-6+DPrA11cWCqnN8hKlQ7QIyq@6MDA{q`??L~pjaEl~#}1;a)Bxo` z%W_93h~CqfT%oV1OyIS0Q=7&)+|=#J$V*CdKJ*`x=wr}ck-o&s7nDV7I8Z4LEM^=j z7T#Dj7X~TJ$ZRN%vxr{aaQ#6Nzc8QVbHSjs--|UMX|afZL@qcYN^t%YANnu4Oe38s zUo=$(X(u_iWqqR68RlOKC}L;_KSGTGG)mMw!4bu3mye3jq(7|pNXh=ngvWH)6r zOdINs{8Hn$+@<;4Ci6pZ;|4DlwC^l&e-fUdxfl5Fw6SCvf}1$Mao3?;J7?Uci{@$f zlIeFI+P-tfNBgcrTlq6-92XIvWZNJDfbE(w{Y9>#AeI22FK44agb`zHAcFR~xLxsW0y6wNWG%{DM*ZP7 zR-BA>&;5&4z+-#gLCk7zJb4Q{TmF?3tsuD$wyhY~5H=LFZixEFXyG0r1sM|Q%t9I4 z6Z+2~Lvsun#DW!AP0UGjcl_&l{zci(@o#I5UHI$ef42(f4d;TS#>#>M3E(6l#+!nG z58cu@aaeA=kA6L`SHcAur!gnK2N>hr9cy2*pevX|Y@9e{D*h#-i_IIad+#xC2U{)9 zB)rC}+7AOUG|FIU<9X;hVR1?4AVZdLV>tBDusF+VL@NsbU35$t#MIALbY`d(=Z9aO zOam7R$+)-3K&(eUE=RyAASF+C%EwAUup{t6QG9@nIGaR!^b>Kw8&<+%3@Zux&Z{D3 zD^coLp=GKGWCf{5J1W#j<_r1mqzc&i9&U-7m7AkPcoi!Y)vI~_6L^(pd=`N}@)v}_ z5o|T0+-%rZeP_wiJ5o#8{cQnEI<~ip+V1BQc2h1xN8jtn$%FHAPoAD z^5F4i&G86g;j_gUB$MI(30du0sgyu|k%(6!_FP~52hy_^dZlg^O{mp&Iq6EcmWX+Z zyTA8)wVf0u%7kySuHp;+$Vn3bawnlW-e~usqIrEF1!s)LK+Bn<`W68Zzl3npnETM!>2Q1SY!oRw_4p#a|&h zgN|^MrhExEHOYh^Ll#J)+C5g>;oYi!c73W`2<>KVt;9A`s+E+<1e zCZiHY5k8!I1Q-}H1{nq`Rr_{7Fkmpqu`1Z`(UcQ8g(H$ndCXH1ne$0@?q_vJgQ3mA zNF>p{;;i%g?XO-R+EEKEjN%tryc6crxg*N<7+95?mSgiHBl~_L-%jJ*3DrLS3Dg3^ z+bN5teqDylkIUo1L4#7!%R5ko`)Pt#;*k;t9Y_lldZ98PN zMxWV3~Bt{@0+Eq0VK1;G%s zR!lrCJXc_muqCP%Eq5}FUP`s*#;Fy|S;ty-(j?{Y{5=4julRdrXfpHd;jd*f=X-ZR zbqUCxxgw+z%QG7$vby-;@k3M$8;?7Jmtk3m%XZ^K3|TxNpewXfjAF9sqbPi}a+Yhs zvZBA@aIl&)jA{WIq@^?@3a+0203H@5_H)oZ(oa%*dkK z50%{$meO-2qfB$=ZCH8gV^t+MX(Vh+8uv#yScwUzY=UnH@AMIp&`-z5T&C! zMwCE*b)+3qSS+3=|FQJ8S_l*`(2xzO%hI-SXmfRjAGS#7<;!E+!-JUv;k4rnVK22- zqW^AgT3(VvEG2vI^@sO(d5>}Yw9q~9Sa5#kOjUZ3eB zd!;3_h45Tkj`S;ZeRX#1OqMUf@o_htYL8(K2FHy7+O#~Ik@$%uB+BFU zV0hA}lko6p5Ujg89EIUG&OG%|w&jw{!YWMLj#y~DnEMwx>h4%sT4hi6w_fVrvv;@h zE(NseSp^i|b?IE8N|OM@ktk09`72w$PY0Uei1eC~MGZk_)>w4ZH1F8d)#kpaC=7Gs zFp^CGiGJa975!R4inqSDV`v|})G$SN(MxWjK@vLhH?DT{F+-<<0qKDwE{RD;8!~s| zx>s#WBa+VZ*R72Lf8ASA;PnOfRy$az{bTnGQ7Jw!4Fr1_C%m#NuS231ulu6^m587| zJl6a~a%A`g$%h$v>T4f`F085aA3G5K>~C9uyhH*tqUXhe?En|VRK{D&HeRq&qWb4aVSR7a0(ew`w(m>LXFxL?yW+RIGPA1 zQNHmLsW|^Fivj>F;??8B@rzsp$d;jJ?PJtVw{$c1SbND|R=K|!PcExxk1EmDhzVW0 zF(pofl4Nm>@NaOBb4GG25{SX9yzhnwD2vPlZbAsfzn7FbTtC#p5pPF%Z?ZhU4wwJ_ z0kMaXpHu{Kd;vCwT#?1Saasd$DjqkznjzcmN2J$ zdye1XbxZOFUy`Cgd%sKr0M5Kea4N4YZc6igpRY{9tE4FQQoeMw0GRilIIqvJ*%uJy1BU$UGiX7ICiF) zhJxp3_YBK>}}So z(m^QITNtgNN~Oa+aQPBrQ6lKtPT6Cy-g?N`Wk+^PGFU&~mQMyn)~krH5!rU~4SCS; zJ`=>(bb^_g%01P6booO<$;d{=_Gj)H;$zb4=6v-{#~CRM5yHHpb}xhit0c`ic)jPD zj|B*nnROrB%cMrC-s zzv*N`Nnyz*YH-GNUKQjjwk)%eI5Ym$AQ;>uY|b-g_xRfpXK;*+j!8l)v19jk?!3lv zSO2N{qQ5IWnl2}rLcR5ZS;-}+{&JRM(Y8d=ny_}^_!aLymMn1fc_@1x#f7m>rfvtG z`wqYZaFrYPK!}pKwz)%inZOWXnqDVKIN=YXK^b}N@^ns_`|hgaNVX*az>eioo#Zbs zscoL4b=mz9q?sSh^$BcVGdBTZIL4bvrupUkt~J(MN-fRbIc=}Ix_580M-#Ta zPd@$q>Sl=|pveY*(EEDz-^T-#VVyOJfmArVca+t#-ub+R0PhTG`hHGv5SI^T94O9!cCbrDTqEGP} zQWvJ$6RUDB<=c!$Va?nka&VWnHhX`Hd(iChL!~2-7Y8Bns*$(K7bUIG47x886FP~- z+|p*c*7@+LXbw1^7bpHCx7PMDWMn0-T7?5Ka1GlammJxdfU^kMVr|vLaKV zv9jBvHADBsuER2lQh(3PDu*^)B;tY3o=AUwQO}~9>$U$M$fT8|TiKi=i#~ZCkx6=s znSa!LIp0>_2Pxb9p3177Ta>@r^^Q7jlhpU&NWP6HQhU=8@)1}|X0j}~ zirO5r%!wetbhb=tprcJET#BZI9nmF_w8nRy@lZ$@sM$Ok?fZWO z>9urJf>8Fu_;FAQcAE;m6V>uyw+xg2$kMS6LMss?7_U%woSX;0%*19o&O~uUvIqYU z^N&O%Q0EV%F&8bw5>+f_aL1-q7N8=hA1J+L^pb?$X1eZ;e&1Q;%y=-R1jw$WM?Z67 zv^L!;F%T7Qqo^?~88+x)*QyxzS#i$OkIBDJRjXJMF?u%CBc%RqCTp1~Fa1!{=^0wt*JUMGQmJxP{W?h$!gTSCdjd&ftTit}yQ_%9F zOtyJh-ACEQQ7ujD$v)qF1W(g03owDa-iaKs^|Q< znzeH7%VWPnsQ>*RpG{*CN{Eqc_crM;kb6m;*f?%kOI7KJy^Qp%>}Ivk_6E|oQO_qO zGAG*z=M0=|8@E(@c*+u6D{u8;;1y|zHJDBn(^3e8%`D}Xz5oeZl`@qi`Oza(GwIWiH98y2I*TlTsIpX?r?Bvoxk|lRNCAYk_}78 z`a&75&u5C#5oCp@7d=y)-(rdZMwu+x;^cc|NyeIk*>P3F>0-*&BCVJLxnyZ$Lj?Pf zBER!hus43sa~OQUBp|YYO?pJ|JMx%3fRYc=D$)Kto>eMy`RiNC2EcNL#!i;J74RRE z9D7KLb0x!2T1GU2%q-x0QeW7bbAPMxZW-f_e>{=iQF6Z@dKegp5AFsWC+RA>5`%fC z+N*#73Cz$=)Ztp8_SxuNZ13zedARDXFi{{(N{7L~sdXxmL##k3Ku5w{MQUuAFthT9tpf-aBnyJvL{w?0x!h02~TPwY%1J2Lzuj@MWU8eCSU<|C2h>V9B0*!@g zD4Cad0av3@QFm-C*zjmQ3n+Tv6pcEXF>#lBl%@XS>nJ;{{YQ2@(7G?$7mp|MH@MC% z3sc%Z{TY+am`xOkK4^d=aNbKBA#5y~yy35Vys}C4(?fhyt35b692+5rd!!*yVTomA zuteIN_NPgP2cx84nR&&sMOUw-dWyxIhyKiRvz0*3isBN>BTBO%dY0(Gud{?Yu) z`BU}r=-a5a{6z&ij7F<=Y-tT;{C&Z?`l_@)Z8znupp?dM z@;nVMAC^moVr^jNB|@1A1^IFQB$JpSc^PdQ4Ao{qMIYr!b%eRqemMB3B>a?O43%_8Yk`F zjS2D)jVWuUM)`CvNpfKy!3}GBh;6YjlZp+D=5bfVQ!qu}n~vL<)mP8G5)-GV3Rou0 z)e*n{Zbzmz4vnyQo7GWBwMp%~*aeM_ZBl;#O1pRWH@7+ri}OT;w~jA@O3dnqZMUpT zWbxEYB2YNK&srp29kw((o3yE2ItvaUFA)17Q|E{! zDW7wapd2PZ+S-s0{L&(+i+`>2f1JNLII8C1t+7bm08R6vO6j~=k|s4I)v<@b-L$M^ zgi2|!iIfhtH~xe(208?5AdRR|KOzOiTMAp%WT_-G6i9*rWWh9ON_`6>@lgt5Gm4t#b>{PO5;(&~uBHoR6# zY_20V7MV)?Fjas(zEy|GRBN1Ot_F{H3?0b z1j6oXzN3bu8bR;JuXB7}eS!9t`ws8Nf5MY4l5$A@|qveS5Rj=~MJOjXc zK0||)VVdU1g@As!HDjo)PDIb_R9sdh-T6moN`BHIM};X;PDWs@6eIfayCkxYh0!LE zQsF~e--Y$^yd0c!AUsqkjuiv}8#m!4r`slsoCG`4P_P6r3Rnd!4vqe(mBg{`6w`up z59o_I_&~2qR06;~5;{p>9QrdlI|(H`87>w9#uO}BJmWws&@e&?6`Sv}b|jtqBkV*| zT63SsY^R%Yb?uA6OEV{$;&EbY4}*PWh#S>wTR+#Co#^s*>S&>ZQUF-zka!6`o5L6( zQJ$qM$kI9P22Za`Z;tzm4Q?5h6K`WPnsKspj4{b5v(OWcUJdqys$)?jIlg81tTVvS zMEeI)Ji>Nhz(qV0WEV(1q-wmtNM8cedF^{QO>dY!loot&(6PP?I#i(d&4iKhoFkqp z>p-96T*nj+g}pJf#x2TptpSy2)mtW&=A+eTIv?nbW~QG{Cxy5~E(FAkhX{j6w)7Ey zzaL=$G5lgmS~8b+J5{A1a|>N~TR30<)H0C6QJ4kW--*+S$jLsDx$?-?EW&n4MmrF` zHXv58ibKk4RQItQW-zJF%rR@v1l(IL4K2`UQVxkxD_?dM#IqP*!@*yea%Gmk7H>)`;WqmUJ*HJ-xZp2*+bwwP%7n!D>i z_@;&Dp)Texf)ag9J=mysuB}kA$w_fjqf16VQ}c?)sUD$XxBV!ot?jQF7aAUHXsz^P z;wX`?j8(QDDodP9qBT!%CJ{&EQ?=SN%r`N5L>eKsS&_uBbq+N*G6RxC8O830H?5~J z_d^et9_CBS$98^f{c^wiE#F_>%h#XMN-hjXh)rqP#N}T;jAWLKM*xGx`Sv%dXHm?g zq?W9q-?aS8;Z>cSY(Hkvy=8AMY7>GAfvVPM8UYCuT*i1s};{J}-lj zi!+ZKCeQjt#Inv7L9EA*VhRsM^3`!3AcZKVn1AGtlE^Ukd?!|6ZXyhLM8j|Pp{2@rEzHD>yi%S$^i`5>xtzQkL>jKrbN!RNyXNu`a3 zuk5;AI6lGRDQQ(m8b+=?7q2&tKoJR7#c8yl-b^GEUs_=T*KC* z7F}gZ96d{gqBrIDp!P#;W^ipu8%w4WAkiJarGO!P!tQldRs{TjI?;X9CF zIeRviG&Q_JY!uXVLi@epo7Zi3mt>|gQYyUCxf^dtwVzTYGC0(7wQ@Tyz=V1RDpa1B zt?u12D?)grdZ7B{v7dxLp9fnG0T1mPI8ZrJkhBkE4m8OHJ6cN~;@M9}c3OJI_`oV* zc^BqcIiYRY0xR%5f<-sk`^|IJ{Rnv}Sr4Ba<{&lnC6tg6)%b~(M`S)%ig)cL5k5kQ z?n}{I-Q5R#8w?}NNb$>%%ORG|Uw>~woDWBmiA)Dda(0YbImeqT@u&Np%318JvCn+| z)AJ(7lFDpGP7N#-+T#Kzl*u)RVIytEmBr%8`8=?^6l#e5#sMOd8eM&YlpYzV+=GS~J}qh_B}P@^opQsj z9A|{{(wq%lsd$gsld8Dc@8q1J&3-X{KV%iQu*Fsr|BbBcz;k!mi~y%?%E`iyPiBJZv`+akbPbF$sIrIuROu0YiLVRuRDwFJ2O3 z9uB+~7<~tRm9?AKFxSO~_MIi~VpKOwB&Q0G%Y>K&L{^wxoPd@pqp(H(axg85Z&=19 zbd}NR8eB;g5*8dS2wk56^1uw`lW4)%%AO04{(m3VFV_MzcAjbCsFA^0^d)u%OBVCp z(dKx;TXssG7@U)o(16$(MEpgF?Kk8UF|joY66KVkBF)g*RGLBIwKnBroyorHOebP0 zcp#G2krH|n%MyDdE+)k6keC(@acs*;>X4>Q-JrMs4SKI9w&%f+QDf-7jvT_+ymwFC z15z~v2!7n!U$a<5->iv~)aKZSgqsw%kB5PcCFUTOmI#9j;m0#qolJYY)*=STuoaUZ zE~r^o^n9R;oqSS~R2YAf?eAxky|nPfpJzjh=L=Pk#1sp~2HV_S-$|p$3?y`lc;S4_ zws3y2O!Io3ZDuW!Aot6*U;`{+}L_zmuZ#KU6d_TuE?5W+hI#_&WM{Dh_hYT z&`)n}d|Ld(?#ba=aSY|C)l1P#&^23qVRrBbvxKomhxZ%dU?H=gHEtzphUCb%{@0ct zHGl4*o!3{MaA{(S_r z;J*tE+Z&GbcU?$hV<)xY2t6l>Hm`=9%6r_PgFOOlOZQ;BhbK8IH;^KrQL1kD%oJv# zh!cdiaI9anA;!@60je<1+~f`aU?S#r4>xf0t}GS&&<#-V^AVa%8*ajVcxr!rUyH5o9T6957$ zr1o|=S)4HWB0DFW6k}3McsO%gg!I9$n8v^di;;H?U8FCN&`kL9otbjKFHDK1M2Crm zL)@+V!bcE%0*M;{~Fa4n`4-gsM`rujHG|`1Ke+{wRk? zrQSB1=SnEqV-Jb3AmVpeMm%$rs{Bfe@AMyDawX^U!9Nz|z}jLwsU?tT+%CPv2>lkJ*cK5tZ8T+8`$9 zuh2)%$isjGh?xB*Cg{x93xT&UqS*j5+AEG2Q@SoU-bvG4niTU*1o5>gxe|DLW8Y2g zJ=3+r2ZccKRqkd}VaKgfK+UQZG*96Q#t6PjJ}zv{n*dS;62$-Nq!eG0=->M2NO`rg_)fl&s7Gnv;0 zYHUn0ZfkBLPF<<-bi9$|SfkoWwg2h*v?wRK>J;|3$(+RQZd+_+D`vu}Z6&DtHd5iA z1&ww>3_RbXRzrNnp-G=aG>8-dibV6whhxiDx@W7*VUG89!g+k4Tei|X@2z{CGm{d- z5{vw&n<+^YkuVsH6;=}4!mp1LS+{m>o|%^9#Q7BwCOfL`Hh-51L7jgt zm3E3?_=ncVJeBtMbnCSV{i?M4c$-iSQ76(4)6#0ie$zV;C!O&cOe2tkd%^+tn!E#g zgWbd$qBx2ZUUBO;R5?ZJF>EK^{nTi!Bcs?Xb8d{kZEFll>c3zUBGr zXEyY{H52@We_)14`Z>brKbXDJ6z#o%Vi)$LI$ny5OQLCH$)so8Q6MJK!t2R%S1Z-S zGG!2hF1<3j&%Ump7{N6Kbs>B?2AMeAQV1t-I0#&sKJG*dHN_WOZM0)>1k=jwCFzVPx>3a5Od=_gwdld z)q68$$Llnb5gPvSz3=HTgcau)K^Tzftm244zFV$##@EfMWFI0>M)m94YXHH%Mksew zwwI}jiQcoeR+QfJ!ij@TSb}d;yRagGW|`Sqzbiu|yHmK4b1%T;4%PTkmK3R1!_S0Z zKkj*-kDtzSV-;>3GhY>1A$scZ2Ue#$3?HJIbD~p<4^X;y;n4_zc#*H3_TohP zoi|q7`vz+C5h#t#Wep%I#Jd3)ugxX17UPB4? z_qD0SYxp*@Ow5sGPT1+IcAZSfl219yc$5kkub4=IL~roFJy{r7ReuhGQWKF9KTlVJhjr@`d=49sqL-*yuJD1$=0t-&iLKOhc{G5gRZxF0f`FL=-Xr8 zS@)A0MBSnb93Brl<4>KU$?4M{UVNWwrU@}b zBWs-qiY$w*SJz?sU=(x>yCwN|W&3pJXFq|Z&LZeqgo0!5VXjgp@6 zOKxD4L?L_Lb@>?2WPT7aBVKbA@veT{g>^UwV8#l#O8_0hldsNc-w!=;3&Qb(O_B*7 z+qdHJa+y(-`S>VZ&E0;`L4bkuATd|5VVGc-}R#^~h@XyUk)K|lzc zyoS77qn*C3*uj+~b)~+Gg$_DN2q>kQ0SDqpuC+p7axJhcRhGkjXEvKJm<$_iP0) zx;I6Fu1FriK&jxFjaODybK}-=>qyD-k+w-zk!9ziQez@8sN^*Gvz5TFR(nf%Ix{nOs#oT&KCN&noLs&l-0?#r1Nj*t1+XylY?In#q#JpJ2C zc5MoF9`n7C)@uW_{G-u~ck{OXg@-}sdy z(UVc7QuUu8d{yIOc@>ZYR*oYJmmZ$%DXr@Isej4;f-l086Ct8v(yxn1j(KTu5+lrV z_i!xs&*=%!Q$+cW;IQF2xZ4YmMxYYXQ^CIZ!0a|Qwry->+uh#fccw4-{ZMAo=Vi0+_fDrbxWKYPyt2fJ02f&d z5P~GfQ1=7}qi=pSD)@n0U6-L-^l2I)-xz{>yUpLT^I@+Cr>&=#9xTl5p5BgB$DYVe zNy>TQn>S0ML&jVrSgDv{M{{@Q)rzA_p*t#+mC8@9h{h_F{h&xyQbzV4`pk*3TA+Nq zjsD(ZQNmuv)?8Sbv`Ik_)SntG-`6_9+A+TQPoy(0rE)9o!RV~#9gMW{j&*MX^l#V) z&t1Cc9a}Fv#-QOb+fTz|?EKZ=Blk^+T`R}Vwaa+xYl9U&otT)=s+EwY1}Wfau|DPp z+8&1s5sCQx8+U8nExK|8qM5?{65fGwiYi%GTSAFb$nfa18!w-D7tITfcqB^F#4G8=my}Ux zTe2cJBtk)~zG$a7SaP0^1tqv)-DF@pW0xo%S=USyH!!&Txp7iwYoDpHZcZ)VCF^yu z<~OitlATHLMD#lY!$@c(zXYn*o?D;Jl_SMA_sBd-L`~bc>kM&4*)5{-+V@VfcTU0!~7GA{_|nO%%nr~vcID16n&5~Lb@gNOLuv-4mO*C)P*S?ufb)_Q4x)4`o z7CAb<7B^7(Sjz_Qxl1vXW3(^m%8=hy-RB<6JQ^P@9=`N*eV^O;)h3s)Eyufp)L`t3{3J$b=LY|Al7Hq|fNhi4T5l%Cs7cWj2pL$OXgZ4W zX&j=F!@vY$-enQ|Ewe-{${GG%=H8+WV$_l;Tt{2xnRVW;@<%YqS-_?%QD!J1t`(I2 z<3CCe`FdVTI&cUka%ezVbD-vvrbO1%${3MQx0dk%SOUs&uY<90370UXr^`GiFHP*o zPAcJKe+R%FW0yoYIyFU6D4BuTWOl}{1e}@bQ@sj(2zf+d9kqyP-+5x(K#3pY(*33A zLlLkw_@BC08t*j`N~1+6; zg=^D*;ho{wC#)fS)=iAFm5n$|{7WKg;b4;Vr&L6b?F&@h!^eVm(c^5`l7g74fA`G6rkT)u5$--U=i*Ig9Xj3y2D-@mf-NWLbi2 zHF1Cjs~1`QIPAt2;9QO6k8#Q6B`UXtkyfd+PA$dwj6`5$t~GHe(EhkVg*Ly4H8;E4 zuoA6aVx`?H*_w>sCv$3xKb*jpmjy?6upfAKz2YaQB0q?Vw+&{_2lTrl1|unSH4!AD zr1jWuUo%9QP|rVznEb*FnI@O~w|Yrl=Ysc+eQQWuH<^+o-F<1N#GRXlDcU##oH;>+ zO|sigs@?gMuAp?Q)WsGq%`a5R)+YDaU6}`lS;_f1#i7pq(kYmduh@=7|FqF;qTWlE zibTTt(@coYf5ZNsAk_))^<-R)(A=3!oZ<#~kT9idu$GVq zgZ7eGRlR@Hjlz7(mrC!!YTMY8Ok_#s#VQuH^J4FGlUpogsMm@EFTz_jDRm8^BU8zq ztR1K;QLs3?FZ?9|M)?JN*3JZYCAufWOmzxk$^jy5a8lm1a)hIhWN$!|XJNXSNc<5x z%&~Jka*3$K&)BySYbn+S_e6Vx*#C8j>ERI(A@2C2gBkz%)Pc}=J(O!ePv+0F{)X%U zMPxGOZQ&i+3bpNUAzYKGZRlG3!5XM29y-Z_j9NTRL=mkvgpo8P5}F?!mYtc4d0%@2 zr-96)9XD=^8xwvGFz5l z1T20q<=>k@`ORxnZud3^<6(P4Hv(0{!lD>3XNGBt5>lP-9Lj{lVYut~E#Hvw<28@e ze3V$PJ(ycBm%1KenDCa`bwn7bc7#>W%}*gVAPVz_>-8BZGf9L@W)Ni9{$yR5!uX;f z;s*zGW7yVe={VDk@WVH#$N`KK@m$jkzZy{Z=YCt%v;-6gkrPl@6=BS7CZ6E;UTGTL zFvN}?N(_Woj_*3yWV%yvrvmnJ4Ij?mb&*_QY_y9le3?)!B|96GY(@x6#T0?xV>Q8S z2&5jZUo7D696^|l=Asb7X=c$$j%p;^Abv>ti~MPtKM^uOfeV}@WRR!8f@}f-&2~Q5 zKT+DSQgj{l?Ih|N9G6Z9dgBz&X7yRZo%7M^LU^P{P&+ z>zAF{UenNc(vxbFSJ%(-!oQ&VHAz%^1wQ?=9Fh9MQ4n1LbsHsLqjkdOoQIxLmR*cq zku+o#pq7B3WNjW~_BFqy(_so;M0De_~O@WSLB>gI504AZ9d}d$tMID;VW(m z^MRb~>MSigs5>J4j!DG-%!; zGHW&rSBud=K9Vt#dH)ydcDU1KG%#J*52tVqh_Z%Q+k6%nqY-H<79E|RWV1t*8eF-i z5VKZ2NtQ4dPOBu0VYMicSOHrDbcft3WKuQ(V3QSMB-cR?pTW-}1?S!{$5LYZ%!k#k z>k0vu_yp(gpm1QOP!jaR;h$aYJ=nCQ$z^xESp6B6Fy?D4uGGX0ev*tl#MY!)Nvzz2 znM76WD%9{BiB!kIr!w!9NlM;1|9N3&@SQXpu~|JrHW7p077gx*2GDYI?}<}euQ?Jo zcx5|d8$4e018fI7DV5NSbqHJaQ0B$QZ~czzroUiB>CYZIswgHcSdu52rzP$ZF<>Qa zWz$9PnTd|>nW!2yZ($e0)wAThxSy{P;DT`_^MQpg4P7bFWdcy==*F#28+Tir`kh$W zA85S{lDQzzSTI*ISW;m*QJ&l!7fDX~)h9kkAnmxAE|bwY<~m#LrDYxsuEpl5?QiV2 z``4F1a^#?nmLJGQWD)!5<0``;BVY3dQE>ZOp3k!8+)Qo0wj zW0iWZk_0hH^mv~s9Ny7rCOfuN`l$AHGUuZ!0`vAKZyCX^@Op@Fvjir z%|^YBx(2bA&HX$hPIWqX7mvc0(xr;`llCUhKoUK^xprnG7(Bxn_L7E1^U=NX=F6f{ zWV4G)T-y1g>v4>oAmK!)k;V3<6>oJ1;ODdO?$l^B>;@>v_y2C)12dgt7>eQ0sX{FN zV$`*seiO0ysO=NHeb$|AA`_{}V65~4bBkS7VKz$#$Cvayd8dY)LtybUDa^nTvccgR z5aU;>q-V<{>I2PY>06^>dRw3mHu)=t6BjP|Q3NbzE#roUW?j?2*2SiW1?l56J zR{w$C&e60e%tT$PEGa38G7~7@#<9NVzIiU7uymL#z%j|>WnWcmTopU3Y!j05L<^Q` zPfJlcDF!`A$ zilfn!P}=J{Up-z8&y642Ff@)P6%XS=NS|IvA)O_di_y6Brq;7=eNv-e{XtRyDTCP} z$N0JtM$kraG0IW%ILJ^onmkwOo}78&%q;HPL85PX-p8vIHp$!U!z~LNqZwv|;``7?Z~7L!{amxrh{aayz{kKxTt84EAQn#uxJ}%BdZ-INLo0_x z3?1rRDL5j8+a!BZSjueOo2;j3CyPHcf`UUhkz1E#9`x&{#*sZAK7C(|bn!yES}zMN zZyVlGjY4jci5rFePh^(Om5b!P}-0CXtit`xbO_Ga&_1}+`$aRviuZX6kGY(Sz@DK)E=6^hX#9Kxq zRWH;Qjz*dh4jp#VQBl`2h*2861#N>%C%s^Gx3)ei-=am17B(A!Lx2IQhgJgM%s0&mJO>$5_L>YRyKiUr2AFp zj!Ip@D_&jnpK;%`$kU4bL77#dtZ9)B%we@PCn^VR|90BYcYAg*m2;e`tB>9u4puy> zhZT58p3(M$NZUc=*PTt*C9BK0x+0syo#I&Cbt%o)CbP*Y-lB2hwshHh*evSVwdO&) z>KA21+IQ~0&Fw_fu9kjZEv}m|v^pSNtvDlc5j$=Q@Fmfwn!E%_rj|gqayhBRE~e;4 zpgDEAmcvi-=r)(E@+Yc0%rfPdjz+6M-J>0Fk_eWjJyN&@50-D}1<1qEY`IugextEq zTq>(;7Qc$&-T1efy-=5La!Gled#hZVpKQBayKY_TeXY7X9iRIe_ir*el_^c{8lF^2 z2g^piJ3KFV@TsVGEb7S2M0=AFkEzTG%bGULrEM$gn|7;R$~$a*aKc_{cU9JUU3zbt zs6{${r@S|_EU{v{wZdAXa&*^lS`>XyydYU-}({v=Njpn&T$M81<~n z*5a>uyn2LgxWDi3wZ(i1zw@(q%bfmyd0hVOjdC5kb}K{JmDL7`Hmbmo}Lm-1O1ui2mTFv1pHxtgob#c6mCeW)q!O6nMraRXoW{ovHej zvD#F9c9ea^R%y?xr1$E!+mcV)WuF7BR)ndbJ9LscH>vI*8qrBR0f#p&yiId5*{aUI z$R(_-s`$3P&G(e%jWtJU$MJN|V%bz>$9q-FOIbxd=ra7r3{J#ys)=&HThte-2vhy} zpfXqq_2#pl)~p}gOqExnm`(+5m#!_`WY^uAWhB|;xR$Z9(M=VE^KM$#nKPg;cJa2X zqn91HjXkb1Y@Bk^)pm>pL8!S_g?;kp@1p3@(6y!JJ*Jh_N6dv`%2-4T^rbIao|n*y znItoQ@Urleuj_m ztlu6w2aOi1;)RN~MWfw%UCLP<7sj*K*E?F46DT5`0oYmUlQOy!XqT7%cLuNm$+}TZ znI?x?%@4uSR9dC89oB-SMrJjOFv_G1`*$p7kr#!Db%y0q%esu2SiVnl!*~SKhAI!} z%9yemX00@b>4s%Javl6T&f(xECo9PWjuVzy_~`NvzDRMsqcv`}hxOij()vNK zIq~T*tSHy8z2{2@4b59Pcvfkd!3B;zbhxrY_wC}>jq!s>{@WJnAN^XU)iv!+k!L%_ z$jzjtOIkMX(F#i|dj%m=F{Pp?nHt`^$Q;ri`NLaS zysT^9((0=O(@T^qu5q)^XVUQ2V26$ZKtw0%^hRB{IH_qUl&Q4l6fr5ZS+f%Gcc++w z3F~l1*;!h+4RuaeWc~p8%5*Z|kUx0wjUU}&qF}tNZfccmx+7&ehPF)^tU`7)P3ST~ zLHiM#K^heZ&*(Wo=ODaGu(FSzCNzka^)i_Rdjjg}Ryi4#x*?7>u-RKtNrWzaMO!_} z7_xSHOC_6Z9`h{ik&ExfGBKCwX+~o$lWy{KV71_0(N+A?g4Ns>Gwp3Q>-0Kh>QIWYM8*iDRoMImAYm@p`H*wT)%be))H|vK@8H z-X=q}Y=>7u%F9YfADZtaGMOyWhKFOx#>kki=4|W8XzszZR0x|pc1cvgbTjxtn?F=t27(YZmWEz`?hMTgDGX&tGfz4$w~*13j1 zZPz7SpZjTz`l1C|nsLKFEpRF;MFOpsJ=^9gSJio5GOevvt|k@$L`(BU@j1>O=EF*U zgkxisE=w~rz={tcPg^aHA5@}cK<>zvOU)3c$^m3x0X-7!(;db1oSk+etMQB7Z zf$mY^Cbv=^E9ymAU0XR;$7$<){kaJ_6j-Ws=VmfayO)XW~M z_NMH(Rp;a#8l1$pV<+&*x`Iq8h(D%fZT<3HKM}fM@7DQTtn5|>4x7b<<)jVnl-08f z*b3}rnPi>OFWs-r_i}p;RS;DwdY1SYr%-E7z0R5D4JnpGV=CpFiREzXx(XMU z6SxbJwwktSJW6zS;Z8aplKkkb@KQ8x_EMLJ{!2QYY1(akJ=ZXk)0+MC8dFhVRx9fsbJ?G$W$tLs|956@-ui>Q)ts~}Yt2S)W!k#K zc9t|-E7Qw%TlU$sw#~y>=hz9T!6xFQVw!@4bS_Rg4oh$`QvG`aFT_aV5f07lh$0O4 z5?%PaU#?tK{gJ)R4z%J9bJgbeupSNi8qZBO+lF2?^ljFPlZMLEJ%QY^a66?bE1@!n z$}hJH1=`FyYQ;+~>%XjGR1T}Aj>ATWdC_GKg~A>7VoMb=oJyuDDm-gHYn@0}*g$PY zRxexI2lktvHt01uXzVyVq}WGUeQ3fSz{45afwUOxujCFxzwF+YDALt7d&t+jD*ct> z?*DjeAI*PSz0C_3>3-+UA|FL*E=!QhX1NsFnRfP~wNWjjp_|RU6OQsbbjM$(bbsAR zb=2q_wKE;=wB33oo!;)WKIndg!=aX>JEIBZcaFBT>zs_Gx6)+&h-%$MUA2lrLq%m% z63YgwllHz!2sGrRTJ6X@{(JI|c0SfT>DeE--{0nxkLNl!cP&=?)rRdGf4tOm$X)*{0R4N@_no!|%tX_; z@vNmUF}=lV`Ns#h3>mHY;ZL4-t7b}R(GfM6G7nVFl2CAEj8J9eDE2+=uPmRO;tO$YxBGMHVbsud4JbZv(LAh+4~G!PdDjBgOiKhjYfN- z&rtTACvy|^eGI=Z*Vekx|Hz-3jT<}fdrplT_r20Nv8L{=7Q4~dtX6sY25aMehRoo9 z`GCH`-RP1ayUA}jZ7E%A+-MkD!wE|t$$T;sZmNDVlOP!)6_5QgZCF#?m*AGZmqauRy`o9XnO(^k9M$j93UHgZs9*nF~8>tsb4}b*=c7k`&^!>UQ&l-|Bfds%gDX zbxY~7ng0(6i#zKRo%b7Uh(#k&cgSLKS=0nQeN<*GqpB}nFqP+BsLyriX7R&jzBp|) zzGiJCPi4Yb0-N^P#YCn^Nym?bO8#G2Ib^7u9r3EkoyXG57>JQGJ8)kPfLF+<>aN-? z7G*!01pv656I5hOtZ#9_UqAC6^S-||Cd|(J`&$ylg$r!k((bG%NUaIu+DB-6+7M0{ z4UpR?p27l8x91X_$v*%0JY8O30*XM4KHe;ZRzm29tj3?E)0>;vg)7^*EfnA_jftiS zBgDN5i;!C6rXWm5d}L?@Rud9H+fwaLKI=`YzA9?QDh8D|XV+?-c4c>Z^KZZ3)IRcc zvMe)Zaop6JDhX-r-nh|hu;9m6t&Iq9o4L`%zLMx%IAL_&*Kaj$+`Pe4`sTLAM1!d> zNq^!d1i`{j+&*D!aE+U^jja=M`mM~bk3LUziN5M5At#SO3n}a-1!^)E7XtJhfBLvz z)VpsUycG@%WN$q?nQY`7`nDBDTdufi*ZYl3F>huXHmzCCZ4IMnZbT;8cfyT&tKM*h zW_b%ZZLU?n^TTF)hnHu&Sa+VvPZ-9Xd+_oe#C-K5%zFK^1|HniZ5YwFzbyxs6-z0tm)`4r-_!Hr*E$|oB) zw%rN(_*;90g^a080~hNvj7*mtc4wn3UgX?;VT>2eOB~9Th{pJSnI4un@&J zy{|iteTSz_T9`6#{MmaVL$lkOLwD0%X>D!Ra}#ykjA7)=_eO?;)fA=Ejw!5Yq%nFG zf?rR6V6X0KQ#d(3UY?iPZaRH#TH6TkXFVfPJk$a2s;NON3`H=?$wrlgr)^7n%I?&o z&|-DeizSEMu1$`3M^t2A{%^lkOc`2p1+JnxFcgDv+09rL5z4>F3C*(JMW2~qTJU?b zH-*`iiFg}*zsk7<=>n$1!P2%+(|R+wN5vFIkVg}y*J-y@+2{UY$KthjQQW@QTb#b@{FbZxN;|209{fFLV&TH`kAL;~ z33K83_S1ih2K&Mrh0`uyqc;kF#U-v}qDS~eY2tu;^-sCT(xW4zk1RXw?#h3E%g;Ym z+PTX-zvbtjR1cd=oG*UwcUG)Y+ruw*$kz+gSD*awV!QQ%`->+#7TYU{H(lM!FIui< zCgq9`4vb7b;+%G=|K4CJ1bgimuZ!&@aY?`@{7IvBECxd+pcnd zZBoB-l`rK_Gr1+hZ#a{3m8(yB-L*;a^Y6WIt7NOoxwjCZ_Q)dC`V=z4e$=e&sy!F- z&DnG=v@WPacJs~6db9o!Z`8~p9@n{R$zZVg4#yKa38vsFc%d57i&a(e06R)rG=Os& z1)39L=wrhcuYCW{;`_P0LRnYG=Eg-n61~XVE8x;-KA$_3MQt zbD8NTeLGVG!0@;GT()e{@YF0Udo)vDJ?nf*^;x)sNeHdn}fAeGi12#TrfNK=pP)}RVAP#;|WTmQ_UBu9?q_ss0?6i-4oXPqL zvK|`vZBd`H(R^5BI_ogygbmgFqG3;&mbZ)p+`NkJl`F|%9P&^Rudg7-)G#0k=6g07 zdfmDeX0JT;k6G1bnYL>c&95q4Wm%4J3|TJAVbr~>-1@|na+ZR?Sjl2Ipu-3scKt)P z-)n*lRVupQ=Q?26Wv|Om+7PIM^Uy8+vJ(#Q3b=&Rs0}k6%^SpDCAz-Wv~Yu!wHg*e zeYU=`Z-L)vPFl8Bf4BuF5k^5e+4#oLzz8>4>Vp;RWM`$j&GhPQFFl>ESLXH(;4j`X zW%Q+AOeZ#&4St)oaBx#v05ff&rN0__1xv($KIA2$vaZUy=4O-pS@Yl)h4_eq5YIBL zYhAbf@mCQOn&WD#ZPg5av-C0y8<)5vu`ZD{k!)d7fD5M&C@Fz^hvRH80La1{d+eg0 zT4WI_&_cH*1#@$0Vi?MI=oY1GMaN5pwkmcjt{ZC3F!|a4ZP!7&;1_jYeN6 zXCkYww~McYR^E8ltsk?rgTcLQxX?_6S>OVtTs9iR39@JLLMHdT996Ci|eNFe!+Rej-=t(y)UOLuAe;E((CDV zN5bhb(}kAE3{^!h+Fq!GDeGzf(af}CI4!{^95&I{^sqCf4m$37+lz*P6bJe%UZ2yA z$2+7qCQ%VYbV^a~0;uvCz#aWV4Yw%8>bT$thyf^Br>AZFFrz3=EY$MSA%Z`fw;?p5 zDw}XJWkDGf43D*!oU-d?9Sui~-R5d#FP-cJmJ?J8V(@#BEAoIrKeSSyPv9{_X?4ou zvUsMZhRV^jgUnsahDt92e--^?-Ct`BzdTJr>i|i}_D6<5|<*r%Jx>@V<7*QIi z2;c>AQb*tCI%FzuELQl>niLbGF2mPCey64ZiL|WTw1}fz95Z)lXS3<^8`fFd&4mVA zb)#GCnl_8dwdCJf!)i)sEvDcCX^ox5CMqU8*4N+~!r)r(c9)jLouNJjlXNdw=O(ig z=_oTiZAFXpC{6^Yw_269@u?^fHJgp+9%^OCN{{j#mF_oB{$EsW&~?E>zyF< zL!YU)I4n>Kx=(m9?OBpi`ttkk);3RBHZTUe0OK~%946|)+tS%YQR!utS}Usrs7IK9 z6^cn;Tc?+@Ae#9|I$hCP(ug3rTa|a9lG+B3^{pcA^$8} zO(AoUs`3fmkNT>!yvViTuDbpXuVvJNi;I3D>!$f#*>yHX@f)8F^wwlq@2xN`Iu>#X zk>%xzZ9=vvr>j5S@f*%+96yWywmiI*3-se2cJbbMdFlvc-vkeO=cG%uero(c56jxSpvvfu;Gt>vuxTP zoKY9C7vOj44OvV~nX4@X1YjUEQ@dfB0Gne|OR_G3Im=qIhqU^nam39gwm^WoYC@K@ zm}GX_n6c(Lbr>TdA&R6Rg4`-9k9J?4{ob=tCDCgE*(~x%n%0aJR=CE<)YD`cxU9k3 z&dhm~Lo1QxA9sRynsEfv-Y?KQ*h`iP(a>~UFN@kVt7olsUZ|Lx1DfTQvXNP}lU=E@ z^2I7i7m^o^Opk=VYwr4h*o5>@sPnfN=KrBGAcDhcp>~u82H_%w3!4Dnt6 zWkTK*DeMWiSPxI~{?#MJ3NK#eziam0;QHXS+J{wddLjNh8@9dX&x%J}f7YA{k=V5w zonZ5R@jS{r{T0{mb`OFXVXVvwXbb(5SW$ch8=kqV?&R6rn7Qq7@8b$2sNfxh|;iod&NPNh~cG_MkY@zXoDrTC6Z}3GceZg3p z?4N$9M=36r+ECB3{TM=ot%rO2dwU;lnr+ryA}M~s0Nqke-o9Mi>YgB5`qFFft6vMM zVXDvF;!|%X3LnNSHAVNixd-NG0j@?dy@)H#VKaF!F)h9uV!ddHT&Q;*40H5+{#p0V ziyyqf$6^Zi#ANnUnY?)N;TW4O5m;gq#A-iJ2@bu}yjKVqTrZBQVezYCW2g2~W?14x z#Jh~)d<)6_VT4Q?`W8kd4q`O-@_o|He-wH5@)UrjS=gf$k=$1DJ(-cq$WU=SsK3e@ z^wQYOHbE;htM0Ub+wyo=$CmPVcwhAe2R`|i$jiNdneHh*X?Dc8 z?$^kkqP7J48!^x(U<(IZim3w~#=V7F>Z6%u>cPA=f|mZK94;B3xNrYxi4K?krPyOc zH_J^Eg_qmfj*ZA?q~6!oi$UYcCr%T3ST+sQct$eylI|i3#lI_=Tk7)%wdkwT4N5m7 zB=`z1J#eTR*00HCZWp*6gkp!zmWO8`&w(hfnafw)Qw;x!J3{15|IKDImm70;$U}E3 z0dkY=ze_+rMh%*jxaR=%ydRNZWL|U*aR;CmZvvTP4Ly`e%snxdFpo! zt5nyleFxlh(46eE{cUZL3YfE(zgI%_%=eDIhon`JCj1QyoXEWq-1hy)%UV=+wfb{| zUD}d_E$GixE{gnJ;QawWSX@8L$Gx3yoixm{#ac8%w6L%DDz$)>N;tm z$4?$nVv59PF%BYTEA=5;(N3P>PF&a+qf<_f;Ufk*9V)Eh=@5sBWE(KM@_a1 zjuansR6%72_4BkzgXJAUd0FVU;v+dL=d!!Sqb0=p?nix;+O8U5g=MWqD+7Eq_JnE< z!m^PJ2EZ_-dG(>Vrh6X64a6JC_jAnLc1dQnl2ns7EiJ9)0?;^#J2Y;N>U;RblI517 zNzlE0a>bO6*P8I&GyA!#i|Yc9l)5md%t?k3VD*UYyD zd`BC&fM+DEPyamq!Iv&6w@`gnO68MFJ=oz6Q`@wFzv7c{EOWRAT>*WfLA^jrF7MB) zrDh5IxzyZ0T*4p%ab7GrMsMC88pxxpxMEfms$@?-83GTEHiS6D%&Ea9pahzCRdg?wa9+8h*cPA#?aqCy14J1uz z7H@NzQq4`H+pNgEX`$F@6OE1|VVYJa6B_Z>B2wt$ zrWz$x+)@VE+bFE?fingWpInD}m_jy}^V*h617}SPGPz<~%Ik);Y~JD=4Kl=Cyg=sF z6u{dytCuU=Mjt?l+-N}0Yhnr3*dbyJn2$?{+e$6o z){(n6NR8r*?9`+Q<-{)I9yFxN4gq`Hg&>Q8$6>_NNWAPq7UV`{by};%MH7UwK`7+3 zPOUlJOY!Xa_uqHSH;9lpItvU6oy{^R_+q@}c^aIrox=0yEf5>usa796B&I@y!fgOT z3UZjhR%jM^#6 zw~zhaIR8(Dm=oT%8317n)#CqSQ24{22MXWH-y?HBW@`6HVKXL%KOu!-4ElchQ{Hmx zpLEZHGmiaJzPIyjzL#Amb8l0^AD+Eel;|Tr;(Nf2NUd1^Wartot?oU(hfFnj;KqFX zKXBtual&<8c#k&z?B_)HXd^xch55UZq{O390Z?uFfEVst8+I9;4PDaaWY#4i1 zc9@0&&!yMk@^Nq$OKgXj1IuDyQI?zh(846X+KNCnqd^w2di-xv_Upwr<)R#7{rLW- zY>0sdFC#X9A3Qx7%+WWa#jzoGmmG3uZ$^uLc?Pe-8*MOY5DV7ACih`HP-H+|^tR4dPu}CEEx*EVU7b)`@p}-QRAy`iQu;87Cy^rb% z)~x;wgb%`k0U_Dw%0U=luss}DNfD=c{f3FXrnJTe6m0bzo`69+7g9LkY&b+`LM>np z3=(iOCF+dse&9Q`qgDddf?&mpc6=$mJ_+ury>4WciKzgd#Z6-4fSl>CzTrl8lFg%S9({X}X5|9y7o#l}rI>tiX z?;aU6xJA@%UJyAKi&nK`!a8Ztr>#}qy2fj|6Gj($bOS^W8<+A-gE_h)eC8a(Cd$#_ za+uXwTzwINeIC~da-h7wtYSr~sY(wfA3_Y*02wenD>hKONiufuCtIx^>P13Z$r9#J zs`W#6Db%!3ZPmiXbsoGBC-#nEdH} zztkSTFvxYqnm3t2+lzFKcB$}w_FA4wlA}y{M76rfCYV1kEmm5slF?Ij%h8&sJxgFU znXAm|Td~SVEMf&0doBApqr3o1(^?&AuUb^pqUlMiqs<^p5L0zngUxfQ%(7!`4Iv<8 zL={}b$oE$Sjy~{(76GhtX@@b)oRF>cS|SC|_(d1h)&MF51o)KOpSc=rOw+0n71egsVm(+RjumMb zztb1pB}?XJM$y+g#YRtS287h)i*7_}LLiD(7tvu6%DI^I{JU?}rZW)!m{tV%!2xsd z;GlZwBe7J)Qw6xU(q^gXhYDBvVj4}+rRN!?0@H%xXpT0md6(}Y1$T*eyN6w>!$M`T ziH&}-g1ut`evIS{q4pHxhzlHpH-KjX6s89#6Qtv{NYC_&Nk!cHE%&~9vHRJW!Ns!B4Zlkp?M9HA@SLSl*y z2o_aL0?C1d7h-`1z)8KecL$163$PX62$WjWKGaf+`>uD48&ah(GH@pyElMp?sgIoo zEo!Dwpxp!t9fGWQrqNF{H%=CSZk1e(^-eHx<133{dKjn8A>^F|S^Iv)yDS2@m;hJf z-zvs`d`puk3`YT}+D#d37&}H(j={s0tS&vYU7JzT>@FRnQ6WpFw`O*s#qD{r(i7_g zZkX~6I=lk&&4`s#m@v@_)4C%xOgID=VM>%tfO~XZc$>D(o9dbgruN<9X2&0xANH1Yh zSm-y7?!?z1sH`aNQg}F4JYIx54#zEW!M>!|;EqCLDxI1OdErhPLsX2En#L%@LT2)+ zWjPVmeHSg&Z60W`EyG$dAPwY1_jHuhnKXRFHef~pB}F;GsWI%)Mzs*!l8`Qa{lc1v zC+$=18b_UZda}(z1tbNJe+!i($Ka4EMz|?S$bga2KAl>J77HY7bHy+=5xie)VV2nr zFo%{$PRpP`VriX%;;vU~{84s-Ar8h5{G>MTljMu=NCp4*Z+NO}u(%wGGH$F7Qi8jd zSzJA7L~0F9;E!X?QcT|GY)I>Ay%P5_8{@+C5eX7YMcXxF{21`@Swnr@AP$>uOIcjTUk{ zdmc1s=Cm=jmALr@(zaljn@#JW+DF@42Gq1%zVf3XNy@q9UXa@srJl-gDN;_|c zrv)Ko82}m4Xrt~I7X4^D!arSiD%(eQh=HSm|1Y?Qzk3bV&JyXWSi_c$rl;6FZ7I~~ zVw>Gch)R^ULaO}@bA;qpDg7nX(iYlUfsax(?1Ne+sOEOT5^BFnt`M>&MjrVdv9^-_ zg&u3jczBsv9Yw=m1jfof9*CvdzL5@*fILE3Cx|rX^ zu6AB98fEWS$n>b|_2uhYU2EQ8;>D@VS@vKU^$sZX)X^5Xgrhi* zUcsLiFt@-|V5FY(2MX@WzyQ$xmj9qcxn-lRB9u~Fueql}NShifzA|j@XsO;bFueT* z%q9CL;Z1n*<}cyIIjfB<0yG?%*n=6?wivNRDv;)#Y&QQ=dulnfq1!rra{arE)#L8@%dJaZwDR&iSW$2JU4&f}jz- zFHd8OyPNz_yz%rCP-Kj$n^X+9k3*-7?jLmZ;Z!##LLiAx#drS!;e z#d5eA{J37q0Iu~q{Ia4#2avCzKA;6CIvzN}mDX@*&5)lzrg^tX4txw|fcelSO_I*# zLR><$Ys-GXaVUo1C*p=RJjpWJa1UI*@~A;t6x&LjH=$WtHW=A|23-NuOG-^sem(<7 zFp9(Hk*CB7jCFZgjDvd2`sW}M^x=yJM8$-JWmsd(hY(K0h6MHnOA`Oqp$;a4TfcL{ zdp3Z=j*L`E(kj45U_!wJZ5B5i0|GrmmBXmGR@7Sn56A5yFJMXEk^xd&LaBDL0?u*8 zuFFn6Vdh%~now`)n~Z!5UW94{d2O_sFTBr)tBjNNc8!;pB#lYq+Yg{GM_-ay0rPiu zz8Ceh47HX7H0Wa>p$-V4+zFxFOAgZ=a`+r%WMk(D ziUse>xg~m7Jg++}(!7NpB}FvzW2z^#G@2S*IfDXNB^{eXmLkUJBnmY;+1UUo%7^CY z{%xDiVmo1jbDd2oc}zo(8Go|PNT_CvHl#LJ_p^|k*vy*?6PPsqTzaS3xza3 zahyPChnCAO8zr-13^OeDsV`Dmuu`{s;FfI4G+)l8v#1wTer;L$$|h@|*;50GHofI) zzF-Mo5ovHR5V)yz@m&umPio;OQSQ)xZmi=wvX@?qA zIsAEKx=A84-N$@cU~lvbywYHwo%Q4#Qv}K@l~Te%oz7MoGqK!HRO#R zk`9e;6d1uscpW~V70YPVZl<2VF7=npK52Ds^jfHam3OCby#vrt#@5$j}&h`HxhkF~BHGhoDek7_}*ZBQN4)=#geJF%HP_-7A< zH4ID%=Xad@GL6F(*DQ~z*cIB+V{44bOc=+muCdIQd#TI1&HMs&fOi`?zO8 z|NK|S0+U;R(s((QNPem?yGG}8B&&az&Z;2N*`hAtf5=|7OakM(2=NllCic?1lYbVaqHWa#uu6vz>Y__)b)XkfN;Rd!f%$ z-s4YGPzgCsb6R!=>7M3&JM$UehjH!Z5^eTWRBkX4k$?=KCJ`@IzFhw7tM7p6)RTKM zp!3X&RP4i{Owrh3Vi9hr``|07X|)82Tz61U_KMgNb1BCy-hc_}N@z+BS0Z)ARV4P= zFmACL!LZID$so$&X)-ppFh*8P0lAtBJTT_^fa`V*?i@wg_Ox8EEE#kzFaXx1_CXpc z*+ppdq^D;?X!x8UgaWWCb7o>N)Q(Z6Z5P6cbPuwUdlfV<^2?&O5%-;Juo*Fqh&Gt% zx+uSB_a~AKQDfTt*lei5TklW}U=dO=J)G4Ml9Y4T(vFPlB%=~RDGM z*4~(yD`XKtsVCoMv>8UC{35L6L`}~b>K5Bg={Eu`Hxl^$=%wetM-o7V%5ntLF#!EK z?JB~mg}Of-9<$ThyUyEFgUdjaqz+KoZ;d(9tak zrAyxywiq(EfcROO94+pnD=dB4YDb0arS?{4NMqhzHEVH|1S6yEruiN7nsuqcSyD7u zE$TsN>mGu5fS^$<>KzSh_IxVT=4DbRI?S$` z_M9U_ZN-hmPSro)Z2Lqp|Y9?{B%mOE`k zHPv%-VWErC_l*QF8&5LHO7O$nPu$4ul)3K-m(80mQ>l?i*@>jOQv+t+yewuL#9?|q zTJ!&(r{b{BSg(qvRh+6Yl9!pM=VXAm#a_2Ao&gh)`RClKnfqI7DVyw;i_1}Nk(6p!ia*ND!d!s?PiX)iNNYafxJMYUZ0!% zH+|C!rZsDHnp==ok3jT?9%CF-g~NJz2S<-g27eAqTWx2Mq^fz{7r1u(@bm!xunj?D z62-oxq>J^K8xcg3>ZxXD1<8X5<#?*M<7BZJw)jmF-;n;+El5bce$pP3qnwNOzjjezNaO| zXO)?$!v_M`xqi!1pe>QEBq4mvTjt$}OtNSL#Kb8EMZW@gi<{WdI&@*B#%%8$R-^!o#b~FhKtoOi@{plN zg3K8j@w775l?DXWlnU~}90(IFC{n>Hs34L(BHh&B9*#;)B$+u9z3vJvWlPlmTHiyTMBl+6K|R$VkDk`RtGvV)a0{_NBT4nSySqPv zI`zZ?i|shHBv1J=ArD)H_JG?8M7lWvT9|DaXjuMK{70-m z@<=cyoQ+swMK>Rh6r~yotGXVUi>J^(;(4tz?iGF-F);2$w2uNOwRiikrcs1|$Mx;$ zm{?Tq%^>=v9W*s48a^z3N!YNkoQ>EWs}`NdZ7PP}MK-z+#mprQPXZP zE9zlG(0Ii9c-ql~P?r5peZ{Y}Cg8#f@LY6ES3Y^-0Z)w^I;rAi9J3TUUhGv%`g*Qq zBnJ#96%v0iddrGA&Uj5jnX$J(C&oL`_zD&bu*!SA3b%t_AzVGyR65`VVj$F+@GwEK zXFj;0c$JIQZ2PA$5JyFKmQN(D(`^5kyIQ}tDxR*l{YLtuHnKg%>+;ie<9)`vXm_r( zy8Y%Rk$tmr%?zV}y)dzrXMwUzqcO3K1*2p$tLCqf3*@e#9g{h0Gut{bL^|{#7(Wzc z9f!9?ex`zG*N#fC1#!D(X_>jopF$jqO+iFA=H+ESm^2L&HRXe?3pA&OiUHCLAO`cpn9QT@r1y%(ADm(A$X7fHcI6z2udn~FT}sSK3| zzQ`N&W!kjT6?+>!YeEl^r>n)*CSBp%$_;9ET$rHRhdBa-v<{g4Aeq zCUHG~p?coczHqlnpeGVy;O?b-a`YP(G+H0~B|0n*{1L=hqX)C5oC+(GsoT5B>{T{$z&hy~<4Bm8Izm*20Nc)uT~>HBS}f*2 z4;f%;IXA2A+Xq&ow6=h6;mWEtZ0rTRJNMp;rPHE5_O+CHdPGgF(Yu`F(ffgC@pbi9%U#&tQh44!dOqA>SPF(FTKYu|y?B0khw9pWm z71LCC`5JAQ6ox{TD3 ziI=bqc87c34V@8r(A3jMM5Pte8G?QT4HN`Eu6Pfi)yLZLUA(d`(cyDDB+3h=BvN&Y zg75>j>+OO*kAsBkiTKMNafqQd9yF;qDi(_m7Er}diam5R1FLTbRSvXihZ$s>{#&qf zX6n5;U_J~IQXl&*;|9lO1ezXH%!sHbd^GrP<-E+nd4F4A8**xSqyZ-D#)aNdRtA{XEjsV! zxR-!(g^zA=j$mLS#^k6wHe>v>lzj``8XfUoTi%1ed)RVC#kLF}4ejY#g=`|B9FaY-kk(WSqyi ztw0-b2TL{}VgYFJb@3{mY)Bdn2}cNR+ie}D7UIH)3roe7K!1#CFC)mH7K-bBA4_W` zqD2dCG+cCTj~+9xo2=IjHX-P)GYUx*X+zNzG?74n!l$(hTr*+cYGuN(T(l*2AXR@8 z62b`23E}l2YhQ%|tNO(Igy<0zj-Fm5;-c~?!tN3)$T-{Eatefdm$UQm=~#6d(3|gDLFR@BR4=e&-%iJjL(i;uzcK z?7O+2mf-zt#P5H{@nU`#OYnZg@qQFyyB_-cGl9D6Oz~da@V!sjg}~of4|s>hRB_Lj zc>E1whcAr8ioo?q-)gJ~1f~3^y2ALhxqljgd{2XSPgfX!N~|j!TQA0&;a!9xLlXF{ z>1#hXj`_*A*VhZ)&*8KnCzb2AM#$L^kiJ@@k- zGq4AL@;o}ky@#j$=)0f!Kcxl+cU*i;@r?dZZ43*|(y8lDg} z*dSB0(O0I5Vbp{ONADs8wTH)QxUq;KojUQW!I_$)Y ze_>dC7Gm&_{HCVS@$e)g((R`RF7Zih!%RW>Fl$B{2r||EL4>$O0LR;#BAC{pEG&=FAUgn`d=ml;=y}Bboj5ZSl9IxEU~0kOL4!i| z!6W3OlPbRT^jSk!j;UJ-cB|vXZfJ^wRzM&?2)rI$A?|MiZ^F0eUvaF{EH2c4QFb+q*wBj2a%nn-h2qsS*HU2TOGVR7ZG zL9-o-YpPj5Mjtv$HE0~6`y@Q-*B}(7)T#I@wluBofaQrM z5IZ7qhjXU78z0JyB23GdQB5X3)z9g*(+q=Cv;65oY;ST{)!t|X9u-=z(h=8h3g)|H z?leLf>xfyeaSb&eA+JJEaF&tIuK%skl$kAVscM2n& zO^xf|dU!a((y+#&FPQil{2z*Nlp}yc&XI1UEypjKrvMAiM5h-9m_o`i>!9lIeaayy z9F|{-PqZxu8zX#ZA5h!4P@-7P~hv8hhX1 z4?roVi&J)%z`|tnk5Ze5s7IR9|zjy;)VEIQ{#d;ns7pGd>Y!ukb~^b zs7^N2tCgJ<5GmVtlm^hE!utgRSU`eX}*Wq zv#(?)3>3vs%o~8rwh3$9wC(oW2sP|dJw!%;vv|0RxPtIVf=XemMB}0D=OU3vVjpzb zjh47AP%D5nc%`U;&> zO$auNX#^%hGBCmsI5E+%aTRc-cHaOb%W4fB!Ns;>P{mBAAmrbBzLz1NBo6s>=(wrSUJkOp#T^Bur^+1B`+G%(0T1b0xrGH!GEoL{6)TdL zb>Fcfbc=WakB1X_b7<;VX3)zah8Mgm$OV(6ru$=rB1RN-xbOR@_)tbVkQ&?Q$TSb^GTFGOYQgy3tE<&8Jf}8|!j6ldwl1Gtb z$X;T0XB=7`|IKI^$zS_UjTHKdRO^Q<@3J-JnmJv%jBgjO6?*f=%$Ke7c}89`+oBKf z_8zNm?=<3mFL09+>r3p2{ffWa9L)5LZ0~(~#N2F|9lNbT@+m45t87V&Vd_M`M`bvw zKrp;M7s{E{L3`k(3Q`O-3E1w|sjg~Nykk}UC&Ld$+u2aMzGm;3_(JA8bb=13)rYH% z9F+~R75PdsZr67xTg3OibJ2Z#_b(R1%$q{Br$x0$ca70$GeWGfuqKOzKO=N2`*MR6 z(p6EQZBO@48%^>)dc>I1Qr9LX#a7ZqH?+NlR$MiD&Cv%Zj!slt-R@HISz}USXXp+r zvi>lf4$%%+U)?3<4xqly73UH4Jtd~nnu+d)QykBwH|`XEnnvH=_0Ez!2iWKH^qb*TX`DsCVGz^7eYqXf zymWyzc5k&W{Rf1c6jdB`Ji#w@yyAXia4O`$NcW8|Yu}!~XeP)7t9x{(94D z6W`CW9fh{5l?zhi9nbP;MDCM-dDjj$8Ew9y((vJ1XXxMfk(dfbQ-T z*wvFOji!vu0ru^`Zs|`Tg!9q^$b`mA&0jk{{epiJQ0MV#V>kQiMVy#$!5*1Bz?R#W z8g_BywbU{}x~o&c_d2X?*4-YEg2_imqx0?)=?%)jSpz@NsD54Q zU$am8LzA@h8UGL}QI@_?j*bWW%HWExp_#AFjGPl@ee4|FF^b69;d7a@M*4{FHfgsI zIP`#@o5U%LhH$_B;a_+Ril{uwFr-G5c&Elq2U{d=MP8K#( zw+Xq`Va1?R+$omQt^Rqz-UxWbgd{R`CSworyaq}n(#B26XhjZ{$r2P`@y(=hYK^kA zAK#)m)Ipq9d(uC&@zO>A5K|q~|Dlk1z5e_K+UCz#TGQQ8f`VwP$#zuHidSY%!{px~ zk%(`eBH7(QbMlk#-zaO1o_Yf4O)l*|9@PD&p>P~fT4G^kW-`mB)uqfj**IE86^_K7UWG(<7yU^3Z4lp(Ky}c!6|FhX_%l<4xSL=`) zOG`;dufRVnC`)n}>pqU%lQ`ciKjLw zY4yyjLK~*SJuIjW_r$3X0jxLk-O&=IO0B|hdk|50qcWpK?vhbz3yDfidFg?gI&YVd zEM8P9dkBiIq$+XG9Zl6W;6a3#akQVuP&POPuxrr4fpi)`PY4}S5JB83OVN3on~UhC z@yV(Q)YJNg?^F}HFMLOYOiwl@>k0AtAGADXpyxVag%P~U!?04)5O<@>goz~J$Tx`1 z#5P2}7_E5CL)m|AGX=>35qLG44)`*hpGKUK%+upA-u2@?n-bN9^=Ao90JIs*HOuIj z2TvGEK2&$e9W1GWjJtIoTSo&<`CLO z??quk^|ul^h?6$j#^8nZ;$?l<9H1(3)K0w(9cwV5V2h0FqXz)|D&^(L7y!pv>+qj@ zL!Oi9HD@XEG}1jSv6o;89vmyZy#;#+qANzl-gE%G+ma&AL?`VTF;RNSK9vVlOwlDLBDZBZ@32Ok&C%lCZUgQeP-@Fod|vs(|eb@V1YkQ+V{h= zKby4gXEl9@?TvE?{ zc8afu2JiCkeY1`eKON^Yd~51nOs@|x*|}bre?!#NX4k}{fY92e8#V_d)hRg$`IA!4kgZm+ucL#vnet_KTdpY|*u@+EI4S%>@(Fd9`dMy6Br+E0PehJMfv>gy?_- zF%|Yco52F*$u|X4r{A#5|2-;T2l%;A$INEv{M|^~!!sKSiXcV#u$^NYcBU3)FSa51 zcP_wEXKFCym7?|-4i<89ZdTG^uxJiw6@NDT!T+(ZdoLQspV9R49O(%GA(r|+5a02?b!PSg7zIQE*#-gRY+AGT&1s;DsI?XUWtZIbsh!tPaQi*}bEoJ# zxjD@^tA^6=(sBSiHUx`w`UwQ0aW)=$)|l_MYBe65PwNj}y&xK7_E=!t-?_nM5I!Ha z4F6lLlVumnUTv8V9;HZR+beF2RwJb=3|FlVEMi;v06tN?X!)%y_i1;vaDoNgq0n&H zL(CDAQB6lwejo70Qsi}MdF!%!7?Ov5!VHwc+*=1udb(U9E|qW?K$wdLmcs~(i&yU$ zd#Ko?ECP>rs)0=F!N^>fzfwo}gj(-n9Sxv)j`@_D1#9HIW zYLjfmSKM2V{DWemToeWJ5}56Q8*3Pnj#f$-sI6(WLq~XSjQULOr7j!Tezj>{qCaG6 zSye0n*%wx80E{3qlglEaf<~B{urt67zWtyK93;?lSj>6&^^fSv2{Q&^8Kma|Ftoub zB8Advs*!Plo)7g>ubo2>IOj=PVTNa^AJRv<^T~yh;D=elJV45;DZ?9Sjw&QcV9a)? zR@)mE?^pf&MLu5gqE{$_bEY*}Qze9lHPem9X>tZzJgTBluIb$U!+lD>=*7*}*4*I` z_kORiST{yW{jrE`qC@N0IE6?&WC_S#VOMRfCLYPz6ZU34Hg8#Fz92bjjt{BmOJDM7PDgTU@*LiRK?Y&h3=UYHC9GNg7krTzGI@nlagmcw zHKqGyZe5fTOOi+;GbW~81;pJvEKsM4KdYjc4hoE|=dyt9;hY8}MycX9we1@ZVV=&# zxUi;r3A6}$vujEv&Z>b7ucq(!4sgxe;Ay?p6`4M73i44Cc@n5q{0f;hdBQEDuj6o7 zyc3JA=tUMfNUv4N=H)CSI+~oX_a2Pi8V1@l{>3jQ(!&mGzS_@YpZH9scY)K?FfN`|c1oyN+OdPgcO{5Zj@>zglB&FAr0b3P;K#xOn zafnHcc!*)OW(q0=B@1@>2+0h5uv;5D_bH%1oJfD#&EI_g1L%5mCTWf_)6HOm){PKt z6(3VlO%&aV<%2#__nc$Wm$`b&3WrM%^B$v^3L(xWlDuh!lLn?7EADt3Y8E&AD=a$A zm2!>+dKZ&4tAl0^!ZL`lCUksCO-G*unKXU)F2-B;y@XOG<)DoC15Na|xDQAVwga39 zPK#2P5<;p0t<$1$!=-em3Tp%NTc8{Bh75Rgm`@rQS>B7XJOV(216k$?f&4d~oAFt= zY&?&N2+<4#bD=q)I?H@ojiS#^tIsd6*@@5{G@s2KWuk4AP8TS$j>=lN074&DaWo$p z7uIJ4nJ|lYOcD*TEnOdfg`Y1TCBGr=nxa&(y5wSMXXp6QeVJVQH_h8QzUzIhcd5~F z7n1+fO{{X17-Z`S>ferJde3T;CYk{c(CV zIACrq7eAi+OC~?Vo(WUm&RiI|L+pcv-cOQm?!3z0G*8n0?zecbw7Jvw0iPO-Z4vGRmv?Y{Q#v-{V0My`@AbRRd%(SqN3v5;H%FH%_R@r3spM}4&B_Tf_a%F6>@jxOvYHeMky3-^ z#{2_~!h75xqiuDAeJo!@+PM4&f|pr?7S(N{Q81wsI$^fSPmz4_n;*Y$q(Oh>ig{t; zrOYHui)B*!&)X>g=u!DX7K}3c#~(ySMDk$oh2mMW?b_%Ce!&%YC1g%{aktD{H=K+! z3(BZ|p0bN>{dV@?j?uP5mNC}$!=b&uEQh0a-TlC%{W!lpC`F#!76SukTaWX7@+ol{ zS^WlB>p6iP#8fo`Ey|D1%r;s6qYzfYeH*X~E(v1s#q_e=eBi-lbuTZmH$$+(X6B^( zwGO$XaB8|T>&0v}*DTaOfn0Mtjvik=165r9&CiW|ew%r2_g3@FtE91e?*wTDx%>yd z_dyd1!Pio6Z2DF(a`FZa3;4!=otW5zAO0$Lre|yV>(R3xSl<1c_Gd5L&D23FzJ3k5 zvl{efxZy9`Tcl?{Er&*MD##wuab;#?W@zsY3rw!tJhgn~A0Y!fJo6m?9(^N5NnaZr zI1PZcDAM2S=qNXWW8V5L79CbX2hPfb5D0}8c|?RjFReva<>9-!3^b1ZK@@Mr~K&Bv+NSLFzV zh|pCH9$<@Z$y%K_VU&M!#08^Opqz_V}sqny}bM3>r|t1RbMB6v&EMzuz?LcGIdOKVJR%$3|O+XOL;!xQ2-y*eq=@9WlV`Ol*lo zxHvk0{=BWm$SzvOP1uojE69TEOL}5=eT*&9k{?Tp(CQ`7!=<>6O)YwvYF;68*c$E0 zlFDT1VLAu$%!f$Cm-Z+1Lvk!N3h!}sCEcnc0{kLZpx8`9$IHhexw!=SVxxo-Zh|F= zG;&+b@9z;x`A(IiW~7^bMKmE4eXSIY&Xg`noK12Lbqh#W_T2{f<~f>v#a0jHf*@+r zTJs?K^%DKVfBB1P)jc)c!imDM@rqgDdTCY26Ie>EC*0R1SC5A!3x+m2cSjqTXj9hX zyb3`wFcalviW#FrgYXbT_Z1!|0Ug8$P8o?|=%&F%ug$32p1pt^=rw+)|FL&-rT3AI zH{fb!15s3YZ4mlmIV6>Pr4@PP!}HF`yawOJp=Qjoo4b)R@GJ$~zw_opS#jB3?TAvWT*M4+MX%nPsbc`|%%=P`UaU~0{*ieuz& zAxG2eGg?PfnOU0LA?t}wz5XhPJp1~@j9&NEG^WUubqcCH%lA*h632XnR1PXd!YF-( zx9clW`$lXdqa^{0MHK_O7c@+Vio_0y>Z{RJZ1Du-IoO5W`fsm{2TpYwvJnrVxtis) zltT4o%COt>)}npH^{OWBXBduIGXvxFa5-SwzA6N~M+N&ew(kIaT|9S?2;KQ|hwn@; zh?-i7r8@nDIWH)N!n0v=UZfCx?!@RP4bF#Vb&nr0y- zO>t`Q9mgJkFs!CdI9e726?qRK*@SoQR}xD6)TantDYizj&a&6__GgsQ59PE`W#y8q z?Big?J=~K$+3y*Z%0Rj zmYuNvdUN96vU?d7kE{zY|2ZM%kCl(mPOisg-_uY6QbIy`0g!lILCNnaD50s06_hdi zjFIPmSr5#Pk!PF^aq1rOeDYpu#sl^E?3FyoY0O>o-!9#wxMQave#8^V@3_uif1;p# zJ2m6hn4ALRu1hG-(*yN&8eDn*Tzm#h!+ihSdd}~^eHP4*GCaP=Kl$@G?tg!R%iH|* zUW9iEq2&X2F8s*~!{(h1nmRV>ao^`TIPhs`UUrY;-~=RPUUN@CT#Cb-bFbP*bJzW& z>_vC`OS4CF_H(A~KR0!)@$l5#H+_yk=2P_BbtJg?{mPRs4n%)C5mC46S${G-!RAK& zl0DWGI2Qz9yHQt9 z4LLADlb|52yiq;_(1@mECzfc&akAMBK!XzXE&Yk4DPLu8a!oRf*Wc!0iqYmO+0FX? zHKY>Rak4ktBnE?-e$?aC#1@DeqKBvPO&zIkdYeXZsyUB-?;pWn;tr zFM0vqp{omUx0}cJ5L|wsH&_*6ezcwi6QI5t$oewj-X!%O%Z0w7A=qtZE@x3*aZUjC z+)HcU?3yataqto=iBr%zSoR7(5iGW~!f~DS-v`@c%c-`<#%$gf3}%9>2=Ir2Qk{^q z7bJq^8shbmq_n19{NDCvxjoeDrjMl&TrT4_A zx^f(W6==8u9U7k8#sD%AbRhy{JOMD*UxvRuV6ho$dO52a?YpG5lbmKy$JyfwL2$FZQ3tJ|x$M%4 zJF8~{pZKO*($jD4oq_JO9OU`ubh}OqYl3ltj0Tnh~bs=m=p2stsmg zHxw>#vNYo8X;}b~S^4I#m!Mu#bZN2<(8LSO_`$zXpfJ6rR<4O=+T6Dr**E%ie7Co%9`=PsFfZ_SZ z?5YdFm`MUif%crNzz@C_owWP1`A$(&16CvQCdJj*DT}?V37jhnZ*C zHfJiHzYitr44mx*`QCg&iqG)OJPCIu^${#wD4ti{Z_J*W?hhC2u}cZG$k|roNa%C` zinlvnyX$8NnK8DIp;pvr%>c}|Ny6&vtihj45__7=`ltG4pB&q`(Z#Ck^|-LfP5FqH z6`qKC_(D=LvPUr@*?mEKHR#^K3)-cVjT>t#XG$I>_-5REGbGUjN6 z@JEUc8XpT5kV!Y_3py#2+fezLbyy-pZijGJK>lyR+xczVTLYtt@PiRAy5Dp`n;kUW z1(pu<7}AQLpw$F<&$Iw-}H0 z9OT(^j8@EmY0DKxFz&cToq4NHv8Lh|2;fq}U=>p_I4c}o?T)xYGOsV{j^u|PSjU$* zU(AhRH^xmn_6@{Zpc+{wc+6zL0~PoVpd^5v0<0}42Zj#{fx6(k4MCn7dE*=5t0p@$_QQFWQog>&r_pGRw{7E|q_bKdWJ5;5|) zm(W1h2rzr*5wLqyQbZFFy{cxOe#!oM zEmyPtGtu!ViHo!sIJ&RHf00`utv&2xN$>~tJm$x4hud~02!K~(P}&)UmC`^F@Vj7e zKzoKZEH|3RXdsZ-I*QKuXn9j;L={n0!b33;dmAFF>MqC^zwz_oj2=R6L18ekF=nLI z9D?$P8QO2hO}pq1D4e7`e8_w-(D79|F{ipm@`!C~*L@G0Qb<}cMb)jh1-Ppmm)+L1 z#)9H)BLv1f0t+(;;Br!WQ;Ez)sRf2f1Z!0UNwuHoGby2bh#chu#*v^C%dete=?D`^`Zi zOp2knmVvd)Q)C(5F6+<~Vb+{6NQ?q#%*~p=)a60EaNw)lGYI#z?7;pBaf18|t#jKE z*4%6}=p{)XdfJDa}t%-nu$}!-;T51 zS=Vhjc5GpXk2u$SjYH32Ize3HWz+!Ds7#c&E{0o=EkPZCozkbN;#*(*(WU5A9}ic) zM%l9+Tf@6gtp>1}?A%h}mcvU7yc*5}$bu>1PDRz|8X-Id+{26Hro z1fQLvha5Y*>U=>D|tq%}uRWD|I^b^CDAvQ`KG%%`08&M16SyX?79%`?eF6oyOz7Qb|8*nJjZ7Dk}3Z1-hF3+SBSBB zU$}R4Z$J5ZmOT6?0|QHR<7c+Cb+xrURVvO$L08Z$7oE>c$&nJ~L1}(sV#0gMIWmx& z^Y1<5pRvvsttn;!j81}o`q~5yA-Z0T^eYl+5Ay?W^_xqY3xI=;ip)`x&rpMHyT za5(qoUIHdlI5~0bGl#{Vtq<U(owj~hK^VZQuiv(q^9db{9 z6^VoNf)qL*4k8YIWqB0zxuu+{R}YYv>PPr{EaXAp?SN5%678*y{qc^q8{DrssFGdS z*>ndm!!7jH)jF@R3ri+BeH&Pqr$530N|kF1qZ?eoN(bn10_0IdKtM%&S@cBd)U15d zbq`vs;~T&7vs!pKVM8a#WO9`$w|B5Vqn*XwW>xuv9}mLK;IY;SQsSKdb7#pys*%7r zyr=`z89Nhna=T#KKzEVfLc>xutSTXZDMFuV{wu6w_Kohj?RLvvW~~6%r{1^A&6dwP z+4Awj^XS!K)h`eE9mZDP0f5v-Uf*H}a16BvF819KTaDyYvtc6(e2Ere+W6Lkn*@- zcCZoR1I5#{ou9^o77B8Ke>MQ~^{}vx@3P^8F)n6ccjpJKg9u~!nf>oVT;}rGpu;%* zI;;1FM_6fX!I6PA#X{sWncR=qi%UHqm;!&@#=~*{PV7!;IS4CIGqe5BmAN#hf1ef(?;D(C;y8_c05u^&fHq{SYFZcqd5?csr28 zvjv!OFA2RAV55??j!yz8hB@Fx0@2L()s&k$un*w01#jY2UOC6A5l_S!OF+7D=yo8{gv4l5FI{y z{tN)?!ha1;j#SIX!5tl`($A6;BXx`B>VDvZ4-SA4yyVDRwC?6+jGeIQgG+0J$2^pX|a?bMMxJM?!7y}gbYyaYi@SHPV_ z@m!f+QrW-Jbl{z$Q0=CsElaqYE?^8>AySNK2#5LZ-mKo&{+xw9j5@*HSVgmFyO(^a zhzxvL03k69AoJHJl08XCS_rG`>vJ|lvNh(bWR^`WdhD` zm6iS2aOROuRBcO)hO2nhB`D~SB98*L0igAn|8DMg!ODSd78}^TgRB;lb?}Ct|8VQ%e%(|H}iT_OY|8t59>!om>>GeEpJD`R4(f`u*tV`&%87A zqzZ%iR`mu`^(T_gybYA%w{jA1xSIc%llYAsHg82Y6TaMlI6*E|_W{>*^U2cyS^;ss z6L7r=asJqSZj|Tdecp~@S^e=)m<^y6v{?nbw!JggwS4rw%4Pk{sF5}Ma^+$pYc_Ik zKc%Ru$i4j^P>KhTd;9d#$WPBw{lsstN#H8W%!9#QrQ$`>7on3jvdTqbKD;-)m@sEw zxPLE#;~L16+#Q@X(yKx4b4g#+&Zm;n^&k=wWaJ=$mJ&}+#%2Ho!<%P-%*-uItlbPm zz*><`JiH#Ae+EN|<6ZQ?dgd?-DbF zkk_8y0Lgp%XyBx8|M3&Q|Ngs%au_Iu=N})}{@vk)WT5qgOO|{BXrUazp|WrWR5o`3 z+VPUjp%90IzA<>p;rH2e$lBX)u5K(oUODN^^DV&;KgGuhR6%OeZZ|fXo@TdGXg+l4 zl+!grop!WG3d|1y1wOM#odsWur7>Uxz)I>qhEUHK@IE*0aT74WLy}-9q27euzW>$j zx2B=$fSxX7XbnfQ%b*y06uRe82f7$^JxtW%<9)r$e3`-X%%diTBwSd1B){o)h(_bf zK9q_MU5j2vR0wXDfaY51sktDkOeXkbM#l!5J@NR@Lg8mnfG~aK zWr{$>!pvACD0yj;maNfw1qvgrb?Hp%;l@ETi0)*qZq0;vCR5YOF$Q_Qec z%sZ}KneX$AaT}$H`%2T^Twh72f<^7kQ$S((8 z((R0Nf;ek?mlM7^!3)3V8e>}PS2O;Qs7&!kU`&`V_0LXH?S)YzTs>Dp2n6`@(3o=T@yTJ@Y|3<3ed9hZZlTYF=4?LgXXiIv;O*6FH9|gE;-o0&*BWq(~ zIVl%|9TJ0t;~qjBa5!>j6Q#-yn+$c-&r zEWKC&Q;hsNo(s!BVqj(TAbV7eF|c!yI+|-*zGnD&!`6x>;@71xh|>fX8wPO#4k8Vl ztWdHvSphncw_$=&FqWD(MTGmoE`i}QnKeDV0r++YB-gd(NI<0&I7I;#uwI}sV#gfP zwG}^II~pRMlGdGJ_y)XTQL&$)2e`F(50Z|qgpv}B=g&)OmxSd-u z1ttaD6h%_KhsP^yL>y#aIi3zu*tFnF)&Rg_110000W6vZo|!)mRyQa5>>Ny}dcMew zmocTant>-@WaY3$8Wu0#&M>=H5Ge=bJS|Pj6kDZPdlY4&*v70PM;MT<25O@b0k*b@ zZR(19#C5JUe*hpbIzn3oFazt0v}e)M<8lME3{i+`eh>Ga2fNJ$P41*kR-z1SS+hr- zSP!%yHrx>mln+l>fw0o_7694n^`ZM2K?5VmVYA_Dny+SZuBn*;pQlq1gg`dn#8{Wt zKJZ^q-oS(j9n9>BgaXg9l_6JAZG0kH&aup?w(e@ou=Oe=Av8iQ@0Mj#U z3BjgzHh=Wu8oa?|PvsG1lz_0Q5sk&m$LxvzMpJ*HNc1jlboa|~ziNo?u(M4B z!Gc3d`jMblSOOeqD@A88->U;8NDM{THw>a$S{->e1l$ErnZ!ifGVkK&%_S0cbBsBr z;Xlk)XnI@XL;y}4h`u3cAr%B-Lh}hH_fdD4QO#SleNiyNc753nM3rKlqc9V>J{B@= z=eVK9aNh9Mm{HZ!R5xSh!YkhLH-70YR4s2xjDH3-#iR-l*ZWoP(gEdM_^mbCjIjD! z@YUH?3X2X>e0MuJCwfU>2L39V98Jm?P(XlNF5w}~D zA{`1idpFAQAFq0;FuX6giw`v+9nsn8nWv(X&ud4=1YGRJY>zuRGNjK;((SUxWi-kh zb6{6qJj5&Kqrb|8?N2{oZ}<7nT^{0nLx(b3-Kn9yeVg5TFS0kN`wRR%(G#sF3tzwU zwU0kk+|b@U-}N!O?bHXJMUI=XXp88e=LfCV=q79Zla}2fnEtH&2)w1-A(OYVscQA2 z9F21BbRr0X!y`8tT;Tv;irEP?A( zjqp)*hl^!5R};yiBL;$cn`HKOF-769G$1Y{qBop%E=U^gBmMSsZ`ecl+0}K0%KM`r z^HQ-p*Vui2orXHr=uHy&o>$+k7!|VPK_a{ak&&Lx zX{N`@%vt^EvTxm!YOb-{v@XM+y~@88PR{~~wK1r~b3r4{y+}`2XD{!+jBcn*2zMF8 z?pf!p5C85to>FlUqx(3Mhnzy4OR>Ao5w&uj=f|QrutNEU-=i*8@6#e2o`&vnl5Hubjxx(Hx+8R9T{yl*srec zDNr3$WFwii{ZnPvTjBvbG6JNe6zq8x`Gr3zH$P{= zRUVKc&@;e$iflOby;p84fNRHT2{0V_SgpeHDS#?Y8ftVq3FqSJ*nFTW(&o=z|Df zD7PRgp)J!VdRoh83qiT|+F!7xrfhli7e@ec?rU31^J@zaobphNr^zq}TBIPOV@(Tu zL@TWW28S?<6GBb{p^-=69G*h30N0Hse{>j;qFnd%ZKZF72G9Pt{;-;|#Wg;)*(jKs z*ML{qV=r)W35Ca97l_ZE`Cec&Tlj9cE$@832dq6E zK@9-EC}Hxz#;iq~D*#-9DaV-0?QDdE;J@bA;JaHcP#ps%j@3h3qm zpsY0u1rRE6qg*iI|LOmuq}^}(CNYPR`o+fw zeO)7Y`-*MkP59Gij4hOFrx6JPEePVW0by9c`-9fDh-|K02CEsRh78E4blv}o2Anbl zoSpAf1#O^=K)5yo83W-Le(u^r@2e}qlm(8wN=-b*4Q1gXPs-u*y&z!j{0#>3DY)8& z>xF^#t03#rT)8Gb{oCpzL`X-l#DYpGwCuyk7ffhK`GX)Bd=MqY_*SiULtN9LvB8xK zz4=tu*CAvQnbn^^4-J!oVy3X2p4L1pKQJKMm!Wkd`8sxKKA=^s&Vi4H zL!*JMYeGWT;;rgn|FQ%{9JY&+MEfmR(z|}@74&qrDGZi+tEtcaNyVOavOnW3ZPGd? zH?LVBm_oM64w4|IrUdN?T@8vWAo;>Uix(I7X}jyAAo;F)Av(!Txos8nTp=G46y~fY zt1s+mN^Sm=${J*>zSMh^Xz!csFk)l5iUP`DfyCwvgatuOOBh92c#NZixt93>pH&oC z41E+?i?96Yt*c&Q*Mek?cQnlF1pA>Qlaqj-XI54R$r>Knl6!iq+`q?7q&YsC-6f`q z`vdwqAyY_LVJ@!@nAMktn&ip`w!!0CPfU3%_Yt|{AY!^ZuH%gHPY z>w79jQ5>@tf@uJZh`kxO%V)6*DTbyL4C=#=zIp3@C0fdV0{|x4fAFPjpmE4teW2W=~Rbb?(^7~gG6ozr~@^$U`>d;XayBMKd0bW zt&yyU$N+-hp~psEpihrLpVTsEBzAaEeW38=-}s%`OYe-WsesCVVQkF?6Y~ZzsPfx@ z{n$V|Zv?eez+i(yc^Pm1sVv$5klp%E3pcV`!xdfy)C@rA{HbYw{t>@4(*PL%|K$Do zo451+AO{gp%7#PzO_cKOo6M?IJq@grm2QOR#NWT%dT9{r{E|I_gf48mSnb=4u-zvk z0Ehl%t}w%n#kR3HsIHv}9K6zz!M2q#PLD9wy4crAOE~d-Vh*f0bSiH?pG*=>LErP7 zweuiBn9PAw0SOCZ5hYv0+&m#686=6J4F~BW6bsMc($wNLkb8v^<=rTC1ge?V9o-de z^M8nK^4}jGn7V)e$KSunABRxyiJGC)~kUaYG+9B-ZH6hwhAUL2o9HqyiZmv<~ ze1?<}`+fN^oqaJBV&ISV@7q-yNXl}6wy-}cfqTtguPy zpY&V5_Y2zUAOl80Y_tx}&N(L6Y}-3pbK}IHb0mt~@#!EMsR!D_XPi~L;KIU?`6~5{ zSnrMog5GI&nZ-QN0kn!)%N#VijKSUzO^QAk>b28s9kMuwO3w)erLcX66g(-QZtt#UJ@GGlLqmae$elho*(3V+{7~v^SPkd2Lr$J zc#w+CyQ27|Q6Pufz|Rcqk7_KkP5u}<>Gwmua>{XeUPi!qwYC&J0$A4`2+S9PRd>+r zFe7m2Yg&vsO2WgHteFfKSnSl^eD`-Do^rvqfSJ^Qa)H4FjVuk{%^K1rQRskB_oU(V z`1!d=a|+>zEKC5_O(&+7M>4U#NPv23Xy<_-0C#{E?CA^Wa)9v5qagX^YIA5HE%pUg zYY^ZFi(;!L0^LjA@K1u@MFi<@v8BN+n`cbo*}Xo%<#R1YZ)`l)6N;1_l@cEk>?{V? zB4_9dN1}S`%kUMqR@t>6nib#Y6B4F{)L!pG*dN)nSh|hEr<300Hp`sk;+KC;%b8fM z6FtU|$t1?v34uVcL&xm_>x|u7H3K`|#66klf(+PaTqOdC$nt0wSx4CizoB7+&&n;=QZq^2!mGQxTYET~|pttCbcZ(}t$#b^Ml4sh5d zv{)EB$y#r51Z-_QY*X&GQdugVxYJo$0E*6MRdxUl6pF@Jb^+N zF?!Gd%C#R=!6b+T(Fh3vNDF3d&7(D&r(zqS$hAOIkJPjgAQcEbiL3q(>*uxAP$4~o zKy-DknpK63pq>vL^#$LAgy>=J*4U)7A7~m}mkDq$?imE}9P_v3H{%kNfg|4$T3XtV z*=Py^GM~iYfwe}W^-DsUvA}YG$9&-5XrK4uR~Uivol&qvas16zUVtwZTh@dR)v zdUkcE6FvHswW>UBdY!5uLawAiAyBoYu+M0*M8RPE(ZX>cIcc3|MyTnvEYD)Y9CENy5Gd)8shI&f5O=ZZy+wG(B>bsj_N+DXoZt9=;~mLCb>>CSE& zs8dbr1;l{4Khm%>liI9_%#b2ssXLDS92yEiPt{{Jsc|1SqMSx1qyjY?m`7q0hOZzi zNStF$TX{1REzu*p#)XnpPr+XjRaKoC8+k;>y6UKeXIrAOklvBph+$< zzTm^PIFlM--c&_hNU$VOG%`wrArmk)?-WDlnK8itd_m|5##pHm7@=p9g&;y-IDb6k z8AyhNhb|+>IDlC+tEOR^!-NA^o0xgiqXMFqhqHXl;1A{0ReInUiwF864>^p0avNHP zto;fd!1QS5PvsPJI-B(MGg;E{R#g!agibsXUHexpUi9ezV3E@sJF`?PWC#iz$ch0r(BWf~k?i-ibIc6sMozipvm=p@H-+fglD%=vJro?-4ccXpxi_4ceVT7MaERaK zu5O)jnPDBI01Ban`HH>-ogJMY?GmcnQ^ z+j_$$+ZJXe{Ds`f?kIaz9HXEmMSu36 zLg@%H6$Y1c_q0pWJv}2A#@)u zB$>w}gzFpud^<=+q(Cn1iItU70vIwXAqf5o&A)!eGQqu1A2pq z0r5L~T@})hKkhuh?cphS!Pm)af61;oK)wEFE-3N6Lx0Xr5H|9c+ZnvRkDssASK(^C zVe3%GQIIbmzLy+GUR{~syZ41-90=FG{;`>Hg?`q^=Bxf5#f1FS0Rdcbvg7)*Zs{uf ztxcfgbsR%voGgGZ;=g*=yN0V^2bRyO8;PL(uJHQ5e{Bmbu8=mA}s(0?P zx6rm;_`H`_X`^=c@z26HT?1lPBPtjp7-OIpmdpmwg_jfIk|o(@xK^{nUtc>6R0FNB z1OBgwY`CZ#>dUpKK3qWMtnDm8#e73F>p-PmyO;E5%LQ;J4_f!w2x7CT^E0lh)7q!b z`zOf*wHy3oJbtfR3dK_ZU$h9$<1;(_D-gu@z;k`9hMeQ{|862{3WzP5 zrKPeZ3hVA@B4vnT@Vr&O(_xV6MNb)B1x`=gIpUj`x$A zf#?XPIQ>ZkZUqC(Dn`v@vsVk?P53xG$TfD%MP={fP7WmvDS8DxTll!=6hLn zY)9c6%y)2g4)3w82xWfh*{M4`bIv{4a%8$Kqk9ZDg!hOH`15w^|kJ#&6r^s0JycGnUqew}< z5z?(rU2Lsh*o5d6`3t{`*K^@Ysgviyj;%0;&<6G531$|qOr6B*-!j(8H9j0ZI(3nm z0Ww7KOaA4nCoxlX(WeOlX;670w9TEr6CzrLHjS+rwg`ZTOXdQC?gYi7?0dJbl{*BI zp6iHOtmVZTUAP~XXsZ@4-_k&LWs z-a}6?Fs8rIUMKS3oWG( zYwRVUuXhK|(kARD$!2|F7qq$0-U%2~>rjC+flV*VScgspHfQkWy_>P#kX*_mlRoVF zILkB6P39C*DhG*y-B}Duk6FNvEQ)o2a8x)utTX8|*lxQKynt$Q9`o3&u3=?#Jm#1P zM$90zDeWwvO&PN>prr=ySnaQxBoxjxyg^%aP)&i5Y(r6iSh^{D)|YSo*64T*$rC8l zENB249Y?ir63R>6+pt$8@G=3J2wwqGk-7-Uxu`~%%2kQo*bQc-M7^N*Q3A9QmzfAE zg^#3we$pb>U`y2wNI@IAO=~bN14H|>kBrm50AhHT`;%G6<)e+P<2M3>HrS0F2Nf^z zf1HNBy#LqU<~RPD!XF#_Mx+Q*^v1!7%Au#8IDQP5H%Oas z1aAc5yy=yG`leTUgCrT;r9jMgdZptgKi!-B$5WU81Yz@ypYTbChx`q`=8va5LWO=G zM9Y5!VIoJ6^0LQ+>%kNMwa_C9Jr@2yYtg1XwnbN*bidWIr#Wh->9DR1#QO0EWeYKu9H&f0s%3Mf!sM&e1z?qpCojubYT*nH$^Z#aZ$bP zk&y>3lD4@_M)r(tb@@0SI3HaW5D$n!ge3D=UB^OgMiPYOF!J^oxpw+#4BLM=Jc#)} zdw766FsmZ2|Bsz^L%Bl8hvQ^f4oeA6iGtC_;RG9i3IZKR>BOMZ%{x~qAC8voe4o2Q z*}2F(%ln+>q2G@Z_@!wb=AHDuk^TW=D}l2m20#Y?WB|sA`-}r!%mpF{YS~yw!?2qO zXqq#Dohs4eig#3WYwN>BUkY4So4{63%IBRI#wLZh_SyfgHJl2eX+Q%4E>qDdDu4jm zn%d`UWZd_B47Dax#QF=NIn)~on>}VraUuXLz%dnofiY-fFiVxIX$1)(fHfGQ#xcl1 zmI3+!4J85~Far%skQ_GnsQ1_Ie-~Fun^JwLL%FBpp|}>h9kg$UnbnibNN1v`PSZpE zob&TllR-b4l;a3}O_3RC){x_Zp%Ii0{5d@aucZcfX$%~-fOzHtW11|{_O6*Wepzx*SQ~vIa4dr+X^q`cY}O^)XuNb!4k;*{|<6ABn`qvE@@R zyD*W4_o)5UQlOH^qPJqQ=tqd&oYVs_2DQ>6X5|j}ZPt+&N%H`vf@OHkhWp^7j~Hm- zSa+0IrBmEN7HD&Tz`v9N!X~<>C%C}Z-xE=8FIx_;n!>)^f(Y%G69k{NkN7Mz9cZ({ z*S~6~Y&cS)LwpsX;60|-)Sc*2MWQUJnx-N?g?>)!D--O5=$?Dy-_ z6E;+5Zvt(U8+Gyjkgqvg-KIs`S&u*hEqg4!w}zkd&PC+7=4IA*Ozv~l^tM@K4)&Eal>s=8-=sEXyvWG|P3fdmr8zi1bXK6>Mv-9(9GaF|zr4&CF;}DvLW4 zyTiHyoBUM3>>ztF^r`cB*U`Y&&eCKqN<-~F7twwup7X5^U}y46fLB-HOaL0VF|&-~&+uSO+i!t0rjc!q_O%m?_bVdP=l#8d@N@Pr;C4LXKXW5a=|$oG8=!x$*4du{KM zqX8mUn32y?j%~x4LK7Cj@)rSQv&k3MX##XQ-_sqL@1=S3%R2<&9eO}HYfF(aVdwd6 z-i^6|<#~-y3pI5iDG~>b*9Y((e$s`86}lxqm;-QIgBWYOOwC*4UH`SSm?bb9;759f zkP@q_v_SuYR1cO*m^F{Ugp$%$QFMgAFb)Bdv3TZG(52Hn;4zm>01JskKC}!!eX9$r z6*eC*ImoH9x+g9ROK>U0QwaXn#P|O2R#|Y}DSKFG7{-7i=~@T4jF#7%df;^KP&8dZ zuQF^RVSB+9aQqr}v@-Rz0h79E=l#G3WXz94vJ{CA?PdfRDZXrNSjX@gY7^m(VZ25? zQnT~d|Km52FPrJ3y#--Z6}SVGQDtoh-03uvk@D<`D^d@ z^S5AAg#3`>iBQ!Qa{U_ZkTpAJAr-Vo^=?;N>~_;%bz=Ro_0rOP_eszpbulkq0lqdI z0n#==1(Wd_tRS(kS0Ilp24IE%YeE=_1gtBnD?#aA1Iio2q;!4mWzC{kS^$nI@|}hp zXlL5;u4Sbh*__IQ@+ct6ZW`eW1j5neZvjRpsFq%|4Nl8Cg6T_U!4nAJdWMh7==!L+_I z+H6|QDHKklz-bdxgz!>;EnTXa6_p9Aj7*XapWHmK3@V_l(X8r;u`skB{iw7Oa-ubJ zK)`sY2J4`8o7M~hK1K|ZM@WyZ2NE)}5uR&U1}zR_#xlzjy{)#2)N~;;vB9J)V6g-N z9-yKY;1=lHls{{wmLnkn+o~G-2ARPF6;%~Bo(Z8nF?Cy7nOv2<4K>cIIRYm(yE<-A zCXQbufyttgU^rkf-lf;x$zK@4-pk5Q@#&K2BosU^@t zv^Iz~suKnT405$?e!Jp#2HG9};pY(s1#O^-UeD4yQ27u6eJvd(p_DdiRjD3fYfy~( zBjtPTnQrcnq$yZh2e==aY!((IO`hc`EIYWMAMJVs0Y1kP7!i9yFF|Dyd|#ImJ$Lhn zll|th1ZLIjj?DQ!_!@(kkNUp-E1|XCwaV_?ljX>o>9Oo4IzjK>V|_HNj`oYEE{t9F=ih^v zPh0$f9(U!*J!AVU_hIXFPfORTYppMLHG?eL9}bw40~ewziSrSea}ja_iRJ1*5x1%J ztyM3bf`S>=4-wgVl#nj+B*_7Y4q>=Qa4GwvVFin0cx9c(g|^T zp5v>b2YCrJmK}voC@8P*<8qHP<~EzF-{$bSFI<#x3m-cR&vk{KB0~~!4+|R<7YjIH zZw85m}Q?T84_&S^MS0X4q> z^~KNKHq4#jRgC$wX!fVVQ&zZR$7p_Uq3{&)*iil7St6Cy)5onHL+5LNFhBhnTi=@b zH^sY~=Ul*sJTr&vE}z+XKHM`jI=Xqw$bl{JMs6=xYT5Fif4hjJMb1k_fPCM%dU>ke zdN@J)bM``5ZqMu0odj;n3hT^X^~;~rwzMxx8=*f>5b}`Uc7j~*4-W{od$i`^Is^&b zeboVicDy(lFw)7YAYV>yesO-0gMzt;q$Xg>iQpJuQs24$-ixrE)lNI6D8PcG{EYt? zP}yo>El`2&fEPJX7;FX*Msf7vRdGK0ewBA4L_raDk@Z13pVS9ho5Ewshv;Wzqb0(U9Fbe&wX(k=+?{o9#yc~ zasQgz@=L`l$-omD8>Qe1i7b_{=20iRPFi-5xht8hx=KQ*tp*|wtt!&7iQ*6Fq) zT6Bs-7J%d3Uwb)dtAk-!_lKqE+=W1KCkdi1Y;}hmK;dVL;0iXKVXer4o$7>_eGQ=| z%g0;S*}^p9m8_Km-GA_tR*f>~AC1r(B1{`#4|d0e?7BCdt|azr^VZiYGhWYuQpl~3571Nlsj6Nng+|0onY<0D$0}Xd~9}Gu^m)a z*+@rJoeny8VVnR50FF8P1}I~>%Zp_KalP!r95RDO+kG`LqS9}NO{tx07p6Y@Gx5?U z#mQbGkc9nMEP-GGdwb&JdH2g;PTKB-eW*Wu*+$9p2s%4%cNooYkO_il|L15Vbj!)R zR1fZ%blhR$mBa3+;nC_0C<(WoR8)>J~fvmR>YNfVkO366vd}pPBq*D8zj^`e@2E_ zudp~0Y=;#e!|6w!EFD1(BtcdsqBfpL_D-A+y?1Tq;s;OCE6E;LEvl1A1L0JmGXJKL z9q4}n1pb)&_x~=Mc;hDZn+ePRF(i4z75zp@-a*d%aUXKPqj$)6#{O&s>uh9C2TrOg z^e;g+KmQJ7^M}9pt~A`egcJq1bg6&p4-HXhq2#H9qU|;4<)dVn@Q0zIa^v|C*|x6D zXfqzhrwB7NoU7v799Msacaq_yVR8gIz%Z^DCTYY$iVgIX(q%#J0Hp)U6}l2St`-5@ za}FO?d13{A{)q#7s+$Mm^Z(d+YViKUp>!J@FF|v1ZAS=r&FNaxihmY9&tJIT0qY>w zqIU;D6}w}EctIS03vL`0YASD3E;6;Fu6r*}wOFZ&1Hf?h{_y3XYE~TtVz*P>uBhmu zVuTyQ+15oHUdncn$e@Qs1ipjlD?RJr;CKXvYh(0?)QLbL-Mpg}2B-MPB%DOmSN&8gNTRi`MZ~_Y{XO^Z`4h0K- z#h4jQY(Z^;GvRN=v@Bx2c}i7ohp}M>B|%#PHGoD7>SO6SNBQcFRiq!lOVIDV^odMWQ{bYz z0f|wBJGs(7W1V154KjM%uDg-~o6!-}AcmHOk}@CS1wtE0FeY+Gu$suE;D6TD@EE*N zQE*-ekG{8MNY9tBjKTynYl)^hc5FumG(jwTE2yse*!WCZp|>uA7t%>_d(yuX+K-qk z&S2jqNlzV&wT+0}-yLFZlOsFEQxAV~J-Y<4jf^=JmzisQqD4-5ar2c7BWAYIpVU4at2DP^{rZxPBTqUEP~Q zg7)=#km%F`am%q9RJ~U4P-`m;jM6|Scl-8j$@G`L ztZ^SRkEw<@oTzJ1je&pY+gf51Ah^QQ!Q?ldQFsZJ^E0vs>}Me%>-j)UFUXm#E|gUD zD`qktQhS`uP^kmasp-&?@ila6^kClfi5Aw#gYUyf00;2`nlmIqvC$MHkiI2pNlrxs zAy`;GG2aUb48ry)0*aVBgvE)IRWPD8WDyHI-Ir}J1K{pK`qm6gATzp$^Kqz+PToLQ z0|7D@K)1X07eB;D7^|Ur3q1&0258~TqmSPN9|;YX0>|py*ugE{k-vz;l25rI}wi2Kn2nUi`8POV!n8~ z#ef;{?j*pD9OPj~OE4B#C>36gQt8j2;SH{NDM;)M)I?3!%9B;WDMU-ujWo|T;x$@p zO8W!;F4V_E`ezE77=GiX03LkBYD71(Fb!NN@nij<52r2{8&?;Q$V1)HPQ>G{_dn_oYcZ@wTEI+;u)$uH9Wu_ zfpn)0r^ZNL67zGG0gq~mJrB^z_!gC!4pXIsF*c?(NCcE8ayLz-`=igH%RC@NkkWCn z5r!1483_HyU4khX zoe!S}T#z)R5N|L80T)v%B}6RT1)_x<<*>zK2o1H4uV%-^TIHteeFxP;SU?r z9c%=UO8}n47)V7--8jJ)TDtwl=iLB>Q<3kPm>E4KRp|*lCl5&7A*5Ssz-a|wd-aWk ziA2kj0OqI=6Hf%IJJyPt{k2tqcpAnUj4lAA(OdxwCXwKEAcfPg2&BMb_jDA+Mq%(B zb37DgAeA?Ow51UP3pSd!zbj&}4!~~YYY3o_8j5ayJA!BD3CF`x+yO9=1xVDI{RcZC zq9{1-o5qiuCuovxnTDK-Zb>mHfl%LQftJLuaBUalL-m0`kK&#vYYD>!*D$oE;I+nP zTAB6iWg9vZzJNrVs-oB0N1;4X3=42J@-B!M9fp>lMEEE(kF{!h6k!;PYRYp>JG(|p zH%{>M%p`XI!0^=>XlP9wswF5G?x87)$E3uVzav0qRgi(-!XHc%2T+Q}3C)lDiv){# zgNmVPkIC!uCG^nPEMh5KJN7>GOhX!kYFCfms5?Ho@iEZMoBf45qsonHW7w&a<0+rv z#CLpb-)yE>*T_IBY~1A9|NPrLF2qNggSsL%46cDIMnmrLX-pUK1dKt9REan02P4sT z?h27DA%2nl#20yCpfWEoC?~iLE>#!Y{h!_?tGFj{aLsv-YEw-Gi}T>gp_)Sjc7P%X zUCV*VTzXinS#XQ(fS-Jfkm1H)HkaCj!*GcefoB5{P?+Ve|n@HcT&CJnQu4jkFMW}d;OCK9(MCkkNl}e_>NB> z9b5x4aN6t+HHlK&ww)Hn`lHw}cA2pWpAxJ>3^tiYFEk~XBO-7FAaik8!)QUJV#f`E zvReohiunVGS)|pp8duN2R8hlh6R#l{^HDqW(`4`&Ne5HE23wvwRCD0fZ~RhkZ+@ia z-hVh?_)-OU`%prMZWgMOFa_tZuBflBUA*rxAE`vv6E?}GihKD*;QU)^a^UgVGjgCd z=epvcC55xW4{x_NC%*L}nIE{F+?|>CNw7_RVmjD9^!ht5Ng>q1H(lyRm#t*4wb<&~ zRwsM!zMIdu!q4n4USCxq%pQ|bzkIni)Gv?xZ$IRW|8}|bPKHeZLL@dy^@BfLlPAu^ zBxYGo`Xol&01SUN;(0(|ntbEtm)$Zb_BXy=|C8Sm2005VKlNHO2hqaR7(uv1_q@R5 zCSbKPpa}$QoUm)FpUO`krnEC(@l`E>*n#Zy}$R&2o1LPxqFAv2x*Sy zyx;r1|NngrO$TM7?56Yw+@ZYYlP~(4F7|v`-{xV z9B&7YCCa<;W>F1~lmG5n-E2!aBHc=@a;nsZ4fiXk=DAiQ~{z$e5CRK7^PBtDIj~-w|O&o$IVV9 zN8~v)Qobj*m1R7Btr~USDL^A|7~RU#3#Y=sumoEf zFCq>TfDo$s{Sqz$92Hr9WQ3`gX2~W_7GR+YrKu@S<`!%T!iugUFj>AqZtfP!RgO@c>x1Q|sXbH#f816oZa^lRRK>#S)a@MtOoZ zb`B7fE5Xt7XIPovV(sg=wGdKl3!Ak@&~aZ&2f?hltX&^{x?{4VrM7*;>kk{hRPM%D zs(9qg->A!~R48oHt^VnPm*Wc!gjG=+qrk$r<+#zVE_dn=Mw{PIMqAS@KhwV|>VCb^ ze!?G}H+So&e9MWX`5UV?7M)aWhPu@?tP$dsrJ)DvHmQ5Gk-e^GDX2{BE-$nSwU4?U zOL)U|Taql~)$AMdI{i}L=e$QVVf)CilY7PaBqPsOtXZ7RFD-~H#g+Wa9R0z{8fzQD zcXZtc*WWU2c-OUFAK#Mq&d<(z8=hAsAAM9fT@cLPYDBFzBO0|f>HeNZRr|cU+O_+> z-&a=CrA&``z}u&_{|XY@{-y7;l(n%Hsd*X`pHox1tI^%4a@P%`tz?EB4NBZ`){IIwBEdAI$dD*iVf2)>F1JY5dU;CqYFyxhkNX zgL%Xd9CWI}M^>nay{!|N(7*y-kvS@Ab;nj%ZK@%5+)PB$JcGKgoEM|2Sh4zKcsMaN zq;%`N>6h$QvqmGp(#Vu$0Ns?&l;I^Y&_k%#?m_h6)yj>mKYHXng3NmGE8iN86yy?J z*Rl1~BWqsYb>HTmNw+P1=?Nn@H`^R>Oasy`lVyTiB!3d46l<_8trBPSXv{0vd=+X6 zLi)6tvCW~ZSsI$KwbG8JDKrxNaTRn*HS;;s?zDQwJ$3X1Dw5BsL2suhm`aA z|I+l&${?PtKU;sTzG40G>E@^JoQ$roeHq%m7K?rO&pwDCZV!eFpRvx2>)9jcyam%V z3pEokVyHWv?=&8Wt(`W;wSHfnHQZu%obEk6-{R=rLiXpD8Sz4Wcs8Lpo=i^7>P*<` zcQra;+O)m+OeS8mLuqP>z!%b>@>I_&uv$#E3+TnRQt=7x6k5i3)kmzF2b>eJ96P;u zwoisctRs0U_+6iWq~VLv#*X#TYaP+X+wY3Omb)Haf5#h!YERDS>pckm$@W%w=VH;> zXk}(SuK;%*0XPfOD&Ej(tXJ_xJ<#8DYfrn{uOIGt_|Vx>+ncj`r}d^kx4pGrn-c}E zyHsF%yH`l@*#wDzxdEM=)zO#qMcp*^2hI+tlLbQn%X=}e7M0$l+SPpW4O*SlG#k=; zraiUapEu9>2fRgJXFLI=@F#yh{`IIV?zLmDFUey#^!ED4V~+=V3(~$~G#xjR^JY=q z*Q>Ux?WWe-TwX%q|Ayc5jJ&$)@o&nmq>;$p?`oQTUy8r(=z^Yp&3!v*yoY ze-<0Kqs?gEtopBv8qXsW&t>Af^{4eFe>857`>X6Y;gm)-p`aZ$e$d=xtWF{jh7h7f zZjcUDmMtz~F zt@OcHTYty1y%wKwzgCUcXBD`bGvJlS7Q|+m`5Z60YX>vEYM?uk&PK}eylN%x$aO7R zsa*-(B#XswnZ4ddEBo`l%gFYwAEg$$0n7$vKl;ue6nQUGMP~vvYaS6 zPNIYYmB%tgwW*D26U&(|FNneKy7+2)YS`-ce(;v(jn3)Gl#$O=T%)8DUw z#N;W`{owKX$FWE{8ZqOd>pIuO2Gm}T^YQe4d&tfV4nMokIbiSczkli31BH6${xO=A z4qc**ztc*26gsvRO^d@hJB$ZZCj3BaCM<+3z^5P+&bZP2s@BIuN}ApmY^?(;iuV!x zRePdRrNLBjXs^51MfSJ3Fn?>w&Mb1gQ{=WnC_Rd+R#^Nm?nHFwg|f*YTk={%^ZMAS zj=MxWMLV|s!#-&1eYLly+ttG6pG04X|4P~IYA)0FqJ4Sjx}%@7nWV98u0T1VFQMRLod}3~&fd=!YjRjR2bovL@ zGu&Z*t&1Y-n#+WNwCBCKEzB9HTGF)QBwd8kGwN#cs<6yd%bE71FpGcWO>g!W=KgHq z%|hX5;n^1o=T83J;XPw?^3*+o#@~MtC6=&|l~63=tRYN5_$k+4h!>Na)ZX;1 zdT%LJqwU@~{VB}fBQ@y<96|ug+lMgI?dE)PUI|xSRj+1#RWzp-?b-g(jjd1UP5N

z8$1~+!A zu{ze!r8f<1?CKx5{kogpYy6MA)iT!Nw2WoHtH0~bKwKz>K-pRd%dn_ORkB-bD>Gkr zLe+XhF4N0wc9{Ve^(O4Q%xx`h)AOiM#Gt#V+;&|&^QlzJ589m>?@fQUQ3GX)15+`h=}ia`F*kDMtt?= zUKMRRk;#NNW&X~tBX)1ErAL^D8WVm?%xLP%&6$CsivGZ?ar*U$b9P2`A)B24CmGsr*stJ ze9Rd=Id-DX+;O0OOZ}R~apR@*mGs$oS$Lc>0=ME6B?RKpSgEk;RkXpSyAitg+WA9N zThIqDa@iwt%y)PwT$WzEa(N=m*=qEv4GycU=SKqB-~<;e6Biy(7H>}+J{PHtiB{rB z_1%Sg3)E6F%H&XC@n@f6P##m$DsVMTc8Z-UaEXJ82er$-$K0;B#p9HBJj>vXL-uZa z+x=r9UnV?;nc$Q_yGyvO^5B>J=qLuJrHX?iSfq}dFnyw*azPbrSgYcL4vpZk0w}Ju zbJRKJ?|1eT@`Ppg6uO;DR(r#*?F4OQr19!a-hLM@f$aR6$)*XV>^4(%@3zl7+nhM^ z^}QD^`1wOlP5v>@2dOD4lEX=HpYhR*3WSXc0HdjJb7Ta%Rs93m1^pfWwEdFZ`rfQL z=a-|9%T!x-QW3EuQwm^Rda2~<4OD}8i+HPz_=}<# zwuUEEGLlj{a^5*qUw?lgzv_1iejc*q6WXMq3i9fjMFK`#n|-5vZH6ssyWS_efE^S(P{M;-EwJei)hN!|@rtJFWEB}8 zAQn~^GO4E1jYx9P*@D3X!D7v2+QI6$-r&VwU}DHgCaR?`VH)gP_^n=!zP^IM65C*1 z{lT-A@KP=Gx&~wC!(O;OseWS5Yqc-aX?Gv!cWsE(j$GLGUbyj+;U5I<3BA#@l(W&q z0N|B*A*KKXNMW8z>k+f4b;1L8;%EhLRncluw+fI$ZGkaRN~vbTU--Pu-uAh%-E&O&Ba)HN`}vgKVqv_5 zQw+#b!%g7?P=Qo32?7bqk5Hnvh8@yA09RqTDr_njV1bSOPo~tUAMp>GqG_>e7&K-5 z=l^rb`~{?!qs17Y-4*!F*zZxrM1{|ogL<1Cnd9i=J!5`)+k3ag*Vd3PdCV(gPq^Mk zYM$1if)hk$u&031;&czDRwGE21nu65xlYAFNdN_OP-iM>`tRiOM{l1DD4~DShYEQ6nx8D_Qgwo)U2cs;&V8A7~qEI6s z5B`Xq`K2aKwppJy3un4??zGbs$<+iNevVDVWFcH2rEFnE#I6#kt5V&mPSwn&iTe&z z^ZKr$k@dHDBu)Y9Z>`>$%KVT~0S}C&%O$zDEuTUcsLHtng zCpan!5h-WFZU|@1@I)qrrj|&iNW>~apUMa!wW&66q*AVGjXZ!O7(A?hYWnp627zQ< zwDx=0=Yk-tI(4Jxta8o-C&Lm#fL{)BiWLl6NKI*I@CzXY{5owiTD+Zy{QrN zyLyX%$(tZKvBmF`53~N`Gb<}zQs65czt++G<)_7j>*!b)ZM?7Dcs=&;-5posmz%Du zfe-ZbPew_qkbx+_2HBbr88KSZrZUYJ)5F=4yLPU0m_jgenQ(+c)8P+8tNzs+epM0f;I7t%VjodXx8q z@9J~jWkp!6P;>H6$B}~heEzk!;=imHa{>u?mH-Zd{E<7~Y_7+LJ=<|M`gHW=jaN22 z{BY;Mdehy!dh`1DK4Z|l=$cui^S4{CXR`-)7xIr6#!eRQDIBW7)3E%qXq+**AVFi(t zA3*D2+9Ae=-C^R;#SALQhtB0uMeAy6P=GD#O;xmWewTAtlpQ5nm=JmY@Zvvi#*P!! zS&mmf_<6j$+lhTV-GPkynen%)I}NAJ9r|6nH`Vj}(0(!EUVLJ=^X%omJ@&J^vFvQ@ zWZWp%ONF0{hEsE{RqM^U%IYnic~M0QN66$6GY|?-(COq6(jVPCU?Idxsm?*|tU95) zBLNpx!QJU@as_aVeff6guQr4)?fl`MBZs@3qlNDm0R8ZPlKIUqP!0a$!ea7kn8+7I za~{J(y%K%o&KJH2$w>TcT}NYWd(+Q4Uax&{b=?Q_-hoY@_!ny%)kEssMM!7c<*9Q* zLWc&O9n5dE`$R2U;}hyh5a$3W!Luz%VXJ*5Y+BxWRPI14j(+@c8NVSOGs9G0Yrl+{7WvD$_ES~w5n%$%~ z&GwG9mkxN-dR#n!!syA9YyPfqR-Dtr`QuxD`tKsgux0-NjqgUw2}|b~QVwH(K9&aipv7-olYRh3{P&JBVF;sOFEI`uF5K zH+h~|L&hj3k8m18sjsPPPWa(Azu*y#2Hpg06K0E6j;PV1UIk%Qg39bg<>@B9c}4I* zrLHE6$uq9PA@Vlq*>CDZz4b!DdXERqAE+-Ze&kaV)#h!goEH)+oN3&-Fk^he>F)Ub z3{tybud-{HFNQscU`HM2unmEx^57?Q;xK0#d-8j!jR_uAWJ5wm0L0uDFbM|w2QL?F zO0k601ByRtwf*%?ehcX{06B#z8z`KxI&a#2TBv-toj$a?Z`>wd-pan_PnHZqsTK-)?&n%Z}`7U^EMF=WwDCWG(ha6OEg_?y3fL z`qfvjYwyVEOF!7JJ^W~|up>w@al|Qlk{2(M=@`KATw9kfWi%c#;ejqc!1yw}#Nm>| zB#M-%8aBQ?MHVXSpPMDxor6`q+_~}Q_e?}%R`2@*3sEfWU_l0_rV+jq@r^3Yj%%XbIZ@0K=$D5v9MmSxf`|ee2$$GxWfSQ?{Og;5 z-vaQYG)1^=z1A53->3v!i9x3V=%14%V1)ubyo9#!!kaW6n8?(PNvstUL~S&40r&#D zEk+{arbrpNvGuVN#)O@@mQFVgjbyGF0}Vy3vJ%?WIvv!aRMRx0A^;tc#wt?9;>MIS z;cslE?ijh>{GObVU;ObulrtO^BD(Y6L>pskuv8@T__FcZt`FXQ$0N~ehHmeA)cxLM z16hW46Zn^Ch&7xy6!u=io3h(YRdlnDy0hky?-ak0-DpBXPq3825h0>NVC%*k!q(bO z!-x;JrQe?!G2bu@R*>SnP+LP%S;0!RrfhTD6%mJa(i-kaQMHxYQ-4?tXTR$mfDE%j zMyHRTAe|AjzTGCNf=Y@m`nVB@4~ZoO@tEjKDn`gJoC$j-QCWFF`w~ZJBu9XHY}!gP2mZ@darSs$hS!n zoDeS|uQO+Qy(jdg%x?RrKDgi6?QC1S$LYI<1t)Ws>(^O7di9@SV%{*Lzd|nYJN83yjrb}XI@K_7ujWKim=*EOdydSxUE4Nan&TXmj*`Wkhxc>%s~8i z=GSzVdQ9`&=uBotcj?)ldTMZ}kCUv?Q%0u|g}$NSq~B$X*jKt1;#Xs8%iO5fZ{&)8p%9Uc2q|4+vrJACLs zy+v=z|J+(-KR2C1d=t(SqFU30*Ci4<9MFQ`8oCyifsWZ9c4+Ahh@Q(-&Ws4n>Rd5{ z5uJM%JuLx9KBW9qiAd-meUH=v`iF>rjxEmoZ6J`##4WbGl;RL?xNlv@n(*+1Dm;bl zo2$@ux0v_jD~mek6gJMPz!`*p1daz{{B}Ym^H94PUh9uTtm}30Ov?{+q0sydbP>eCb;%XLi@UFww&K`; zP2{U&KLD`EscJ4HKPHfh`^UF$mD)4);l3C2NqyM7UKl$HFP^LaJC2O5Ia*J@#XpKv z6-E?R#EP#yK^UMGN48_l2@ziz!+ z*J(7W=FtUmR1OA4NQy$(Loyf4LF`mIgVxo1je=?!&T`R_5?7J?e$p311n2xV!*V$M z!ps6~I5q1gIN1YP8Aym_=9WAhk;axJtj(5BPfgF8{<(|Xl+VgFH@}C-c7E}b|EX%r zr4aA-a;#XQdbx0wNG84kCZT}|f&cz;COMH=`eohO4M^AP8U{D^? zA3@TxB#DY96Ki-lVVfqX3EqU%mY!-j_%Gy_#F=`@uE8LZ;$^A6tJL|3aApf%YcLwF z)CLi|#@ZH%*zKxa%^0k9^{Cr(Hh;!x#~;B%a)kH_P?bdDLeE7z4YgKCQ|z4my65kcvKYWFyCYu z_^l5>S9r02Z3PQY6*FrW)9Gk-K6W+!e7ay3?M$GC&_X-{GAeJ!8%p?!kL5>d9K_rnf{b%odI&>fM2Dr$f~hZP3?ALQK55+2VJPb< z1K#r@jT%dDw|)DT1*b0_Hqed|A5Gr`8uoS)8&xb+Gj&yu%M#?HY`${4{`#fm++`3d zgx3Q@zNtxgCAZ8Z2uZ@=FqCW-vYQT(3S8`IZTOafSfid8TperQJY#@oEBU)kz4j)1 zr;Yj66DfZua}14^QC5ESB|^bRil3qMlQyv|o!_?S5(e}I|5=fyg~jGn*?ta$dIu?1 zlpVOM1#w%2YcVI5@FpI#hfe`I5$}DSW~$Q^gP{!Ry-{?M8;gEGr{>h!8KZOHcNTP) z-8-zzY*(hYui1?3pawHAqu&N)Sp~X#&0eK?B0Eao% zj*B|P4Vi2TfwU^+Lme=`AW#YD;1vMbGr=hEM;oEhkkY?nKBFW3x{ZRA>EtuMJ^CV* zXR~%+eLS-5vJGKZLrCp60y6N7QmQWE=pExuSsC@+F9DxR)_*-xMSNR}uu$2RBq&U# zQQ@}Vz#%`OjstH}p~Hy^hlE;$V6e;b9)5eun;VF)j%TmN=IiFn=3=I4!H$QU2g&*N z)z}4sI@R1kg%H~;-kWSQ)7~N+6U-FR!lAX!{enE4q;JaU@nx;e{uVKGB~~UI-DF_W z3JjHp@1w{vKZbHN6{y!B75LBODl!lr{|_7GR0gOPP$sv3hN+MvfAo&r?a z%O2b5K)L|o+MD+Jn(dZH&3R4kTHFB zHCWUCZu?Dw4(s*hXgOs3)mu8B)GpgrqE)f{u@EpU3LdN`ki>VQR(i>bz`78YPI<|q zsj@`Qoosj{;-mrV9Y9G9yh>3oDO%zTaZaa@-L0I1W`u@eYLuK|HtwU}y#X3-Uq|gh z$O_&(^+Ebrc`QnLqlqhvU7tb?-%%* zP^z1eWL+wA*67l%TRUhsdvkvF6^oVt8&Jtm-NdPZo}E@gWXZkew-z2sMP9NGjH-w} z>VLnGKm6C!n9A<%DOh(uz8u;{0uw(AL<$tgiK=0tE&wP$a-u{64hdX=Wg?_*yv!qb zCL;)m5k(TZ+_~bvlh!0YC7UZ6&BYqS9}odTLVz>uFIf*|eoH8=od3FVd>~43%U$G9 zx1M^W<%2Ev-DRle88sM7rOv6iXBFa=sA~5WVx(%V8zb`ZjOs$;J6ty(D;9Tr$BTO> zeUj*fAP&!!O3fPa)HHR8gYmY37KN2xSMu*!2xY(F8_s#33t4g%MNX@6+4mOwXK@IM zpXx`FpZ0sk{K#0K*N%>_iPoR2N4>3Yz9}NvB(*tImP$MV{5C3!V$c)x0k~$uaZQ~l zTVE3@D#RXDiIT)_=JA|6jP$5WXtEX0!Rt25s!U{gr;)m9`ZLC~aaEPvMqN8?7Pa$B z=pY-Rlt_H=Dy8QVIuY0dt)jN4`t^jpy){#`$~xp$C55nn>QdY))zE+V9v zFNX%92uT%qQ`wfZcXa_+19qwgTLWqw_L=_*MW`og7Zn(>1h4I z3)ZoJl1{ZH=equ2UJ`zYZT9pISOt5$u~<~P;8F6mmI@8Gkzg3ghEpC~Kp`;AtWH2IRe*tQ=(M74C}uJvp~r183q>Y5B9p%MiyLBn z4Q_}OT9uVwyCH=-3g;Boh=oIjYB={6wcOP zuzvbqRP>sUB_Kz}8N2q%KA$5RQcT5lZdTc8ZX1o+LB%DUtv*Gp|u za|wHKmPT5gf~{7C%gCt?!m`u8%Rpi zmeCk(d03(svCYRHl;o&Bo<9X=y4Tz{K@ER)N&_h^U>6s0=VPf zoQt&9$6_nW3CZLv$%<*iT!>#x*LD#|i=>h47`ivxx-G6GgPG9i2J*c)-`-|ME^V~( zw>gLDqc~ZA_>+f`Qeyo+#zzV1CVggvJW3`?HIJ#~%8YIH8natx8#r8Hrg0EO_&4K}2Qj?Uv zd&H{S404mnv&Otq)9Hmek|tB;UgP&Y%T1i5;*U#sR8cseJWTpWva47y^Qq6y6ApWV z7zP$<-?@V2oGd(k{6zPg_4Rd^NdK&0izbD@k!i%BMQ=$YLo_OqL4_NrHDl9TtE&8ra%-ai32fnSwzoS1<$j+RAbXBvKcbc$Eo%3r*opLrr|&RQ zL(0zC3Je9_ub40ht0s{61;fb}O(Maqv2b_Hvj_XU&~}l(Rp5%E4RYxyh>>5JQ=iIq z&t3ck2>~hC4vMy|ho1QDD%VIl0s@7#=H;fJMUDKd%DOEUskklReEKq4pzhS?w z+u#i>Z0s5A)so3KXGEVhfZkk+1Vb902N_JLrqL;yweda8B41D`UO~Aez6!(1(Xw)b zEavrJlyFo&=Vwpb%oOlLNBthgiURSMhN~<<&JGT8jhLpe6OjVZPKj^`g?PxS!A%rb z8ufkfL|`0pW}KlCh+Lu4fKNh-2hy4B_(*akIz(w{Dl3y>6+)@|928?Eu(F^VO|t-J zyw-%a7ed_yZ)LzQWm|>912L8bi&BCgn-s+WU}6)2tGBOPu|N zO|a#$Jf0mb7r^&4Ho>l4^ohHo?w3u1vi=GTXl&?du?A>!Z%?j7P{~z(jnuG93ppPz z*#U}MM9iq{0=aNaOepzVS_5nVX%!mXjY^U;sb^d?ff!oPxH!()_%4Vd63U$&H>4wP z@r&C7h|4+0bz2^9Iy@=xNtR6`4v z#H-Usc&S_>X~sm+ZS{*b(r_ZfA9#M`-SW&tJh>vMqT_oK5F_SBGuU*Q3BPaTpWnRc zvilOdJR5^^+7T@Zd48`7?kgn*m;)Fn&5Ha~((_a}6Bc8j4Ay)MX>U!qO+FG@jUbj> ze6yu;jVc66{juzNIdD0ZAyGhQZG|EpdRPjNZ&ZZU-?_dvF#p!qis}5j@4sX7fXZ&} zIh~rP&CiUqE|_M-3jmuY` z12q&+jcd!XUM1Ki2|wrUTRpGHPExPqwh0zTUQLZ8b3eD3gw%Js5b8U9oSD}s`_^5R zjYi#EIsR}1x}Li3_0h8(leY(17t!^S@Y@w_EUF;YSIPGQ%z4aa5Q&wF1t526H)9t{ zXHG+#R2=adB>d3t=yp~YhULgB`}mhh+TKf)&xCSi%-tmB-D0`s3s#?^-iXA6F0@;i zxL8Y1`Q@sqzpqMN5!;lW8}>eMkOd&g*9Lf%7w%kkOy$>-T&{WtVl65);+K-cs6ta? zYD8q?dFhT42u_6gV3di< zg_nK}b&4Du@l8ec-BhDsMpxdf63^vgI0+5HxN2nr>Ip8&Eq+ri10m^#K==tpD7nBa zs9thds24^RPypcuG5`wfz#KVNDgM9G(xoM;LYHBwf}|*&0z&~xm}4*%#Fq%+2hdnQ zdRe+DDo=be46T=I`NgRZwqVdGBljm}_qdo1STiUz$stf<0sYU%*bIlhZX7hADFz{; z@@ESDNDO}^`C{ya2{W~_dyCZ3`u>Wrm@sZPP>O5M*fHy|mf*F3iVx8cr;k>0Rw z%U7^bNHUgZ3A8-2MDEz>8#l*)|8(!jLo`HrPx!sgr!iDzFcH=6!@_7{e;aO$yq5Gr z?bqKk?j+2&v1{!)qxj0ZXBw?InZ^fj^IOAu?TLY`k~F2IvW0QdrgMa{y=sD!c3qNS zxNboWkZL&t7ZiHOC>@-j7CCpAL^6r*WAncOnwJxe$D$|LbIMxi<0M^w_l7Gh5qINm za~>bzfG?)_;648K;fDtIYkk>1fVFnsmpjj5L%hT_Vd3t0Blq2}v4);E1IOdJ^0x#j z&QVF<%XE-hrAY&zk0Sl)TL&5`-5MbAlc^!HV&fM(nSeH{c_^qOlp@O!C~#hVwpg^! zlgKn9;sur2`rwrt;s`gY{;}G|P821b`W;Vq{)1HMQ2o*)IbF5(M7}q*Nq=H+#{pl; zX;}@O@+?NShtBp?cDG1usmlMGIz9R1Q^1cYA-H@wM zhE{y=_Vu-~YudegGbY@bC(z0J^;Igk*_X_$&zKl{oNZ=bb_rP4EoY6eT=JS>MB9_p z631)jQ@;}T3FXk<%IZtvc^#1~_;~{#OUcLujTbc^i%kxt^x17kR!;O8m{#u7*c&|l4Nv~qJ&!B$vPt`Kg2Uod1z+*`bogOf4r01f-VJw%%=f+v2wAU`_Iq4Oy3le3fB&&l?+ll9h#`uD z$%o%&_Jl+BHqzMJY+F7x7nbD&8VH3RPLlHtp1hSziye>q+^F15DtkHVJ*vIII`tfB zgPtuquz_xd3V3g11c!(KtO9T`uyc#A{8^Q5AdMde~Nw0Aet2*!B3>S`xtWwOSWGcDY z2Borg8*gjz+J~wk^TZn$0}~31K^dN!Hf8f}(i5VC5&+F6%5GHvlXXfG5D})7?Zzf_ ziXH3~ITYw}ET^g+l)bHZ5-R0tG_CBQ%?|$b+f^09r<%3|UPOSZQ-<7?Jq-NCir<*- zW+?#;3!cQpOh8US0*DWU%p^TPIs8D5>d%3Vs%_v&!%fW58|GudmoEV~m8YoGKyC4J zGMwO}K@t>U{q$&cT1e`ap>Q#E$YID|=c@1|-nT6X20KB<9vpFrP#0-*$mO4 zIh$lQ8Ex*Gn~sS_syv(WfeC`3U}MQSD-hplb~D)x{J$B#Oj(?y*B>MX85B4)jaZ~#4&i}FYPrllGS-&+p zOB;OVlb7AM=KQ|;aTGGQKF=HvR4ZjxOklk3YWah!F$S@18o0Z2Vb_^gTj&hkB8q_Fcc2d8qZe&TQ}7?re8@rAb7JBFTtGpG2ug zGp@NhS$m!NJ5B4m7Sl%UaH}LM``TNtU(_ToOzVI@2P9AUUMK`}0DrZWHR8P$& z-4l;AW6Sk9?6E+E07R4QGxFY?regb|8&_#*2Ay!4BN(qis8P`l?X4VsGL81~ogUAp z$i{H&1bb6WBdX~l;*Cfh&4zq0A`*Y;&lw4+<~}Jy^YZ(i2?tOTkO;azk6*jf`pSv3 z(ZJ;)o*#WW_Om-)|6qE3-HD$5p7!|vypnG`({kxkKYYmkvj6GXPucB*FVft5(!a+q zP^5}D2?f8sQw72ZpEk~LztMB^fye*o^ zcC<#X`TB9hBzmyp7oV0Rn1Z0b#HyZdII-nRwe&@kc4%z&e4l38{7$4zeR4mY;pV%~cf(T*ueZikwRRmO<{cX%l8)i^mO zeisDTD!e5}83!nZcQp2Vr!qlCDZ@o%+e4vVZkfMuSJ``e6|CkjtUP3 z?fv)N-LXJ>|K-@_+kd|9*{z7Ivv+3eaXn9*jsMD1wL3HR^A0KEp(sV>H(B12A-?O5Jj3vVQG~ht3!44!>rAgUhh9rJtzO$`U3HPl zH-x$F`JBJspMm#~=EV0q@av0rH^9yw2` z2q|!4Upka{XNoO3iUyJA5FF@AG_B%MhOC|cC?J$;t0tp$1<6DTD&#esm^D;diCZ>q zlVhv+u4POl!Za=`Ipe{P*a0+vrYv=vIzQkxtI@F49QRDl67l8Do8*9`u>)u@++C@x zbHu_6qGRh{4#ZK}#g88Ryg2t%dIeB@7)M@PJ5I`s=TRGzup*Igw-88Cj(f<4sqV0n z9P<=p_T?hsuwK+Zh0%A8%Y)`en3-5?PmVFLQf>NdhDX`-)xLRpkeK5s+bLbcaRLE^t-@s5nRH5gT?L}JXn#jdg(&v^FIB$}PQ>x%?#OsnxLn-YQxm1IL{zROd}VXU#6knj|Q7nP8{D} zPlp{PS>mYk&uER)dPg!sm5s z$+r5OFeOS(0C}~(kO&jBEc7$45fAd`70UVd->jybr|XNI0fz^{`Il=wkH1Xs2=Bnx zlYPQI6Qsz4qy`QtZ(D1H#g>RC$Dhbwx&3-LHG0(?y&4^2 z0{J6>E6VAzJ|BjICdsSCAAfRL3@%MnXRwF_i>R#vvm>}P1T!EYS`c{c7VJo+Qt&RX zs#W%ZOQlOK=}aB(voRG1O|kBHA6;sp&n1v0T`G{|D$$LqkB2L&L1&Iq)`SG!2183Gd$n$Ah{Dc* z^#DJ}EC>|A_WUmazG?2d4F%9sw674@Hf4d<@puz+0f@n}{et7q2KY%8i@+Ng)&dC? zssZ8xC$z;J;U`*)Re;_lrmenc3BNMs26kv$*ek4=y*?-3%95^{94b@nWo`4iJScv~ zE@QYtvSa00@fBhB^p>K496Tk3a$~com=w$v_^SH+GAm$k2CLAHoK7P=R(;J?5^vle zaKUqu)GD_xVXM#R0N}S1a23Q$g0MmoWl8w4M}ITm^@{KrIQ~UOuTTILZZqze+l6Yz>Oj+f%lf^b80Xi^`29vS+j}KJ3KPaLmG7$7G>YR)H)Mg(Qa}{ z0dL&&EheaHTM`MZ``k1K>g7-MS)hH;`pFBiNML--}cdO z`;q$x7!crd|MPd3@%fJRRo_AEOVoGuuY%iYNwl)hl{fx3R`}C{D}yqm za;|X=e8D0a9EC2FHrkIG0h#^YIlun$(2Tvs-*&le5GzmSfD$Q)g!~6$w^|_*SQh-y zPhAhp5&f|(?T&g!@GA6z_bQLXMQnx*;af`D*q|c@WcQB1o(lTSg*9YDpt+p2Clxxp zWh`{~&wYwOnG|&;|NK7%MKnRM0B|3!f1%+l_0(&w5rPGJiWqxtiT)Ta-u}BCKY^K%JJS@A>O9Ju zNiBH-{CUHQax$zr$Qf?oLZXKB4^dhXw$(fPe2{GureW#>D(1tOZJgg68m&3+A2~Z7 zt*Kx0TCwjX3NWv&txV&oZ&+PRnT^OIkp40$w~qDES;aty9^=;1j$iqTT$_E_eqr!> zrvH1poxdD=mKD7C!#&R2v%v}uIXg~T-78*7cIo5={FpAi`#JM1SBJ>BnUTb4s`q3C z@en8bx;hn~B|zj+3YPQzIi_35;+838p3YIov#reb{HM54g#u zq;Txei(>~0c6loH3kAK0ewgT!T0%`z=IYq3C68fLeb(u6Z*z_~gbYEFp@z|rbE^+i zRUD*h^ar3X5l>NVOocwfS-ka3&Z4mEN6se?A95~9efuBHRu$xw`MSd8@8s)*Q0a|F z4xv(Jutem4pR?yb5Z;+)z(;TSF4Tfoi4|;H5f|mhlGs#Bzd(*H1Ca3lzhnvJ$7QnS zl{)m`r=!+GU#$v98mD3+!vdolNlmo^b(``J(~alb3OCEkuLdH7^ucrjYSNa3aw_t> zdLNb)d?3beEG4&CI`p==T?9r&pb9+b42m+%0ETyhK_K)7Mk@aagnR`dm0vBBF^0A; z#&#e^iipa0N6atIlugC5ZP4&3D84(a|$!(85RLigSLAe1*g{i024n4X6C%iL|Ryk&sD3_#LyC+EvNK^k#Sz zc3kF6@geS2@*lDY1L#7C14L!~(q{(*c^9t51G#Y=Zo4z}GM(oVIj81;8SWjmPd739 zs2}6DQMHV;t3i`!Ee&B_NM^hc*&@>-=ZR3X;TBExeri84-W$FnV|Dwzg3}`0mZ;el z@em0lRYPkdPBam&)zd0+kMtRYFi@%mo|Jt6IyHJKaQi6Rp3>Se+E4E;9CaW+dWBvn zbku)A+BB%pu79Dh_|2*^qz=g)5lz5!#8qx0YB;Z-w<&uSN_-b82(}Z!ijgk=#ci&l z3#lDSkP{yz3Nu;+3;@;vWmHM%qRt9k$a93xslI5vT6q(f-X+z<)R?WovZYe*lu|8N z*!Przx?GgtyTF&sD=GegYMAaOwHI)Lw_F?)0^)eiizL24pfltpJ9 zHhJ4ezPdfTJ^Sg*L-t#~zg?<@SjSF>IO%0+C6{_=ix_Dwi&#&GA+0Ej?f|FaT}Lt2 z{Ull}o}m=JiG=H0S{u~Ng@Qbc1e{n^v*~3{=nU#TqvL3n2M9;m>E1#$!O#WLZW1)7 zmj`sR!s40z-U(fJ;?m!q{w5>zjxqqA#hpC)rzekb3cL^vS)y-GzPEan%xS4V_W2VH zkKfKJ386DTEw=9up?bB+-D@qlI92VjvG6JMqWoESf5% zaDf#DrO9t-#k#TTH<}pr@>;g2g@@6tl-AA-xQX!4WqaB*?MLmnNwN}QN$49^k$qZv zQc)^CzQj-Y_MOsxt&9Hl!q-Yiv$DLPdG6@=pOz5YI9g zj$jSNUi;FuVY)5ckAH-{+GT&5(bv>N&Ha7$vAMq-<9Lbtf5Lwrt{Abm znWQ^!tPYlu{VOl9MO^>lMibMfoTue@ov$~np)Pl_<6{kFW~vZouw}N8>r$q{ z3Ss{2ju>Xz!v4i=hd$=)D{bhN=R)E#k&%DCIk?XTMQ}pEByn940i`wM-}&g$%N;cMSG^jUh4-YkrGwnF=E^Ynig{1Q#t@|Me!dD?wyHlc%U%3HH6KdMra% zgIJX)vxF%tYBu=UB6dIp>SdUZ{1>Hg>AzRG zKEV`(Ub zXkqI~on0W2O_*kMfe0FnRDBEfNuBlOry%%HO#})hfdJ;Y*F)?lD^<8+O~~suyuy@Z1HTuu)`61Y^zh8bjS}z;%5Bs00 zoCX2v&(<>!ggpp!^ex2t{WUXwY{o9sn_Ha2=L+?$_2e8qj+u_W$09SOVo{woVh_e{ zd7trnohoCmOq+u?QC$L;4ER!V+R%xfq4~HuqxPqZx_@xJKKY4u>T>$$cFgDnYr!70 z(;VZoMNT)UwkQeer(jC4kMuMG$ey)F?HF_O4n;25qZFCc#oVU9(DxvMF~$LX>w2vudZ4Zp=CiTn#5*;KOAVhagb8? z(XRA)S35n#&3u#8Y$#2>wHnTw$UP-P0q9Y`5PX!ivv=j1rS=(sW6VXsgM#1~cj<|B zndwlP>+|VVoY#;?dX#yf<=OO<@u#>2!g^&G`!+)DMX%RgRBh;lLU#fzV;d1!_Kc zU<;gw2Y_wRX)0y(klzZARb`W^WCQ0MN@O@GnVyuw%yH;Yb@Jt?==?2VMn_bu#lObQ zmsHPsw1-p;x8L$8BaHC#(;tf+@ViQ%)-7{S_}(}5*Zjk87X0o4!wS1=Ldid8xFMry zZk3=f>bbp+1OHNQ=(0)Uy{k#XzVpv@I2E8YxL3EBLw`Kzwhr38b_O|z-sq;_>}_lo zD8?YHCrCjtT1Mf>fhTqy$sayl@N-}P;+k{;)V}tquYvT+y3mVTIKZ(12SmnoV+gaZ zKcr;&d9_gY{I+wYPV>{ce{}XaY?(zyAv-n9;r2u4gSkso&XVnFr(~M-?blL4i@Fkf z1AVL&CBqVVVdzy(=Zo4wKB~l!y659u7B-rh z;#|*L+3aIx{_rp@8DDRxBaU$;zxb8u<(2UBYg?MXR1RIs={#62Se}99!UmBX50>J4 z&z)fac-2aRSiBGwyCbVvR0Fj|&78g%#TT+3hG6khi6ef4i4YN*t=`ZtQ1@M3Dl;TR9A=KT1dOa>?2J^hh# zimE)tFj&$TpKg$7d?Wt|iS7GnQ*Ecwt_Hh4_Mxp`?fIMJ!l2bEmL|LNcww}Lo)t)L zO#1h!hn7V(c+i{}DUGy64R&!}w|C}UtrZ9^$``$FgDKzqf>wQt=3KVR%WNk5nQ^II zgznz9takHilFSV)QW8p@e|5j-npx|uBl?)hl=xRz+Q~m@7K6-Kf9yAd@I&D0u6Y{C zj@uphHWaZ&L-%eYXp-(%W#m(SRg^VZ>TP%WjbRVxU*WU5P|NibU}-&bAf z9B!&(!u?20>GY~bytYP!#U<-NK}RTbXia_n0l&T`!356pR(s2PmyaUh$f3?PA`}B% zN{b$GC>f?tD%VcaoyTx@KhNgY*F4}I3`_1`E|9Ad;fnAHqQH(GMhJz1;t{7TlaPE% zPf7>fiqt8RSw?2&kNI&%#N=gAjP*~GzgY#5#7ZPWar;&=l@2OC1P?U^_tTkfFM-p2 zPE0rfLy~ep#8FOCXBwCbaYf0cCMw?)HXG)Y)tdRg@B6UX^K)#_bI5NDku(LN&)LGM zOE3bg^zx06Tboi?AZpt7XXH7DfaK2IeB~A&`9+ngzEPF~{yNB|0^!?$+(I=D-m;w0 z5(-F(P>OqdS@B7<+CzgF{#aez?=~wbK%U^U*Ht0AlYU^V8T@R)w>`kNH z8f{?F)zMRfJ#Z8GX9X|_PO+IP?Qob9!`C=nsc>NxCl`su_3!^)K&${pOO)j2gVZla zGzIutRTGT8JX9kf9s;^H>!5-Z@wq*r$Z1n7c!&+$Ns_ujDaC}TR-az6TaB0E{`1?W zeY1Yn9?%9zmW}n^hQOf27YO+*6h)|SoCAZLwR?+X66G(SOY2Uex;M(&>gs#+E znii5Pw_PhOWbIB)hUzJl?2uSjOSA%Xpm4a1N=(^lb4WrAa@$My7A`RE40l3Ku~>Y* ze5~2hUU$;!Mhr(lmR<|WkR@nT9up(ejJo+d29Z7H9rI!ZxEN<=A^;kE!Xu%y&>M@0 z(&TKYsli)*94ifd#<(`H;RE_Py+^&$k0CP1XyO2Y$|MME~9s2>fu>-h! z3h6oM;NHUF#sBtL6$wN;>k&ARsUX-mrE>FH59(yxwmDg$ez!j-^~(ADlZ>`IO_6sP z$hcox^#nBqK_OBOhhl(U<7>y)h!uM9v5>ZSdrFwrliQ+CF| z4(Dtu3_Yj7Ag%Iv)u2>~Q9D*cz)3U%zZ|`C$G|P?W8vh>b(~2#O#QQNwoYa~&0yps zH90&c8R~FLo-HEg8_&EPhl5^9E-=L=KTY13qp*3Urt>t%zY_PN{sh!Rk#?>)bQ=xf zVIv!%N(qwTQN91k*#M)G@og(HlRkUDN_2Tm{#XJ3ss3c)PplP*N#mjEvfWXEeD(20 zPOs$%OKd_49h*2n?9mmOeXiRzk@7a#N63qO-4^=}z`F~x2FC?AJWuP^2_s3n^IpR; zHzrqajy9e-(&fz_IqkGKp&jL1Hr0SAD91b9|Ftg>t z#{ngj-vnLo8^0N5P%?cwNGMszgW}Mp;9aF|CO=f19pN|SZpro&^`7Jp+kv`0!uh9!mc!Un>)f3-lU`O1}I1WMjs38J10j~ z+_d+K5*PMenCd&^ObP~4+Hp$J(Bb3sL_So{Kn0*aTMyg>lD-5#z1GnIwZi^ajI&XW z_)h1}@!-6KhrTJJA8tUp<@1xV ztx|mp_kXqa!;?)8MaKGH%KV3WBusPJzC3r`!N`|`S6=Ab)3+_Ydn_M1YW-W`Wki4@ zlZ-jja3#y=4uVFufDUy~qsuh52D*!roP+UTIC)kTZM)E7_gF%tY5QjBin<5%DOZeN z)tgdJA|%aRO=!m#>+9ZIKQ@rJzWouYT`U{DAX-9S&?x#qLSLkO|6kVL2Rv@;I`9P` z*qt~|G6Rhnn06H45~M&%wk1aOvT8R0K#+ncS|VlEWxQ@f(u%97NgX?G;_c?!03aw0 zEh?5&rK@~xHzduts+(>U)yZ@F+ApL`HEQZMw%6@bzor{ob-MZbx?gD3ZQZolyx+l) zvgBm*_Pt$jID^3e_nv$1x#!kQ^{h#T8UJ6(NphN6R~1BdQzJ+QCu*Gq6a#LB}Tvwuz(r@B6q|woSgt zK{U1}uC+eL>5=)|! zMbLjou_a(YQC+T2pI3vZL$QETN_FO!k*rmnSW7G<>-pN=cvGVq5lo{6%SG@4`tH?ux;&sI zaOq+{uA+hE7-b8A3&Q=+d~9vEWkHo|mkNhyZuA`4bFTmjkQ6|$H-jg=Cw5ZVV+5bF z)n~2VX0&&Q+iJI{j;Rad-3!%iMULmjuaGkPY78B0NV@Iz#P2d%sR(SgdmQY$r{I{{e_Vhj zOv_-OqSU~R7&ny0NR`1V_?G5qiLV_nNN@iG=HnF(9*HXy<+rOVHygVXN!y4rA=@h_ zxYIA~Z)vJNW%hl0L(ki@8)Zg^AOhim$h}|OTibTuy(2gLec|mG=*NAL+@HuY>UiJz znDF>LNy7f5&mObbr5RR>4?$9L{k&WA?z^}CcI%0b1DjKcVUJugQ=BhkzDgV-#_WWe zRS`Qb&HsUhB;iaNw1!Vb{vXzM&};l1`0L$Ibp6dfzuKL*ACIDEm|ST5$Hruh+?^Zz z!w;4&kX709K<(4a+LUseSq_1}`!4+*ia4xo|3u1*+tW`hbPH;P$DZ95$;CQ(=dgRpZHlNMV^CxqYFo-gi2HH=R$`O=)5_RDl zF`betT*cNqo@bg(;J-~>?3lmxi6?e%N)i#_H=}htose?MvYf4iaeeHkuX1O*q#s35 zZWW`q*2->&9rd4FQ|#{9Jax}AC7Gbt#eJm%|25w~0-2O~@&$ivB&%y$K>Ep&FA_=Y zYZK`_c@R{TnjpJ8t`tBM;2pLE9rKc$YyD{DVnFb+_phEE@!$FFwOPRCz`Iu#)(~#O zTk99CmuflL(>hp!vPRJ1)IH14vygNNYem4E>S4VpdN?Mg)R^Z_L|P+h&TGT}QIB96 zYT`i7=MMmNQ^Y8Mt(Tx8V?FkLNv27*e4$aoJH3;S=G5#v&%F7{>Eznv71l5wX(PUK z?;2V^KDbwA@jxtlt@dG27eftC?Nu>V%qRNu60C;In;q8(W3=)QKC32&0%2n@Bb6? zDtNog^&9{zww`Oe7#&|=iKB>bnc-cUBFsySC}O@Y&viZQ|5K-rKq3CbSag+kD_ndR zi?Gm8<5DjuX~R$Vvc1M#Dvj%P#lI2A&sVWH#h8>;7Gj^=>h&t-ddqQlz63gX9p;&9 zR%hRV6QZz5WhqVyu~Avrt*LnDYcS_4fL?xhhH>}xWy#vnNA@_3$ftbiPg1BEl7PM5 z@ua5=>}sGc{XX?l_u42tnGn;)cP23I+6_s~@kXGb`x5c@9e}V!b2%bm1Lf5B1u;?u zcqsbGe_my3DAoe!cqXeKO1*Dx__iNy!y#9XcReBNNo9_X;D;UOmyXPQ?R+`y{Ksru z^tEJkZF=#&pZ=7+pNI&|YT^Xj)?c*9L&0thm%R3HN0*G^4@KxVqw$(mU3J+x5|6~Fs zF};s(&nTakZFL%4$d8x1dD zk@kY}YHD*g;S#YG=aNehmFtR8p$O9#@+!Lv`V8^jKK(+2I2QV9X3G9xc8+E|ED=GY zvVH06+t8Q!q-6yJjd`iYC|>k-mNUTM`HsP*tGK!l*Ta6zZW!7|Bu$4>5p#EI8cKaV z*6xi4`fx4j^wim+_o=ANDz#V;sz8gbgm*%AfS!=yfY|pAn9ja3`Dhc_SB&77nxkP& z4`c+F3f1a6c)7roZ35hM88*klJr}RSuPFDD z8Ji(28x-K zR~3lGeOUQE+JOoCaQQ5DY+q>@Le70#|SgSX2UiSCb&VzC0>Y^NtzF z#=&iu$%2hTHyi)P85}eS@$4`r|*_MRtt)Klt&3-TAW; zj^YdiX4)4!?^7y`8XZv4{D?6DU#`$rgV2Kv2oy4Mh zYaQWm?S|*nUbXo__kp(mtjLRW?w#>#2lw6kFVVrlZGZ4{GwnvaC&4ggV?9}z@jCS} zhg?WrEhvyUxr@<1>??Y|9^Dh~SbmzO%@D%=@tF(fFATo)hY!%fq76NoUHbKZbrmJH zF{9s1X+q**B5B~Hy$^~^F1b_RgEWxVA*hADqIDt*7(aOIjZz=NUPZN-SHXz_K`rwx z;yH?RtiH6K7x*KM8=ns^GFNT($7dsld>_@OpR8p1K;Bi(%{0|zGT=uJ^w>C&5E^>n z{KGSnSvK3z+zVP{_8)X#1-*L=V}C7Bxy_BDXq(p@{s^v{@3}&m19oldDgTV$EpsBd zsAGSiHVYe=7hSD97)3^{Ry79BOc;s7Pf=h-&7WRJdRIAu!1;s#Uz*iD$)kk?U&_E= zWmQLreE)pw8x>Ck0OM%;OD><58|%!cJ{Xs{CTL&OH?ghIH=V>Ny*4zNXopW27zT+`$*-i|TNNB1g%~7C-iHojfN1`Y7ly>7Z7FPR`qIn&7|tci z2s3h7#5*S@zg)PX+YcV=5SHzjr3smmND>s~hAKfi%e1-*=d0eSgnRI7i%`TNk77c< z9ymCUX&fcmrkL&(7I}rpoVYcT&R+GLbiX_x!E|C$Ef(B&>A$Wojrk^2_p*n?)>c^y zGdJA&*&kYPcf- z5m((iTsU7XY0vMgKdV&ya&&UJt$w!HMTWe6&0DS{!n`TdA;F8$?H&SJqpCEMRkYc~ zpOUo;l0M}Nwgi#f_#cjaCjaf)OY7N*mxUMay8G|<4zK@FHZ8sskuKxXxSJn%GV+*d z9w-)w?S6!Q3UtYg5oJ-hRo(Z6=%dk+d*DmaL*sw%d4nh8+hSR5a`QsWd}~GiHI0pb zYPcwBwRf`0{A2o3sRv19Y5!P5ndyZ2k7P?uUY3`0iJ z>Wj(uraZk*7)$T(#?i?Qb?`a+={W%CYu*x_TXr)OS<7(`SaNs0)LLWH|7t87s=86 z%i%k{zix?MbtiTWd~n~sJNkRSMFa+PxSU0Qi_G+gV@H*Wihhr$PXhr4g0|&iA))LXrQC#@a0h>nfZx-C;NRgm(*jS9ClgWhu5pQ28i`YU{$uWlB`o57wgZv7p=2|Ki{P8aCe^Z2$?8XJ3~97R@Upa#i;-3FuEmr z(b^UccY4dqyNcU3o5^6Kg4FFr|GBECM7Lb+v|U}jMzAfG(I5AgOA$W(rPs#^=Afh! zZH``?>TZsRR*!Tu8Hg{YxtfGYXNDJ}m^nU}nqnYNs9s?ztB238K(D!pw&kE!RjZu& zbr?{;nqxwIo)f*zCuJ4lto!+2lGU@I68Aof!FnXdYSndJ>xPlmPkR;y@JPH9VoS)@ zuus?qt&`@Zicoh^79}-6lokT0V2s&4OovVJSWDOKc#E9w$aOF*&}`u--$&6+0XMIh zl!E8PT3Rzx-T&Gr%hiJ=SfnCEnu&e|th^rdXD3vHu(`g6iZXcv`4dd)W$iKZiWpi( z+d_v*U{^8mw`(%Yug@y2mbk78E_Ph|V)QpG?|P^(q&-)&xyw{2rc9UV)J<_)*p5D1 z^S|6xE(U7a#T#UE4*+^ssV8i`UDr-~gstvGkjEz6Yfz>vu+2+U8LB*~Gl5uKUTof+ z2^~`d*DglETt{FGn0QHnN9?PsI#B3|-oqV*?-e{FQas60@ZFx-n%J?czNb022LzEt zq;xQ_ozQFt)@z8H0FOixv$YwqCJ7seJ>_>J7Km!Wqt~S{NJAxsmWMAhw7YR39Jm&g z^`0Vv!w{gZ0;!x%UbRq3_a+m#Q^|gu=NY^4TG_L#ut29ebm~P}yR`?gDQ5Q8LzB&! zh^^9-?s{GP2r3+lgBObWg~3W+rSB(Z*lVFOb<&4W97GfGl7c{jyV}UqC^-y7EJ=h( zYPc_2rh5Plfb>(dvF9ZsiLgViq_Hb{6P<)Gr3;NZF&1bM)5Apx<`MrJZ%3aOA>Smo z+T<5R4|!?5bS|Uv8h`&{u_I#2$0qEg@A|%xB#T3WJCa89X>sGTwK)_wi-3GF%8knu zhqc6vqTY6VLfeh;M{M>~`_reK^9ZZc6nvHYV_`i66j30n`RY8e5nz5!G&AmK7fsXT-OE=XCwb zaGYkCTsdgFVPEV3nI?=>+Uj04L}Xy?=NInygLY%^{6Q}o!o(?~T;9<8onZOQ z1T6Yu|0Qo9>fSwZm{skOnW^)Qr9Q}@8C|~nPp{JOw>EuqjCPzSZkDU%;#RyI^Tkd( z-d`L+!iL#Y=?X0fdK*3Ynd4`C{D*{b_-*XPwMA`v=icW-Yg^RcZw66}d=l7o_P4G{ zLf!i5do5$|h0y+}0_owd!625HZDif|vw;aHqA9c6awNp=0d08-#Kngafqn?A|JmOI z`|I<6ug7l-x57DZ^gZbraZaM>ne5;Qj~sk>rXJe_ru*Wq#S=lwnam&ozNL2=7r7@c_kCfK@@gD2)h)cL>F*Jla5ygd#N)Zt2Q#!!`tP~_tygT& zu$C%FaLc@MZhV;KE=_x6D!EwIK{9qJZL4|T`F zMo>h8gH`|X_X#3tC8CjXi2n?U;dTNZk8ZCRea%3hQZUj9z z1iqcTZP#TY|AH;7O{TE{laT(JwTRUh4t^9*Jg%1IrDE#q=gZv`@4+ozWQ(00C#5z4 z?>O(ov#{A<*LD``X=zewhO(!%fSYz)%S-HaUT<`_NL!mAO01beRY)p`jG!_oyU{f+ zjcgKBiYikoFC{AF?6J4AQY}+^5x!n;6tg$Z!72L!6CgYAdkhXC;_S*1UmaP4F)u5U zxA|mC(P;)|Z^Z#;sP??H{S$6||3q)aO;{z0YfO-NWtZa>S_Kne+YGHJH(#ktZL707 z=h?=kzx&H8_{COBqWA=2c_$d9zy3lDn;cnY$l_Tf(oo`y%IUmAd3IQpvlK*!mvzkI z0$M#%LzKn+S~4NAW?>VB0`--!L9V3$mPxTL73IZpQYBP9o2ppMHO*Z5`mQE(Xkzg7 zrF4j3cM>zn3rRUvYA?dPi1Lh=GYw(T;Vaw{C@O*xE8F zf4mZ5IC^0GaMuYCHbJn*!%0sP5wr@Ykxg{{jejk|>E`C7#7njDV`U-+@$wJLrsOZZ zJwFk3Mo1{@g?>z-zOU!?lQTf~%(=mOy`+&MLmkbFc%mgquqqo}?=IZN`KpmNo%xc= zvCGgAF zJ)x2gi685DVxp^E?eh;_8W?!C;rBGMMt*RARGJJNp7pH^1+O)01DJtkJkh8mj?0M|EbXTt&Suj?Ztw-#ZHBDg0eAn&&2 zk-8U9GOCyjYBksIi>3o$udm9`iUcy;s9G9JrK0xviT0W+d+Oa) z+HU3VO->{4YXiDzqnqs~V&WivE6ECNd8v3pav1+0;U` zzpZzLUx@O3BYWz5`*6t6^Cy!-%e^DdEKSuDnFj~hf{2I;l{qgn%kU^PoK_+*MXlT9{!GS7| z%2B-@cl4Zl+kJ2OqcxV!#=OK6A0hX`y8&BbA8V~!_jX0Och|^I?u&Ms@rNSGc(8n~ z9^aD?)eXoA@!2xG^X}+YH(g-vERNG(6@bzFN)okn$DECZto0oyups-)!H*v_B5VRe zzOIr!2O$R`)Fvqe%Yzs#6-Ixs%?7TF9YUVFeogl)SC$>hrZJz%!?_jrB}A&%h;F_& z-V<4-*FO>$hn5df9nBpnnAk`o8&HugI7A{t8}dTOH?@Oe`pc2#=4?OXX;!iS(g`NH z4MF)G#npPq8t0rSgE71CmJIor(&&I;W?38jUs)Q8{OlH%<#g`Lf+9;2e_a~+x)y2M zwnU1Qy-#~>6)hVaZ0t)KsUExu&3Jv3yG3!0pKFU=;tm+vQ8b&h62~{PiKTxdJ0fPf z=8T31&Df7LcXA|eoKJNvIE7AK*kc{e64Mg%t$1Q5ScP558gIXwE-9)#47f0@>GfLC z6GSKgW-rlU+InSOC-aw)c-lKEWSsG%A!XfcMvcM5%Rgv8fyehqp@}cHS_}0;i_&3| z>?Vs@V16=gyT00LkR~`NLOdHmBQuU#ZsMnU9KWcpe>6eyc}=~)YE?STevsI!=Pjd| zaE8sfK3gYY7jog?FW@E5yrYS;RCo0F#?EmI+;}u6T&$rj24jMp|CJEH91TZi8a0j?gkATvD8Wimu_ zSXih9xE^#I*8J=_W|%erx_P9=jgV_zc(IY1WyCz|LN$k*@eieDU?gEKt8*yi*N&Hu z;GWYczN#bCI3O27-MCvG>CnlaRC2_s$+gVp8sk)R0YNSUhumwGH>c!H0X!U;m6gGV zv?X@htnP=XEX%I9qFmm{qL=8ENJZY}0%NcnUu!RGlw}=CRuo6sfJW}LZ$_sgQogpy z>nAnJ=H)19htl$c*oHBb%FUCy!^S0Bw{~P~GvjwmsuSEZ;q{-58zdD-$SaGgQXmp6 zvR1oor9|Hp;nney{_bU&MCQMDw4kWqwXYA;j{i-^CvLb#N&+L7k5msYpUX+mX!ev~ z^74^iJ}Xb0wa$ET=`BN{Z)=+kUp<={nHJhx#wV4PWX9%)-HNrvD{RYYYcbwCn%_8* zciygQ?)26i3IdU=^`5Xm*yOAB!Ena9P`5li>(x~lELla?zCUwL*6@qf{NQ>vkjOLJ z&>Rvk?bnX_NBzm=IDqz(UpCcfzY*EaLN*;=w~LlJXZ>&V{75imCHQTY= zeBT_K#l*&D!_pS*1U#e@Q-k&WnWt4*In{3roU*9j-HAKYseDGgRVbZ*RwZ(s<@FVd zGOa97yw4cg?06V>*Q9#2(o%6Pty5P#O~`n)LTuJ5k)+&<#;c`u8A$~vAOHnDL$X+- zG6=u?%#Tp^ar;W5LSm=7N_AUf9jf7DW$*XwG|O`#wnD9_Sli-h7|dpxXBp54oLUQE ze};3Mpln7p{FQ194VLc1x|qb{>_2t^#8@VE9rXj4z8tBZrC~$wG^}(hIb^zuPkBR; z75go@o?gt1Ep^R3>igcUG1^m{6=R`o`-`?M&%rG0qqd)(B`1E=h#M4``807h(o!>> z^DN5StIdW=`^=r|s`}_8{AOA&P{r@C>szV&mRz%8{+XS+a|jnT85@rj`tFnQBg^)) z6XU&@kk$Pop_|YEWfazoK1B91{d2$Ve>2>lWsm%b-FxH79(JYm3sq<{VUIngBFj>f zwXS**R&24n)*Ic`Ms%uBHfrcgMSjg$%gH8|R(|XWO>IAsgE9Wj_RXxV3~Hk2F23QeW!v?5{TIJ%t*en0=;-yG=M zO$%(%bA#(#?xTo`=KeC2(a?hZi8a;;bKB$cvtg_<#E$fdwh&aj0Z{WBkWxX~;!4%e zyd{`uYTAJik+#JLip*$m+EH=Llg`ujiywL$!csUou#sp^3)Fk_@&uUbV^(Kmd0yPO zCUNrTiX4yzGbD+r-M*}>FoaypjxC~S}(HPG!3%tx$*emYLKP55l> zpmxd(7+2Jpc;cXc7?x>`MuS$4g>c z%IkIvRW&UYD`&lDD6gyLpRE&}C=i8AQ0Yu$F_;nRWmPj}A=1M|g02b@O*%MNt_QDk z^zWUQrqSwDP}e-1$TwtMcU06ELeKZQ3fEAx76WxGlIABZW_hPiRRzPW>;t({LfmYk zoYEvsyT3%9My47WxN;Xy$%hY&ER@=!B%PQYosL|U z#m2H0wfJV{)ctL&s8gFfWe3}Qbnlt~nN^9Kq_?F?2s=6o25~C!OO!HRY-gQj`L_;v=In`9ID%qX=4l{)5^&9Ld+Q9)~o#2MF|br#tujg_Asbuzt3=UfdjX0=YhA5)lIYOpac{JzW0kjiSygmds! zRqrh3!VMj2cYTM7H|Fs!E53DfuwJxv*Cz(s&su|X;q523-F0)!oXv_ZO8VuDM_$th0K5E!2+KpQ>yiWG3_tvUpWCJ$Q&--!Y9X$+gO1rOm!8U5JMoxYRyX z5eIVGuNG7%v*xW|IFY^F-=)v?D zzU+>+|L3@77U!3W`dXl=Sy!Ou4i`8R>uw?f#D%jJC-pbT*@V6*fojQaZ(DqTg+bGjF4}DOM|S|E4~BC5~I~#MK*b zcU>aRUv!5b*&n<*I5^vlw|L))$Qh1L`v!=#wH4bgG(1~fZDA!1D}1F)L)*U28EIq@ zBO8Py@S+5757?;TdUL#mt=h`gzeb7{Gi8r!k4{RrGj7s(MQ`W&Y}-%+d7#chp8dh| zs;D>O#xn!0u=FBU?{R? zki;M$(<8rCUwQ7oj}gsz(oUam=(7y5x?jw~>);BrDqSi-igRz4vIf_+7o)a$abPU+ zJJ~0*BA>{P6ay-Xjo|tT?u0fSy$*lYk48u&d#QjIikLlW(*>l8Yj_5~5CXVZB&j}N zwy-O#p?&$Dx1x{t*cvN{(=bV(>xw2N;2IJ^EtD}I&8TrUNi%LPI`rG(IT1b z=^@Oy;zI>ph$+yN=Agmh&LeAR&{EF~=1}p#-?d<5aI=}3bPnMP@A=!ew!HA}C&P>R z1NIBIKN(Kshr$o_-n8xZ3xxVbH`Q;yF#6h|i38)=k*W9j^DQkGe)0Ft&08%Owm-?o zhM8~oyc+slFNLpt^3I#QTkS`~*ADjH zeM(W-Z++$8Z0_Qtmyeg0Ui&1^l!fs5gA4JmE@bL|qLA-=A0$7dkk5Cow#P>VN^_!t zi}@?Gg716&>Druu$z&LRlML2!5_j8Tq(l)TT z{aeem#EgTtPBVhE+ac|zY<0}HTcZZrp^cG^${A@z3vpFRgkCp_=Gq;ZYHb>S4Z9Hya^^1 z0i6H{NDlHqjzWUYs^}VGY9DrE4Sy(?VF6l?{Qif0qYJDcY3N5O6M`#S#YSl&!p{d(^Ru~bcU`{Kb^h~->FxA8y?cmf; zO--96*)PqB{rZlqwrCmljg%V z6@VIL@yADVtXS_^rb!&d>QUBHUSS3SCsb-`QJlFN!I(XDYEf!YRfTLpvM2x;YO^jQ zt_!9iL*5p*#k3CZg)a!sIJjJ2**DJ_*W?0^176ug87ItbfP6V3w>lAfT4yXk3B!W8 z$_^G<;e`_h0^}qsyS{uz8nW_B?agzXPQ{|2R}REC6;%OGbRB|2?? zMy}CYQUO?Dpr*RRWKVe*-CP2ZntF789o$TO4CkedLRzbbTyFFk8nKJiHN0wsomq#HLucC$vP!p7BuRMQi`Kt{}qM2x^ystK1OX+1?+UZg~j;hyA5!sfQ zReT+e5ta`L_)?9ce^xK7Az}5aw&||= zsWg!{#%ouW_xgei`qH6a>3DIGJ1&R5>OJD-mHVFL9rp#quzFCs6yo42$=n%zrWV;o zkVFQ)3pa7K>RE5C32RTt=M@DA1RPd<-{4Vy_^aS#IHfx;nffuUN#kL4j5rdi5*)T3pewY`=3w7+h_DF$^1Qe=hrC$Ua#pp zQ4!%FHPDfLI1ZU{g9gUD$XR-49hFSJly&=-Rb&O47rt4=|Ew!~9wafH@%fKk%XFwE zn*Vr~mH)DyM$ciJ;~Co-0gj_@9#*rpeeciR@UHu8FAm+IsIS;s_u9>U|BY?W!@K&i z5t=cDFk5FLOYv%?3_cN*xc#j(!g3j(#DH(HD_t(uF8=}rN1)ffcG0ARaEp=qX|DDu z|6=V6_MP}ntmw4$+1hMl%ZbIJ^|^+=#cstrJgp~L)^44#hd=bQ6Svil^_;t_-^#uc z_Yqw=7;kAz9nXh8_)WH6_V?~<|J;4g#>4S;1BZua)YOKWre1Viycquo&GdY2TEDgE z`fXdU`j~ilkZ~j&Q}vm3v&zFYIDzcJ3Vu5LtSmul0uU-~Z5V%qctER zN@dSBQr&sL#+Yl>t54Z_lx3cKxOVQY`!>}khdQrsWuZPUdReu zvnb-$kgRc|AKaw2uLCH%&+H;0M=`IoR_GqvdbV4f3hq#2woTxIUJqrniqjR}m#U@^ zO!LHq&#%`Y=GktrY-@WiT<=s`aBKkH zdw->Mcwe8lpzf%PQ-T*}c&qOBfTVSz5(%Hf6(YZ&UukN4uFtNQt2lMiTwl56qpPg- z2yB}>O28l>0YT`eG8<=D=K38FOuTd92H5b0*kiL~$2@l;p55A4&&?enV9Ft`wI;DN z#Fr&~f~yB*liKlg08>s+Y=u%v7Q0US+8@2GRbRxJ2GVIg*eYOR8p|nRi6BrC->0mI zAQr2b+8zzOoDHAa7_+vbLWo^My5!M?6H##YK@}JTw$TBl$4bL(HAIY<5ZSC-zL)d| z@e08rOQ2csg2{~(%I9mi=cGFxt7-E8o^M@GcO1&diAJy3xCNL_(>seyf23k#(?ckD zP3%f^#K!e7Wq+$)+||f-#dqUk>oljH0$O|OwWPqm)hP1FCW49JoUV1wyb7{)j$xfv zg*)6(_Os_J+k0gwVGm7JP%)T`L%7Vd(-N{oEfzi~#AS)wWBy$vME(64Q9J1zd?N_U z(#f!hVhQPp>Q*zf_Dt!qtKCAd4gRG;``YTYb}_CPaY&(nhG^E&2)a_T{wJfPQgL>& zb@d+n5Hzv_UfH%(phl)S`~)TPFaB~2{#yo%*tlA#Y1OyUnmF|SnpW$^pT)H<(kHio0 z1K^Rk<^PLE;!|sGjsF>s#P|C~E<^IA{Y?+c89smOEAN}R%Ej_zh^wXecF&VLn?4CV zD-*f6T6X;9`G@p4x zdDdbSQzIQVIYa_N=e@pBc3v3mB66fJ-|HRi*J3T8Q?$%6GJh=&z%g4%{zm`@)*v)0NEJg7`$43#$FsRzmhAZtKEczba zYxbLwm3c=-vYFU;>;?bea@=}Z|p zXp>Yb8WYtZwxyo(ztooR-+!GZzd`mzT-HV9rIm3*WkxTCa!}salkAJa!*wUFR}P1% z>AdQ*Og2eQ*UZgko32gZa^O*03qe!aE)@F!7@L}`BbqAOC)%^Mvh^cnC2Ma;JUPWu z-vr>PeA%qiJf_?!Lo02<6cq*-T?{V@ccok~q(xMvbz4M5q&jo1lmYY8RtA1Y3M||1 zGPzrVkl76AlKE6C9-KrEkTjsPf|p;gt`UC0GYB?$G|dbkp<@(tl!7cOmrA8R?M16O z4IJQ}fb2l77%ZGo)Kq>A!5H$0H*-xD4pg<6hMUO@xtR*2Mw@ofOsk|oC#N+L;!Shf z+&s&b?mHM-!p+j>{_pVZ7 zDf}98#nEA@kW89odMj#=)x+GSW6ZivJ}Kc3-I_`>cisi7T-UoXE3Wy(PrVsPrA~o3 zZsJW4A~n#^jB}bOv&SeAT((p6X{w`O!4TbS+dZ?Ensxb>QY-50I#5XWDM2=RbhPq; zoI7d3>$VOKXu>=(;vK1owreedb?E?~+RCy^7-*5)P=e$KCLFx%8Hc-Jm z$fdq)^+u^~%#~-jBIAt0xzxl2cQ+5(j)8w(?9b4Sd7Fe1TlE7SZ(p^E&2J z`R>F*+tQuQA}L!&*y=aGY%b7)dO7a@HXenekR`|E8XN&fbK(6AKI)iKCc<9^B40|V zrMYFn&Bo)LE;~rLATN1Z(;oe4PA)g7JmnEFPXj~BLdw(dsxbx=wX}g+ehoki3Cdk- zg^0b+&;iI_> z4(;1_Hkrihx30Da4>-<-6#rhbR-#KW2t>Met#nFSy&XJ5AiO!!WKG-nbop`R+bRfS zuDB%_PrSIjj*p=vmSU|~?gKGR4}i1Eki|#ZJnBDtFKEEjmaiooQe(6GJ>kN@Cvh?! zkps=XYYwgq&`Vl?I7FzCo>{;x9~7D^kCn5}IF+DLBUPk)FUm>~NW?$zZOFI1YU}gL zJ+_y^##hpVc z(D#AiRrw(%VtL5aacQn5t$BuBi11%ZRzYuot&c5 z$vlX4DX)^ed|}A5RGRC4tJp)5R@l{P0k4&(zgd!3CUruw40jCN=kRXxe0I3zx!IIu zOb`1}b=2P!pSJm7*#Erenc1Yt96EOX?#Q*LF^P+G2@7*(xIrg~Jt&My+yL9xiT9|R zZwm8OG5hbR#{efo#L8=>>w8+hrja%YfVw5*g6LyAv8++wm3iBR7-z?(_;8IMvZ>t6 zrWfK?JsBvn@Jv)3v&C!!5L{fifJ!WALKm4n`!3WHoCQKAiWw~eMijUx4Rvhw`iH*@ zm%}P7o4=BMj#b9k^5FYwqH0+*;cWH<(RFB)u$Dn8?+j&cvJ&=JE*+qz7i$c7{nm|FM4$~ zOwRF~Y|0x!5mqh*fNRg4 z0?p4hYWrywr?TBUCa*Jm>NjJtdlQM#IUEm|v+=+Qmf{Eu^1&Jyuq_=Ch;!-e@ms_dT%BT5wWu<-N=86gJ@P!1r7tP^KoVI z$=p0vw>&XRdbrOltSjgZI;FI^*TM{h6cZyu49KfJvyw{HZs4}kvLgqZWiw&XG;*6Y zHm}0r6of{NP}pga+-sm{pO}2}LB{n}ZBF$;l!X+Y2~R`+(d#`F?nV#+KU9r?*nN;H zNJ3GF9N-jNTKW!%2}LL`ubJ3#xxQ!WZU1Y517BRTYKc9Nnf9J9u3EKML?3K?tQk14 zF+1|9_jO+EXhsffx-$OYvT+<>M;IfU~j6ZmTq29Fi@4TsfHHe}8hs7VPS^NL= zhC-S_4ADSorekS0%ZLUpDlUK z{4Gloq$poeV-|33SIW>*npeezFr=U$V&DmFN=0!HiQOLM-;iG_*dp(mkn3Z4I69^GwnfC}+LpuTU zOO{O>ebCT1x?5W3I+^0O$6Rl74K*-8$Eq3|QOT*+vo_QFxn}oLV+k%K;BWDo6)G(# zs8U*}1%5N4Qd@aDj)&l@OrLHRB)Pa5U^6qWe#j+OrG>?+K(M)HUq`gcl5M%r!lH%I z=CT!%h08FYG#7gpav6)za_S=g0%e&v%N^oyJSNeqX3wHW(Crpah7Go8IuEc)`}XlS z15M_l|6S+k*lnUb(_vI>wWGbFz-XX3Fp9uPLnDLA)`=Jr?9dGuJE)FKN`hTKraXGi z6x0vaOf3u-JgfWG?jCCQX&9w@Yocqm6b~=%>z`HvW|fQ4T&->O05RO z7>#K1t@Bmc*EILfltvq6TTsR?Brotrqo! z=a=h8>v3!mw^%b{NAK0GondT-S{ySC?F*LJN{s|!G2m*UABP0vge$M~1xhp(z;gi} z>z#jbRAuQ_%Q|X#tk+S@V<=CXsX;br%>p$nu@bH5L4U9cC&`G z6!SYbLQ-dk|GbL_wlv!;b8k<^G22xpcSqJNMOyVEE_$JqNJ+3U4s4IUGnXM6HA{H)e9feSy zq*|z+E)q>Kwqh|7V{NhCD{UByBxnta24D1(7I%=sY}tzgDwaUDj#$3MKfYfBZA=(!DpOGt$dsumzm{F8#EsyP<%OLGep znHdwLDb2a`9jIG#hj5AMo-{9`giHt?6S#&JMU13n<3Qw^tGNzE%3X3WIO9}C0<_Um z=sj|xRhK=`g%c6cL@o4|;*Qk#@>!N$2 z7n)7%pAgR0GOE^f+AWN{oK>qvl|OG0mY_9B&I(FqBWUDUBd}GZm9+7Xe>2v(#oFxF za=qQKUBdL>+%4C&3{;9Ot=UEv5ZD{Dg%;x$tGLB>)7swLMr8H07L!P*&4PqBSB5i> zZn18$JSTJYGTbA!!@==zER0*cY%B3^dhdAHdVE{#GoS-=&|*)OdSUI0292G^y`98V z`*;s?#r1uW3XgiK@0HuX3%jME8{Mj98Ft$Pmde~?)`u;h=z*5e+zN;%_>C}W9JAVn z)wB6Q=XC3_t=5!9l$GT@tH#}Dd5RrTEUPnP#0bm5J-J*@Yeklghs;QX@K`tW z&eSI&zk^YePDEropNOjFAbSS;<(scUx@auwU>`P*i=pbhw8@>#KpJu&9afz#6^rsU`AX13xzD5i%MzmBfH;V5BsKzh|b1D~*idU3_SDMi+c`R+LMRUA6( z&)G#1+%!7jk(9Um7XznSsHiUgC)|yHs{Zx+wTaO@em{Ju!{Sx?=oWR{_kGj5*Z9tBcR81*#s$j;>Yls_ zVgb?Ij$z2GGP0%5)cW>Ceo)LSavR9hZLIahd<#SWlU@K!dzBkl-7+cz3;VYKqR(E3 z8$xRh_xhN9r|nm4yWJv+cCNPIL21l_I+&ZSP?>`EN}vek7J!h1A+^L#HXJj`TOS~G z^7aU}%}zf+A{#Myg!%y_t0$!iQHot4%_S#T~OXtZ3|a2g-SbMHso8G6UW~7n(}uYtzH0Tnp1& zKv=T8RJvszo7XPGZ1AsuOVettK|qL?R=qFAp#k~`#W3JgM2f@^yh*^V5r-u%cw4}< z?*mWqhkyARhy>>9x%3P~!*tD<+b&eXu#x-++Mzk1)rhhnio@*$_d+35>rn}7CWX1y z>ZTpX4&0dmraP$IKD-fvs8dHM8fi!*h6XT#7pYkLM(;X|ni3U)j*>ELW2)6M7#uXv zYo|YK?a>UhTNTI`Pl+(|cEyDpL_n9qi&p6q5d<3|{-ae7XKSJO%yxkSzCo2`vn8H|eS6ZvokxDO4GZ$o#qX0Z=bLv7hSo%%A-DUzGZShn|svl%tWR zv5KKIMLo}*q%PDk))*G0M@5_kXiQ-RnzI7}2kNC?sMF&<+zVlvhY|otP`nn_(YSnV zQv{XEbbY8TQ~TH6|F(mp3NxQfz6iWMKgsSH(0g_A~Tkax$i?J+YnaB`hg3w}W z&p9BH)Zg`^K2^sr?Odp!T%_c0BU)4~Fgy2L!jijYm&xNqs_?5ppPN)DL zfLm=s1z5(g8Y=LS?$sp_I3Y^Izv+ayv>)%oFaF-2UQ*(Pc=eorn_6ALu&JD+|Kh4U z<=*e?u*2=lHIobdJ&!2JSdW!GJx{53P z84H&eWqiL=gnhodnyWHa*Ft>m+p94H|7mS4MAw4%`S%)m$&u(a`T>*6nlhYWr4`Vo z3z{KDtR&%CVrCGqZ@6*-`CfITP!&U8l@|%v;0y{;niIY9_)o0fS-KA?rn2@O>g5RZ zZ1uwb+lLUg_Km)48n_)88FF|9aX1ib;Oo^&8}ymEGOJwO%Pfaxd)V)2_Bb`iq%All zbej|mH7_(n3b3rWECkZU1nDIYiyoY)cbI5($Yv}FL#zGeW92RKhLy(vv8#nl0;IZ7 zGK6YPxuG2y3>Or8l;IS|fXp@vj4@&WyGI`7jp8^^T|<~k2-V70F26FcvAkQF1k1%H zrEHGs1;XNKP*vjP$6UG~T`HUHqMMd?h^m;@JehH(aZQv+W3;2pvaz0PtH6M4dBd2^o6sn{$|X=z-48fIz(n!GlDquZZ#v{9E_e?kEeahPSc}qnE!N3| z^u6fKw~w=@TU@kxXWa3Qps{me+wP8j^NyNdOg@!wE9!n{vDH3OR8K~O=l$~L!Q^B# zag)_y6t>s@SMlHMtL(9lsp4qVJ8Ju#W_IMnw7w}EY)n`utlD65L3v+t-R8~v{wC(; zEP}c8CfV`Go_vm^Z?|x>x;K-XeeX=$)(MZ4LiOE`51xv-*?2a8s7Q_hhdyZg$D-!F zi$#%q;*WHz#jlMI+>&TF*=qCt;$&Rktm-dI4Zqrdbq&|atuICA6ZdGXBbq1%-^8uv z4+G{xZmOGDCg0!K884|Q++#2KS|=!(25>^Mu7LaUt>;e!+`3ZKW?1jmD^Qz@C#Gx1 zq79#d-L>e0+AQ`jqZIe($R*r&@V_%C0kijobqZLQ<DBgf&PA36*hL^)ljAjL`SD)Wzop=0X)t=gPhG^gmF76jDA6@4$gm zH9Cc(7Gg@T9a4I;4rz-pe8-68({Xi}o*7DgI&2~Yh7PlYh9<2%_(#~jX=r#NvP;y5 z@K-_>4192)EmIUH4Plm*_swNBQdKD2j#34S{O7!dG`cNO3M>ULs=7I zhU$v)0sN9hA)*K^gbUOO`8HovMB!nx+yI|e6=4yw_K!so()Yr-xrT~~Hc|(5r$6~4 zTk@(Q(KFzLWX1Igo<`h3VL^@fx_MaSC?$e;dTZ@KlCX&aE;8I`aclxaVAA2}tNihz z^uXlPF#`OZY7FJ(8#8YPUDD+N{+UAD8fC$mmbt6=kPo3KK1;bE)YQwh)kDgsa1bIl z$stBEAMg2q9?r>@^$wN-%kg-_>_VNq81H{c^^%CW; z{K9)hz-buX7FtH!erHs~65=M&Qf+D!00O|3YU@HW(6i3l~ z;Jc8TR_l=KG=uWFG1PAR=38*A?dObavqU$)W487oZ?^JX0}yaq(Z@B5M{OF)NdD8L_M3V?a$iTCZJTL zyh-4yp0G2z9fh);_#YY+D)Bf&E#;f$gxl(Iue{-u!r{EF9)^zIV)C{8>#gC#)kAKFj?1!H&DFj#t0)N{V5`K)~uDj@1Z8I*7t05l5F+WW1`CzPA=x zpT%+%FTn34|8yn;&*Nq~quEC>G$}8!iy++9Z_SewcN3h$;;N>~pVIL#tljdbWA1`8 z?t0cDUdhQ}w{4=<8sQl^G`C~f3(w@2yRSwr&*WO^g-<-xZ;>ZGs)AsOh zwC%NeFqY3+(b1??cdg!?&quy1PR;@;!mzWfS}$c9q17TgC~XQY?CxDQ0Wv#lX4X2q zoIb}&7wg%$R?O9gRUQ9MOf@^=qkF}F(OVfjr7+sN{diddJ;Lty{Nr1t><|*PT1X_) z=7tulm(H2ip$xZ4K&Zn20Y0o<#=a+7e#*vx$7kW{r%Bv_Ghwe1`BuK*y;j)gxkznX zCwNhi>Q)Pm3;gev_>`)3Pml47#C@J#_2z0ZBL+0$%kbvcbMewWJHb?8!d+*-w{oea30TUl*MGz*?4XMCo>l6 z2{;zHbWtPu<)&*uMP{RTTlzE_o=KBBeGfor%yo0bzG)0SCKvHlv|AE!0+64VE zOF{1L8^27R$>FG=@W5fg{dHJrBEC83OX-SuTUNo1;qjc8&+n}A)rbZ zi3}7Xp>2wByZ+z5d8?=nMQa3DVp7R4Ro!itBrPaM!^jy%K$1%+k?@6@SXhG439Dsz z0faOv#%ZM@P#mhW)sFze7%8-rf6bB^`pa|wvB@qs;i9|k%?y)U zT%23r)vY2P`sw?MA|B^&C((TAzrJ_J1{LS}E$r&=y^6=*ku!69Q(je1t^8K+d-wh`4z0hO8Yox651ae&^pLx5ylS-*17GzWTw|`<)*$hi*P(dHN2I zC!Z|cV|ed@obqnoIA(lSxr0zr{GhcCjEg8d2>W8Zbyx6CrJ3J!=UBje3!h*0F<$otlYNECORglnBVT`c&ME25>J7oI;<4Wf6Mo|K>6& z++ul3wYj}6vEF&}nFX_k*7NnSve@K$re_Ws4H<{#GaND_c)MQQi%Z_@78Vqb!ZD<` z(pg|F42t8wP81krm(->VI(_&_7^EhrXEhkR%C`H!h?~wnTb}S0VYYq z)K&yNsFcSDm`NWc8zLJCO~=Erq~|P|e$6eC)2m*{CrFu=kF9_9ar`cz?fG2d&&^3; zkTj_h3k7IK+S?p$Xj~|9C>4$471bX>^g%~aSH{aa9$%}|8LIfuAr;h5j)Av@t`<}u zQ~Cg00}<;=l)-Ls*8BetH`K6N&gkl*@mZYiGYiepZf+h-EzBF=blj$`dVbS9XI(Ry z##3~-Z>Z3G-d+v^x zZO0WSQE*f}lY1StN)(uKEzTgawP<9VZ)XIa!HH078Q}W!IeoAbtHQ#f5t=VH`A86` zdIODm?iWV*m^Cw9&w1aNo`RJkUl*H6Oz=_7P|;u}3NsynW=L7C%UaAwyNOUAZ(d=S41;O`udo59OCUniL!4qFIOZS(i8*FVT2!{cV4Y|Dqel^z;0* zo-D6r=JdU)`9GhIbqfs6C@wkErAL%fEL(a2-lc6u#BL{3coaEjr-Mn3UvYFVKc#(2 z*U6RTgTz)~KFAlGCfG`;xii*{y^&YVJE!8EV?lG&PQ|l(y1XTnUasjL;A;w%&G$(UK z^NUg8j`H9(@?Z=`96$N^^*1>_+U*!xj@_`)G!OR*qBZ$bMai)|MAac6PGbsa)w1N8Z>Am z5>cUHH59rDy=jGNLev4*@su3RURw=X_HG z@va~Hg;4^J>`2m7WYXlFJakWv`6i4w9EOIo(ezzJsf%?Tt(4K?!Y6f)Kf;Ks)g;+X z89GI*k2Zw6#&na#!HnET-zTq(F_Zj~L$ajbI`DyyzlqBVy>WCX>h>(F)drb9X%W5-h?uQT%qOOja5Eo7S2xfcH`3`>0glIbAYImY6` z5Y|c``rVR>1Sp%)_&^LtPH?i(_*(DB)&l+(yeB;z|dWZ*?9xd{7nd8Wjr zf{fO%XOZ{Pr2Yg_`LL`zoh0p&+hKX(=3XplJP&pbd5zYi1g%Wg4VA7pJ~`O_)``v+ z^3wq-nD>&e8Fgr_Gj-Qi3Tp(tB>qZsVa+%}t+G3Qmu`gWVBV^~tI@fv?b?BBO!dAy zpVy*J?#<^2qZh6C9r-^R*SS(>IRgSNg9j^f6PRF3iAzf3oD2kXK6o48; z_Z@8RJ=knc#%p7H6T22S>vdlrSV;CRBth%GL&<&D_r`~lfA?Z|HhQb_ZSOMmMap*1 z?go>oqf}B-wHL?qr@i3Pn7$CKMw9*e4?&!Q;HkN^hKClamB@yQ)jR0Wpr~`uOqTV@ zp!4Czvd<*1>mG$o;O^9-iZ&xP`VvMR%C@>nHJD39^=B%duanrlYFs_R>fDo^<$=cJ)a7)>x>$gG}$%d%Owi} zxD+3`?cV@3n)deQQS<4Tk3jL@$2*ZcpF-T!hk@TjT9 zIQwtZ*a@?x42>lks(TL2%(Vu04)W^tCWQu;qx+i=wp8`HaqBzuzB{JB(%G{8I>aN& zbu2xW>eGqTNT3?%XYX9PYN!98%VCM+ZKxBSudw_^dY5=5Ni+BnAyXv8sNrSe3mgo5M1KIzu-M=4D5To*5ziW ry7zqHBi$JQF}y5 zaRfz%Nq4ml;GtJ1oOR7bsJoGr^@+|yT|_QEDs?%)j3|6TgUP$$8Qh?isTqhJg2q&v zt>F|!L|ZvoSopg9Yc>a4e!SUi-!QX)3VG)u_kT>2aXI%n$FK%3>D6fF@wi0Ei<%;1 zX?2%~nQ9;n6H@c#z+l&M-4qV~gw*Mz*ZdR`S9dT4PQvcsEK6;NFA%9!7;h(N=LE$& z*axN+V-A6bN*Og-RzkK!ocnhwXJ_n>et=3KWJ!rY#nY!aM725G|ME|~X=lz_hC(>@ zGo=s-J#aPj9%xZpq7avq24?%#YTC0YbNYRS0iD&sb&yaVCw+S^+C@wQ4{A%g}@#=%RXJ zTEbbgVMN=OIBVy9L0M5dR^Xo#22&BKEGtHmvNC)lTrgn{Gsc(1s0nd8o@X1cZGq7R z+9cvEfFo#RH=n^zn)aFwa;k|^4=Sy9#q2*|#~C4XZ@e{}y#J}!kHQa=rhrTtwgV|d z%fLu=Vg1}yGz|EGgPJJ0nx-Wwi%hLrO=%ex4L%0rEh8u1ab!ARJS^8~in26MPj^Ue zqb(DZ6r$v>2Zal;2R0W7B!dJJDr1QGuYmU z>NCbG0JQBTs9bzawF%^gMm5LaaQ)O~4%TqwUCLJl<97`FR(9hPm4e^|73j6K zP@cG1o%JIh+I~Bl0dh9ZS|5Mx_inq&Z@d7LNsy{nm`yO9o_x#eGW3*5 zgL~Z)3VgHGH>OR`l5X>-4{GAr!pHH3GvGUi=8jaDu@c^9yU7*8d|}% zBMfr7DXX1gR+#UzPb zE^Ssih9%n^{nj`gu6Jzt&FjR3q`63E$=>^gwTWY-@d%U2<%|c9NkVfK^=LLM^$pYnZ~4FBC;< z+PBIVYw;AT1ilUTktYg22_I}k)*f!(L=p<;qa+TJukK{A&fN5U%dbZ?o;CBiCBk4v zUmDZT*=Vgna+KyOEoFk%d?H~>_DRmk1^E>p(m@WmVU78muLH=jQD;emTa0%n3=-E) zJ!Fm}bVWn_@a#6cd+gpo%Fl#mg+(y?%i!e@iJ?+XCt}q$h})eh_aeh9V9e4m>51i+ zHNu8qx#4DH2OGMH9gM#RG-6~W2@+fjqp14VwX0(tyqqMZV24~Bi4S6Al7=si|BnMI zbh6mOZ5uyB(ao8~Pg7*D?_uGej!giyI?>Je;N_E?K+*M-veNIKr@22_Q#&;>)V_dT z35S;1jQAX09GUVihz;H29(nW$jgLU{YX|d8MaIUPaYC_mIT^xrreia?8YST;-_F+A zn^2?#*s<*+wJGg?+O40&p+tDJhGGOKb%@P8Nx~Q(%MY14s~TRRQ#W;6Z%6nqX8e4W zB~03E7Rj5?A&1=iuRaOuueVOUU1e>V_`TXGw@IBW!lMP*J!A z5YjM@Y+YPSjNz!FCR=Q;-MVvt#$sG01dD>FgYMmcV^U=<#RsJITTVj`g+ z8jTSpGLQWd7tUOB=V^*o7!!`zECMycKQHu!*8~Q3&`%}@FQRd1BA<08fsPJvHMEE; z0Q_-l)?JZ@RAs?;E{TeBJJk1mfBHH=Gg?S#=Puhg6?edLm^A)mcY-0#q?-zwmzPng zuGZAlaPQ+s_W{w7lXI!zOr~A#gt|M551y2g&$LmlKBhN0ZFiPuZdj7DKN4naxXJ&) z73VZ_M6N4MWA4ZZp-vEw;Wh^cqJ=fl^WxMKz#tHp8Hj{@IIH7+$a;@hL$J=e@Ol_N zhCH~0YCoOUDd!vS3p7WXY3`BKGh-PjgcqzI-=3}M&|{4-V!{Sjf)-FXO93)B=*MVx zWHe67p$mj0Nq@{GhL^huRfz{9Mn8?9lSq)e;vSBL)&;#&j-CU&4ogSeFtU6%p>z6Q zyj~s*I)FVsb@g7HKEbsm5ttN####hZqi%4(N;TnRm*`X!K>c6tmvGgrRD&|%M@ z%sWLnS!s9$C8b+(by1s?_4e6+`9?;)1N0NJ2syU(K#C`V+Og~l7^4}^S)zUPsMB%j z3#}u_hZ3S%7*R|{YJ^hMoSSgh!Vn9JaSExDz$PIGJ<~s1GWq5dwDwapp6?1KBpu_w z-iUFS1&+gYSo)B*JQs&^qbCTCu7)gsJf!Gu@i)EGPTG%3gUE!j3%E%KxUlT$jVvnt z!0VaQ>~+*p0U63%PV8jWCULo>ZA;M+X>Om-%i6ulFonRh@){2#V{I*Ru$?u4IYw34 zL6em*Zk`|S$IZnh%jqv?{j4(_3!EgSj})j;7yj=%@AS;nOkVvWFB#3Wci znsfxkVfa8>X5^&oJ!PvY0FQ1WPbFh-v)BV0V?SeZ2xdE{RkjlKO3F#3e~jLc-904? z;>&)v{(C=0Zv^a?BMg}CqMVpOO#&QuFcDx-og5QokuN*iN(Y&>VkC(;5jy>r8PAwA z9NDRqdjg=bS~!a-uXChZDYHh!Hy-JPV&$^1k8*tD29iHI3KUk$wfC;B3-TP$KS2f-q8;)?tdn@zLXy}=I5_A+REk=(dt2!JnW@M zST3roNe~=i32u*k>fgTklE$;or#So&_j0jQqsCXzoctjPHig6};Ju7D8qND2w0`ip zkU5B{4|Po177Xj$_{Pg^V3m}x?d?CRH}3nFPWD&> zcXeV}QABfj%SUwb`R+sAR`tR2$87C%0?MN~yyw9#WFWw&)=7PT)0IN1_ZxS5mG2C8 z87aVnV_xl)?pX*p-LQ{`N7>SyKn&nh_2S}vw^8MsSb(|xOpga2%=^0nmr z6m?g6_>1_G1|_QScol+D9d9?0n` z^TrN#75LMS2&5vIT76V-jSn6&;7Aj=(*8{l4iu}*VNeJSK%nCdevFzY=UWf|CDoca zWE>nzagiBkMfx)ap*S#M4$GvKu2R|qBS90A|#o16C(p1i*X`_H_rm0se zOeCrv0fqodTFIug*;&juIiZQN4K-=Ha?$G9u2poVwmP+yJJeu_3X1HmUNbEh8$IDvLHxdCD#ChfiA+9qM9+VFIk+sP+w4-0cMB7 zKnL%jX^bc5J~g!SJa-R4LHMSejx{YBpGN{Yq4ez4#AV3Ou1$=z?GFT|JsD#eXDm&K z%5RsjhzFIheud!^0b^W*91SF9Fnua8BSHI??y`T-6>$|4#oIU6Dn^z($EC8I$&{TI zZBo@{Spj`bGo3kF45Y9S*<1h3_B>^L*2A?S(|prw@E@H*uN2% zGiWiGk8Ft4(Rcskratx#y}{}y7Yz&fOadO<0Pk*+U0YrsyK7&`LVsJ=jZu5HzO;d8 z)so;Db&lbj2l)a-cB*^J`~txOYImVMVe~|mGs*Nsg`-rC%km^!ChHF4ZBiVSyXCCW0c!;EI3$MUF!1{9C*e24os`Mkk1$| z%UPAT9|Z}kch>q^4xeMWN7lwwbj$2bBc#?mW~ix)w?p0^dO-vq$QB*pV{} zy;DRJCZdBmzB8NwGEuvEB&l}<{_CL|j$pmQ+#$YC7gHuz-&J_=GCeSq!jIv*h%c^) z>grN$lf$7y+1WT`E$6VG+-y!4^?Scg^n}cBPl;2rQ~X<&Nq{U&Hl`h`i2z@bN0Sgm z7EN(0F@KBO@;fVWYc!m-LqhP9W*)k!6XFoL989*^A4K5@cAzhD5$vd1wArtfj!!wP z&vu4`YC6CyESi1rMTN-k|=au#u3_t4Y>qvP~U(EX8GQ7HsKj;XOx5mN_Z}~ zZ1}d2LNMYnxj}d$kCCc_cTl#ea|$|FUsW`y24JF3$ar@n4R@#Huyu}B%IpavI^5<~ zZ4Tukj7P$e>@Pp}<9IQaD=$a=DEBE8XT-NcmN*=pybl#YCRWK2MdswJ$4IQAY; z`b>8dLx?lwSR=$ayfJ&wI!y+WB97j&KS+qzQQnPphYx~!&GDZfzWAP}oCY;+fj-X! zoe8XL)>EfXK{k`+1lS`Wv}*3LbAw0tC>WX#CV~K#$xS|qL)v$Z!B10N6M5VUhA1)l zPE-3o6sS4ViA@wiBif@&y(iMnTce9qEIFx@L8Kd5Y0y;J=0P*XZ~^ms@o)c`Q)36? z*y4L<%BZLyGuLys@AW5Kx`rSXAP&PIlop0ZVYAB_DK2Zd z5{n|2J}37h(vEIk!;k~=8oa^JPMP9Q92n9QS^R#Rr1 z&sd)&1(TAcwWsh%36v^)(w?EkvKlakV3x|8DTgj1cV`xJ_GrhMoyD;qjMJBF2@SG# zt-1c2dt{OkSmRadv9K1?-&&MMIVK1?!$U>|QSd;<{I2?4pf{FP7FJ#{KwdyQD5(KX zMk<*(wK$KkF9ee-kwRVgtcn1-wkbgpK_l*e#~VYHa4*L)fdrMZ8+CqDFYJ9YOCGX8nj$c! zXmpw>b5e+AnKA6RhyqpRec?#*P_pyzHp@r~7C49yiZG%yh}&d$OV>LV+e2*B;Y*+kold5D(DR9lT$ zIp`8SVSf`au)z?_8(!UtY=ODL5of0c>Ijms)mTIwLWKahwVRdvVC+*TXa?IS=H?8f zN952%e#)W!P-Tl-(Fj^%*nzn`iycih$X$%}5Wq(|A9`2j&7}s&eHPivDFR2su&%YE z{3Jmi7zhSSsl|`)Enlo%12U>xGjrbWoNkQkNXAZR&>i%>gkqG#xUZ1wdytew) z-ABw%R^ER34K$|Sf#LOGZ70tJL>fQLzCW$I!5$z@p7ZS_?cpK>`AUNPw z!sQhCrGULJ;+rs%Y4&2WGv((7+aYC2DWN{ z&tls7sP0_v#HaB!`cLQ`bIb7&GxY1>kmI=h>0$g>Tb+y3hx7Q@xr0Zd$s2F~!hi+u zgpjBNrUHP<4w|BT&bKfxd6r$&n2iP5N-_y-Z)AH;jg zayd(^THvnN{P6LLra-;8;C;j0dxG=HYp}0Z!CvjvEq?m_+n)X5k*bR)3??;Ar7Jlw zTF!f_`uN=o_JaBn?kEn?j2yVE1XIv|a@f8U$%w71~oP6BpKodAMo z`vOXW{;>q`0YFsyG=BKVK>bML7KT&HAL@qmbcsU87Jr>s7*HAABk z*FZJ^IlJJ`$f@6{jVfILB9?G`<0SY-tM$RN72CEHPiha}BAI^CP&w`4Veqz80Hk3I z^w!cJI`KLreH>}PxAF$yWvH1T1p*-mwBm{M05-HVR?tPkD1Z)lu>kTqE?7yk3s5Dz zsEoe|YG4)gK^hnlpe`ZKutWlo$;n2bX}nX7N@l&)s+E>BxnO#@kIPO2Ej~gzR6CAV zT7!BRp94}-_y5%!s}X@DD8d7P9XL5$sTv8iQpggC+5rFx^raJd(Y$3l#N`Kn>b0?<`q1CF$i^=~!|7{=uF1tgd_Y*4(q)aj0boQr97;279h$CyNH zCvv7$hKxWu$%;+{qN51&V3!3(s#XewjN(xYHnzWsI1{b=j#kxfA;}aqwct&r1eHwA zp<3NVn}*}ep0nbn(5tJwy1I_M=pgI^(G}l4fr@z7` zLO+=epoTX5V%KHOq4|ux&$W&jz)m1ALv1eHJqTIWZI@(<%+i<0rMSBjqVAMZU#Lxh zlArt1O!<8f5rO-_ZaJTdj7#7sz$4gc{?)$bPYf3pO@!5BVv_ep&Y_?|+b4mnv&tV` z1sP7@wPEX`;&mrIu0gYSN*(MWh9jwa=w*GZe1iPZkemF zCPz|r|K&~C>~cgWwtZ>&njgHf>|0|HSXy zaa7z8e9Z~nN0y7jwU=u0`-6Y`8NGb7I@R*44(ZN^+7{w^nd4}W(0rRI0%Z@u=s@Z>cp7Nxe| z_KC18RKCH&8a^Jr5-Al0q8 zsgsPp_}*`ZYZ$NA;znEwz&Uyx>W9TS6D;B-&eMR<80B?PX4dKq46VA*@$2}gM)faa zz2f=#POsx(jkDx{gBdV42U}VQ1VP7m)&xC;uf_7$R8Be=XVzh?s(};>(sQU#80DGEy`2lX*~PkMyUz^1BGz{3(IA!up74E^j{eL}EIM(7barwfP5Pk&>wrqZQ?uB=VGgA;$MEZ1ucO+p1 zCoZH_XplSENZ( za)i(K!7*t$EDVpIuacUawOu@<4aFmMcBVH)gip&oikow7f*m}pTnOiy^;nF}LO|kV z%Y%+(IXEqWb`Vf5BHm(N8bmzJJkhdA2qD~%Ml(vU+)}=aSi_f|{4<`(4P+dBz9J9$ z4a?6_q1>bxQD$NBWy7|{YYc9oMVU9?qwnU%GT4j(9|~Q$7mxL=pDlj>z5MvlTVzQ8 zejb$g`un+%T;>07*!L>S|I8)EWSuc+un^>>B0;ghWz2*zQS^r5@o%r3}2o`KiM-osTa%axI}E<2_9n_V+> z2?&rT&iHFg1}{?{zm)h^#fK+3KHOD~vk2;rEy070v!DguTI1IbU*x*H#Abxn6ni?w zG}%Z6UY2k0N$!*h;g|&)^M;#nDW35TYk%>nLBbk)^Re*;?Nt1G8Oj~o+zinhBfg02 z&O)r*_$+VA%`-O?m=qmFXGLwXMz~v%j%hGT3U(}b)kYVf)P}NX-|Rx$TYv8lJDGk1 zTcXo+=9@F6@e-GU2L~YOH|IMUha!N0b7-f7t%qYUDG2v`F55G9-qmzQ%P#qrt0{L5 zH#_ZIMYx1{d^$)DwPCav z^p#~uA!WB+wR{kBH0QlAiai`(suQN`|NMrGo${=jxt9@a`Qs&%xdy8+OP(RmV6R{D zm#zRmMAnxo03Fakus>HqpQLPh#08WcM71YUi07Vaq|R6(aJ#v*h_a}q;lVoj%)par zlRf%0nGFqgS4}P>R*0Xf5LsD;8~HPzz~BRfM==etL_{viHlN0L6Bj=G-P&MM&gc-LY_&gTMi*PaN#A$xGueN~?`|j z`HrI0x)ycDsPG2cf&@u0Jn#D_tX+^+%OSFolxM8;(|iuw)p&rJgajC86j5}|jFJS- zH7J3jTopoF0Be>>hCPe|%2iw?;b&FCV@MO5gqt#k-D!Jh{47si`1B@PqljY^mY&Ff zRy54$qA}Qd&nIGP7-;xenK!r=HWQeiSS0y^qo{@6NOgueX|rf0?os3+iri$hoFqm| zixK;X*e#$6GCg^={^z?G{F{al*JMIrmC_VUBTOubkQT{Pjz;U{ISs=bkY<^ru&sLJ zhzWL5Oj1US=n7XXWF(zSveQ$Uhb?9-dX?VGcozMmKbLe%Ruz>CUd)t(o-FiJz;kJ< zc9;!(KM@M0)3IK^1yjWtB=`e(A}JBmge8bOo=%w~GEZyRgJCW=rHk(Kd)%-Lk-2Gdsk#0S{ycPv6tPlC;1nCWWzl0OO@x8G8NFaQ{1aV~WFFAn z%tfwZYV(ti$GF$2$#)FiYV^+eK)u&|< zx)g*fdvy}*FOwY}uEv(-Co?FKxURBdOQ7n+AHSi9h>7~s1x<^yW|{G8ayE=*Fy|~? zBLGL%cF)UB%VI2QV^Thz44O`&QO=XF;Vb2~I~c1ceK+&6!}(}>-Z%c}nUwtjmpBtC z%c!O4i9g$n22GZGIcWqi{AR||5?&meL-Nx(cauyYdV)AnW{2iz7lWP!pTR&i!^?d5 ziA7V5!C1LfDH|r2Qo3}IhNjM}_7ehcmNUe)dvrPJ$^FfnCuVJDTj#U5t~`y3E)@CFhQCyjB*M+oZ~rzqUv zFj8`6w8%>#2W!IC8A%XAbE5QQpc9VDg6N(CFNCFx6?Y-rl&HiBmrBmqmw$4E?`3$? ze3j_{I9cq{GO$wjls651l#BCVPBFZ2j&t-X83j`V_Q;*O0`>G6yd)t)$j@_O1O8wtY7aSbbw08w%3>KF@~rmgMim1Ig&k}*K{Y!SyO19rYswcSXjbgE#SdjcKINao zd);At^T+ulftNqIKj?DF-Y{0x#r(_{Tb|ulQV)FY zT`}af9gGGs1F32NXAKyV;1c@Ychr66XokSv^5W;FD zA6*b*M`E56NUXi8!dUrfm&ANx z8bL1_PIBY)WFs3Ab0g~Yqa>=sD<sMU&;B* zAGcQhK16En?Nb|)xJT!~mX;EqP%^-2B{)O0kv2lOmWGgWK-8};TA+ed?|`>nJG;w} z2o7UT!h8>PjjDM_Hu5dZVi@aM+jO8z7;Py({Bv;s+iHZwc9ynW}cxwMF+#yh%I6Lr0ti9 zC`y#F<A)6Wv@@OFI78F46>orL=1;5uGYCjVkDL zP=qcTGpuB#yYJgfN*+-uh8v?h=z2tqh!hgL%2o-o@o!LP%P(UBbNygDneEYb~Xn8jQKE-rG(97={#G3 z1&+|+gI{B0>8RVL{%0jM7aPs;^9Hgw^;D7V+Le%HF*|6)KOS<%`idf^beL@ha&f za}3!0Z=RfiTV|j}xOk94rYB~&S-ACt*^mc;vRZK)2m6#Tob>Tza&tR5ZZ!)A_L}S_ zHFpqO38Y!GxG;KV!7**`xm2@5^x}BIq{(E1*;&I}JY;4J+_S|6XZun1kk~tLZz+pQ zkZ&V%cFH1rB;() zB{J|G&Oz~|6lsg+w>_jDg7A8I3464dd||HS&+qgYyZ;aWeXt*k-)kNy@^^l$|M~|9 z%n6SB#N^Up6NQKN2wT^f1JP8&8n~_SaWOxY#-jmr^8HDoAoCq53I_<0=aK2CBsjmV z@2TZDYnCl5i)k*J4iw6%#q3v`4YRy^K+UImXY>9u!G8Vwx^40}uQcFbtwaDZg9zU} zis&(()8d^kT|YdCSnI{|WkWH+GKIvQ&K3#0)!e>&i73s*0F!?&;WvY%NPPaZCbAdQ z`>up&*f4#rM9`(TiJzH?4tAJOoufmXUb7<&&2WtD^hfmWv{Op5ZP@gBgcMa3dOE{- zWO;~IV<%mI@(eE3q$NOIMB6;FKtflKwlK?mEzvw$OrA0MD!3t(6v$=RvMGXp##B!u z9mOoSsqXuWb{<-zC%6SR`l1~E=;JS_$?lqzx)Vy6!sL(G&}anhELYo?`uBSo~my@>`5Y7h6>4UdOX|Dj|eCVKxBhz#4m<+Sz~xspi>C z{q9szcL&~crY_Fqs-$#V8RaE|IN>pglYz&hCP!4}wIlE~HBx6NoaEWNH>u1OzXhL2 z0;xbkz!!u$aSU+61if&2kWg_9?Z9u4c!EOP&~*k;azVFHDF`$mh#1~1(eA7WfYrS- zK^w4$@S5!5JR|<;gpWyy=qM z@^T~1J{G5mlj(1SR=dvz0~XsD*Q7r3n*FESPs=MBdNW*7 z=)8FL+GY_GF&Nbm*{77l1Jt!n_!M=@Et^U2GFGr+OdVzLOC$7*!R}K|!s|)YnvZ(I zl4ca^IKB#3ll>~+yhuNjD%4TFT;CwGPFtgt_o2UiJ&4&QY%->@kw~u~yrIb4Blo`~ z+AI1qlXk@lTZv{s8PFZoS9vLs7CVVRAaFrT6uApc38HbiTB4g$SCF61Kx%tJ&ZWIK z{;!YGcIG+=X~RfiK-LWY4-NB2fGEBO>_rzlFrWDr^NY2DXY`hP(I#qIBBccP5HNS9 zyttE1{IHovY>W?>8Et3%K%M;L%V+Mu4B=eobkPvh1l3`cH5nKoW>OK_Z}dEN-xa_W zE)H*(-g9)ew3@pEugXnJ{NP)qFn57exwKAIlBBx55<3Y1{x+*hK*yzwWyxJ{HU`mY z#(=4$jC2TWX&HPW!roxfv_L}Se}*p0qz7}Fm7BZpL2|3*KC@0bs&j#BS5VAO6H_Mx z=*T7kTDqJ|muC1)kjXTO6AV*+ZY(4>0Q3uM`vi^< zD37rQQPpj4Kk4CFDK+6(86z)?O$pYpn~l-px4NJKP1R}Xs5EFM=mojyE)yUw>1;?K zBYi=$dyCfM956=6lGTO#nL5aHfmDeOi3AiFj>D>(k!V0QgBhKh=9!e?bC|%aBVj32 zB{m`(Zl={N>u^g@P&A6gPQ^+>6(^p0eO8ebTc#oU>#B@>m=qyTXyjtOfpRfp4DJfc zY$hZxcz&Ro+>R#lC;#_dL%dMB{WYNN=u_O^8|7;rz_?T|HG%uSNMxg}>)8q#UMi-7S zWfCNcDy+ooXaB-sk7q#;)&`?E1&vPNe?}6mlex~rgl>j_R7i;wpfzfEn4aGS*DKdb zy%Ha#VI>Jp3=$|Jd&^va1+!$cI2Sb@Myca3W?pDmLcadk+Xvf&9YK$5Pe51VES(Y3 zNrPVV8U0k?Vlmmyb1J9Uo|onTk_LQaVVqE+PVQHE0p+^fExOu-vL z@(y)KxYK^Mp0+zn^>m>ZAKP~(O^LzOPNn^+#`xFrkBz1JvEUI#Bzb%=b|H9TamQS5 z+iJ4Vxo$58=f<EtztpHt>fcFMo9SZbV!CT?8NU63J#wuR z|L^%q+&PtQWyy?Y8}&x}>~8>Eh*HHbFMJ=c+(zw&Gr>(BI}qHX zfC(GKbo|E+3i_*=>>G@C0qY9HPJR@{MvG5>i=o~#!&n&Vg3M7AuDDe96|}8~w-xaT z?$1w{@87YM!!2m;wt5a1&wn3oy{44l4uBVO!viXZex3?Z^AU9!n($Wfb~&@SyJJ|8 zV8ISRZ9d<NM(jW-L&IJwLl#m(%HT` z)`GxX{o?tl^g{iYf+W$Oy>q#BfWT`?4TqJ=yVLduf@gx27R8^=w~qX2z9ssTv6rBA zPk!)Eqo{Pxqri2oVDGW9qWgOxm7Pqss;IJ=?`0ZER=%JhXe>>E zk_asqjf=2pY1x+O&KA|01$hT^liZoh6^NZ3|eNO9u}}+`VbMDda&HW zA75$Js3F?6KiWOUR9@;14HFS#=TXG#yK)qm`EW)Sk_LPM&<`Xvcx@?2DOggC7J~ACaLHyxy#~S6 zs_!RQMHvRCD5hYAuRKuC8+V4WS3iPkC1&tQjlHx+L5%Xu*Q_7_yoKqpw8)eF0I%7_ z#%!0?Q0rB$J}m+PL=N3SYkGvj2tYXPKlx{y94d7nBAO8b+(=deRu|3@g9T9U2 zm4B;LD-fOjv^Me~6G{`2a?wPkVd!r(SlZFrp~Kd~$dn+x+S?pDG$v7We z0Cw~sB9D>{z{bnI$=Ff40CcEp>l#dWgE20LVAt{yK|iH#s;)A;?WfO;I6$Zi#sM3| zgppgye4S<>sRA%Pf+`AC{cDE#!e1z&QwMeuD3Xx_Sv-cM<%(3d?E-8gIGFrS7DJmI zaQu7M7Ai*Y1+hI+MXwl+q_)|n&zq4Xm}a7EK#}du0GN~DXTWodZxU+WRIuL(l^p8ZSR7WJAr&fz)46qxOZo@y$lUN67L%JKC;s-1kCr8m8(@%1 z)KYzc)rC?KvR^2AJivtpse(J;LaHy52y1Y@cm;|(n@W~9{|MbHFawN+D@=sg1C$$; z8b4;cjp)!HrN2SUqKNT;+er_l#8i(%sBR^1_ zaI>+lKkJ>jq({&DnihpFj!qVx z3KZVoM6?TMzweSWOYF7B!WNvt6vqg~Er9B=hC4TT6|3_kwXw+N49vVq`nyw1)4+c> zc5~(GIj0Z#i{wGTK2xSmK1yF(Li}ZPo7U6x9 zx)OTMAcBpLhm+AlKu8a-m3{*H@thcxRv`n%=T!E@93+^QBqb}qEl?A1r!_FHs8KhF z3ARWJZNbEl{^;5tYI0FbI}`Cjc7X#qQNxTHZL zK1s=e9TF3pEOdDgcS?gX>d_?>7{&N_r0gU!-6+S0tk1#OBDtpI&xI>Z7%j~&e}lLK z^CUx5E(jWCDU1&goIyl6jlHtsW!U^yTP00r;ba-+mLBjO+CbsX7}r*L4|^%j8sf;l zYNMm^(I@6~&zL13&Z^hge$~Z77vIEJY6@ImJWF-#GwrDBZL;&ff_MeB!c=+N( zoFnk6@Sy3fASR5Cle*KyWnOfXh;aC5=Qb;Kj2V|NK29Fu!5E`KJ6E&?vNNyqum)`O~?SI$N2+L?<&BE*-{T+XeiB4at3@*bRrX zpcZ^^{cVqiZU!67%GYQso-K{p*wbYAl>$m!6I@xksAtNfi}`%cj)GeAPw$t4KD3n! zBniI;Y{*0=Tu4Hy%InuAin%`odnj2rUHw+q~z*sqEIE3 zLMYr^5ow5-;lBR$9~nS9Q{&I*Q&Nc35LXmBbkALm1`+Fg2Oii}-6ynS`=_YN$&{rO z1VEjoj8cOjHd`VrL@W?&em+dzG4U@Oa?^fZ0-^xX+nqvV>#Xk9mc8q`5wN)biD1th ztHKJ~O}e}r>w9m&65q42{n*%aoGX=Yd#iGxsMUtMA76MJ2Kq3m9v*-4za1G+o8e$i zajnzZHh?#BxA&BuYJlDWi5=?nI=kS=H99Ikm{TGK;#<%gA>L{_*Yl{7o(X~~3~aVc zjUhsDR7?b46Cl}tK1PJkcS`TkS8&$ib4fx_B|aA~Cy&<`W*@GDr6;5>u8d>5!GE1i z=Gv#Ix)dy6ASMfoY*N8&Ej~6$-P2XS9oeIwc(hziH>x(=(A}G58*Tw&I44WOFuR3) zMa^6F9XL7UW+4dt7+mC9M6$5dGnIeLVjuTovRo8yfP5|N0=Z6ac#EmFHR#1w@USXB zw!r~{pi*S@rbo~*webevnN-@`QNgoWrDEUH58in41FY%G*m(c8`$t`Opo+4lvm}8F z!gZnkj646@WhGE2w)hX>IN1DE2uuMO7}d3S6JCw-Z6ybwNzf-HB->1 z+Hte%KK!EAl_Q5My;;a!`yK;WxaZJu0c~mwW#+ErgiN-u&%(w%PF-JM({I` zBT}G@4B{o_l}s*$kLd>mYN3;LoEiT51)bmSuWHP?1rMB*c3*98yU

tg?`nm zlpp@XE?mPytlud^1T9P>c^U@>3F~nE;M3iOshf35}j3&fX-6AL|S|yW0nvF__@H#Ma!WAR|vc%m{ zRcWkTN9F`T5`|GcL?r`}%Ii~1*S1xdrDzqfRZX1=1V(EL>el|zu5)A)dvH7UvJzjR zmT5ea)NcIr*%=gs-izW^Qo}(D)80w;e`oxv)!Yy>L1MTysCrXHeNt5Io12`_Te@U3wthiw)Ao%Y`d65pw&SY)mNF>x7+VOjF0(!ExDKhiZ1e2rn8$##I!Voh zOY~dCpn{#xLx~4@?Yls)!o*nGvRHf@^5XWRrc%y6pX#GtXV|(#StqHoL$(ey7-zpZ z#>m~1P*N&4*GQxa?a@R7wI%A;{~D8G6(<1qJ{qA+si0Wp?VGJ(cScBX7g*-uofU$b zEDIKfg|^+YsLT01NtuR)YHj&J^+Dl-(kvF`#)(S-k$ zTBmT>mei4Nzes{mx7rtgxON05n%_7|b%$#&VM&SYiTNi#`GMgjr8js^#SN@Bd0EWu zZ+nDdMBny~{4L*u^5;JLUY`7&52np`d$b%U6bnzhmEy7fzZ}XaG|#Ho2LqYWgbEFS zmH3)rg3ae-B$lX7ZVZb$A?GPcE8}g2w=#a7!jC>VDvsjxl1asRC3!-n$=*TCU;N)D z{2f~y!6}GNL`F30DSPYaMLBN z5*iyKs%Hn#VOxyI@gBRqz6b465v@F&t4iEL1rbaVd~OdJ7ByJd?Q&75X!SIPEO2 zE(l4Y;V|i;C*Z#5$JkdBlOEViO}CZVAQ6WRpHlpo@T)$YmN>uh@$C#WXSgipvJ>Do zjHJK@4L@<5>~cDYC_j`R z=BsR6*+@DVOTgMvs3EwPi9cxmpSw_R4oK!u1i-Gy^LuLn?jg~i5DgTjIoXWH3r}f0 zU#hdwdxpx=z-SH6=)>CYPedk)O1PiKtHpLq6JmmbS3V-Hw#I9N?6BP2spsCAgdG6a zj;lfM!jZ)+jwe={32m7l*Hvqm)Qk82c9=gFRQ})g&Ob_y>#FlrHLda3iET}LEIJ+5 ze)YO%D7V>QwN26tXYq7ttTYo7#{)?Q@x$`OCmF;Z92+*9ld$ot?vgx;Cin*?WXZDb z8MTEWELP%>!!DbRq&SKHU@<2PAxl{781v&CHe{?LyzKJB`}w}=9*tx>i3xw~oF7&5m2HyMU>A6e(7zMI8MBE0CvlWW@ZBp$p6_*@6Hu(OlAA=>ZXl zMkZ>}(12T5qYNI?v=ofVo~ATQ$>9{?m>8R434|ajp2ZOz!=3)|wO|fLE0dk~0i%n7 zI?>Z`;gqCeRK$wdz&pf&ydH*iVMd&gZtar4373tnNA#vfTn=q|0?atD9WrL+8lV8y zo)&h#QIF4o#a>hWmSoCSHs3ri95`T|95JX5F)I7JCTuEW=B*}7ghZnz zg72_ZZIc9f#ymCFGOdF@O&+%JR4=EM@xy70cA))(DO!B%des2Q_2DMm1TGkur3SB=u=rMhihRV9qB|7O9p<< z2)Uo1Y|=*2SCY>0Bm^9xTVS`4gMpC|NbX=5eBlxfYt};=80Mx@F`Wl-F770~h)7vz z&HYJ_8bf*O@sv)$g4|WOIgq2}VJVbEmn;l&{6XeaSNGb*gmR+XN{6kRzF2H~gfj2m?54&iNfAWtw?14FoO95yxL`SPe6Fc<+>uE#hn!%IB2{W=20W=u(ydZYwu zM?@O2vP{Jph&mZ%b|Jf&rViMA2dxFmhR45hp$qR7&r><vNaziMs_SaC+oLjwNbpy@3o)6mPU}#zxh&L4wX<@t2N(%(V@{J->XZVcp`|dYm7|f3nKSIWfD4 zZp0vN-CpmHyRq%ndUUp<^6PyKZtF}-FfoBlXf^XA<+Wy|b$HLjE+C=|S$-;MQBAh= zJ=`nV>aq4pW4gZHt`4S->{EoZwv#I&Bgm9wa4Cs#9^z24aBtd&+4+N<^Ym$5Ob z$EJ?dkJK4&`}KupCpo-hF-==N5TRaPduW=8E}bCMxxa&=*T!XPo$PxyKeo8U^h$hm z`c9VR#q}LaY5L?HI~ejfp)+&KU3wJa6nr1^#In>YnZ4DUD>7Nw=d;ItTwUDI#~c8} z2BsPTznro4WwGt3;(>6n3u^{=wVJWvCN)@lqr0YM(ZpG z^;sWboz-dG7~Z*cvUAy=e9tQc>hw6@1uQ^TK4(sRjX=ZW zE&Q_#>+!IK@kWYIO;&-j5_!5@eFugt$jayB%=Pdji_tWd2GH^F{NmkRGk9}0dEl9; zgHdPSTa&xl@$_AlzwWR`?hUWX-}qP+XR3u=4+CCVj$QK50nxNKC+ACFt|M8JjcdPM zzFeR#gWYeaEIhOKS6S|L;1iV$w1zcWap~Xu4LFRQ!2yz?5|}_6oq`LneI+arCO`&# zMhk=kZY;tJ@SecqPk>ZY2SwwK#$Jy802JDx_5r-09!uQdhQr|k>o>1n|(kc{SyP8bJjDUpl6yWsPi@I&YY@eD!2!bK~a%0GGQn zuQ!%~4RF`mV2es^tJ`484I3TZBm|*>Yq|9&ZdbK7vDlZif~g8YwV*G(yW5|C&$r+u zHBWl`F2}tFUzm4RDi7bbGhxMlJh*MSpQ~$UOMy_sOrGrS^~_=#YS*U zoCABMoB#YHDxFuHShF|DBnuVE4M4$$L>}C!p$bvt?jRexAB6IqP>ii0fom}EXVq?v zy($tb?iNaH)Hs+2V_O$4>41kg`-Vl72(0^)U90uCtOomPk(^nGf1pOeT#05fHp62J z*e?%(uD;_vmjch6=3p=ZCCE-Of(0J~k;2;xU{N$fa|bX{;rQxnn^)GNaJrn|E0r^e zx*^~Verz__H#Lzil!ARf01%5O7tdyeP2y3t%-J>r`&70*?`c+&&s=qf>H3AH{du%@ z4%@M?0wD~43uo^7LvovCPf-R=T$fQuW+@H03)oR#P$a{L@A46liFUh{4?L&S)3YyI z3;Rtxka{3alWgw7$cDBS28&=_Fj>dp^_SiYW+PCC-FZ!&P9sPzz`ZPDppx$nqaGSp zsnVa{Jo?)VA;O#>0eYWsJs?U!Wc)UOwLItQb2fEu0G())z_nLJm?TS0)NjK>4^8%G zswK!gc{kMVtd1Z2;%d$rL-XImRxkImz8PRKcX@c=*e;<-kZm~$4uF1=37hYhu77Sk zR|7QmOayc5oN^Idh30F)pcobz;3*$NTBNO{4$7-arI0_9+cN=*#hU1`&Kygy|16t) zcsT{=p$i2Ezt~B}X=QtYava%>=j^d^ux|`+CIG%eweTvm%IHRrrcOGCO4Ql>wr^)4 z<0expjtLpG*(sKQcsMLzKieA<@LGt5FSk3@0-c%zm|_)FepS5|1}E}hF0t(iIIxrh zE{%O_j_1M$_4cw4VWMOwe`^_ts~_iXzO$NlFO#7&r6alflgI5~5_KN`tS02=#1WU3 zuAjM-8SF;5O0_u}N6V$boa#1Y7C2`{%EMC3`iH1TQ>}o}>MGn8c!x|6%`%!(COpZt zC#Dvdzc?fxuWTXO^*CD!NQUpvC{$=3!|e(&?_I9Em}e}6x$-Bs zFNM@W&Hn6YZPEGH=bqC%TE+N{Vp6P2{9165-*R;8mWI(61l9fr*j% zWa{C#gXD|)*Wt^u_d^&wYvYEsZ!DoIC2O{nRqpKoKQrNIE&d0npQyCmY<;TyZdZRG ze&W|IL>+Wl?NlPW1O&Gm23baC2u5TBrgJ9qmgP?@!?Q*eVJD9KaKzsA@$OD)x*uH1 z*!P|ll-7)~h#@aATWfI$&>Fw%nCqqx+}FCL2EE2QfDn+MdL4)*=rn)x&De=8vSxM{ zl=d*bG&BizWDN|)(ntOBGZcSK`O^7w+gQg8K{4m398XnKVv$%Uz>NnaB+dHmwaX`h zl^|tj>*5%5ft@~jSU`B@%78F& zTE|ufC*&uDuvVXt!gSZ;7V9QW3C&eHJ=5Ymwm*t~igQXF1+JDy?C`~K4}>zE11lmX zGVC|na~Z4g_V--avVlu+4>+85Uilb8&y`mre~2fRb54QK(t`{#@`=<%=+Y9PVvrxm zBVm0T4Z29Vqo$J(b+9WNoJ@0s!=TTz7{i|Jifpnn}X9JJmBExq{K|aE1-p zOs;9s8#0#A;Kl07EI7xbD9P~`!M3O7l*EO-n1UdQsXAkp!?p;~&t0jHvW~MznWcxU ze?(w0e~g8L!VY^at?Ye#2|Q?{T`s>Rsn^i)@$bT?C}rZl9fvJ^VXwSGWu}ac`;O?kqBRcl7 zZV$T>BQWlEYcpNUs5&@J$1WS7mTsw9KQ`sS&zKmJfX;gBF+5~()ofa}iJ3Y5iFuca z>d+a70X$Zi>9IhS>H-05*rW;Y+ZCo$5F=>86qp3zT4;F+Vp(#B*y;>(6US%xiL|hY zO)gW|gsj3hU3xL8fiH~#!EFBO`+0$?hB1UEAH_`Nf`XK?>VG-*G(XxCm><+yxmN5l z7zhng2La%d9MWVK!qby@#WBnhAOHp)2csrlvUOH)JnKfU+b~_$f5{6a6Q3jBPNGd? zr@|{RXf%UKz!G6W;8ZO1b?2Uj4tDy!M}5e!=Y=K)zDm~(T{&FlF|o**WL;<v@`ic!g^JB1Na_(#!ZzI zte{L~rK_G8n3-vGk`C$;tz7P0|L3nL-+y8iZ_GS6!7=75z+cK;&?#VTA0{9}D7JF~ z_g2t(Zs}L#I|g}6X_7!&9iEw(uW}?!QpyG=S$#ngx)%tk<#$TbrOglg z%%}>g2QnO4sp=5MGEG9dc}86Ymkg7DH*<+qyeB4Dl*QMFsyJ) zI9>xt?n2rQISSudw$v+;wTeT_z%Z!SI3rVr%}1ZtvR)P4U-Ullt*HI=df-|9EQ?ysmQB%rHo@cv}bH_W@XKCvAI5BH$;&FF+`eSMh2t{w_ z4^#FqPNX|Kz@$V&Lt(yyOf#GhSmfPO+3AG|gOR#1E$+Z!JLQf%B-!(4XJYFdgp=DY z)2Y--IHO3UX!UxYchhhoD+Tt-FO9==ec>#*Xs$l$`!M>3yg((WRJoj%;Y)%6k|*q3 zmvUPV`5$z!`!aC05(S|*S_+e{WtXq6$8ZDK5SlC!iH%{PPxRJ5a_krx- zc-b9f-R(xZWtUs!*?cgy#NO-i%;ztAB|DOYYbTTatAGwyB)gaf;yn9m#5sPqvd(*@ z+lY_Gz{u;d#Q}bI3rFfx$%&-QM)8MF35=@R^_afZqUGcvnlu{^#pRW1cLq4JTDo)T z$Rg_!SwgwISbZk1)#BPii#=;M>N?VV&)JIt*(rRJIV}x=C3UrD+wkYk};$BqTKvcS4E{_Ov?k|dmicOVeeV@*K`IK@{QcE0=k;Tg z_-eiJG4_JOU+II%Q|$Qlnj}wWS&)5X^5-7jdxhVQ>cGZZ>umOQM>XusKKelX%slQ? zasCMwBkt8&F;=L3^>=}Hj<+!AQ^>u>iZd2wd4C#wd~gZO`hXIgKm*hypNhT(u5dT| zvvHvfw!6_N*$e=%=2PqPLD^AYV3s|2^52tvv{TYbFMEx4Dcj5DU-N92g-Rdw=W&#l zGf?ALlZ5DeegPWxIyW7ovc3KJQ&BZ!sop`BL=90KIryMkMLCO#xNqQ(1C51G<-iaW z51|G|CveMb2&ci4ZbV26?cbFRZfihKrmu2*YzEhY4{-ht-Y$b{=h)E;ECsJDGg#(C z#lhYj(FtjBO%qo3dVY=J2gDiMI>#sFc_mG7PhoSd+8#Fd>en>#X<7sEmdrsr`J%}u z2h@O}I8otpn3=_GfN27&1XbZ~1^8eXZP`T+l+g`1gY)@1`A{qc>Wd6zIBDEtJN*+9g)Z?gI3tEc5Ru#XWYXN6A*a0FEJQcuu z>|(&$Igv$B6vaTIdUnJ02M`CCU}G;$4;F@V6~12upNSjy-h+%?o|`z{0(qUxs3e7u z$6IGMO8Ygmz&Oqau^HGWZegdaNQh}}k))q=C=Z`}}*6ikKLfGqaK zfgnYR7_1P$gLxoBSxttCM$bm`(CY_Qv;GY2RQjHl8%zRVpx{|dnb6d-65_dD%LX%F z{oQd2;B_+1tc%o4UqKHG9_BaNf-yvoC<~~p@F-6RK4y^z)E4s6bwC*#kzXn~D`&sV zX@%c--e1nyUQGy-)^p<4v#~o^DF+9RQTchq&#{=LiKqXl^_0LlxQqR9gPons%HR2_ z5>q56IFuPr1=h)FG)m?=8STTdFXVb6=NT+$>U5}jG?!8IrPZt84?Os8e5J|irQCpm z!M_k8&_Y2r-daW*Hz$E#wRbkEbmL>+8zL^XeCB?zNtWqVpU&D7t@6F;>@r4Zej~<^ zoSZt~`??(0x%d?b&t#kZ#cHuZTH<4Pz_S4<4v%fpH zeqFF~Km?KW4gi^~E78a6Hc0OJ3EYKr&@`!KGK1*u%s6VXi3_P=W+H4|Yr>3dL(F&CNKEnbic;{|L~06a!Oz{4 z_C;yn2JF|w242Y0fJit!mFnHq4CB~Kb8lqC-;I*YTauw->Nfsq&h!Mss zwxM5->q3kPZAa$NZh^SEmX-jX!qfw9iNhwAiCM2>Ay2cISu`oR9ZN2b*Y}t#W&(F6 z4#9uAJA^MapDK@Gs<|-JiV)_@5lZY?=C`=dsRZd!>9rnyRd_+iP?uVIqr1!sPH+OK z3%N5SCuCnlqCtqj#PxbMCY2j^HJ(t=G+1#xCZ55T%%FYKGp}g1z_@dY4u^nQ?1^!z z#yXJuOpATWA+)n>waIQCJ^jF{3|-WZg;TA^%VaC*nN1I-9A#u3B)uT8fS?Cs3~f!_ zSNd)CwTBFVC6;DitiS?cYk~5Y%Zugfr!H`N9>=Z8CYn9KH4cm`?o&^yL!V;H7ibHG zsj-Qv7xKTwR!5*p*u)59Cd}i^u1_J@Oe!>?|F3KpX2qPm(spar0+c+X&PiSt;1 z8H&LI>^ca)qBbZ6Y0Jj~M=PgbHZipYsAN_?&T6W`d+RRBXV{@8$|xhJ_)Y{(>I$$R zphd6({r7}#P^nLFs+d|XenCGq5kp@99&6$r+zY(NvL;h98!6kdq@6}Rj)GOx9l()< zvDGhG!%1l2^z6TPaB_ythi5QQRj%3~yfREl>Y&TRJWX`82qz=!Atg%Xu)w|R+9 zlE6X+{dI*N<4R}_EK$QLQ;>KrUaM@8q`>)1ZwO9-5@ z+u^8xC8bJ4a}>takETKD*#GMSD99*_InxRH?M|iKd1qSM&-UjmwF2k@(Oa8LCLc$b zgNo3E%=|o!R}Y5`$BlT58QU7;Vn3GjizhivAZg;B-B5uN9>JZ+b6$0kUxPHgb73Pk z*+Yx$^bXzK`gD2e!1{D!`q<*W?)b_=_u#R`D%eZfz!Zm>7P6W8AZ3<;O*!p~ogGXq zFM{~~(o`p1Y99Z|61yQbQEcWWnrw(Acg0?lLm4ur3dWk{mQF%O{s4k>ks3f?9O##g z?W#PEdlF+_#Wv|ZnpUtinjYh57oy)1+vpA#a5b>!({8p2-584?-uZ2MH8r+6a1$QNEe~$vy#`>3Ine7ryWm3H0V;^b< zW`@JfD#C(LZUr(#ni<9zQ}`s>*?0Q0ugC4~^Q&@3`ur*e_3UZEKg)uDl5T3*%6&Yj ze(ZtvpQjB>ZCsFXyZ}AGnm6k>F70Bm+eG!#{xIW*u(q##!>dl@@`cE%INWUDs1PWl zN&A-d-Nv?U{r*(jLxJL~&*O)rBr*1dT})~r(+rk5Xv2E?qc`JiG`OQa+~U2{Xy#At zeHa&{g^yP_D;5Wj_4$=we5(mD?N3&MySso@Sm;1H2U!+wHkj<%xQx}QHS`#!gXAMZ z2byJTYS0k5hj_#w#uMUNdKOMM>~>xscZ1yoeu$rwno`ao7QQD?tN(Vw_h(o}bYQ&C ze(`2-L%Dz3doFN*GwbsLjaFFD1OUVOwgF2O?UPqsO!ttF*Zwa16M{>HKn&`aeKKv} z8PR76lN@QVsqxLYEMWZ<&NO{Xn}b_P!EM+Ot%r^}px`F#@7-5o@{R0jc*lU*qz(FH zhg1##_v92ArxH{>Ha2IcE>h(LXo|#&D3vjJa^WJjTf&qD#X#2Lvw=jk*z~eZwa<+w z6XK-G+%yg=D&Z`WMfy;mF{@#-!F+|?& zQav1kL^H%^6_+3caxLs%&biGYV1_)bW&um)T?{33fN-V1j3x<|u~;^TE-)K4ymtVv z_R_ELq@J}|{psE%R2v6%>dVYN_K?PGjcQaHi8%((1cW%EwUuE54Xee_?e#;(0DuaH zTZ*0pos`YS06Aknwk%K;`Mo$h&b09QJTmqe#HEHb=;rrPGy@!^@c{x(f=ZH!9O!02 zQ}GWADFeBpA--wVvq;SsLaZ}81~b&*3TB59@Bv27ZPhR}wYcO$`c8kIQP3RPVNj51 zf9X22Jrp5)x?cA~a}#P+O+T?9iy`8wIwK?i2lU0#g2oK&Q-FN%0?Vv8kWMSgh=hPa z6|{Da;hH)K)p=_E+s6g#7z&YRURBR+Ht?8*>+94S^QMWpn1(SN3OQiu}vmau-}iAPgI!$Dy$v zVd4!+3Du`NOyONX^MmT}6we^qTA&Rbo1BBWb>``pg zu~gpQ&9a#!tt8PLIz@(7ByHk^cDca(rKFVrLmQP7`>?`3n2F{(@-x`#=CNph%m z5b?%F&jJV{cEe3@$OpAyl9j+6gUWC4i=*0jW4K=29k9vKw=s7mOL4B1u+Rq)gnF4U zzZ`Kk9o`+Gi%f=iKtV*EmTKzOJ<#DolZ8yNAnzGB>lb=2yF* zJ8_1Af=rd$&BcC-5&?sb{Jk{yI|^JHDI^7jj5p0a(U@T=4nWSgywy~$~9~k z{-{x$sj!SLsJvnZBsICP?oM;mlnEK2+P0`7!O^CHo7_6)Geug0iKK(04U^KraJe75 z=+l>2YgjuT25cWr{U{Q3C7fZ@QG!%1A`(S`G6{)Fv!)UX1}Ff>(axUmSmNMmSPUdj zuDn(>6Iyd-_JII!sjY=|q?OI#EmG77B^{iF+NiE3R+TVktuzKq5^lvca0$X3i(Q#Y zUehTU)RS9 z*!gvD6<6eO7HlYPLmF6NxeOpSx!cJMg*m3Ad zvBgOsX!emRMka(r0xxaYs!A<`NEYacYQg|6o<=j^yJT`QPt%UaQB1@xtEI1g1tCnq zX(I}Q4x&1XYFPV{ZvNtt1g8qpQeD&;^a57oQ@m1$6p@sR*uL0_d3OD?p0Pa0MI2(5 z4fvphMA z_hY32LWB85h?Z|xh**$a(#}Ce!irmbRs|!dl~iu>P^<7={3bpEV{?AV0KCM|*e9qg zT!cPCkITpw92EMQuvsZ8p~cT6#xpMr2&d8-FU3PgV*o2G@~0^3kEnbo^8AAr7)rfl;5(B_Rx(VlivY3q0kO}Uu za3DUsF~Tjww~{#u{vnG(KnTlm4eA2)adplV4861Hrb8gEEabeAJPtdRDn3J{N@G3k z*Z+Q2yj{z(?nX=!WPU5A3mS15V7bP*c@zcw9W? zsmh9}pJl+XmHuvEc6^I1B*Kdl<~+oC)cd|{fO^#5@c?Gl#;eH&lU4Y`6_YHnavsp& z46cpIH&nnWSZyQtA;&SKZ?W2n{ei}UE7<1Z>Yv1jssU#V3Y4M;o`HpiXuOtS%Pho7 zW}lAZfxWtoIq4T{kse+vRRYSjqQs1TbrP4Co3%H|Gcn7lZpM9IDd#pdH&7q&l z2W;h=&i>SyG3vQn69UxGc=~yh7n2m5&}<-4;8J;7z_oI_0108EV9}`0tOLr6u!QjmVpO=Z6`e&6Cte`({qJ{kF-@BB^^c0Lo<_Fm~ zQGOkiY?2z{Izv)@_7DzcGnt@F40(Ip%38*1hp+HX;Rdst4AttC64p``To(hLPF0Od z0Y*DJ``}JOaxj9RA7F4`p;T@yGf->r_9u`OTBt>05_1|d5aq1KWRZ?1M;tO-F$N)= z=-Jel?!sGUvZw&!F2;b&7~CZf$jkVka!oPdGr=OcOJ3skK({}DLD@KVS41~nix2sO#O1ssJ^o}+6w>{xo>%xf8J<`~7i?5l#Gqk`pj?QsP!m&K z#gG@=2*=D#bl73&%uUapP`RZFpOy5Xib{sT2ItzoT)ZYemw*c zyx*@qqU_6})Qva|Ge0O=Q#w1B33d>`!iKPb4?M~pYJ>vmomG_y0hQniip8{k%5tQs zvYbiCm)xNwhq9GB_(MaU2Y);I|IJ0@CC+twd447@kqFC<{DP=$=ds=N@Wqgl?gjg2 z;T$}JutAa_SG+m|S8+!Z`=3Q9|A1T1bNV6HqY?Sw@}0(~NhH+RCx79>;>Fkaq~E>p za`e~pug&^*xzLi;Ve~@7#vm#>I(n;o4JI7Ppc!V^ZIl8l3xo~Kj(PhqXb}z7kN0sV zEpibthiqj^oi0UHOcvw#%o-y!5vhjgQ6bw`GWo%7CRLjqD%r0-2fi0rTma=AsA?h; z*x-@UVExiNr6lsaFMYJhq6P6n|HTQjjmn4N zuOUe)8B64ulA>B0^&oljBNs5)Hp}BPzN^_Tr*{`$0f}%g($v5GLRK&76TI* zfO9A;uLuvmBgNGZQFF8#^MiUxGz1kIT_<=In99?&k_iKca^#|&A7X8&n$JN5?Ww~X zk<6!uhOD?kK2%ZS&~(K``=OVaF}`BsR33qN8JCtZn}Kqe08C!%r`A^4Woi z&`Eq+cFS$NpWzoL>be-90R_}zc`}ild6NlAMa>|;k3wGnu*fo@5PN*bU?BvmG4Xde z<&MXRR5t8Yib5(}O2~6tq%|;#>F&mz6TIUWJwhTADpH72Phyp~O<~lDLxzZ0s^nH|fizPQnfh_0$beMW6py;5XEe z)m{0{x@f7qp=WR}P?E0-<75_E^zg0)L!knmNAZtoL@r8{vc=At6u026M-lX&8s0iqwIAqT!(KXc!fgIfr79z1A=u%9A?erP} zAcpuy^-z6GOx)P>Ch1;zJ5mYV9|sgeedt~m{^(i|29+p)K2mGXv*0C4=S58e`_XONTYPea1v2_Qy$ty zw4n=8gUF!EqvdHWO5u4&=S8Ba?4CvlD`iSaiX~SnfC|l{T!?NpYShmhc#bT(-55I- z2N2W@`k|)0sDw|&#Wu4=OfQ3c>M9JatvdRgs2#Vw;wyE~X^AyKqM7`#N}I2GR{&`X z8W<^-EIKJ9zA_OApq^wR8KB+^q{yUTHG=!3eZf<3EYXUbq7IGW;Rbj5hyS!6_v8FT zg&xm;)FEo4@~A}}6{T9n%Ty#64=6n^p(5Pi3$4$t*xj+^aLbkWUSK76JnSODLX?!* zZ>Y2Rdw-->QY_zV=|zYSi72)jQ`)3M$EG8QyZldO7=tM45~slNxNxLNn#$EeJS8?u zm;3E0SipsMH7~&yXPXA>oyIEbB$0tlP7OsaF=Dd%5V?UPMLB7KiQt5T2V$2q0PqlM zS(=UkA7Ltj0y|JF8jY?WhnAL7qZR*57N(0pDFDUquGZ_Ut3f%g)(@7_UruUlJ>@gL+rB*Jdr z;3Uj)v!xFnX#kVUi@FS20mMO=a3fhnLRq}g2K!%fb-RP*p6SAR8){4+tWVbu*5kpR zSJf|QE;ma1?3p{B>}T#*M$kZ$M6yDo#>PZ^j?e|c4gFXk#H_b0Jp>{D3DkA{(QiynXud=h9by6*dlg@+?LYtpuwJA7@IngA|F?Fhif5l`lCoc@nRkDqbXPCvd&q zmI$hCYdL`H#)p~o9^BT8znl-20rcPTU_KEXX9=M%^lsKKYZ(V!!*Rs7jOW5sm3M+5 z0Kpkpxi~7wjFp9tS+74|6C7$Rh{Uz}6$IS#enW*M)~u$o@r95BK-ve7xjZnd8W=WkP=%n*q{e4!{%1k5{!ew!Z-~S0Riyn z5OXtQj8RJe=r{eC+sB}5Fuqd~+Eacivo#VG->fW@S|AbN z77J&3zaDfs+-Z$X6({`vhv8esHddPyG*C2jxobfSxdG6SvCj`=qGW+nd(~ibkphhl zmXw5>7;q5TR`I^0ehMNzl zzyInq#w-1|Klq+kn28<`BIBhToPib5xTSodw9s=50*&Mucz|*#Y%w&UB!{p8bdcyJ zPedSY4ur?4Mu3wH)PUWk14C`K%qsQ&`}23*v?Ji?zk?G`b=iOebdBp?ZD#|hl zIIBsj)>V*~Q?MpHHpeE3;B&YA{D+CnI_DD%QpYQko%P*^Igq2GU4>~f7Kea2oP?J> z*>E!eQHnUr3FQ%pz(7d$JYWn#ev!G*YH~N-lQ~3ow`OW>z=_hFAG3vhaGsCeHM(zO zaS>hdo$+`sWP!M@1^^NWfS@*17`5BNZ`5Azwty9h!y9oy3xGF#wZS)9zS=y}avH%N z0w3yi_6@oYSPh>F9t1ZgC7!XG#=2*wP9MJOpPU|WxAJJR2z5q^kR0^kzql)Y>&9O^ zmdJO~v%NisFvQ5BK3?AyfEe8MhZj}=cU_w{;|OkYF=2a@kP9h)k(PvoVBMSeJTcmR5;y%o!dD5Gb3&gI~4tP+!lT@{|o zcVN0N;WvNtzYw0sy^N7ZmlBk{?k!?|h*Ric=MY5U3OW^c%3JXa{fHbKZDCGdcKtBO zu!zGb1*eg$XF*?Z-fRowlOQFSupg81Fbkf5aPFVn{JyWwc?#&jyWu;PSKK_h^fT~s zVf4g*kC=*AJ^&BN*TFi{fPyDq!v?U!6%tza;;U37K+8IUH?&KXk}RJ4Kv0-Qt88BVi=-(DMlUK} z8_#6i`{JmcTQ@}j|LGIdOD_Mu`0||DG^fA&jCwj5Y?YYCD1wV%;s#PQvSnt ze1AtIeZ$)NLilc`KOXc#zd%o2G1FthK)WFwVv0myr93Q_58^+3YAS?*bfd?FQa{?f z1VvuO6lsRbXD81BMhu%W;6Q(5RJD*RMSxMDR z|3ktTx4c1V_fIu#$|)}8i5uA=6@NPfBI1(RQBY4&S&58(KZ-1ZP*xw=zb8|Fc#r(@ zw@hyKZvCG-=zUnM?3nQZq`M|5_$n-8)syn86uyEy<%zGBGCzBXUeG6oTs-vOqi}pf zMeq(=<>;T&SAQo#l#{lNz+pYWXWpj|?>M4UOxfVURuP6&ILlBz*KGy#Oh+6qvxZ49 zrR&Yj4a`b=&uhX;*JL{LxJ(z+L^zkKl&SaeU%yoa^>4j7FSDVqLSeE6MztK)&QF62 z3&AAbJ(r#Sy;&9Do(!i;b05jIeO8o=|LQ)nARul{i z+D2w04(#n8e`T4$lFbw7mBUseQ4>-;{wp!b0skLj`Ugc0`YhH+NY42mXJ3FRqepIj z@(HI>`Yjq17h>^KPl`Ca^?9fYK8>>}aHklfKmWoNNm%T(EB_@PPJXjL?QF?g3_!>1 zbTPscP!9IQ-e#4mxY)4hE^xVQSYqjK`%`mLPc)D07JtJl9xVN(-%g=l5e>aHJ8NVH zj>2POszO)Qxj&JUu{u=o;%rVlmQ>Jl(yAV%S8=2beFD$@jCppBd#u4=_g{R-Z(oSR zEl0%oK$K=!De)C`ZSiDSDGjv+-9{9UnQcPYMHwped5JJZ^6ck7_fHn+*Rw#)gb-pV zy>-z{g%#?b3`ZR9&kc2s!o>W9E+0Y)J6Lcub<=GZ`KM<;?AhCwd-tjTeNlA+4r1fB zY$rZ+Y%{JTT%l`XiqT)V5J@V&zxN%AN9uPl7(x9j6buvcPB1wEdCN2;$*_wfxW}vG z;Ih-Nx|06JyPTYC?$rQdlPD3p%0+j@lOm_$(-mdVIHHRzlr@(h%QgRXUmQGq`{yns z1nP|b`_(6e@KMncd~hGj6p1M>z4oF{bzn3nu-6zVnAfvZ1>XnQ(X$e4zOAY#FSsB&%fA3AN)13O*0KO|CJJchCAmeXTWBo2J_`#bUBuY|V0W4}V z%;xq(;tgN7B7zcAn(U%iJRoLapZK2?g91p(>DwoYt;>&5!w1Z&2e(a!8(kmV)IM9i{z?9KN{}#(f@{(&?Z5f~Zqiq#Y zIkv7MO`}3=zg&r5_k89OID{J&2cV5R+S9W_k%OK%MO6W|F5Y?FHt;pzv3^OzD}uB0 z>(x8_{Z579Ip&{fUdY^<~;t&mx}ZzvA|VpBRNj zYQA)Cs}`H9cZ>p$avS|V`wg_ke~K$eqxivRpIJ9LgMtxNvGrG6Q=31;w!-IMYBV1{ zJMRt2>XMn~`;?4QM`EfdVr1+3yT97H{YG!LEbd|9l{0?7k~VTKW{`=oU*x|t zN@4EH1NETd%4l_ z#N)a4vH%=4}f^e^7xH3xq$nr;8{<@XiA3_3UIv%h?bY6i+K zjwF+<)TcK8>gUgfJnxPz24B4SN0y_CFJh?Jw2BK2pQG!MFN{hA70)S9px=LJ*leBTHiVlm{WxKb|#;dB5!m zY~Fmzrw)bYm|i$PA@bYuU_LKXyJdaRsQ^v*XGS-|H&12*B56${uz$PT+{KGFX9T^g?_rq za=v29w)oEjwa_+mhbcGzk7)`0W1`KD{%yp5j*fXBKaHn&=Uh`?{jHHrG=I*Ef6$K) zpLDdl=KLt5`UZ@Oy!ZAEzxwTKwlpxx9~p%!F4QRI@^keLKS~wO#1bo17QPLxiLd|N zOGlxZXyevX!S^SA7(MZq%g!bX{~7N~=zA(*1Uu_XA$|7Ar+)eZ{cu~6+Kh)9ApBJ= zWen59AKOFu*y@MHOB|0Wi3(AaYV#|1774uA=T>qr_VPuZZNB>c7kT;*e0104OeMa= z<+h0U5&_PC{HYkf&32Hfg#wzoo##RR+KC%E4U_4%T+>(ZX&n`8jIA5$L5Abo0uqO* zU@K!M2mPjjf<6@ZDh*iw+)a-SGgyU(kX#AVWoI3rrJP|jzf za+nvZA?HQvU2!QoIpp^&ZLP+)J4^Y_sUrz(RE}!JK-{;?MKD)8? zhz|M9U7N4}58qq@+hf197&@dni4PQi)>(D*fMik(wXf9C@*3JPS} z@#^mOl+_G5ZR*nD{Q2-DZU?+5TGpNUkq>Q;^Ud9he6ffXU=%)hj~;JEPn{N_teO%J%|uFF75+OOLnOW_xq3daYccaW)9HONSp=8EdGl>; z8}z36J}(&-Z^-K^rqF- zF1yr3`?Fpf;-cx_P}=t=KYp>oYHr~r6!~FBU+)X8HqhTwk4<>e%-I~W?DSMBCZ=>d ztZ>m~`HdF`ItWr0Zx7tbMwytB17z*!;i?n0=Xvv~r@#I(s!;-8@0)F0zFv@T{QXP! zt8YAwQOJM%f1|^0RTc6F2$McJs)~2g1%tY5R{C(oN0G zMdSN^QvBNXNjc7`&bC+JHxK>WU~5d!o7K_loyA(L(a-b0hjkqaYw1r#^>6vn|MmPo H+Vy_{H^?d5 literal 0 HcmV?d00001 diff --git a/Arcade_MiST/Midway MCR 1/SolarFox_MiST/Snapshot/SolarFox.rbf b/Arcade_MiST/Midway MCR 1/SolarFox_MiST/Snapshot/SolarFox.rbf deleted file mode 100644 index ae46c0447578981e19caecc9ef468f79774a5404..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 323806 zcmeFa51bswb>G?DJCeo>CGIpQo7RW{yK4tfV+c~f5RvzgoHac=uomRX#6nEM*iHz5 z%!U3dQuaCe$mghgrdQk*7AAnmGCsBv&MqLE{ufcS;^@wfxge>SNpu!vNwz+T6OoJ@ z+ZW4TLQ+iYPk7&7^)#>m0TLuvHl6SR+kdL7t6sf&_3FJ>uX>(&=DFc}|J1^lzV@ZB zef4WkJ^g22|N1wc{_6ku#y7tD%rjqo`p=&J`ZvD*^{1cyA7B0IS3msf=Pu}f`lk+% z0_)GZeg$3}1u7$0|8|-7QqC%`3ame`ujBmdvaT|{1N4A0U1#0DP*6GRp)CJm=d!-? zx!zTsWxCEU6bI#BkAtqu@-KES>noq@9hFn2zu0qG-ld+)ddmF5Lr{*vGX43^7t62o z63#C}=}J5Q+y`C_=yc z98kKRe+M8wz8HKvpl3So9zltZ&I#ZGV}Q=+j3-@?7Gf@`T5F{u2%KT<3AX2h?7H(n=^&ilgw*Re4ssb*;0~1-e#R2}&#RDC^TR zm0z~EtZV&QeImRB<@k=Q)UZ8ZP34e{ba%>3?-K%b$1-iav1Vu`b z_MZXaq(|qWu{Qw3k7fNjKLxxUP`&HZg|k5E0@Wcpi$?_NBi##+a;)fDY3re+Px(x3 zEAiR~tjFnm+=Neo#aa5j3-}Bm98LnFjp|c9WxZux<-N{ID?#_F`<;OBQNN2H*SG)t z>#IgkrmGF#4QvAR=!1anRflAqVEuKGGCTV}fIkMxXR80;I%olA9SFyDx8_%Xp8?u{ z(v@FnqK82A(D~N@(N45dn#PIJ1!}+WRk}cFqDMJ~)!*XBa@^{yy4IgR00R3SznoU7*L&My82CJmU!r_`{#oahw@yGmGWHHcd>I>U-?|P ztIcJ)=ph`GR>Je)q~~S*<@xnMITm!*JY3ePvv^8Srrimw=RxtE?*9nT`S$@`>#X}P z17(`h|0^K=Qh8qol;?+l+eV=B#j|C7It$klN8M}QUyqZX>AnQX{ZghVP5h`d)ur@* z1qiPX14be{+Vv}^3a(xd(+pfu@d zB`(URbn)DJoHQ;~zxqgL^{dY66V)MJ66m@F-M?=Hs#Eup8A|$hfavr!;HQ9J0LnDs zAo?g>JSPx78V}zJtk=(kqwtV?)47xx>ZA2|C|&iJct~Cy0wgn(_6LCO1^*rp4w7Z+ zQ>96k2?yz@qQO4}bQVqmJ=48#5R`h_dR&$ET0pj^>Rf-8UZ%8v0$c~^S(#SOnM#-Z zkZz%~=J;KJ_@tcgHBNOcoRt2vfOMgf7UCt1g^vQ_Gxd8pHgpzfoD^4QkK!fCpz@i@ z5e_A&|5RTIqDOhHv-<6gK#5nGCL2wlw(I=Q0P&jmOKFl9N*Cx_X(cF4{UQ)PYNPaL z$&eD%CxY_+3P3)QBK68E)t|QlN)xEhbuXDEJzAjae*hGB#j%tnI;)>l|9Vh8sxv#KQ{zMF0@bUu^`Nv8lwM$P_NXyi$`75(`p!SguOd0VK3#PSE|jM9vR+-k5LjQ{ zE7z}3;1vqILV-)AfMlHH>N)vSsbHNmKE`vNJ^Q9}M(7sL);|0r&(8E>Q{MAeU-e>N zeb#$xe|PR#X-@CiNtcwecKx?qQoc*d^i6N&C1G)aOdF3_fL$ie+S)l8Z0l&bf-+iP2*e4kXL)VeXr%|-5>io3JO6C3)>OH-jR=ZFjobh6aS?Bo2zjo%$mwfRYoRJ74(0Ufk zfXIa*S-a}kg`bqEm@o<;6LoMT@&SET&lUFVhJjQCO`@GLy5v@%sQ7obzm5XQ!iS=$z-zeRJ>EFWAt9=YH!VPyf2P$b%P=d~SE1DWHGm>V;g~ z^wl#V5zl&!DJ%5soLxLROHW1{?*{w9~3F+1zx#WUaEf651lVNE|&TE z)6Wx;=guRvl(wGbtTSVz5Cj*Rt#0a9pTE*e%pu%fT=zY@f{y#9e}4J1lV4=Yc~pCm zrHo#?2;;t}LSN2^g&W2h^-uomix1BiN;gRM^2N-WtuM4i7tCM#;`>MsT~z9*i=EQ! z9_c#Hbal4q6`zq`GxgZ%mbsW?pZ~~ZFTIdb5xe#s8z(Ct`!L*n z7OWa{_V!Y)#LpvG+xh3?qA2UTRN*J-2NPR+J*d zHi#?m^1Y&VztE2PCUF18h{mUc&94H_7VjaXsf| z{R3V9$**5ab4eInB-5Wamt6#nXD7d$5qtTH?2S^$9A{&E zI7PqGmm6cKsJOz1^Os8TcASltavRmp|7)4?uPENd+K*G;b@?j}?~QYKcb@v)?|b$P zc4;Z+t+i`8=E37vY?PzI8UNJ3*jSU_IVY&^Y#Woam(OvvK89lLu9fG=`2rsm0`>wE z^97Qgx9B^+{JQ7ObEz3#&U(zHw&D5nZS?&1{54);4iI}W9B{$X>uXQ`+Y3H;QHd{S zlD((~UFfBa=KhV+dz_advY*{h`ts$A*}_H*0NgnLS{Cy~ebpPKl#jb>@4offRDQ83 z8fhCgq5k;2udJcHu#OnXfWLIgw_5p4Li!cuyWI2e!dU4-xi@->*$_z)Yq!w&Q=i$8 z!irYEczvOH4%rO;c*pthTeW<-F|g4+urXLs%0?m41&o{+Bh6Z49|6Wuqy!ank=A4bTgtg$;`3zNiMj_Vd5DVbt7kp4li9 z>lLT>OVw+?snI54z;A-y-FfQWyIu)5`O^&>-kqmDaCE~8ldRY4SoFS zzwnj&@Pd&YYybHNH>imebIF#Z$`QPVi@BR zPz14!v!GttU3m!cz}W#b zN-57}23uF?;%&FD7RlUkZ}cfId&#y6O4Oy{B3`?}gs!h5gQD;PmEzrXxceb*oq<4Q z#JpuuF4|Zm6~#I~d8;1LlI)D`AG%<5rp0G2K#nY7#&2Mxd{97;lHeQPi35vgIQl{f z6&UB9FT5(XWfob%o7Z_^U3s>QXC25^WQs>M6gg6Ft@huZmHDCxzKR(Emw`nd#8l`A z)*k&NS7j&K#PuBou4h2$DzPLzx3-a-Ni86R(ra(|56V>(Ba-@~=B8yXOEkIIM%^b; z7PsnnePf0mw>X7#!<6cYg?8xOds`)h_B^FGZFy8>;T)1uU>I4#(`eglKOm!C;IGJ9 z5QmagJY}CgUVL_rZ@W>TvOgPCKndquXMDHL2T{I4$ImOJ+|dFx#JTrt7y4kwIoMK{ zcu!140YaNYpjZLNj~kvp^y7d6<6*=vl2B|gRnQi+oweWpMu7-qiCjM9e5NnLb-2=M zUOA^yuycoz?H(1$AE=M1EHDrGK3;sU{~D3mQq9*<4=)6^Ts^cG>PTqIy|4^j5F>@b zVM5W`iH%wNPd`jTI4Vv!JPV2z+GLe{wpq4RA0&&)Ab}8eoHyQLGuuO|+RuZcHrozc zv#lS>i&b17QW|}kJnd6p90#0Z$~AVVtiAsq7gP{etV3*F4P|Ozu_|yArf>kFfg)}7 z;tSox;;}?yRIOG|b$!@Wt z+K@MF?~>q)TZU1~4JZ3%C7MF|`6-oCZ`^X##Mp%WzJZSBH}^nh)k>*n{*US@PO4q} za`q|t&-))!CmZ2X$>W+8K1hQF0sFpNwvys~j+bgU$IOYw;85(D8`R~yneS#7`ql>vJzeh=W3BEI|DFd`Ao~r#^ z`MULezD(HwDaY_BNm{jBb9RlTE7vX`SKp1D;Uw*!TYNybEI)M}Nl5=VsttO| zAEbbv)t9=p9cD>IXF=xFOU;f+0v%1NEze`a!xDg9TOQ!L`^=ui~8{%G4rEf!K3lndMZvR70HdPTZY<9|Q{%3h%b z58S5-2K?mav8Hko-l_VAa`KMoHr+tY`3#s1FYa@eH*F0k~X5bcCbR?V9Ee4f_F=wt# z5K6JJXd7;C{o~gZ4I<3~W$_PWZ2R;!#Q-5><5k9zCVt+JO&mg66&Ltz;cfwaNoP(d zs*k;A%;H%zROwQ1&?Yk1Ii_2bi%=pRksGJ35W>9E3`}{c*Kz~dQij5Uwq%cxvNb~% zDwHC_!_yD`Ce4?YY&Yf#XCV)sD9frPgb@5uJJTw1$Jz@iIHXaOc|OHtV^9joCxL{* z(AR0;@p{>;e?Lbn*V#K1raFWZpel7L^dr$nEutiPBz5DM7SpR9ht#t+s3V-pvhV#~ zJN8H!LCP~!xAOMPh2^y(RaNMg(#vdI6xfjPAB$h8=-5VcKSHp~dZsG$Pi3*vvv_z$ z2ZTJNTly84-ZVcZv__~EMhR4-XvorXt~!b~*+>)9f}mY_ckDczQ;DG&0pm zhN2e7tbNz_I`2dMRWb+7wRXN$wM7zoGDSd}P}$IIm9CuVn2~3wmM#p^l}PVH5tz!? zu+a!$7(k%<+W+^X#Y<<8wsczz=um!|sdQLSzfI!}qp1eWW+F6Ll%pvSmU@(q*(-3T znQDxaY;p|>edyX8))PYts-Ctmo`cZF3IP_i#Y*#_zi6aya$AW9J3dP^l~ET-rJ z_!ERI-Aj?640|D2sAvTWuMIPE#>l)TgMH|(n@k31rA#|Ipzl=@inE+x1exu)yT+?p1 zvJ<{B0mfdm;+v^PKkH8pma)VlIs)d!NF4f&6~7(F4J<^O2;b@$nuGqWH@#ZqrXkiO zlrhY3mdw*Jj5NwM>NLdlGh84>?{Qv{bvc-fPA~}5Ni4E#EN=V$6joW5fk#Xp1bCWJ zk%3K6#bPip{O}XxmpJG2j;F3@RIP|$Y+vxd2yov2m`T(A8MHgzvQ{9LpeEBZ&vcEB zXUgXWMz#0F@%5*FWf@%B~3R|wWEvj5ICyVRGU^Pc;mr+3`vNW<<^ki*$hZAh_ zu_j;TGCY-E+R^Wj#11kKd8%oRygU^~h7#_Q19AK-RxSnP~ zTN#q%yb^1{X_+xnLfE}!PSm&@k`YC?ubHlO`n?l$G9ZIZW3G6U*31Qpj;$dn3BmPSKH-MeD6s~dE#9^i zgCslCU==B|wrHmU@Q|UvpQM9mID`>XuK{CN+Y+11>nxkk z-^a`kz}N+0sg3_MS9pl@1^u}QNh%D#)=HZ?2G~g6a0f_)D{7Fm23x!}$@;GC0~*Jp zsZq6d0#f}j!&~N&Z6VaAe(1})3~+0Mi_<`fliFK!1}BiDS3zGVZ1e`@NNcoRXnU3> zsYh#R(Y4GjqAh*v{FCob$%vSeeM;k0x-3LB8=x;o9Sj~qN9K4u6wSC%4VZ(751ti0 ziU{LE=+iXnRC;Ln>Yr^Tku*_JYkGmqM+j#v&QR^NY*lZZP*-lPP&~GyIEEQRY!iCC z2Adu^NZ!@lx;W%ek6UnVCzCURR>)v9tGSTSOmm&(+Z0rJIgLh^uWcxIqx8@{(iO>P ztD!^y>;VQQ?qpC6s}P6B_5N&(D1|E6iRzfna-=u5zSmeZsf^kED_;pEs%YZ*_9nR4 zPLx{I!P=C)D_y0uM0sU}a_uvmV@D3se#Y}y9 zY(=+>aLz$dc?kbP50GF2isL976T6i(+}d-YDxXs_TVxYVciZ@EH6r>S^;&dr}k_<=%G@b`{zQz_>X5mZ$XHcb{ z5z?xHha~V{3e;Ip8pWQe=JBtNF?vV^B26O#n}QmB7KCWH5}`SdG)Ht{iVi(qK%orB zL>1|M@@w0*G+V=4et;-9m092|lG`TR9Oq5nGe&lv*rtxOJTP<|M1GN|Q%C}C*{G0-;FG!t6zY>9X~MBKSv)Gk(#^an z2K|&J5n34X<7a(Qk0+S-eQndQdUSKAs-Y56GPQu+H$D^VZmK4fmfmBtE7p1sQ5%ETs zIH&kWZK^OrQt(f>i&^>KaldP^c*7n=Wc?$Tum^=gws0cZn-Wg+mqpoQwQv|gt+s(- zr&P6G$KTQyom9LX5o_;m7sM5}t57oXpDX^S_JUhoDcM$<>6~WEBERYqXh87-K96B! zTNcs^=Wl+*J}fG*B(cmksz%MQ0Gkv|mah(~Q-E(pp@we+syr6;P-`@lR5aSnGrvKo zuqY9#X;!WZ7w!rGJvt7o$dg7~)7ReUj!`tK9g0z@EyKEvtZhjF@vZr}VYOnFNX1Y= ziaC@*8(cpyN@LJg*%KBczjFA=u@l=2? zoHT?37z|k*J?E;^um4r(rY41vdWCKW4|P2Md*O_B`1;cy`uBw)VSn9Xd57bd=I8ynJA0q(7uge#1k0ut zb;#+;H>MuO~z(1lnq`+EK zIsz&KLU+{4vc?$uXytk}Ww>LPhmo1~GZ*7S4PhdOlNr86`{7WGXBrtYjFBPMJow~Q zucfG>n!-e+BFp8rUM11C5h4%|$_=jvSEr4=MC-(>3}kEBY9#Q4g=}zb!T)K01ry5- zX<7_R-1}*|aeZZB!Qr;fqR}BW(o4F5Dh<}AZ3kLwamaWSkvM@Avom^Uk=5d;z5|uD zcm24%)g5(NEkf74BdQkd(S=2lwpfd?F$t5Jl})bGG0u^LQi!x18lpBycgkcG(vq!B;~G7I z4|xB<-@mL7Gi0n!NwkzJpYWj_Tp%$rQVUZwzz|3HdPGk!oDjH^W4tJV!UC`a&FlgP zE{I|iTC9?fmS7KpV_}ZkCSLWbegEF!7**e_H;mAra&9nutLVbls0 zBF$OCQM#8@)DE{*-n}Uv#8Bg{MFFH)NLzI#qk3t+rf4Lg+8JqhyU!XPmKy zUCJeRk};0k6vr+09-54Oe-9_&IYsh&uB#wXJ09-=t0a$itGVN6&b2f>aJG;h=pjOo z?9${?5x^N>u@p))y;3MKDq(+;P}lZ)=?Zi!tQ_;^1;`7}I&QmLEqo3`qD)^Lds%cSk83;Zec zOJ<4HDFugNu8Oj)jHOl=6AHeP;*0@(^-n<}fUXFT#QgWeq?$2_U>1qispZ=d>Xw>= zzEzpkP_b($B#mt;dIh4~)-b7-B0SeV^FHuctW`-SyW7-T5Gt}qNE&yl%)5X_LM|52 zjYOv6kjO2S^Mm#xr1=kt!|UDRzaa0~J`R zks*Y2C`PE06^xa+Owp^(0(m9mpmU+o|7$3!0mn3?^53 z&-^R>{H@wW?~hj_Cm&}7IT;p-*gbbL*o_>+2u7pRgLF(Zg1@ME(rr*he=_TXf@CnyQ*{1DVr; zNX2NSP@zDp)IMp3wgHy@Vnamhc5Gv?oUhw*&uWC6Fh>h z`7jzrlUP`*u~{-*#Kp(zQVxtopB^gs5)p-9^}oFojam&+Tv%KGrj<;(m0_7OCd{PKy?*7Cr+mlMr?{q zoJ5bu6NQ7HBAo=QO_)x5{&>@Er(XT@@4TGa1h6Q_9I;QG#qfmS2&W|#VEY0-HDX; z_{Hd-`i-`~8Z-{}I}7e1f2r>VO9%ULdr0tsCXt|x@EK$$B8W*e3yZC+>P9|M7Uo3M z@B%IUN}>ixaF%${1Q^9fn@r%u{x17wI$HMk69w09%A2s?zxs}@H|f%K&LBAsXC}c+ z)tRU+PgMQIEb;Qer{Yr$0*5D;qs1NZmWA$p5j?n*)us^O*x;DLv_BlfAHaA(x>|2p zU>aufM3hol!)s$-6E6gVQVVu>fWyKZsk5#;8AWDL+gWe7>;~3DoT0 zMQrOcOT~!9HY)RxX5jCBKR<8BTRZBj&DLG@dcNYnHAz&;>kodVvu`DmwHh>|z0n)Z zwY9@j&8QPs8lSzdcG;av)oXMAo~#x;5KQk|xyI}|&64}%V+(HMiOk>H{nWy~1#AZl zbF=j%R#xL9)}}_Rb?$>1eXQMpfMRTOo;}P0bNgo%=XvAn6;T{GhpulV_hI>j%(TIH z^bnz}H+8*D{`6;h5BPVx@vaj=y0EXeJ3kgDyDw+4`t%?Fk+a#yhV4|F(Waoe*?(s+ zf;NdP=|1GmzOUy2te{TDR|C3D6j73&ts_J* z5017@taRoZ2!P>kFb$D3h#PsYvEogHkHaoNTV+A3uvt=RSzFlIRL5&DtISsiSL`(r zSTwJx<#fogV7}qaSJNk=+GWl>KCQVpf&oo2c+8tUuHx!JNa)s&AAO1 z=Jb=@Z~>m0+FO&|mGMdp2fgV@Tr_uQLeOa>Rd_+HH(#aso<_BC!np<8{NG}{sQ;mG z(fyLOj%5Y=HWqPfWs*x#2Dc9R#27oM(74G^PoPoj$_kIy9=iQ;W*3N=x>_ctk82p< zOH`E|UUG664jm>-e`~>Y?(S#x81}#uK;5g%I$^iUdboOjhu)=y*BoqR@B$08AswGn zAx|CC$yREJe1eRrWmZa($!Wdw_}jl_sRo5sk>BGp%h6I&9oDjIyGY$&>C}6jVBRx1 zKBg?}!)u-8h#83LDOQDqUXF4M=HasGEE8>^`XP$Hn$sI*$t53+_Z^ING?T>o3EIKz zR5R{5@gu1jxaPsFulhh0r7*40y;b}PX;Q`Lhu;t9Tq0JR^3_}yWa)tc?awobyshum zbU9na6|mw@Xx*D}Z@mIC;_y^}OW`y?QJ3FfsF^^ev%C#wtmb+J!CaxRwd=3hpL~&@ z0=+J{JNW_5Tiz9X=FJ~XZW|o7KOuTxaM=Ea=)~u4d72*)J&^s9e$9xJ{T0zuPyhJn zS44HbF1V-oSNzzHr$TDf*)XFduFbdJy8Ij1l4BY`gy0K$7NO#l;^N?TP zO^h>9iJc=mc^=2NzWX)J36D_|Wvin#6u;S#UJ+m>O!htOwm3e5ZW)9RA&w-BTP{oq zGgJ8#`1-8cV#8s@5uS#I9Y(b(wx=;;RL&D;QGjMq{)49r;9G*(jy(e zYNYEg)BJG;V1ig{>Lk4i6L6S{kAeHj2cTpk{0iqcK-7dB;rQH}|A0^JLYouE~ zaPJk{B6qUp(~v0JT-`hqc;xq;Z4AS4OuUvmKt*DX%0Wt+XQs85POMxZ2Mjdd!6e5h zQA(|uu{1(;&T2hKI?adbu*ERal3(gqKr^}ZMoP~8UsNj`X$shMnEO~+m{;y1_KMVQ z^g$g_K0!XFtW&_)I$g-3m9H%Sf&;_T3*R%9X8}*v?B-xT%mzx-~(@E zb^*x4rlh0dGK37GrIE2i*wt3zf<*TUUSiPHdB9^1k7qDYQ}9& zTxOcVwo^gwpB%5^VRe__=<(ad-z+xKx#_`d7ZiBG9awPoa$sVh`elwcsEFNMiT_)2{&<3eVWyB zm+7Tbp?ilE_00EGtbwkA3b3e-!%@r)vy>c=H_Ti$E!1!TYcf))CLRzDU>3azC(yGr zr`KuX3rQIxT*u~XpT^w7)SyptW$`hnxsdb2+iOHmE#TszlDV$upp0%EP#;z{hoSao zhi)5c@jkM_^Lt4T!-<~iA4rm3>c&pe;?N^R_Vo9C!RbdGuj!m@<`C%aC~t}(nly+~ zC>pM$F(4!^&+mo!Si0A zqFESlVNuN9T6NsZ)UG_N(bT}9`%-K{&wWc1=0L_&sbiaaGN-P2FYm;Ox1S!B?@H)k zXM+WQv^M7mSrN80(>_LSLFSmP4t)schdY{nkDSenREd5`H$PbWhu;P1C@i4Ua1s#n zyp`e207sst5&VdZiECJ%DfL294!mnRu?wxN)Pz#DZqB+4#}c@rp0U%GTRO@n zRXa2dSx0lmch-kdf>SPX$+M+G+t~*2$uGP*TJ_aeFe}|X>EbWLPl|tx8k*`9$VREM zIz%+)HMu|V&)LyH0>lDvf09eB8~vrx7)BJXs*m05Y@O>vqyjP;kx_0o?lC0r^fHQa z`;;1G+BgyxNLA!&Q5dLsV5|7DkjmiLY32_q#s!1ZPR&`n_G|d9&^8#d6w&lE-uKi4 zPE(97?@O8`GYjx4O%vg^$8ETs8afMbC<2U8Yg? zy~;$MRPO(Y8@pz1CEMmUoxNrimI$tGJhpNwfIE%``N?sUoeGwA1QD+DX2yufv$Qr7 z%ml}S32HkI1v8C>d^H&KCt1U9FLh%tH(BGMU@?JH!6GJ%z;6>jfE&VRb2O4>Dj@oN z3X$EL=zXC}NA8*aXuZFq-ka{5$;h1Axo0`L(Kqc)%{_y$|NfdcZuJKTmQgsu0}(C4 zjWXd)#5)>`ZZppYJA!;-;V%3U5k7w@6-=Dj#G}kP2XLg^k%7l{)D4Gt27l09I2C94 z{XzfK)WAdu!wzb-TM_m0?*w>qiXyv_dc4`~-JZ<$4<_woI&$072B+qUs6G2Y^Hg)X zfBnuq(O~lQAO68G+w4CSOklw0Srh+AmPT{&=3{>0EwP>wxlBHUOL(&fF-1h>TKC8J z)~)rET*nZJ$#UC(SvX*fW3eIA&izv$!gM{45_w9>gPF!Oh~|1RK9id{9!S#DAG;<@ z8V9rH=C)7&T~iB=nyZ#~G&eus?+CiXxqGs?h3L+uef`<$;l^ga9drn+^7F&Uxw%C% z@l-IC4{H+jgJfD(DgySHZ|q6=VAR^ySo?tYRnGoV_g;`9?0G6 zPGTAQ)x3q_vulMo@auQ&+x^yVy>TU8i6^7GdPLpN?d{!YTBbVNTCVM`EY9_IZ(F(2 z*>(EB9gjzICJMIAA>f*L2YkP|d8KyJiT1`$Gji@;ju>1nR&ogXsWn~GxrOiv{0F!( z7}2uFL2teqGx+b$=Btf491Kq3!ytkJ3s*Kg`h&s;CR@|Jiod&pC!>eyK7P`){K}S- zhNQi{q-7}XUraN3H-we59l6qQAs}|E^_)Zu)WgBJ2ck~rmIcS3ui}A9a9JSAxyP{H zyC4e`j55a=w&%f;0gIeL4aYNLw545P)!?$j-`%S;=6W}ES0;nro|W2o1$@bY2P0A3 zb?fxLA7f5zoIC}aG_m1_HM~oj_2y?J03_E&w8`MsEZisZWc8X-`l_Zp{f&grh<}7 zT$lhVHfpd3^aMRn4uhD~Z#YvND=e}ClPiQZ$2O+by3HPmn=g=5UAh>SuPc7qIjdzV5>9QMw z9pUnJicA$G=$n4~HMxV__k#Hu3c}NoM`7|glP5Et{2)hzEIg2j1X?ky$9P!$jEH~c z4XQz=_&Lshca@4Ve;kFG)o9Zo(UyCMhI~&G9>lwoKv-;n=}P?5OZyi$ z!*Z+G9TNcsbiiV3#mdgZxr1=Xn=(Y2zl4S0J83Qd7ou^!eScbVLTRhZJZ%Jvsw?k6=R=E+@rKHjv6R>I&Wv%FxkVx_ zx;ZtldV_Iq*U8aQX%efE;34gtWkn)HP-qz)+@N$L2%VS^4>##l67~}A!R9erDseKM zbt?>>wSV_E!Xg%3RzUH1Di#8-=fsRw#u<~*^^Eq_co2CgvDSNog)oj21wZ~|KO%CM zMeWFYGv){#6tbI1UQE`*`OFP&FA&-@mW)>t4C4gb8JXRq@y;G^?ZN*mtofH^O$tSq zIl^Wi@l9BZvt*#k}F3$L)V1wAipG8AxGx|DGdcfrph?#g5A64IN(^3bu z-SK;YuP_i+Ew#+uso=tK)~?wX&Y534kU7hlrk02`yj4HjpRq!k)~KNsMMt%RGdrfR zx?nZPkzo6?AVX9-t5d@W$HkN6g}cn-h$n0bQ^TIf1|C)~tA}1YjSgmT)piWX#P20( zKWVz#(E!Bmv))?pK`hrCHq8gMShhiE=URCcvc*#v7a2{a=Hu}Lrn<1iuUR{n$*QfCiIqA<|op}rHfrs9ON~0Vf7RS8)KHp z7;H|M!5i=cYao=wLMcnRV=b4Z*}7drHlO?~_CA~djbvkyiMoREJ2DzchcW#yBT%vJ zQc7^?VMQx|0QwBBbOpyyxrb?)y7a7%*insedCyRup`0nOzVmHFR+Bqd4a_c5ATUSB zE6g-}^bTHyJc1s!*~X4njT5NpgqX!hgiL5r9xx?8OHUMqNcg6j!P+BV9A^=jE`lhs ziIt6Bwb+|$F|{!LQ&p4E3RIO$C1I`RG!ja==on#t45L$@uz=VWEw5AIO-5+Xp9M9X z5zsS)ac}zG%YweD$Y4QvGh|EBbBaijWC0X`7FmMw)>c1^({|zpiBcN1#f!78$AUwIhGkNF7ZtO$f4qsF1`c zhdn!$lz=qz$=~(1x|3pH7+Y0E6w~nr3U}GxFZSb5^!@?KupR?!%Yqw|G4*2zMY$4U z87>@2490>wjQYZC3~zrh+wV5Vu=6Y`Yq$Kr`(rybQw3GAG=aNXGl+KEPk`u=IQMhO znG8WQ#0zKFL9#Zc%7Tj*Ec04OQC#qL6ttq1NCVX{CmRDYLDDnV)u-F^nyFQiiOT*i z3!}K`!YeDE99+R{MI$q+!}mgz5ZI67DX>FQTt+3@ z0%D$>$s&=lOO-VBR2>S?agtM^Ioh1+iF<;zpWAZhvHo4YzktHA8cg7?*b(5JUW&2< zS&-wr2y4}5u%&ADM_U%6!GXTXW2R{Y=%#qfAM~?_rZmdy96&OGj~u zx1Q)9jhBv1<%`GSLlNI2z)gZz$C*OP4f*~6J3g}hiHKF8{s7U`=a~4jS#o<4&(?Y$ ztv}h_v2$w5Ox&KVHsjg;!RdD6q2`t^|AU*FeiI~Fo}QUmIFL=mcvB>#ee$a;@Bxy`6ZUyJo7l_@Fv{%YE18gy+Z!v&rB5 z|Cp5>_hjxaLKt?PFn=|EsJ7_g#Atr|eP-*g1hxB2>+}b4+nh9*xbdMHVJ`P%lpB62 zjt>(VvllN<=A}mlAfd$>h3Ru_S{NwVbtm^dBM#YIikz%R6@8-18^i*=s)F zf2fuWO@Fx3I$f{tt{kfEzWEU><7D^A=nZX2Ej*cwIJrme!!7GfK7qp_BD{dmo5nKe zZSteZ6?3SDk6_qFubsGsu$=4XgLuXGd83=U%#?HW$M52oqv-4`4AE_rNjkCM*V;{4Mh4VNs)bN>qXF7vX~tnl)3J3bi;GX80b~P1YbA>k`pR4#^&!sg;a18Q6UPRLO+sIhlyK2Rrqw^Q99&I z+k-@u!=D(Tf`@&-*Ys|zF`dT`{(Kd6R}%wEk98=leJOkIbMDS&<*k88SWR-zIi{0! zwdzag3k`4Zfj#OGGoxcLS7uOOK9vx+j+Xf~$2;g&o&sjfN{yHpMFru`=+<-7#oLjr z)q>6q5Q#Z&oVv9JbZysZ5|N{#%~FD23!~GF&t!dYJkn+K;A|2%ZNgWx=nm1I6!em# zcy6sw*KD%s`>E z_^<;D!?Oz>);iWj;XucB-JOjg5Q+?Y`cM!e#Af1h{wi1f*88e2(zWwhTsm^?%$?`j z`IpK6T&|s~-~O7uI2>r&!?+i+-NEg6sEs!H`46l0gf(BA4{KJJou_Vm0pHSoz8d%v zL?d=5Os!jukR9VV|Ez;{E3QW&iFzen-_#beh~>sUDhyT^))S-Bow33c(U~&bu`#5} z>Vs8iZ|o_?0MJ;e>_MA$!-t!AAP7}R94AS(?|l8~<%9c)#F0_TA|pdKBZNfDpxY%{ zP}BGK_xCZML#)B2K@{OYK+5oC9(M-YAh*h|Ol5`hf=q-I!3;H;P{X8ow5bm{MbR;Q z09O5H(XsO8{PaB^XgcZ9Mx+X{YNe(Y3!eUDpV4;&H5HAXiWGy6q;M#(02--xP~l}x z=`PKzvQ8rIBRx!m?rywcWJizJo96*dqbm{2dNDqWgUzO@3IpQ7quA?b0c7nfLPI1N zfgBJ)SYvG!+_Qododt?b%c|8T+W?MSul?akiE~0mkd;w5*B1f-LE0Vq${i>870Pxh zws^`&Wwr6&>0A!p)(yaY<{ApIQ-Ie?J=t!Mg9VKtmN`Zr@iS<+56oge3qX9C6S>ZZ z)J>Hr9M?Zxe+`l71lOM4@jF)_T`db>eQRzY&?=@a09%i^J5w@BiUu%y;(N()q%!(XqcfpTe-?T}u|0DvE` zMD2@sL`G-oV?F_K>IDAe9p`jtndwEHH~iNcUKAD=c8TooU1Oypg8(3g2??w=|td!gw@W z9|puVL6Y6B6|(9jq##y^qkQB**EV#k(gbrSMjI2j*t-L=n1k*Xx)u zY#XZ3$3hc53fs`}7a@!mhBSuqv%{JjD%O_WX58-=n=Doj*{hzZp=(o zOsrVAc5XMgj#(H#Q`3+=^7h~@bX!mT(f>h*u~I~}9kB;`Wq`V!=UEfuC?{Ec6og0P@!$_K*3m`b zmW$Iws{~nTRwVF-ou49gIIK&Q!3k*-cV`N^B1q`Z%| zY*k?=a$aT;fUeg1jDsnKx0(LtA^vjWl+;Nqj^#b$k5`z#$;A57fKVk$zOHUH3gb+L z+=YY;e)&Xi#6dV$nd@QVJVH^$9^C5DXyv0onUZ)5h*M{OnANb@u7S4BE<4L=5V**x z+yd3+@Y@%7K-T_uKBz0w6(C$uc&aJhItg9FeTZhv>V^gIXGe+CV;P9Gn!X#l%F$y< zsiGla*FXBuYJWm8WQK#k`0FgKY5h_KFJMr>pFtKJ@wcPKuloKr549Sxz)CEfVl)&m zDD1wWJU+tm6fBie<)UA&vPYvLY!k@VADid}RSafK7sGCFjqBOSOJE*i=hxoxOAg8> z)uKFsNH%^PYajm3w+Ed^<$O-!qb!_cj3@Zd&zAZ)cxy8Y-j-@kziVY#3lPa3*Ga~6 zl&l0USdLPn8&LM+1n*}$mfas{Gk7R37yUr4)oAToKdz1%M*+t5?l_TU01;E8fnH-_ z+7mGeF_n{C3k?!i4S%M(zmKwrBw!Q0Ru-VTx(bI2P8lwcDUeF?D|N4ak2WBW*bZc) zaTe;tdT^Dg#eJM0s?5n(^(|YiT+&^#;c%(3`k5yT9q`C9OcV9GlXP;^?KLBY4soaw z4BP9fT|IyG)vwc@S(>G&cNU60UTdP{v(!h{TE8|GBSBDPLyUzSR^Tn_Kf-U+fY7n# zDSt3G1G$gjDEHF-!NJfsyBEBrgVQ-OeaZjs8OLO-`etmvWZ+msD|FTT?*KnvBX&E% z;W43&*p~3Mh~R9);N*f=I0{-?rjk z<4@cdT^DS*qrd1aMuSr*&;V!PFtYb(9$1C024)qQ!xKJZ^6} z{}g~gSC#TPZXYM^98S?%!$pr^VS3Aui^jKUgP&YnXv+JXa}n` zxX-n=)4neL>>b@rwW-~I)Lp5~zk4Qs!2AqrAYXdFVscqY(E@}1*s)6_>*=ZZC*mh- z9|`6jY4xYy+56$9_zX72M=A=#Kny}*J1l@LJTw0?EH5w z@F51@oBvMsBokA+>PL~eJA=qY|-uGAjuiO3Ecj(0cv`+Di=+3Ee!By_P9B=8$Vh{6TKl`wy$eB0`9|(w2om(I{nl|kIT#vZdq)N^qOMxOG zv^INtcLuDz)b@UY8RBhC-hXQsw48WvFWlFw$G6_=K?)MYbC8*=85f_5Yj9)G_z;Us zEb<`pKgEhUPmB|48@M_uI8;MU$bYYuBCRxlc5B}!b~oMKw|7w^>9K^hj(K)#UzM1~ zlL;D(lkcvWdj@{B0n@QYWTSTBCN1IYuI#d*#ixJzkA$LhvXsDWtvcNqd}qtKXL;`D zBi5g?p&^W(bZ3j=anppZ6XQ^m5?OBnpF98p zic;rkpEb*W(4+$sy}j2PzooakGJnhLTe~eY*JFY*dq1(e;@_08_57WLE$*%mXbj<5 zT|-6S%V;bad3JZW2%bA6wTPGsfpF)R#ZKyP=pZ(+OwN9R9qdz#$bW3308EcKk5vbi2U>eNm4XY)kP z&a*DU?qGDWRn3$7d(%}YMW_agAVP5MSf-VrG`TE1#Z2!I8#~#5hzT=1*zYVyOr_%= zGbeZ8*H|(wSO{Zebt;gu9=?{u2cM7Rx_; zQY|L|tOl+E=$Kn>rjT1^*h$7`%xhXAI-G0J1$l~+%i>YJLMiMi*LS}4JvPup-GaM# zeASb)FLoX1_x>1e_;9zmk=lX*$3h$*s%TfHx%KyoJSkBf4aHl z>2({nw|+EX*Y{7n=f0nrVAuDbU2xZT_dD&f*1B!d?QRJ72?(;pG;P|8W(O9xsr2i5 z2#ZuDu^eMHOfIcwm;mce#c}p0vheE<9vC^k%eT)hr%Yu8pS`@uRp>dt|EZL?8mV`i zt8&mxpmJhyF-2^{oOd>RTUY%1ao)sPoMrl4&lEy}*(N7a2A!7GfYq2mfhLvo_C!x4 z{r1kob$|9FbuZb($7}BH2B-q;Q*=8!uZq)lvtb@?64W!BvFHhc>?`sxL#O-ws6Scz z$}X%?0TBgFCvVC$36s8yIsK3yPr=;M$1^RRZx0riBi5)Kl+f)AAGQ|sYjs8eF$Njd z=pw>{Z+)VMtk!HNwG~-|X(6>6Y7S-=DHZwMx&Yb}Y za^9qQ{8)y=HV%x(G`f}mJz;7kmo6jEGwtss2&e z|2y6gf<=}&PRF=W#-?tx=|K%K8b#xmAuT=jsr9Mdw8PbXV*huCt8}<6&p8IG5-9yQh++Pe0l1x0`qR$Aib>=%HQB+x5jU%$sR1txfTT zIzqi>`={#D?sTqS+~P|`sX4Xt!Jof@uFV!BH|orEX(hG;{dOReJIC1{L1BssP504Y zs>9Z~jPLwj9|U_D6J{|&^ougf{?yWe73{H~bt=vY@oGg!n@myKeg8IJ-%<+Ryb@j4 znDCn)srS8JP7un&M}vt+>b>5-jh6i_IbW@-*WVup_*YP^r|{+77;R~ue#3Vm6(BM+ z8v%jwk~Awclu{qnnhe?JzYO2?Igx=7^f5xjhsd)ipFg#5!lj^U+@#+oBB z-&D-Zz|DqHDlZ+|BV#;X`698nNS!UjI<1;5j|A5R*ZBk%Lpp+Qr|@$nou+a9Jv*9q zxspBqxU7?ReCZ0@O>iKqs#b^)mbJGJb@qyNC>_0M94*eVKW(>&PjnbT2#=)$W5p49 z2HwdY_!IM;@xtDGD(g9?pm3N})Sqcm3E3mt=~dqHFJISmA~jF#RqQ*w%3nU-=X2hj zg$%!mpLXFUzoq)40%W(0f!Ve%!Gr&-*IDB5^|;NQ9JQtC|_(u zhscp@clAdZB7=KD4x@Bp)K8d^TeR0zh9b^5`3o7Jp-aQ5ktV4da*e=FeMVnK>Fp4Z zH%`cYX2Kg-MGrmZdh$4V%iW*so!K5IIG1}fjAneUPy?Y8aOK~qR@{3=QI#SKD+=x7-2Xntdoy&adYw35k_a~1A(dJwF zdEU7UH%~BsjL&x@jnBn9@LAnLu%myxLG13EaUpM;JT)~D>w^Xd`|;qmY%rz|94tqC zXuVr)JlS`b*rvjllb-CKn&PAExlgF?V4$Q{HhEjNl^MEO zb9Rt?C273 zHq1`026smhAJ6kmEs*bO^V#j--pLPnIIv<=Pd^%YrOtdjuZl}5#aPMo!KTtJRRkIj zBRQ{%NMoKT0*-?10VQ-ISn?)rKDobt&nX96cBf(3qSTCnpV&pH@e+~7QTFZC8TRk~Ml6@rp<44AbLh%Vem*o=xNH{L zfgDWzMBK&H9PXGf`t>yVG1is>zL9smJQ#gAFpqI^_^GEG~JKSG`qKGtN7vg<1;7QoBX%- zc7N2*=X&9CkP>*UL)fF8;HCLea7qeyhD{ zrRZyG+VB;QoOpOf5o+lz(xll7)aD3WqlrH`^8uH=P3;xrjRPz+_4cMJD3IP!_?Y*~ z47uboh2^XR={Y%4wAXW;Ro1p*NjH~O`MOhNse}3L*%y8^CbK&RU5QKIb4pWnS)(yd z=kiaEdmMmViPZ|1+4k4JKU7~!|11`&`p-NL5nJ=wvkaeH?S#<+E+bX`9O%8)3PHR0 z%ogMaIhs#9Odxv5J4w^lNw2hp-&DFh*2-}(FvU48b%iGQVweMt%vwYoH5va5s14egFn?b&B&U;1mM5uzua#?1FUL=G&Ai3#Gv z(WN8>wu^qv?ZQe_rwEuL2ZDk!7ctZLqdgB~$IBW<$z>BDQ)?fY)8ceJh8leKD;$6O_a>+&e$QwsZvTy4jd&H|2OGZrF zVpE11kw-(ptoS>cMTgK-qz4MI`N@B#ce)MDQ?o_oVnZob!Ii5D#9~HMRuKe~a2Bz> zv_{iFl9*Fh=IBor5hoU=A_ydHU@65Pm(kdOSAA{LG3xhcUiC=eX(4eWQ>iz8P5r!ubE@%n#j zc9`c6dj@>vsy!7}&)SC%{rblDFSl-heg7}(zo_f~7d&o;=bO2-S2?)whBtYzG}%W< z22$V^ODlAyv!;P%3Q{y5U<}UcF03165QA3*m3AMou}Hdl1vlypndntif-QDbwHt@| z!%3(ebkP05KjefU>TktiIGDlK<$nH;&;R49!J1c$Wz}YEQeWET%3UAIJXgQ@EGOb3|F@|-zO;pDO*T7;6SL3E4*eWuSWfDa08u@-4j zm}pVnkIg7_P1m+PGbw~N@BEoxCEKtt5zU$NTe_l2+4z9HLzsu0RSJgmNqZJm9IN5v zc7}~j77|<6qi<*Cd_njFSI$;fMRZdZ=8XBMmYfNCB`FE%E7n@aok*?1F1a(&KIn1D zr>d(x16-0V=qcWrE=2inC;!xW#d;(Bz)DcEesIm157>`P#((LW^U?a3lijs5x%rh} zAyRq1U_2W;g%?_15o_7N_dga8fS}PdrSR><3C=_x%~{)m4=&Rf`P0^!k+L~36W-sq z<4f|IYRwl0)?4GSk)g1>CdUigdV{~I-mLxIuZVb3I&pn8BcBT&w*TUVTdyXLLn~&)gK1H{`L%y_$6q;g|E2!`XR&EijkKQ2 zJ0^rU$SULH8S57E-#obs9A@jjCcYSdZ`N?|l`n7Q&v_t}95~ZqGaF5Uwd&^hlob{O zm^>dx!?(HM%x@*4-s_>|N-~?{ca0=@v!QEyrKp+smqNoMi3uV{!Q16aRYI1WUW#Di zD}t5U1vnJ)xgF3UKy3K#iB#R48-i7PNPsPQ{H-~W?R}1QVsSD)Z=2yjF5qw#+P%Tm z2FfdBo@Ub6?}%c2)#F$Zo!4r){P@!hl( znF2iDNmw%$upD%ol$A*454fO8yGLGuo|rS-2h*!hdk+^jS0)?YmN(fX3Q{>vSG*!s=b}ASdAGS-C37s1~X)R~*#Gfb>sdzcGsWAPp-HiKXm* zr^1%^YU16Yw))np9Xi2cL}D#2Gf^U~7CN@SC09M`fbg%_4Qp-dc*yp_;VlkOp$XKp zlvVoEySHGNB?>oS%hRN?4b+K&cdg{wEQWfTL8CGUgNd?GQ!gg{ib4qFhAAo7MVevm zWu1Mfn3zl;Yfj}#G}&g^_o@;Iunx&k}ao2l-T?$}LI`ORNM1OY!Gp9{S<&YQ9vNypT@mfqC8_3Lm| zuJ1DA*!$H5$LZ};A<`J)b;(?@pUb%PNiX-rcD~oe^?bQ;*A*lRs9!3U*=DQK#9y4 zE6GBFGOTVcZTZrp=jP~P@KKGs4`^GU&qK{B8GN(T{4AKIRb_rX67n`qvVdd}t!4RU z)od6f`c$vjj7s(F)}~$w0KC;*1K`Fr!N~qEGgXrlQ}gn0J3RHioQIXsrB`#^4)bq3 zY@nNf`?@@?0WQ@dDiYp& z^M8Uz60scPR82%YGnbX3uBNR|6vk0s|7EJrvxo>A(uO!=JEsjjc6R6U4jdtRo4@Sb7o zY>{Ha23lbIVs)l;EMgJN7j@s51+47dimST7^5!){=kI>UPj z!eM!HZb98vew0<3U*Ct)ssSNf^HK%5cSyDwmALDW_6v(hHWSP9vd!dNmIG zWa~GY9%#OSQ^+W&i5v1wxp`)m1f)${=|z@EWDfX}6(-g7QlMFNfo5w%fEnd_qxS#y zJ48enZH^$ET;9V&{gp|jzDsRriUXgfpq1PcW7(zCtxZt%YA%?ov8pnC(dX91p-i12 zJgTO;5Na2Zq#sK;RKF~Vm9Cui{ML4EK z-qYNJx(7oG+E>hBE~Tv{dad}BBhwTPc5}nrnV{!fBxWZwKz!$FYciGN7iaHF=3Yy( z^rCaxm!ju_g8~RgIZVCdjlQwj_E}V#*Q(R2LGjAggU(=j%uZxi>ZQbTnKGpX>Q4>o zrEH7sf69ORLl@cez1cH1!Q{u3=;dmuHL--j%v&FKCR28k)7IQXx>Ly@v@5Lh*-Hv^ zoQ0q#Y5E!3DqW9o9dmz|19nMRm(`ao6K9)?o|>MUJXzVb^^`|MZFVBEPWyA=S-bh0 zA4QR^`boqln+MP#?@N~Gi!w_>hI~$`M_IEA|jk&_1le+*!hUl2CIaw zp}IQBq|WwElv?$6pkF^t`wLJ87}<4WOM}o$~06+|A8Fm+N<;Pj8e% zAZx8H&kSrU1o6G`zUX+vK0DcdDe3g=6VtN%rF&-eX_NpHW_fxU9Ovx-n%$%lXaEWH z_d_Q;FM3Ai%ElAnx#iNQ?6J8=(HhAK-fR7b-_0E?=8rqyzRFe>n`jubAhdZE{;L}K zL}}oOQkT9cC?TPEMwIzP3E_s)hJ-r=kxiAySjWAdY>g)Gb}A)3N&&`F;8es$ zFUOFV^q3jy{BgXz;X5zVheNozzpd@1R2XnE4w2VBQrYMrReH+;MXc9xLu*Pz>-jkYw%=Liueqg+r z#eeu@t3U_daWkGea>wP()i-0?_l_m!9s=5$o7{KN9_+yL3iD%Yb^nFnzBTs@dYzu- zE_bP^->i+rZWxg%H%K01;ucK@&^{p=N36d9NMbM zrlK>VHvz(rl9DR)0xVogJF%3Dm5=mu#kjWq;mv3}HJ1vf6QyA}Q|?rt9@-iLs&r8U zs@+H<>^}|B7m_1TBi|)P6J}rCX2@R;k%ah{fA3LbYd!1G>Ik#sDljFEk2-WfVHM)Z z5cB27gJ2z)E-k5lL+=4F7;>Sb7?L)MV6QxedAx!V4nFFx2Ns%4&7isj>2z6WO0R*&UnNqj&{KtEM?-%w zqYrfs%l4agX9~#kz(YfE^6dx?N!*ajg?rbA{EFR{{wR*dp-^w?;kA|yV%l~(FkH`a z7SNf)Gp8kZ_g0zRC!4qWojAPty)TT1M-6$P5H?5rxL5aWT(*1;Rfi=_?mXe@J`O@~ zJV;1TD#8pk)HNwch7@Mn6eBY$Lwo_M`mS0c(ZD;WR!R z6Ajv^hCs7oNa^*oi{Mh4_V!o_N7L$D7|43Ay493x;myDE6ue z;+^N#F~|4BJKwnsH(W_Pyb}iN0O=sF8>QO zKoxwdim|N2s6S}TIvD!{7c2lR^rL#Dz5h8dYRCV~zulNAD@~^A z$GXXfD&{7v(%ViM+ZO+T-N&%GYBbfGd5wR*{Rz!An^<^Spz_kZD;Z~3v0Up@2_GsOj^<`Xp8*ivjp1zhNyu=%Gr zBgl~JhoK?40j%ME^y7asNY7Bu1P45ti52sPWfDl7K{>SAXYFp}`~3r9t!1Xnc*~9b zf>|p#YeC#|1$%6_T`MFqn~1d8ieJQNw*x3HTg{5hf8f$=_nxVi8aob@`Y z(EE}W7PxXXO&qZ9P`hNN;ow*744R?z8Pf)199;-rP1fyu{Ib6OnAi~lmHKdE1%sCW zlF~!})h6W~`|0pBCC#oN^~SsTPPtoj={xjvSirCYyT#;pv_U0t$*pGhC4SoDpd+8U zI^;2NEwi2AQWBQzbJ5(H!-FI8Ugzsx%ii2`Hj%UK^VBf9Vu&gVz&uBM^QX*?`ypfH){imPaN=DPlpeC-JOZX8E2(`EzI}#(PkttSBI1SuX{ws zlCeZq;{G(0VP)=m%4q$``M=&9p0$47lXGEYk4b2Wp5CSB`$>RH&o~t4{DOxSpUUE7 zC}yu`DO#=7rvikb&DKOJ8H9=eM-8A;&H|?3Wp8L*r{j+KR|3idL|1~f)Ap=>z-~QQ z3wv_WN`Dz4{;{M#Ibb!-z=|ucB(KR^j?Y91XZHAlN*Oq##c$!(>A33SXAQp<$TllN9?U~-QB}X1sS&g?qx$Ygp7S+7 zR)dys3}Q*)mAg9B52m3?;6#j1(_;K{7H$f0sbvME6VhCE0#HX-ygn*R8=J=*bJaDN zW~OWj`i_Qhq{;B=#Xg<^$%(^ac{4*rMN=(`a}OnijN9M?KiNf|XBn}E-e-5aP|&zGyA{b+%itM#^JVaWSL$9TI#J1QMnyOZ_k^xp2=O<5Z>NXkR92*JyWm|Ym-5d_Hu41KvdjMcm z{ms9EOamUN1IYEI9r$K4XGWv*cKL#BGTFi;x_?J&p^>;Lm{@4ey((3OEE#I!>sXrW zbs6JnGb@m*s8zii59`B`liygi))Yj^e#+eOt??zNjqH8-!Azlpu$BQf=G3G@4syK} z;7Ao36Ke-J@g#IXjW39_v^;^M>C`3$G$)+3lyL*7Ww=X__4Mj=l)nm_obD!XA%1ty zzlJ9#!(3QR*0>+G@|@ODm*;d4x^PvF1-(V9)N#S#g2B#D!HFlWL?o^rGKn#Jq;yRS zUp0_gEi)zObwA8kE~%z87o9a8wVjs&&%koOmgzq;@;5N%IUp67t2RX5sdDTU6@g({ zKeH4VsQQ{I1Zqjx=^SaaVbho1ojX#3q2Svn;N`Cn6lQ zpwq6M{Rwz+z!oXM4~RUm1g8B+M!}_2t`E0rN0Z@JHF#PDH3d}2R?Ti1rFm!TSdz-9 z9Y01kJ~Hz%v8I0UJ= zh=ILov&KU#AeK^^y0`w`K4v(58Uy)rHO&nTlp@q2coF?&Lk%h&pQc?dXPX_#~v}U+UBOhVA0|b zGCA5esBgqhnP@Q_Vo+i2gi3zpZ~0--j?MgN;$ipEb=F-TOug*aJarNPVR^+TR?E+& zXOKkCgf*R^97}RKgW3Y#A`&&HBb6;Y!SPF21~bs-()K;A*Z-g(#*TakU{%lR80$v8OCg&#S z35NSB6dLkb7vRDJz?ft+1plUA=MYd!dvT-p|D41G=!{pO@ zp!Cs5Dj21&iO*srvQc3*$T032S^Xn5pxAd#}!>E^4YaFQ*&rC4vT&Mli?d{ zdk&urpNv=ilhLWL@!23PPPO*RiOa{QiJsbX55^Ty`tCq_V#&L@;P2<9I3-l)E?_w? zd)tC1L!;-Bv^^Ec)w$yV&b}vZgrmu9N~*x!2R0V%jn2ui8@kfr9>*F64<8o26H6** z_Q>~hW}hKn)*D%7pTenH{{vRJE?x?Tid_zj2dL+TGZ(o}KmK-dCLWq-UH(?wxwAOd zI27a4tn(6|N~oN;Kb?IeR^Z+_s?em=0RYhhB>X+QE+1^++^H*lKe@K`7JR}h9s9x&u~U{_ zJvXupA+bWDAk}?81ySt=$l1OdspU-;W+RA)-wgOr?>}^qY5YwkX6mSlBP~PagKh)m zdn_2cx<7vS9mo0ow_uKc#TmVNDDF(PKi$~8J!-!xJCoLvv*Ecn6zNT6>yFEZRkp6m z9RmFf-4=$0@+jcsn(KZ+cdyL+CgV3xcrae&fn)o zns#nTntUN`dY1cx@7SdPD2{NIMJ!TT?}*YCoW@nI1fr@i6;g$>5rxPgM9VAi5y5TM z$o$ch*Sx~U;j5rc_4AfqJx_!E?gcJZI_8X>Q&o*iONio8o0rlsYJDirL!SSjWf)%v#JE0Q++WUF>KZjM|z z6lELYy5eO5t)fq=(mTqhD1WA%L#A zk+RoRNz9aCT1GseV610T0~CVRV)~q0r-p*<(V?&oD{4-&&7TB3U5sZ(XQH8ZfKL@C z_3~S>-{-6~BeKseBx@(jlVP|h;u^`zR`d2Ob|`E^tHr)7H20zRzucAb4W)0+gg$A~ z3NXI*OMinltk6~oNt5nWKNOyd4OjvR_GzVqimysfHMD&&52^HXPH6nO#ynMrHC3No z#%nQBkkvqiJ690!(r@nXzm^h^);R1PrOpab3-TmYDqICZRsqS;Lg!#n z6|$t%whE4;dsco=L>DxB0( zbW%;uM=q^fm6+#&P%97g4s&CxgsTrLa#DqmU|oJY*{rhm%i#?DqC>ybf*w_qD0PAU z$So1Ztbz^|@@KRP@!P-D`61-5_MfPHybiYgxq|$DKsWqPV|IT5Y&&-z9Puv|Q2svi z@yA1U?|%L-T;(?N@jc-0uPh<-9)0q?)Zl;r7wZsx@pe-lP!b+LDCQj9dmGA(PQ73L z_>_OBOk%uNHTdCI4K%xr?r5y6Uh#N|hcan3nXdn?tnrBdc?+k4B&VBNzo^7|F=JF+cC&Dc4Zu{aSg3~{SUDzf8>FI`Qsk0x&G?y@5gNav5%Xt z*TzHm2Zw`d$zHI+JQ~t?<_-q%Wa>Hahk7k5!*XsaTR&}u*y?HEhUoa?%z+|d+yXSn z(PsQof~RO>WT5KqR*00QFMNeQbX)hIM~{-VUlc z=q+TrZ&pQ7W6ELxdHGcFSWVy-grX5nhkPK_;1DR{gk&{ijq`7$i_QB@#0$>R$8%w9 z9L)-`idW#JgP40{)*4<@4YiZ+C)N=96arc=b%E&)9B<%e%7|LV@*=ev+rA!!kz9;s z({w+{66Ru*vIS~Ajj5MN3_sgHp^IIPEVB?09bF>)(K8|A?n~!(v|KEhjSk z=ZuCv>^r@+u>grI*IMW=UaS<03@swgI9?yU&{Rur8I|Frkpd!WN%e83&(~_- zl7%lMjXj4Ogd7yT$r>Otrb4?47fRBsjYOmam(6w`!vB)nuR2%Go$|0hozOLBBQve~ zY>mJ8A5hx6mW6XsE>yfRtt|_Nuh=V?1`q`@KtW{0#2lJV%iivw4m;cM6fyLt!c(4i z+U~-;Eq?37h^S`X;cR?qy;e&-M~M}tCAoC6WgMhZC8h?7?TTln0w4}xDcPqmJJO?> zAnlN%u=3|l&9gR&l_2bjjH%hM6j-ClU0!u4GU=tRaBPP&oVg2S=?4=JW|$)Gve)m9 zN=c+YFuHSXJLz|BdiIVDQ<8w<$mCo>#21~D@t6IT(j#kI<=k2O<)dL*U$zIMAkzmD zxhfJsxWZ!**x|%PW65hS`73=-MoU&O2ll1PwBXmsOA}dZg2qB)V9LhLJthKotw^4`{>qx`@8N$xZBBt)x}CFFP~e|e2$OZh}Q)wpOva) znm-Rc$FW`s3kGByXTD?&lh6t?3OPa_5H8T`%w0dPm}dCzE0(+FPb(}zxx@UcqK0x} zr8?b>EvUeme>S9+i~eX32TWDqN2T-p9&+K?p0*vSy{ZzZnWs93WVMpT?1l~|x5a#C z!=Y)+0#E~Iv-PqU=R`t&EHCeJd{>W^%~~}uIhq&!Im;xwl{^C-St*R6SxSA}{C6=s zbHLugq6R!t(F_d(2rPc!82B)V0e7vN&tjuHrb`2S_vcQVbfM+ zOIFwf*`=DgXnLSgw?6ZEEz^32MiXvGdQq~EJaRaBD{9kZ?k+-g$(%ZJS<3t@Iv5bmWV(Za<B7dJN8Yc~vf z6Qa88Y1l{f9sB2;sV#9HNLhTugPr8Z`56JFZGhUT5+Is+z_Z$-168OCS_3&w%~agF z^Uv0YH=pgn%^|p8Sh6lWy-F*ABMC7vIQaRDm)m}N>)8BfbP zVZ@?&G89_i!;N16!rjH6>YB}DnIb%#&<^Z?^+hrZdNr(KhVWlI76={L1~ArGGiXHt zpCK*4{IB|yi1^cutY~IfRqAXa4%|kfAUGik9L+~tb7`#29UcgmZuQbBt08%&arhM(MN_|1w!7ugE9dcD- zN(m6WFmGPAuE2C$!DKO-(L&He+I**K#x8s9*0rEIEs9B0p3Hm{4Rl%4AC18aX)=q8 zeEoTGQp{RLH4@#2$(xyUtB!V@`yLZFY!a07Sx^CD14sLxli-v&ft zG$m#L=BFsH+Bfd`>kCeEPgw<;9<`rxy8k%%l>NVAg`KhQN?$JYZ3P&!!k72hpo84qYtL?|_ly0k zyG9DR{9Ze{D?S}rU-KS028?mkJ7w1psa@jISNY%We+Y>0q-Y!4y=`JZ=wAbc8$3e@ z_%I;i0k8F7rlMoQz;aw5o*E#P(P}~CTK2FU(1kHh-f+E%RU&?nN{ffHS~$JhrF#dR z>ovWbv};&;V@dbp4l$AMmF;e$@?^AUU+b15>ixmV$=Ovv!{a+%OGc8_T@IL^Jz4ub zE4XCYn@@I?j(^y)<30#}acv?TI~X-=YxG93?_&>!#_TaF8oktb6)$^rcF)$dwfd3h z%a;6NW?!+w`$vhwO{ev5C&z=nVDFXwo_=ZsruR5KGs1h?b1VIovOb55pw-`V&KeY+ z@HYI+(58}O?CL-1-G&!?!ITd7^j=R#r;n1^d?X2Z<(ZW9=_`PcQi(N z;G7)>#!M#^F_CXjZr@nB!CS}53PxO}g80%s?LQkDnr^-B zy&Xl{r%t9PQ&M2zZjnt*&h?GL(jFP?yJQUn=dGg(vI(;G6}j)hw4G~pslwl24AukL zI^qblQMZiCqm$G{x*x=GcqLMPb;ozu$JslB?g+mh~-|Z4NCPoHC=~D6N=SjqT z(NZa$C4Gm8jx?T!&lh+oMg~IN&P}^`)H?5sB=<(W_E^Io;zw8K&QpceyW%d8wyR(g zvwaci$HDf8O0^^9t`oIU0paU3S~p6J>v{`32>n2qhq&(hKC75eKu5%%K#F1jO)#eV z9SSd<9ery;i>J2p=K5HyP}68ZaTv%BC8CN#UH!L3b|V~lXuAlej=m@u6CkRrHj2p- zgDmkeklE7f5YNlCBOu09s&eYv44=$!K$N69_Qu`*h3GqJ`003WG&eGRSL}h;QFOB} zssJHve`wz&=b7c6eXG{ul#U{2=H36(mo5k$dvhMda;!8SKICY1ssB*TJs=o2QrN>=t;AvlMYzhI>y9hx_X zuY{_$y$4$TVL;Sk-Oi%JvF6u1-s$zO)Lm$_p%PehI_<4$)F=&Rj)V2)qp=y^k?nT} z;$$v-F5dpLB;c=DpY*>Kb_KMqS810w+9Bgtj7_SMwGzm$527wATUm* zF1<}jt<)7pUDBW8cjTu1t98?UsR-^_ZYFezrCQQ^tt8Qvl ztdpwJ=Tj*7miAMuYQ@t%X2X$fanA*(Q*S%(#`U33Ib-o5-_$-apdFfeY@j2q#m`OU ziBb%ShPEv#w&!kWX1XUFzrN&^b%7hQb8^LLo7LZ)d(fy;(=jpA zBK}I6B#nS99z@& z#j12CF`0Z}A3-P;MRC1|Rrp?R_In8BOBdcNV)cG*_Rz1` z`&*~mpE>v4fn)YMIq~6jpmQhg_?K(%C5n?Ee&9z^y!(F?qkQ)-VwA!A7$xWX4;4#) zucFph{-ToN`wYkES5(FI|EQAU`-$Swz3=0kL${tAa&zMXce5JV8~ykwFsE%mE!E;- zfsJk%-PYqcyfgel6{!Ie8&$)IyDJ(T6(zi<5klzVj`_HnDFG6E>zwjXl?kl;+nBk! z&V^C`wEnH%i8~but{d&Jla%g+BQ1!vIyf` ziVPjztJW5H2rbL(oye541Yw|HKaAE5-eU`teNOKQve&(6PLBi6ss{G6{(Le9+!M>^ z6I|o*2yLC>%v5NlKw!cv8YN?Yd)5z~`0Um|wlL{K(#=c=FvcP--;Ch`NQ^p#U%LQ` z5E=kzCq3<%=mQ+taEIc}#poqEn+u}3P}ZL5 zpuY$Z1})NdEHk}-#&7FAh@QwMvSxT6sNrr zcs?SsroAAZPi7aUTRMUlrazuWV9VB;6G+$dI#wi_l~FfjAB;%+_0fPEZTWY=T3{=R zJCTcP1&=g{Cj*F8zxv^y)bjCy^6f|1SF}8MEJ**x!Bu9Mu*gc+Ax!iPOGfgJF+Od7z_zxz&4ufw1O`4omYgggHY)1gq-!r zhWD+<<79Ij)+%=7`UJf6B%XAliWLk|fPCI=-ulpIUA-%U%*kMp3QUjR>5O()O}}c^ zjopNCvQ;r7dRdS6!Hwg8rEcDqqF``<2K95^-z(xK&yFJ9)CXhYW~ID3DZG<@ zBAeo@jmkRUrDF|Ec)Ow`-63>qF>J}DzUf3<`afgD7yUcmicw|?PP`skkj5tCvV596 z5Wmt$r4fU9{eIq#dyH*?pU%FP=&8JV%=+DuRgHo(e7fSyesdDX^^D!$HRA6`b*jKA-PS8=IT}e zL+(wWG?rhB`s31qb=q!C#$t|y_&-RN?Ai2s>WioCrv5lfG@26=k=6Kar1$SSj8IJ3 zyY=g9n(b@a5>Sm?7ktEhCy_N^b5qD7nLKLeYHpCd2!m>zXs0}2Q4*uU&v>w>ajy7b zoO*F>*%$6TPEci-t(lFn=3%7Cr0LxeM6(_zkETsm0e_mSjLc#;l>mPIrzm+mA27Ws zzwH$c3*f$%p8DEJP!BC`)*Lp89tZqdq;v^bLYkj30+|vogvA(6t$)`83J(&!-_O97?~?O8l1c&g_6g_>L>mZS51I()SI(gcYP61M5X4g*shUs zN3PCYjb+aj0xR-UeUSCoZEvo|7(T<^c#3fYLIY(Ko6^m&J+ySi2|+9e`oPB;1ujMq zfa@eBVoSpb)XhxTa8FJCOT9FjF<(iHQ70gjF_h`Q@mqXh_a@2RS(}D4XwWQjfM$Qn z>D7G-S9BZAdqfkA+HGyXzR=vv13(qhtMp=6##&K^hM)LOJ(~8{Xl!Ft#G&?xvDaJ# z@zdO6eX4eIg|(f;vdN6DEk;@*7CD6+XOa!cB^Lb9hqR1w27>~iH|PQS6D$ZYILan7 zPXH}eO(gmSBu49LiV8YOu;;^<*!3eTnZB6+aL|eTmLbV)^?YD4EB}(OyArSs)R==Y z(cILeYdw9`^-b4wMi=|s^o0F}rT+;^4YYzy#`@)AsO_}lklnF45sin%+)ihL_!l%W zASLPpoe_gHEnhU~W2^hHxE3lU9dpna^iE_%*MfwTg?qLEll%L{;9y#`>J&y-hSrvT=oy2Noo zcxh0b=Spxeh^p#5t;Wmtww|ayW32d#ep4qB?saU}KOX}`n_*BpA)vF&m~^bRXuD>l zvBw!z^X7?@e2+2;nxWLY6QKiQ&rGB@p*A&z?h!EW2tf#Fy&i@Yi&(2!$rZ8aDUNeQ z@?uR7WzT^0BWWANCXAvmfOm!BXb-Y|t*6jyQ5v~-xRQC`=>U!l1T00OVA`+#(@n}y zkf~f^0=6@K&5vtYTCn3xk9~i|t~-XcQ}i%nj#=5UQuNWD=e*UecZFA%JZYX4j@y|ibt3EGbU7OI#YOM#Kh>ECA<5n#@!eOP zSuCOa#jW)PWEaT>@QxK1>;v9T0u>LY2d(g~XuIWX6T7H<;&f%KkEgAZ-rzCkg1_e@ zqDNHxr^RS;^?2}xw-7w(jV8ZWIpF<*SG(a&MyIT|KKqZpCbmuPx_A1JxDem)M}z%o z?^oh8lb3JA)>JeYEsqAHwWFQmtzR3BMu)s#iYE{GPy5Y{ey(V(Jq(^^gR?AX7*v=;`P~PBD_17Zy8KZA8T73Gb*; zo}B1j7W@j>C^E$3p6+_oN8ECB`V*r3;5uUZ;LIxpbI-}3y^zu4Bma#DQq;YVf+k=s+q+IF$YuMMQ{qhh zAMlZpM?N`uzS7zg0~|g0+{jx$AC-^K4gHq*(w=WzA9<_meE+BH`v(A&yFe=^x5F8G zY~Wcgb?4!!k0HePR&R&QYe1*-m9JXe|9G-<&w&p4gd*~H z);nFlGjRv;0ZODOt{DjAIvuV(I#9a4G}7RXUvLUz1F%t*s3PDJb5zPInSsgcM#wdc^pv4I!Cl;1jf9tz$45y`pjkBaSJj*WF0$?LU_ zfCMWLzT8^+HV9I~E?a{m;#!L_qtjZWe)o%@XvGs|{YKAT z$Z{|F%iwU`TRZpMOp@N^u8>pqK;h_u_=xk36fX6$XkTT@Wl`>k9J$)OL$em+p=S#! zp}MSOT6@UESA$YvP};Y?^0}t&uA=M#4k|moLa6bYm=(|ArFAp$r59|oI*S4lvM9a2 z6dGWh=f$#+p;vvExh9 z?OY=}=DZn8aoqW>h!%dz*+zAnB4n+)KROc*%JcmjhRk;EQ1mR)E`jw*Y&6Y%EDJzR ztsI$;K0&Nom0VHPAv#*xh8aP!KDsfL6&juR3{)m6q zR2O7%=(+?BxGYkqE?nT56OevY0>0Hm-eL(X`DtMKJ>cJ8egMM(gc)}(?ZZ>5o2pby z+fl4v@~GLIz%~u_r0T&u8aQJ%A(c=npb#r;ZuNwwxsxfT0~XkD-?TO)^%TJM@y2NM zsPkvhSKZ@VKlZRz|JXp#b`Hl6!#qwP%UX$&`XvrGPl+3%v@2`)7sPe}Ld~0ljqy~0 z-YQE-)>A{q)#Q)GM!CA!g`4X5mz-6;QVExKvr))JL`{;`Jwb<1$=$*?+|0U?k&F;7 ziu}fkA9{0QPt;{xK2qHNm6Y`hd*dMk1y7|f4{kENH;^PoR3Xexk*@C=m_^+Nb5yA) z)>9Fh8aS}*E=up!At0EoPjFVV_}eVL@<Y4``N+01gz_Rd8^_;smV^qR(QU&istsLK)+F>m`=|X$4#I0t;ku!?|asE++)e%q-8$^^CoW&*mG@BmpC1% zX+_awGCr_!_=C%RWxaKOc&Ps;gB5sI)LNQITe|epqOV-08i{y`C7~l=eEYk_&Z1V8 zWC~}xNYn9_MtY)|!Vn|jFfWWa?8(9Bs$cPw`55$$EbKnZ+M8=uf+NO|9!F7m2}vb~ zv=pS%r5H3zb|-WvVrMZ_F5J)(eq-_Mojk8h*KYmG7plTr_I8L6gm4$A|@I1xf~ZvIj)ImmAGl4bN5+TI*H zC$LHwd{7VW+2ULjWWv)SMKfv|i(ZhoRy%5k3U@l5`LgkX?{x{|l_(Pz1EMOKxYTb2Jtu&ZTMLdjSe_yYZ%4Lfy?j2l{>eqV zwx?{(t|qeT_hg`(F9Z{^81xJvl@$d5U~bRaSQ#;dQ_DdrFZgEO}q;FGvM0$N`n^wvM$-*vIi`*_9P zIirQ0HPPHtDqaC{vQXrj%eum^I;Z<;3p;i?!A^>q9!Hm@Auje8la2GiC05+zNZJ&LqPEly@_mXZ;q zddKO@WGq{odMxLWu*VGKDttmG*%9Rim{V31Ha))(1yl-#KHiiDx#84B*p&?0eTfsb zj9_3o>p5%BwyuXo(@j@{xO5^lhJw`7|L6Zp%fA%pt8g8gQKE?cxhO=nY$&`8z+%z!=fy!5tJaLDeYD%EI}u!tN&^UTho=(+ zfkjv}sV)PN(CE$1_>11aR_D2>Wx6o0&4g=A2eJ{*czWc_#{tm3uQzmeLS6%0Kyebf zTToo{o2kjhY){9OdyTau`sBII9#|}at{%k^g{r&k0WZ>M-OcnagHzM5>@Zk>O%diq zz{~UvZ*VV_nblBP6HU0O(1{pYl6hpgzVHkwqgmmZQPWR-Kh81k6O#SZg&Gz?9ObFxC6(m=ee2DUpxU<*)@db z*3cgr<8_4&PH>D`j&P%yjDiV#lE5c5lFB zK#WF>An6`ZX2YgY^*NzgkT)kPZUpLE7|k@%ovTt_8{m;8$&5uI(=o;cO@ew#t!}1u zDt41Z1sWg_(kY_qg6U6}6w4RUk1VBAG^zcc7J8OAr3jD%jOiv zSkoM9`b*KkOf=)0b8?DJ@Qv78i?ycTvoVR9I3dx>)X=i;8rS~)UlZ2eCzia*7taJw zFHpUQn3zRqp+cFSa9Xsy$t8I8tJYk0X`l3ZD}vyLI4&kvyDn0%?ZK=#b;;GoCyQbs zcp0gcmiS&^pY9VBz&Yu)FYdT2TD!}0!qfgKtMO_w6&*}w&pR8pO($~&Dqi16&#G$B zP86&*;!{?C>ryh?@g920pYEUAxNmCzuEVoy$^BQ7Sy!th zbSJT=r<~czR{6RJktTmFF#H{7f@eepJL=8wc;6K#U6Vbp`LFr6aDAgQ`&#FN)vcHI z^!5L|m+pu@?2AL*WO%?sLg}kt_gj^ubv8+nu=#0c)($Qt1;=?QSx8P5AOCtZ_eR?D zo5@5vI{Q$4aEV$6M@aMk{39*<&2-Hda{4px6$jHaVc4#sVPS{b~1W5tli#3l+|Zqf})&<^a|z z2srtFDSH?2IIio?v%1(~S{gY)6`EwT`Ko)n02Z3y15HZqU>&=wP=tyQBoQsj!)Ws$ zKuTtmWF^vy!+7Q!cNGdy1A;6PW)ehk)}nGrI+#8{PG|uXE4if6hI3fRciNKMT!zYr%eL?WOuz zzhOQVJ`LPNd<}~xiO^jNh@d@|d(Ce?5>Eg-Ju(`Sl8%^UK+;c}_xShO#SXsiEGaDb ztLEnHw+Yw+2ma6>9O#sD{)UdPysI|9R*U1cZG~qe?_s%Z_p_r9ijJyTxhDB=2()cP zEfkjDa_nu5Ua$HR!I)KoQ5&**=+VOS^5r4G;9}K%#{m5}VgG*VdE;A4_jtYku|6~p z(%JLui(C+~6;8gXFiw&L01}d3GWGdib6&4E`^OGW$e$TfDGK|+Ufs;Lk^S|c_p@Jq zKYp+OciFr7{@}4@4?xNY!JGGk%8=#rA+mhF*#9#_`F0SkUj}Gmf9z6$#DHIw(LnRh z2?Tt0sp&su+?S;z9-TEnwEjb8ttqduCcxe%I}grxG z(UGR}&iiBnOb;9hYxdu;IpY8@5K3A63`sNDUulALkMD-Y{G+{w-8}N_Xet<3Jyvf% z^hqZUKzvjCn!C-tOJx5HV`;|kdE*~sv)@{pZNJAIY)ZC5Zg&3ccga3VdQ5W{F_hK1 zU6|XgY46B0W+6qwQ86S*l|cT;-Qbw`A#^K>Tx#l>4T5HXQptu0fcp9;Y*vns&C4=_ zOTg_@A}-1c{*Zqazc&2^syNF_eU_1lA5+;d)mAN3v}bfUe(bA^%d>Iw(H6EfMbD>c z=g`vvNUmwT<2MiFQ@8_mlgIRkGwT=A?=T)fhzv)+G`)ehKb5A2M5z)>S1f=7r)+#c zwMGwVA%tw31paHFgi6&KhuxvziYZm~dMDeOzwhaBD4{+n^54wO5lUj}PetJ@3c!G$ z3BZ-mnEWoz`UQI+S3pZW) zR5(_T`dH^q)uDI>k!{t(Z)R1+1MlGj^^ncA!&#GP>Qa1_)oO%@+mG!<- zbLAF2*m zNpgd45>zZv|4`OZAc1==Dq|=ZSSycZaKOg0QuTK^a0;bwS!_J$S1T-*57Ml5Umf<( zkKlHemsv;?WIRW!<|=k*_)YYDHeWBM4=v5^-sfVrc#r+y{%@d9?8c!gYB^Q*HK?9uMtpgIO(>d+42~UoZ@XKJyYA8 zjt8A|9D1bfL|=|oF7A*_2R6X0HfziLLOfe)bDG#{X;Nbxe^j%iZe!@#H9cFFfUvo% z(xdXu=t2yBhDN*}M6FFP$Ftb}gL6V2@`!1Bd!*CL^flNc*3^rOj99BX-Bwy5wC(+yA@_<~4`N$9vVXM_ZQnimI|6a}<(<|rW znlSDlv&BgCl&hNW5eHjFNg58hA`S*XV~KGGYn2}2EpEl+RzeAItF{3zij*VI(bhIX zscWcs51jsywQqm&EPR>&tr~t)RMF{2xl_E;IPk(E$@Z&!`TTwbX$x0 zNk`fOQ&o1;AF2!+eUE)KFX=ME{2<`2LYS)xkZs-oWWNd^Yxroz=gbO5jjnY>p`6Bm z?2J%%cgg*7zpeRTvVJEBKL|wIL3WuGa!Lo5vv(C8)_fCcflZ)U>00b&Ffg4;&Pms7 zMom38@B;n^hy^_CkC#{mz4Gxu|J0Jb5A+7x@*DsBPOJ@eSSe;s>tFSX%Knj~_Cudr zC+&}1qiI|GJG-72t~D&A8*de(@|c;KGVWk`VJPiG?k;r^2w1B1+!APm-TqvN>fn+69|w`y^IM(;giT6^^tkM^NMft3HFHEC#eLhxQ9@ zP<(+c*=TBw{kcb3n?+E^R2DfVo8H~?*?dHj4Y&V@SM!#Hzrp*;f7S10L9*F>S$J;~ z3@}Qju;DPaqE)d*>N=Omxd}4^FJ@hCq=#*K#qn0#6XEFLkS*=pLyLVwjj_dgw{A<~ zJF9S4i-?3}H%&J!}@ zm~pJeVDvW6I?aMEmQ|Xp+YiBuS>GJz;#rs4K~fmZG3*O7)R18mM-96e;)3n;ZPWHn z5BJAUe&LSJ^lMGG&zm%}PPy#c)=;!v4o-hUPKeRPJ!AdF_C;bQqiE4y>)Gb>rhnRO zpC;MY$!rK>=AN`ehlT%JqEzuz_aB?J9tRZeoHLQaEd6HJ$X+WQJFW>BJrRyXma&Jx zL(#AL1XK3Ho?3eHHjm8i6J~SR8;zfO{ov@Y``S~!^jxo2+W7Z{zSMoP zCR5VZhC3voQs!9NcJW`k4bf&R=nn3bbF#Hi_pJtSlaLOUO~wC*oEPOat; z?z_r?QBDY5xShH944GoY*<8ei>*T4Mb)_YvE3udk-mJ#^eb5S!w~cc4#scEzG%2_ZJHcJ`><|t^p+<^ z7Q5Xg**;?Un{u*qc=4N2sYj()srZ-T_8_~k;~b5s#_UWPdG($gne7-4y zDY3d^#@>5ATfP4iY`c8a2^L263335A)t7`=4E7NdJQ7b`#Ju&&L0{Z(2SeMozveG| zVsz0T{ZcUX-op6n2k*Ai?wj_i&X>J@=ifSi^YaU=;%z(9|DqnAbl&lf*(b8Ojo+mQ z=cb~I-NWuK={{4+NAhBP@vHKRQT00C^GAmQtLl2W+#j1VVDClDunW1UeaT@lGAY%B zIXBjMs=KpWh@Rd`-AfN%3`$OC{OQOFM;m=lm+u+f?3njfU3Pewz-{@I=MTIama^m> zi1X6^RevBVT{<`*3id)Z|70#r>exXMFCCrD?l`zVJ25AMTxnn-sO<4Oum63h?M=DP zgdXlH$9)&#p+JEHE+Br0w6kjk5~KdYaA+RZUBHyIrT`T1z(Zjr&EjVd&oodS`F=^z z;p032ZmF#v+f#O=TeOLX_F3t%H2W&{r+Z}AGWCNU~&69zGSvo}83~D+-Tc-|a5?IF%WhYXAlo*eH zIxPdO3El#G24sdM_!^m|YJJ&EyDkTeAl)XwJIUpcZacWI=%#IL;)oE@r3B@dc!+IRnCUJBgcd&dR1sODuSpYzn^6qwP>A}MLURBw4%Ph_WtN!hsHI^4 zM5Jn9N&Sopib*X_YdB%FU?2s^duM3H{!&i+#!DX)kCg!#qI8hUrwOy%vI~)K^ztcFmwOgP)W7O@!%e=k;$dRPe6a6e?@xd55lpzBd zU2Ab|+Hkl;(+q;u31vuWtXa@P3aHsxJ5CY&KC%l3SQ7N>X#Htsmxi;kKTcON-v6Yc zJYybAAztl+KlwiJuwL;FczZpiNmT;VE_G`A?TYUcsf?0t+u9+3Vh5Fm9KjlVLINne zE=Gi<`jH9~Kb#^ZF$1mnj0LSzIPF9><7y;^=+O6tI^l<2Cq?c{6GOWycwqd;I@7gO zUkRH>6P;cf8&~~mmQMViu2y@DLRR<-auq?~2x%*Y5bRV=3JLr@+!Ru5Th6!L8T({b zx`V4!!9cv4`MI<+wK{Z99#9UF3T?)3Y5I=pIRTb>b@RGIUYn=Wq+0tO3OeOJR1xh^ zsTTI>6UHedv21e$Dom&X*5kZx#8VN=?Z_QxC7v?+0&(g33?OFl(FmtRk|-5mBi;!4T4l8zR-BA0HJ2s}77v4uN=uJ78tINBhP8?c zfmAcV&>9)LYhQ9qr*9gMkXCUP9lxKAKx142&M9DqKBbT>dgUbMIKQ{>qSHgZOXe63iJh3@=+6&^*D^dLC(dmCV)pQ?-&e~(mYQBUEap~aG-cE*{(c|2Rfx z?o!ZxqMW@Hu#x=k+`4e|lyhpZWK0zn*H1(jgKM^N_t7tH{J>C2)?PLT^-^eU{j>P^ z(I@>Gr~ftoxPSC@ci)pwz8}BppE*#ncaTWr{_MzrJbQGmgZzDdp`)Y2{%uk`R|9wN z%)xz^m*(6mOKO&mym^}BMtLbaqLIZgHyXQp0yL_?8$IlQ`nAjY;K8pJ9Ix8x6unRL zQVl*M&pBfU?232VmgW&h-Xp{yF|XD929+%J%zBl@U{>sKCJgeLGA#jcf3iWo!zQu1 zVR$dGx#8FagFA9ly!iEzXf$lvod<&eGc zP@>$NCn3R==;B|oBEGbv{80bfCLxNiv)X^+m{08Aw&Ty_UVpL^FAg) zA9?7P<*D)*Sxv!j$1=Uo_xx%mOB&AW1nXWA$K0+nrGJ|nnz+ZypZT);e)XloC@DD4 zlnG9I79c7&3*dY4O|U!ee&~|_oi}uj&Z3-NkT26x5D<7=ahzYH4vdj6Q^;=BYcQE(U9VFaT9~z}3%o1pi zmF8f9L=F$wH1u^wb7CmC&pw*-@3VUgJ;6XHSr>{?b!j2UxrF5I9v$|J`rg0ueFF78 zE%^LxuWyVmhZpJ}`bUc9pVp7CNBirJUG=R`*T20mL+@jgE9i(|;@kGd&0#jX7b&hMo;q&&m4klqtL;{^pP0iFe$)F*@g27( z77*hjtMOj^%%ffJMhWT(puX3fW;1Z&mk$O75OGnI-*JZCa7a7xOHYy9;#qfyZVC5d zDP{7+$Il4XpF4!QvPFmatD(w)OD5s=2iz3n6Q$T(CQWM0Aiq~_8um+M_m4gpQ~}QB zKrER^HJ5l`r}wiPf$#S(d0wv8d`O%9=lhpjvba7II1KBvak@>V$6YlLlffXqZ|}el zwx6h&%q80vQ=X^teN_LdW35NzQi*l$zBROj=fbO!{lOk{BJb0M!nEVp^!;RT&_VCs z@*`cPSAbdo*C&QfZ6X&408?X_c#V)@Z}1BM4$_HJU6v*86JK6<1gf=SX_GxQfB-wB=BJ9qUlXPISD` z-gZLF8Rp(QXAMv`tq3>^n8wg%b7ROjpG~QT-o*2hf>?fvW%~CTwbkanCRpp<^w`1k z)@?N#2Q&opmftW=1|DJKpyBf%hG-y=r^sd zBxf!uCa!maR@B}6we04x?x^ZNWUKr4#ySn^)hnkA@Yekgtusqg#xXGmrT=OQ^*%qE z(&9knIQB-X@jyBZoqUIKA@pc;8L_pVc8{wzQi6vR8=%+yO}U>A9z&b)%3;M8__>HV zCa&4Y8*y`YUf921W+yZ6Kf4*Q|0kT+$OZ8hc_LW^KpIo1^A&cI>r#8Fjr~iTFym*Y zT={L6mVA)ixM$Y*HVHMH-0PSw_3Q=_k7cC!(1rGNWyr7=V(bX3x}?1V%$u_O%*N*M z=A7?&;J}g;YFywvkwur-I~B`g+0#B)C|P4S;vKj9GCzmu2S{xUIx4}1*ZVHaiEJFT zYrim$hgpUx336&y#y~#&+}hy7POmiKrfUmvZI!V}0K8)LDHDc0Qun*Lw$mfpA+J2O z0H-i>Y4lzEFh?-9G+*udwDhPw?w;;<&JFJH+s?;0eD~I4_NW1;4W@?T{-zthzT}=@ zJ!JYV&)z!|1k$`8+j7*1J7pMmwr7rhve3AngCr^nR`^IF_Kk#D*&Rxy9pISb4CVn8g7hNp_r=8oiu3qj8guA2{8r;ytDD{GG#mp=dX*RPno_pW4bU4d%* zesdYB<)4o{2i*9-TbcjQ!NC7S0B*9Im4ou3LV-Q}z#jly&%aevcy`ky^za(YL11A8Yb$EyO#g?hu=6qe91q& z>*~w@du{#cQrjN8lG){)v~lNjuUhLLh&v}wN!eLUy*09GEg{@}y6hG#(R3^1f5q;} zom$d50jVpw*W^%4me4eL8?B*O^R@elAU))tV}_k^sRw$D*5RVHaB2qDVs8v;|cYXn=lT%EIwjL&$7 z5_FD)QNWvISI~;#Dgx%%SSQWbT9Aw5iL|yOkSFu`bmja(B6`pIx+k@hN8+FTqaSe& zg=T1(u2T(u!|l(dz5PVnQ>=l(zqf3(2{{b=kF61qO|l#A$s*R=UA7izZGV)dN{8%u zFUIsOqyb)J?6X5tdV;9fux-Xyw&zsFN{6vlUC`L~39=L#DJFP!Tjm=d?_bRNw(ov9 z(c zE5WraO{^abjO{sV&wOaoiV8uhZ6(U=qQ)u*2$sU((wcL-NB@fwN4Poid5ffSxO1gl>;u(u*Apq>~+os;8^>z@4K)CzN{o^ z5(0YE9S9W{;Q`|rdRWyfcgVK@fi)0YtyNL#0jGDqm;W(Cdm*IPLRCuTK**j^rfMRo za_%uT!>h0>dR-i1aXF0uwh7eyjd6U@?_fK(^YB;hO+kZ)9A@qsD&saeV@Wfkh~jkY zhmlLbr`9j8ANd11=k_?Ps5*1uX|3Yv6-KHpwZ>1~C$x>z{yFo$eefrJ-$5@)hLOUW zQ|U;wbAe5A%egFE=fFM{!~9J2X-5YywRzYW!+U^bO3BD%d=D8&mfyBC2}d+<^5WyG zRe7qLl4Iq4`Qu04Tt3mz|Hg6k`nNP~zq+nD{ihMc3COV8cp=-l8|T ztWB@+Z8AJuC0Zp}=|IyW?dq>T#1-7`$3TP{&Nqf=SFDLzI^=}OU8e}4PAQtm%3ZM? z*zo>(>x{ZXosb51)Q-?u$)hyBZ_DtcHY3%S3FvTAumx%BgU^3Qjrm#vZreoFkhPTU z*`DEWw|#G&>q8y@&{;_va*e=U!P%@jyDP4x$)^Uww<~LRMFdPG&kJSaUuQZ?vlCYjKuP4$GCc4ncTcTgg*rW(^)g;scX% zX%}~@ofT=2L2lkQszZtCtig$ZWlL@KItf+@^w8Egx>j?gFCty*3#bw|x!O<1*aOi0 z+NMEV?yyPFcxA-iMtAOtA5ckD!?8@|HW&5Yk2RxnPLga@ou}l!>v0UTAPJn6VSniM zjAnpRaKi3CTrErAXhgQ)T45x)flwZvlttVS zw$1hD)K}{7@27QD6IX2|Qu!mH?%VFEK0OzIk?&c>0Bgvnd@Z}NA-Va+$uUkc92&+u z55`>oC+@}@rDI9yl#`;o8c*Bhr-{y}M2`M34{ zpa1GdH0^-vx+=RlGn~k5Bb(KBc>&52qkxOCjeNeA5RA6tsM>G$+92jSiD-b=ef1bL zWVzl8bXbogrUc? z2oqWXB@7`OVC6{~;YtdkQH|r;q>ZY;8;ANv_5O_`zM5-1A+C+M>t$8+`;Xf9_}e`% zzNa4dotQcw_hr}?;I*84FqhpdoOMPona5t0r~B5)ooi1Ex#o(0e!TzMQvCnp9{c|I z7mnHw3|^9U`iG0j1(%KJxG`7AnZ`En$DeFf%)6zOC*q(Sf2%%Nj!))D1!ctHjf$nV zd0j{3HWoFhBCh|{zkqCvPAJN?J=j^;h8QzRKhK117s%u6G5hApU2({8hVXW|iz&*T z_ehU9+Pd`>;-xe*+dAET>*>)wu(Zs*tV^(5BYAc~Jv&Xg-ZeBqMsd&{mBh=6HviLQF6r*5;a);QsC^mv#B$rw#gaX13Oh$2} zTaHg%U~c`;kz-*zm>S1Q*%S|7SUzfMnw5JSvQ(Ta6&t0_s8QG{ugGgs5+u%FNp@5v z^N&fV_!PHN<(gXkb<1(}2M^!!sr4^c(^Azs(*%P2NQpHuCumry_xV!siuA4sPp>Fh z(nd9tMk-cM%2X0s+^nj4?8k3OT-YiG9R=n}kFZ^V2*dE!a@6wwaxc!Y;$dMes)oQu ztFpt?MeF9I6?DP!qms9)Gyj8nNAs=eg^M!nhz^pDO`|tZvl=DAeAq6N$gGmsD%gWk zU4yDvN1@3md0i9uNC_l}E{n9iRd#2+Mq{h&%1%dZx~QvXa|MG*>Z}e}SS_lM}*w2Ap4jW{l5OZ=zXfYh0KAL4WEk#74td;ZciVO0rS$IT> zuGJ$Q$0I%HpjK1a`8vp7{`UQKmJi{*ALXI>Fm7}Tu~SxMF?S|9>%A>AlTE)iU)SoB zb@(x1G#0^6jq1ZqySBRa-C)`e>JJ1neo#9Rr_aTc4pp7_QMDcgx?&W`?I9##=tFCx zb8?q3Q7B#!*DLDzXKsRO5J(HYdKR%HYZ^;qq%r?Xmo;)3Opy$+`AXo3YeWE9a zJPgadbl;-qbRL(BBY|D~6ZQ$a-`i=|%TZ8>=j&0e&eAkZlTvLApv`&%P1|Yo)$P+U zFaC!yO!qCn43mE1rH`pjwPebYA|*9Xc6vIBAKyV)A*5`9oVSw~XQZO@Yx$n;q25H) znIY22ePFWnTW6$qBr~Ejy2yOkYTIoxZDneruIL*91_2gP&y&MzyB@l_JHgo&y8Y^k!Qq?Ioy`x>fwpg5>Jik5K zR_~gLw0bSWcrMRt<`o7aabdy9IM^4TkI!rV;QQpve@6+k2M(`hoYX+&Bc0IkXr!=K zFER}*Pw&ueD4Pn=i*$t~g`Ip$zFswLyCc%aWlkS2Mcacgwt`FbI!%pp73WmBcTNS| zNZa$a%kFEQx3$yR>698B*SzSB*A`>D8C%Z$%uE#JVHAY9TJR~64uW&`eR1E6|CaxL z@O9r#yV1FLuTn@Jc%7hr#hmD&hS@vU!+M6^4@}e9X73p3j~Y1Hy6v=33JkqjORmaU z&qHwb&zK%#(ciG&lJ(QxTk=G7Z6J6`skme3d7gV60T*u0Jq=sv)vb5!IR3QU0U>?p zd6R$Jpf|VL+oQ?XA}6lT*K7PSw^6}a(nWso$6nYQSWW+x_Gp@myR?WIS1+znPHe)-_!LQ zXABXZ=^=npY`tQ7?yw2vS#}!gi=Gn`@MR?qc-1u8?pb$wR(Cm$EdDg@dp-DL$Wv`V z!TGRYMz4BdNhUOw)Q7T_j8WX0y}s$re5iOH<1Nrfv2D3Cel`^1a!8tu4YIxOl)HIo zs)sgElD9_mQjro- zPRR=4cOq_h10WOe7yWzv`WNFbF5Nrm`S-<<3qv~^xa{`OlcrHZbrnQi91RO!lkdt4 za!zdP?5;|uIE_25%}ZE@l4ElQd~CM;s%fshDCH1orQi+T6+7KgmA_~2QK(wXY(ptD zj8hY5)?YC6yXQ*xyPL&;uf)3BtZ$gFQ{y@>7;E*J{Ot(3Im3ZcW*^GOcB4OdrTPBA z{F{AWUwS`yx!z~TM}w%ZuE-80?5bFo3@`@aApzfzQc;}EeQwX%y*pOc9qNbxI=d@QF#HGSi-14TF*eNctmqLPQn zj{muU45&eADK*Cg zpX@u<^ZkPScxkKMb!P7Kjf?V0Z!wyj@$9Z-&b~OoXe;IBq_IAgC%5W@+YZ=_#n#U4 z(Z=iJ5!)L<_6Bj40 ziQHGr9%JKojPHmecW)7Uzh5}K&fIzT+okX3uF1vX^~J@GGtrkLu`Qm3x#nh`n>T8y z^&c3*$+e#`yjKnPn?qj5gS)r)ZS^0#)Cp_*!~XH<`0#5>@A(;+4{f+7xED6$YPD-$ zdKea(XZY20QcSeH-1~0f`S$K`QFbG1NzJg;W;fmg(o>29A^|>!5@+rdkBDyZw)97A ztz$Z}+p5uT&)*-!VH}S+!Ta^$Fn%lSyHqDEu(Yu%vOC4A)r+Mo*$=(LJMGEoE8qPv zTKxCXw)5NG47SCSjL77CJ^x}|(L#2M(LQIc!*Vq`jRp579=z*fsp)O+8@O~}e({09 zKkfX}M=$yB56<{I{rV;UlAoEp za)naisHtG#N6*y5(a=50IIOwEHmYB0h~jYjrqbOP7!qF-&ztKH zm+or6XfBG*3w(P`NI)XR&bS{LWf*Hx?BCF{RoqpT1RxDb(PqM=R|@~Oy;68r)7QEX zPC*NtRVsy2b(N4}F_h-j93(}cfoatlu*=2*`u!F2v&Nk4oo?T0{>@NnU14i!+sG!l zj<^xTun=~4Rw=U7B)cZHo-wEx51N}iyW_$3HPxOhYHrnAzJ>-~eQI*0nVyD5U@dx{ zch2+pl8Pu%YcdMdCRIyYl6{_m=kW7}LgfbEk2j@TvA{;jZsX zSzMgkiE7-@k~Z9Ev>7h_BC{2=11(#3lQPsJul`+Ay{XQ_B5?Rq?;wDxH_#fC)KBHH zC9ITCN;qf{k|_=?H<%%o?C#tN@j;JRf7vV*y8fnG-PXB76hHJvoQ>~0-*wQBy65Y` zw!|oynRE~**$sxtG+Ae?Ic1z1+G0PlXX8bq>2KY$@%><)D|cd>PoDM!6RTEN2ErE( zucmGa{#Qx|ztkM zzPP)(yW_C=aWzZ$6-tR#!;X<_ZZNbB#(JZNi;cC%$gF9KO3H@yQk-%>02Y4ncKQV# zkr)Tdby6m>>J&vJ5V6(j7U~c~+GZuSX4u?h+@0EJ>bs8>j>}TvCxpGlJXo;pu0Tfx zp}*X*LfccpA*GJ(Db4~QA|weocf z?j%u-V-Xf(eiAn<+RkyQ-vSBLL>C+yVuR>tKJP0>1h3RKG;bDo4vtPoEl(3#54cBI z3A}IlCPf3Nm@)EvZC%e{Q(Z1JZIhY$Ij<_`w>sNAY+8rN4S3814VW{ma218-=Y|HD z^R@HwzH}XVqSXg8e4r-(^M#e_pg()9|7k74TR#!6HXCwTO5h7!OK{sMKUHxTCI1K6saWu1l_IVx5b*@ zxpssg27q*YcQEH?mtwb~UVJs+JAdm=NLy*PSoAFgEnKrGHR0G=Wje9URmKqdFH(yA zN%J5sEoV}n4K&elsUGCc*Pp3R&CJZO?llb8z8{eeqan4=VUg{$J(R|sa(;gMm9Fbi zw0-e>*Hk=(9#fxqk$FrbMJUm%!j4pJwRV5=z8JW1@VXxlemzd#AGbbUf@LU)`d@Kw zu<#27k-u~>xKAZa$XT~vEB`$MatG3Fb{z`bf!R7P8fDr|etr=-4qQFdNH4M5`SYG9dqiWdn~5{TMn^;orJ z7_bfo9{vHXsN+)~PpML16ilsIc~Da<%SsD%T6H{KRpc2tvm08AITr~Ce|2+1RqSYQW%*i-mChrF31%Z#JR29i|9#H92F1!^WrNXU*>jw_5sC z>41diUpjnZ{L>QadHgc~8|N6tb85bQ`-OkDOlTsEm;>Kr*w*S&)sadtjEE+_){D=# z*DlLdfFHB+B!l5KrbJYknH($E;|b&wAkf-NxeMWEbC<$byH>3;H=r*(ykLCdTHna_ z!pG%~@QdM2`JW`DH!!nUKN$gD)n|WWvM$5|owxCrF>K^IPqpVSh=)W+`-6pd4xD)E zT1;i{j~_UCK0aLbRt6H&6{XX~irM@zON|*$=v5|>IBRvZOH99HY^b~HRMsPk-f&oG zph%WJZhHC)GMAyL+GuFJAC}f{%1vB03(;{gayRnwemT-VExsDcC!^!h8RS&YOS2ArBwf)}uAEg67dMF(b zPNozds&?}nT=241mYude&n0g9% zIuU2n&?;|BF$k3M#(m+JKDI2#xf15RawG}_YJ?KV#W@3RuRBa@EN%A5QuwMClZM4G z`p8R?5qr5J73!uk5~$k2PTU?vLV4_GKvZgKfa#{B@%8a+TK(04Pt+fJ#lK&7 zQ_QdE6&4kww^RqSk_}oTLpmfUPzc!rB1dA2Frq%W=frUMR2Z_c_G`}bBn~9MiH6|a z+PPJU{K9b$k)M-kRg>qai9ix0l&7k6qY5i^0zUupkEt={PeJe^)y1?${bf+p!JQ~q zZ26+F-&5v*K+>rAzf3@8lw5{U3lD{3Cvv&qeEAtC1P&??|E6#Eb|`|K{CrfRUs~gp zsJUH8IMe_~X@6)mfp%3H{TTBaJTiVojaB-nz&lv!@} zLWjUMidk9!%x%E&?1~~M@D=n*w_y}7N;>4Y)S1f4U=cty+H;8-!*oP^%(cT2IMh-w ze*1Ei@b`=VP*PEtve8vPDOFt6f91fAW#}4g$3bq%9Hv_5MJDW3nJ|w;15p!!epSk| z;v%=O9-i^3w`pcgF@zeL&B_7osP-v6gwdIGtF03;v`7!cR`^Y32g}GMK9Pp3Im`3Y zqGHON3F)!iTHWmM-~`d7k@7g>F~~+OxaRrQu72Y06tS@?Ohej4cB}`%QLXE&7pJjJ zD2vw8Qf6AY?DUq*uDPCVVw<#&9xa-BFmO6L7d#ukU7xAPVK_s^w22fMiU_m^#cD`t zDQC_6OY?6aW+DxXqW0nps)K@rQj#vXBEM%>=Ao@@S5FxoW&VWMo*4sP0xQa zPW%2n0hK)bJ9I7hvJRco`)jJ+J9fh!VAZBP?NM3AN--NnoTAZAei`_HuyM%QkLi(h z*84E>UOXLWqp#IxKn;PmpJJD*=&6X^ECGE8M`p=)5m4@pCd-Y`cIuNa{>J1S1}!=P z;8k7}MhYm@3G?YXvvjeu+W8sh@QnX+XZzEU>plR|amiOOBg$h*jo?QQMKEek*Fr`` zAq_WAGdfxkL7%jaAxMry6#xcj?A7GYks8MqSgD8~q3DR?m0$U1id1UJCy{)`3xI4W zm{@{&)sxGgg0vI5%P1!qCl@fC#2i{9T9y@!>-AZW6Z|r}8D=P&_>=_xPOuDJbY(Bf zOekDBPB$Dyl5k?yN*n; z&O@=6!;z5sm00E6v6`(f=jUIF<9a6Wlm$FL^BlvdwBS*KCBjaDLD1-*{ps823e5IMbuRcao69rNXC;n~R>vq&l`vA1s8*gWr0z*s z(JOe->g>XfMGQkFgA41lWii#;A$}VmbKH3;n4LnrK)2ECOkUisCOA_CUSa3OkmCCG z6Y}>ZZ-cLmr)#gp<+u(bQwH4Q?<<;A2lr^oy}_YKfL?|eRBae}4kctb6XH-)=DnW7 zD@bAqsaugmf%mjX%T2aAR{4Wcl@Ug}1zLawFBkH&Gb><|dSvTRW*)^@2q9Xmx@P4J zZGdyl30m^JC`Q;?HC;*A)_KMJ)t{mW5ndK4Tk#IGX+fzgc-P<{KK?XtOOE* zxvv`b*O2270s++&J`(vD^&IBf_H@@O_+vB}P z1(p0rh(0wSQmnwx12C>5@l$cA1a^e12EBPUnpO#7iOz6L+{%g-Dv_kD;+BZ4Bdn={ zVzWuZRqY2)G|?Q8M`Vvoq*6fop*@#9 z$+~J?vw~_A!?CrPwGgufmBln#!V1|b-?KlIN@v*VpuC}$M?#@MN~2LW2`WE3r@nB2 zW}l1e+F(87VxYTj-FICk?{<}>U9VAew!&Gqc?aMLP82X(Xf`RL5Zljt+fYo47}9BL+N zK_v7bx@#_P+sp;DynCtL_*tCB_bN>{vb0YFk6?s^MjSLt+dh}RW2WOw^HZS-tU4PmFG9_*X)8R)B)fK(^`celMJgKydsj$d~DU5{`o}eJV(?skvPRw zWkN9&9Rb~_BblC*j(%2R$_`7bW$nq9sk$;Vul}e1ZKYuK9;k2!-Vv`zhJ<@2Y-Vey zAFbWNqUSq<+Eg94_3g-@FoH7_BYHXh2-`49})Y4z9oUio_zXA-O6p6$63t3K_tH}LXQ6xH7Vo=##&)bnR|Gx3TkB2dt6 zTlZQihyXtBfYUU@-BC()vj>Xnc{j@qZtm1dFU9GEw&6^45?mRPm$Je_tUI0)1+#uc zo2QZph8YW9hsh<2vhX|+9g^^UDO;TlZ7Eg?Ye9ADRr-l&(2eYTziIqi$?2J zZLWm{IV^I{4Ox7%$^ZIqmW#dlhTI6%5GTqCuhuQnIB~Sq1Y;{py^L)N%Rw%;VY!_a zG?(<(P-{?IX6t>J`jyXci8QOHJk;S>;k^^N~SnG&=pQXIU&wsxtm zuX-ehl3EM@p$N5|yg!6g8`fk{pN?bULM& z6SG$Ym?%L%bf`L@YIT=F-_;bba{!}IErY5+y4E#UH}}AX84KWZnRA@NMLCx4n)ZU4 z-W8`?iUAa*s8_%$wca^nrx+9I?Osiv%th(8#UMt(uTP}nSbHagAF}6EuZ-AFNH#nv z=O-uA^;()fnnBM+#}c_-G1oKuU=Wz70dFIx2j3XK&$EBwk~ibg34;XVQ?)NVa;LJ4 zQdoZ06~^rlQ$u>v_W6UOv{V%f&QHbQplfxnq7UeiZP^E?qq8PbD55>n1( zXXR|ClrN!MN0y32n@e<0N+^WkT)PP+(lH8LM*M;q$c`Ro5MOjOMk z$?qy#7G2Qp?&n~k~x?<%}0*`ic zBU4T(tt%%8OMq_4XFjLcRLLSrvNgI8fKo|NN>rgx4`FIV6nSvZ<>A+^9^7<8ZD9s& zuX;72AZq|P+FO!3B=b7akYSB5@10_9$U}=xTdaq(AOI@}>dbGhGaUq}MGT}!9UYOy z`C`$IoqC#if$u3{{zyzEJY@F^YfO8)@*ks(|C$dS1Z?k9#|@>1{x{nhcLrZFyvovS zX;Cu0pMg#4gYSb-QmDENR<~8;Lu7s}at_MbIO@<72R00bCo%4_mq15}(03+PZEOXL zt$>C?QfVGBAl&2fxaUO*W>pvwmPF*LU+wCjd~LaxE3F<&-jdFQ=`gQ=bQs8$k*KAx zZ;i5wKbF)SOHRkgPtGdN454APpwSEqWkw>u5|iiVWb~eHJ;~Izo8)TL$n>d+|U|$%i@ItRZM}M_LE+O)w3;7v4!LHZ7gMInLj`r zBXp<|05!d(JR>2LkVx!3Mf>!CsuxN@Qf<`>xw=TjqbalVHAe+o;>nWI7P{v+lMRod zWtA^#Rn2j3rxwf!s`4(w;8pEIcE4_&@Q!-l-AnA9P|ZSXc=Tyhr#ZMO6VlR|^{s<>$c$wo=H3wuVQbFY__YWgS$1=O< z^H?wq;l_&eYoHl5XwuU#WK{E2%UdG%c_Zi`;x531U?yr&V&#kjptCIp7S2R!>Uepk zoFf!h91R|B6pC@v#;z$g<2rqz=A_f5^cm0rrgh5f9y`*pcG27J^)HUsw+6rH?eu4& zacmrM6Dj^=U9|!A9&1d^oGbEz>$Cg~|=1UyO+UCvs-V^vm@ohn}Q zFv+&siD5oA18*V^0}%4{GPxg9C92x*N%c}}Oyc%w)_F;6ocd&$xT2aO#-eZvk)j{X=`49(rQ~se;>=2C7nBcrXEfZ0MLXIFZMG{jbpi=dgI$L( zh@T|zY#mV(Tg(rH__*wRkk4y|+Bb>29yEUZ*F0hw?)T0`k#hSZ%2kQ0%0zoBmPC>B z4H)Y{dRAF&T%+By{f1x>AsSW6>1;uUbSO+v#UAktBzeNC>owSO#tW@X2zv~*jTcTG zS5D?*IA1RqRk6dRgYNo{@wwp#d)8bil$09?{v}%Nv*>{a^W}<6v6Fqnv+enqsbUFCF_xM!P#27AhS*|Ojh?OM z7UNpG@(S~@XUzvf+7)2A1CnRKFB5&V2$AiU!YqVRCm|{Zix7&7SW4Ucl}{$+E-N|9 zx0L~KxPTY1OJH*NREz@30VntLs<^QN_3o15f_kz zQrsy+Zb1Z!=~?{xN{=HdSS}vAJTqV zP|7!K3#FYqYoVelnse)8HSN&$kA7C46o6u>F;bLVOWn8t>Q>P8tlB7o8F9E^J%}?H z>BOX3DGRp7JbKz^Q}6yLwf_f5vZZ<RC1V62%W<_lb(SjjaNf-|0u3MYvUo;0d z3)TZwz92<7J+c+k;f&(j;)afuuwLM`bKXw)-#-c^6Lw(o9df*BtfMnHFWh`WFtKt&1D6`eB@fWYeD=5`!o~<8#%LzZ31S|EV zHh$<5!X!k{A2x^rLf+2%H}qY`iD4#_m8oWvLXKHN0f_txZ*KC!9QevTw+hgUk}7`G zI8$S&CN}VDPwjy61s{+#9iz-T*g)+&%F;TlV)tMjHEZhl`rIYM{J=1rqnq6=*t`V#^Pl2rjD9vDfy;(1AspofWOyyRn=_8Rp3D%|1qT_wMG{f%f|BCtEK}Z?yN;4 zuwxCvgbEUv3U_}Z<7`aFRsV=)O23iPESH-|sq}7PrwYv8B-USEb0qsok=@`wrccg0 zAJI>z6EcVDQ|7~VtfRKF$bioKBBRRNM-|gAgss>Dx*Ho;QyxOaXA>KpNv9Yb^F}dA zCK8g*Mql8`xwd)oD}-CjFNyUfV?9x6g(0Jzluc54z>Nu@LAWGTuc~3T*rlf=uFJ?x z{d_X_KJt<Sr|yy+HGGRp;|*Y{)2@kE6vXs4qrX)~RVk%LY+-ReVQv ztvHPFpmb)kimjBMNEOvnVA`XM4{?JxN{4vfyKDJE3boSw*=glHs4)s^Oo`rA?&Z0= zya5@>Sn667)Vi?}31gg&aFrP6#0E)`#)gtwfMB$b+~{X&pD5I*$7nD-3}{BbWnjOs zVWlE)Kh9j^Qmm9P) zv@cWJ5WxjQAw3>Tg-9yTPu*pie>f#aBH4}=)V^Ds6ziu&`;C6IR9C?{uu#apsf3di zc}Z+Fk=E%X+&T@7UM>C?rNlt(As*f6`Svm)T3;)js&=76beYqneFZzyJ6iG9T&I3! zMN1{wDz+KhhAJQ(W1zb2_!ifXhm|0(iMyN2!(%+ z{1wPrjEW@gnhD3Ee_ZkcYEu`};VIZ`NaS!5cwHbSLx4$Waaar8oReA?IVX(`?Pl*` z!{{G2H+lY9)@fcH=EmS=Bl%v6$ekovF-06u?`XRtys5Bw$e%{ zQ+Z(6c$q+g(sn`#w#%+**>zevU5sQ2_X9Oktylb2)}C)Cs7R@_EOz3mDC#oLEMh!W zqZd_3!2~bn)KHyx0{1$}m}j~+3DzN=5r3Zj&~>-0IXnEeF}}3$yWvYXw5QZxjK^_O zd6u86Ggn~Yt2A_^7ls~Ytv9>%lM{vQH{a{e7@M8&pqB$3%`FB>sy!j>A zxFMK(-uOi)P0FR*e2y(v!>OLG&g%}$ zx!o`>BPKY8vzCopWRXXC5i8Nx|G%uge|#I)btgI)!7kFeyO_a{8bTC>doh596eS8E zDKSiBVFp8KNYgd}GA^Ud#v(-7jQeS0(r%lk?`<)|p#;iwEz(NExJ^S+v@3hvttGpu z+s%F=GIXO&-A0m~tlGD)v1Fyq_O)F}wo|v=w(ol`NQrXXxBcUdC;$ZK$GP{OdwzV+ zx#u36uY=ekG#~36z)&n~C)$FYEA)>(+|04QKOMQrC2Jp`?lG*Gvl#pJra$-z;r0^r zE$}6UDQX=onIbg(5;~m@FapU1upXH}7}Z-Gh`+wH{NoVe)W{E&ULpp9<&BKcQs&&MaRUKHypKdI4~tPSO8_p=i;8@H+JS|W>9%v8NT7YwJfqQ#U%;rsNiN3}(vJnm3g{SKqaUHre&hEgySf8Qg@Ux`3qe{4~)F(3qwmid6)` z;xKL_7Lj}{SXsGW$TIhXt4RL-2Lx4N7BbG0>}`H|>t#UV=~6nqOvp+pZNNIN{zDsx z_Y$GRI6?@-cK?C|n|)&TnaKFG?xEg2*1(#ZunujD`Z7y?wQysIZK*vow2*#eVaE%6 zNAru2V7)y?b2aPona1Y{e*-XpF75f($*`|?d<2Aj;1GHYtVi;B-JjqBtEkrU4&irD zUl@EyGt7czEMZ^Z;zW`WVx-_L3;s*2zk&4i{U65clzuMVc47i|DZ{qvXaZcwl)5vS z5-?QihAgAdOqD3I@M*q6Sqh%NjoGiYtl2^+kOB-iIzwDUd_;H!ovO~!tRV+kxV{Ll zjj>bN9hpsJa-CuJ1?7-hr(RaM-z2*gaZ()XSum~9zak`P;!?Vt++^6Aeaux0h+E$cpClV5YJfcot^;!^`+YSCXVz;I&Y3Z z1KfpD>|mb6U~Isq2%TUg>r^z!XrSi@Tw_Fl%LF|vkXi@#T$skPXignOy5Yo(38w?m1I zGPv$BxrX6WD7`e#%Rz+9eyr65;6>tvCQjL7fB>nH!ug_+z5joRC;sTjmSJN;hM_tI zKvtBmyfv=mA2TI{mCn;F2FI^{K+z<-K7wZ<#{uc{g2QPEXzhGc`5}ZBh8IhHui%YS>v0H21bzYR39uK!#dNF<^8LRIn#55Z;_6~zSb%HK z#$et2hz~eB>cj1jDiWHdRJ<$x8Dy_ODs@5#^X9j~&=ALXLGpr&1zU_NA{}c)*d0ub zE)nxesrCdtR}`Lm@E?Wqh|c)HgkDF-Jgfc^i=S5K3xsQf=dgw%lBrnImCY^5osE`S`&as3(cE3rJa98x=(_kkJyQ?u3XpjZY_WxNvC-!?F! z4XYi5Q3cg&vTX{&7on=WV32VF z(x`Syl|8QgUsziUqYI%Tj>PB2P#LSyOj_BWyF%R$DT9ObT$HfKxnWeNszkX9C^?v% z2B(X_sG-D8~R9sWLK&L>SxMlz*-%rr%K%R>MIONtVnL8v)MLQX5a zRM#U?qRU_Zlz7qyUPNcE|4vj>K$p!awK6SoUZ2T;Ng*OYB#^{>U4NnUH(PG|Rr~_e z4-JHPxb06Ax`p@9Y*8?VU`}v8PJlWhiH-rLsSR#fcWnFqx?ld~kL1x{vWaAV3gP0` zc!dJM)Fwj>Er8CWxpkXbe(A)I`b@Y4XC+7$-8x9s&=+SvB(t3qDoo=tc$M&;TgSD( z{HgVPFoUEfGf8X!7=IO=CPxzL6x#(5$;eB<5;goB44{m?L?i&Ls%-S8Yo-zNQ);ec z1~Rq%+0FU3)Hgheg`Jg^cqgMgw&7M2PF#tRx%8aF{%;%9zh|VDLCiIuiO)&JWv$gvF^qd{L)H4<=lt z;Ch$)_s;)_x|FMdw_~y!C3dAa~3X>9tM_<*>vp}{ucHPX1R<>-eQD~ zBi>R5pg@(0iA<@?84saDv3;IQH8iE9bEy*Xv6M|ZUs24<%7sbglL~+{{Oo0yYaX{o z0pD%W9=EK^`m5feV`CYj-4jN#IMS4%eQ9Pjpy-c+`0!~3=vOB8>HNmFtxD>he13OZ z>g~bLAN?V!eih@PIvc!C#hTIWc@*sUhPT_KEUWE4 z)+ZVcB|1J|2NtSDu?4JU27XjfHme_h&x+Mv$X?7mZuMt(Jo^jgXWH!TNAk1#lG-wg zxeyCj1noXfz4sxInV5+#NygDy#-5Rqc z5lS$cmA6frDym00$=6l#=T-97@guLki{GkbZ27Y_J9CXJi8vYuZhq@4424QKEe3z} zr4GF-n1NoE>Zxc5ngV4bY|C|510;T9Ey@1Y*S8ZbzDHw3X_GflCPToqHPaNg$F!|J zYxP0&1c*^cCG-H=n7W}Nqo&$AvCe(1!Q!UZHa3-^1z^G=hZQaevdB=Os+vYcKokiz zYP7CCsxH*19L$uEw}Y3@^Q*xCaF>b7ypF|urL%qSwc_{p1i*bREA-n$POBeZq z-vaPtm8poiQi83jE)1f-xc!2K3Rci4`)R?%=YYk~DjKX2rUiXcOF^zOyR07MhMFsJ z=^#x|kvKtj0pma}LG%ig`!#Z$9i;Q-$-Pm+NmPS zShBwrsXml(cxwcq*~I9O0=>oixaC{Fe<%L58Z&hzw)zxuRm7v|eLfS%0$mk$6O6d( z5*#SXxCbO0{3XcPqC5uK+mab*-ILs%-IK?E;0uFEESR{+2dfhY8nB9g5rP9#AvK2O zN!4`lB0pcMp7j8oTP0%qAA+02e8&npgym_CPJ_yjcz~o7Y{7-Wg{nfXz#6f1E|~?f z?Kn@haicO)HrW^b?g_Y2FEL5Pul-^V9p6;|^MW+;$`nZVenI+nc%3ymH{Y@uDi5Fhy&e0q~U z5EGOBKs^S$NYeKTVTdUF{74#JPDYg}2_qy%9Wt#t>Zp9DkSacfq;l~B1oN2b;w6Gz z;WPSMUe@$D(EoW<-Ar-!5o6Im_Cin3V(_g~$VOQVV4PL3{EdNnigPqIx~y4B^?RkAZ+DNnrX@ zSWM`e0xSqnY<|wiGJ3qA%R%uaAd`CB>d-+(%`Y~B7PV$H1C;RH0^rQYk%vXNruIYT zZ94VBi%t)~%onlDNN7Pbf~0m3UzJ}M5IJN@?RBNF1;7;q94>oPxoEu_R1o^Ur?TGQ zZ27%^gHZy*BZ&+Q6vsSP8;WyCD?;|8U?8$BZBpK_n=mgBXWGh)IkGt`$H0%2`OBtG zRK_DmW@n#(dea9{7h%iv#kvc1NMXwW5V@khXMvP4G~tIs)<(p~UiD6WU<96@odJrj z3Av9r4pmjaWZ9b8(~thA5=M{bAG0o?ipPy3s{0%zMGUlOhp7Rb#rkK!dldsUay6p_6la*;8A#_S@eQ^9vj6k#HnGD@M@ zjY^$`H&861POG}fLIG(Atbh8$RUxtN4V{M{OvDh#39bEsRE+Q>b?H$t*S9hV1d>cd z!_6NF#vM*X^|w*w0{#g#wVS2hXoY#y3JyhWU@SqAd&qb#snCa6Kk zFEXzs3OC8e)$#^b_A99AP+<~q{YnA?P}eXCfO;qxKurJ4h2#&j`Sy2W$-UV(TYsKJ zD8pCW#sjz03#Fb4*@EkYz@t9OY*ds63v-Di-~fHEz&l+%u6^;>NhQJ^H1WUb4J;{l z`Hs>yXN04Afgd<34{&kNy`kFM2AUS}$i_vx>F<5NS@ZwOJZCc((_n7) z7)4HQnGfI~WjEUnne~ZQ@X))5^3+K;NS<2cIT-|zKB)Snq()-`iC}p-0TJtCZcursQv{^OMioT~)rX7*OpuSuJ*dkk z!81v;iAIsC+=>2D6if~jN~V9cNjb+>1LO4IYY+Vzz1?=;>10-UOsG(zS9f!EqsnCjUmLksG1U^EzHr18DeFr|0m1F|mF+GTib_#=Ld>a!mG!G`jO zt8HqsFdj$~GJFOe#X`5MZdIyNaQjY=EoXPwwI#+~6jzOKsCOI)<oC;(fM+ zBu&z}v-^6eTno}9*Q?=wI!&?)NFP#%J-#m2NJfSwx)_s&d3?^a>+YV$f z8SVxmbD0erKU12bB04^R6XXM2+k9KHGpNOm0$gURtAD|%>rgl1JU|Ax zDc<4YRp6dg?FLf7KIvkZxE(q`?JeUoAKjGQ!8#X`JK!3AzwIy5=`;MQwz%)q3%~CR z6};^nCA3mUPdL`;8#||Ir@>kfBeV<)N)jC-3hpFCgI~)FWs+UYQ(E^goAf0OY z^7#+dz?JdFP%x>yk2uTtnZ`4X%bBKmUJJ2#-=Ux7FY&9j#*r6Z*d}!Ex-Ng_`MMdv zAu;4EgP|`YG>INZpSLTfyDwuw#|rE$|7u{sfIujl+zG%{*L;!!ID`W&SJV8dyD4vs z7@D+C0u$q=!cDLY^=zH`dzqn_5`UXN#+Q?u0jFSp3uFM&A|oheA5#*IR#dR9S{`Dl zE5JzBWd*M)+5goc9)8;kOmHBHgmk0RMAV`BXD}?d`84V~AttmTtqdh=v68wKxk$XE zDowC0VUmGzTAv`~N|c5ZVg()_^4AChqaSfxOPyPNCbFmi-tF4nV&^xAx&pIc?Ik(^OSv>`G9rn&#+Zf5waPyk|Bxm=5Z!x zQ=Eaksv8Ls>Daxk`i|a(J}BeyF1~Il}R7)XLdEsbEcT=*>7r`Knc z@(}7is(NafDSbSxP|mez8$1?3NR&eYnKb?w)-%G1uGy3%ooVMBoRMz>fqo@DBV(4eR+&^n`hjVZ4Y;Z4-phB!f8*9k(HoEF_`Z!H5i2 zTu9UcA%ufkBQ#Sjc@tG1@Un6!wnyF7pZplBfdif0o~%*&1$fKg4hTA~{f9pl@2f9! zPBoDYEyjdSKq6psU%18KPM4qJb1Ubhp2d}K5#V@g(g**kTfF|OiV3~iO1N6DuYM`CrFmTAHW zuA#XFOR5uzWg$Lde+xFxTJ?eFzf?648t|0bCGZ4F#G#f3%8DmZXjer#CdDh!Mb*IZy$ONZ80?G75xLw!N;`| z&~rD*QMSY`(!;hW?@-y~)3M8$>q_gEOtH1*G4@U}xry)L$?DULTlCH2eCFY@O-dZE zC~St;TDxD#6%{6dt~JU&hTRt^mC5XA8pn7os|{HbiM$LJI-NzQ3F8x#l7|o98+I2l zEe%st^#O=Zg4)a8W$!xfblDs7CIO9*{eef%*Br3zQhFa>7{THXpX5>nsOWUHb@b|= z%+Pt&lZyzY?30hZ5(MFw0&Mn3b>zax$mv4&{dS3zCv_M(u1LK{Y^s)fwvA=jS#AhY zNj$*46IKeD)v#fKc7ah-vX4Sbs4F)yt3N@HYY+oD&Fj`}Z$Dzc!FJ-w7HLq!GLb zLzd*&+8@_=3V(pD66?hKd)rY+L?)q>9H= zST8E~EIKxr7v`48?!*{lW+o{bGLLO6zv5lD;HP+8IRX*x0`;D*qnmXgdiJX0g2&bPdRNNA*imoM`{?qucYVFDl ztC?_?kDPV7v;dG%ZF~YC;UUr**STASp?`^}YWL!C5~9pmL+Uya_{iLL z=p7{e^Wu*uKo63!uq{ei^}{_7(?ZPRDPS(j35i$58e9ldqL7duMQm{52bI(M^Hj(t zetG(O-ABJNeR2Aon==NFHK6`Z*V zm0Ct2Y?u|&()M&(N@?i`h;bYreD?1Stg(<}*uqajuaPFe6cB2eIECE)1c@&E&6^bc zCAtaw(_>!^`u*KoBT|g$koh40DyzmwtaO??tjjClCp%MjHuya0R3g3J?{`vi(RHi^qc=qhbWr z`BEJbG3z(RkUp4!;t`Atb6QpQLK?8WYBAPcP}8RwVto|THP#Q(sstd-gMWM3+hBZk zH>-IgWA_7J66&3R>MF6ngmo?i3<0&Oej#30l_E??v5o}Bt~dfIS6S;Ak}g;+0o)1n z5s{}`f#B}VjN(hI5~U3~3Y6NGv(b6CScss;X-KJ?!6!3WUzT96yXKdo?Et2pSP^R z62Q9a=!@!8728@{TCW#lEgS7Zc5B;iO*@udNQRGHH3QV`u28<= zT8qJTXfuAr%jp990#{;2_!rFkO&tsW+@E$E0n_Yvp)#w%*_ZbIIP?xoV#ZDq?P$Rc z)hLgFY!jIdB1Q{+AXa+Qtzpi}wKlhCI8g*uQ^!zops!LD8<$C}Tvzms({(RrPNU24 zFEbz8TXTJs)!nf8#3#r;V_pztv+BU&)ARK zGDT*)9}f_wy$9JEKvfV2BB8QnZ$yj$&0hQ$Gk{{=n4wteCi(=uee+lwVKJPU3)rdz z#*<)<>nJz^zPKJ^dw^%6&~LT|M)g`i?-p;6HGmb_#8+ZyRJsgq0imtQR18bRZz8U1 zOVITe!MxyKyssUg4LSlHPsD=Go9aG?`0;u&F_W+W98RjBRQZ^K=YbX9Nx}=+t?mUn z38>|`57!^rC9CsSbRbmf?R2VwP7s((J?-tG>Z7S*iC$N^__JRLL(P(=6*$itrRYv# zSSZ6)tK`Fs&`rw5*q1X%(;{VtUfr9#+{j<2+O6$IQfP;_9R=P-5Y|B~6=ia&w1Pw$ z`3%_eCb94J6R~f!4kS}=D3=ahN>k~e?TBQdS7GQ?3;4Hutjd){B8gaWz!{{qBrvpu zg-Z=vSK@DkR*2-A zT-qYIGz%BM3sa*iqCMHi^Tli(5-F&2Q>zyez1M#K>-0v`YkScZWZ%Rl5Finw$2iQf zEJEyvW>EqU*$imn`oV>KS4dH;F~;@Q?A@4Y*ISeAh1T{$tY(_Epsa)et}s5(+S^$e z8k5n8LSjGY?jK}Hl0ng z4$EV+@sq8cZv&)7IrdH6rvS93Nee6&iPeULcWCe zXtGbfWhRMf02draDdud31Ps)_y0sku&RBBHehei&9X(VaDazcrWibxc_js3|a67#jn{=~&K#7P%gA5V@Z3yQMc zX1R;p5yFu4-7Vfyg;pO0axYcnkGXGujIEvNwApPez=g0EGqH@B2|=ap5fi zqqbn8QpNjZYJJ>s%$6-;2kE!ID&DA5d&1PQWw-(O3NjlkL8=fUJHd=lA`Dk&wM1E! zPDtD}b_N?z&&J+?zJ^SBto5SLsBL z-nK|CzX^0mY_=eO)h5jGh_mhxxumj7WIstXHdZ3kX4dHR2@aH^Y zv6tC=0c)OJgh8dA2um2vk-0S|mFB@?*gR4=hr;4oWvaayqPzV9V9Oc!P)yjaKQd?E zUX?9m1jNXAL4pB)ELqGd*p1^yl<*eS(EHL& zXR9h(GSCt?yEr2hOibCEfq~WjMrXG`zvTV z!Q%q2-hv>W@Uv_CTaiM8o~&9YU}drcC6rdFpnHD%3avcC*uzFCF*TvdQVG<6hmq|= zQ3Q}kVvvkN*lyVAHG*RJSd6nOtm%;?(FNSr31r7bB`j45RdTkyUWd?4)~YLCO66`6$pM4X`C=Io1l34mJJ;= z`a$#8{CC}+r-C-@Ms>6@`D*3&W}p8Ofq|!W$fO4SZu3b2l+O^@CHNhIcq7n)K0R836v;Fb;p&e|K&CP)a?HR`c)T^N>R+ls25Qu-j z@?%h8SnLHkxnYOBqu6sby;9m?KXNr4EZZ%Rs`uH=uh{g4u_0p;2}_;~HZz-E&RS=R z&sr$D>h!$0P)Sx^TsihNz}im*|Gn~q$_Q-*_WYNAW0smC8q&~l)SH@bMURNa)Wqd| zmur{3`@B=js1-ByEh9LER6w9Bx3%Tv42F%`a#A_Ks1V7%C6>OPGWHqa{my>JPM{^u z5wylYH2lEhgi4hO{Ie z(S8k6=ZPTalCpb-LMeiEYG>=7fFuCsMq!*!&* zHbW*0k(1dZK0XF=l$-~c9g}&T*Mu%t_0BcA;!LdKsMaC_KX+z`3>?+9mb6?-BDqah z;lGIa;UhR6UIwz*aZZ%@SSkxM5qQkAYVXV;1MrVm$c4jYOS|bo2qgkld&uoXFhY2Y9YVZ zeggy34P7A#QRL;%*SI8CG_Isc1eK_!Hm77?QoTDql&y%$C1PJNxriqqu`AzO3E(2Sv|jVy8~XgaQKenGrTA7C~L!Qls<8WMU4 z!u&8^!_-S|#v^Eq#dx7Y9b$s%{!BYuA7!hFWCd8PKynChSnrJ1E|IAs{%wJws%&9H z7~>2&zrL6x_)uKr2-2Qduz3lsNx8b3E9AI7{skQJh`VX6kfwympXd35vRtMJtosOO)Or@WE1a9of z1M<;QD6d6D-e^MLxOSvMBMQANnN4R<-z*68rXb*y%IoIf9ME4u4)ho{dq(bMrc*&; z)&YZK`*;H@JCMg(KIb=Mb{?ZB<=TX3Aj1jMAp;sq)<{dhJDi)fk`@XKKUKU&JeR4W~9x zwk<4-#xTGq*x<4VU6GwFL=U#GLC{m)hNPMvA88xG3y54Ym_VQN`C@=XKJel*qVp-P zu_;Mycp1#NtfFARx{Q5DB@i z_>V>MRZ8&(nlV1PxajQI%jodSSWm{11H3(UniGI&mkj*2-hqKWRz-YUz)tA*SUjU{ zRe|Lo^`ljW$8XzN8XY>WrT!oBzW#*_N`i-}T8l8ZZ$%Dn@h=ITI`M=pG57^=?npRp z%1F*$l_YNgz#XY1}HK^k_xpWw`7J`yOIaX*Q}jRglze3lJaGlO9@Pa zFxD*yRG9E~_=HHJpnnV1y5CgVtp_YUXK z#gzW+K9b+fh90l zY}_lDI^W?zmTxb$7>h>J8lZ}@pFq}E2`49f{A3cP8MmvS=2#%Z*AUgMyv4+d6+%?O zdO-Kkb%Of@?X@twNTi|rVvtS;hT7XNq6Ubyu}y;y1>-sA14OOBdausZ)dmX`73vE{ zW9!Q*zAW&q*~T&Jvax{2jUADJdb)s5tM>d;6~Pw&0(?r*dSv5)e(a0BYgv7Om-zn| zcq(`V{ZB;W4XmiN)QtAW3a!A89`(S5Ta6<&A6~C1!1I*v4h1M!9{M7-P1%}C7n12w zn-|%B+PKf?0@>AKc8}_SvoRPvfjwUns7e>WtEIs~e`C7S3sFtm0_gFiVIv*=7>o|d zD@Ts6u_kpaq(6)TPzf3_$*wDfjjTrAr>aXn>S~uvRBsV>9_Yi*s?r7H3$aWgC*^cu zRIyuG&C>wb*r7;jEKnhlA@y-w`{C1N54M3xgyFqhoqd8ZlBeJe>p!55WMNSUt%OaT#6lF$HUY z+e27WR6^x{8`;xUg?8=NI*Cp?Z2T|7@5Zs7K6GR!_2vON&BNG$5-(v`)q=Qck4$3^ z;MIw?iBi}vw~s3QNu$OpDt@!0+K3cEF0Su%!hdlsSuUqzmus$5_D-rF8wihQ=ez9H zE65d=q|dgBk|abG9SPVm7~(aES)_50CSZ3Y!X$ZdtUX9TK?;;DX)Q(BmT6G8)QrY9 zeEeeOVoc3=5Gxqm_2=U(!43ir2Vv|q_EfbCY+tNjQLH{_Sk$l-5Bd5xMc7I_@8%2O z6fm1usYzfxhP!&KCkRr#szYOVQ?o4@n3-?$Vz#d}J<2(Z4O(8dzIO$OlIkLpA*Qxu z=9QS$&ua>;*l-rGC`PjSln1}N0ahHw1?erJvS-QW8n;o-5|}Sb!Ain;5Me7vg21Z; z)u8WXj4tk<+2|qam#Lah7{>-A=ROds8BJcu&U&zuFY!of23nqaY)#ZaD=GD&dj+q3 zOk7md`eNJt%@ccqeC5s3xd2zCWTc>pEVPC{r+iQRpfWd{JJCz9To^``!0ixJX4PCq z-C@ic@@)L-gcaB%&D1Y?Us1`P+!Dioc0G%S?yfP?YG{j!>ivyvzJZ0LK8zQAz3~D| z8H_-a11jq>%M^_^ZC^pjV1+v~@|6Mp687&)VDUIbeE0s!kFN12ivzw!6-!$i+ja9` zlhU~i<_DAw#=Z_zT8WRw-calU-;-1*tpo_u`mx0Xh!ILwjc34o2XUh@q}3+d!2@fj z(}P}mk9di+F?!_Yfkz`ojvrIn2LB5wU+{LP!cy?07#|u{^j4@a;}aeEg|nW_~Q1rhj9e{*wWt5S|=B;+#zTMA51y>maze%bD|Ziqx=;``Wz&@; zrB@BqJG2;9NT>-%EWXcqwlC*osWFH+ea3k^%*q4)Ycmz8J2a(-av>!L)l#qdfgVC8 zbua8zBLS9(g#wtJMn|s15GOompx?cy{mS96BN?7#V;Tmksi4kibTX_DZnqLz%nC_X zj)f=G`pIzFGedO|&x_8oL8+Lty!eVyFCX_{omdR!mXXoZA4$ju)#x$?m{~`{Im6c~ zPUNIkIj5;*H(W1EQk~slOF7FoWZycZ!%LX&^Z)6FS3(>fUf~CVe@rDIV>Q0y`Vy9f27t}MQ}~bSjR5>zS}JNuz7NBr<+~*Jkh%*2 z4ciJg2;*)}E=)!V^a@3Exrx_u7(v~0frNVr!IS_gI30J5ROrnEoEjqc2ZtL)VlAEc z)L-1?OgJA2CJJ6nM;(M;9rIWwQ@_mWmRWj{iuTK z3-v>a3RPoIGt79t~i+#?1`UU#1hrl{(v{(i^b zQ%nB;Iexk3Ht6wxcT;r!t)nb@^w#f)n*EuBrJ1(3#LhBF$tf$gmAS@kE`3Ul6}K9S zp=|VxCyXXG%?hY`;wfSjvsDlAkkG`Q$uixI9~)HKOj4 zxy3>n<)F%%XOtS#4x2sL(QL}kH0llJHvg36J;i2?<0G1z)H@VuuyNkH$EvZzO3qzT z-O1n!h?qppif>1n!@*a6E3C1A!^Mb(a>Is&eMcbJ4$0uGvL$PE9=9x@s(~i$EOeV* zD4Ow3s0=l4a!M(sN&X*%QJErPkE`M2^kO0l{>)d>B}3VXf(XfTTvDBcY)$F2g+y3C z?tDDtO9|{HW+xCs8lU7p-W`!1PM3X~S(X*zPilQILbhrz6*&)o5*@{&G4)XPyuXW& zFENX2POtJweY(D+95=8>ne`}BL-$Uq`~5^oO}X|6*X>Ezp7pXW9g<&Cv>#aTK5AvA zOfWSJ5h{=0x!KffQT{LRj=W&&Q;rAAn)ejcK|QKvlb5b7e zvpp$kX&J+E&Ze@>%VzH~p9m+4Ml_P%Fw|hG+l~KPbZZ{xcjXOPQm{vciHzlMcvg5< z_^g>T*)NTJRJ1MZaQN2hP{W(l4Wye za>gvL7->`X(vh;9%MQ$Gd!3IsxroEME!&Z;Pnc@>QFhg{QF=ICT9(cmTs@~qhiy}- zecfn#9gv*K6`l(_lfEovt@FXW>X`|3#7;-JlXG4*tO#86ou(BX_C1tfEZeV|qsB<_ ztm*8qr~G07WxK2ggHu7+9=8MdIR=vPVkZ!@aELC?D$BvauJU#3+gd$Wm2)f>GLNd! zQLbGImei=z^()q;`lY0G0yP&|QZ|P-VZZY9icuH(rqW;3{?JZ?q>12;TK1-AcW8Ap zdwOqF+SKu3Ifi0$c2i$0Q>+<-tX>l)qy7_|stNNF+ zuGy7o`x9f#;3NK0qc`!8(WMVNwGru?Oz-GArIt~E$@?+ALml71F=HGAp zW-g>1v=!+-Gv~=L%(PmtQief=CP-LE*^Ht(JnDqwvYTTwSY6!jTNvP^jLB(&V=Ry* z>CTc=E_t~?wpQxGIE(vImW{Q?+YZ=Lz|>0tU0?hm$IGgPW9Sve>>;P zsfb>-Ls7dvd_J(X$WoVOhs(=YVJKk_2~W-~>6Vfcsl9(3c1Tp?~Ey(DleO==JsJJ0dJhey3??`-GMcpbJGrlL^Nzk9l99} ztKe-z{@zz3IW-bfLo6q&`&9`#HWk=mX^L7JN^p+l4yxsXe$?<~5F8wn3b41A3zvP> zMEYqYA@e9!Ibq&o#$yZHWdlyTl-5>^@jE6xB@#s+m5op$ge864!_Z0RpZqtu5b0rL z%*8x4j20(3sgqI8tUedQMU!%i%p|QH1kO%_rz16ys0L|LhET&jaIN{M5#d}q4vCYC z=x4zbUXPrB$m@VTV0c)~5UnWTGALKOLf<~}6J4(3$-|0S<7ggdNhP#db?SVzU?^o< z?zKZ6G(x)3npSapJol`D*kAx1!4n{j^Mk(W;Ers}GkvYxn4S}mAY3Zzc-2LaD4%cO|iPu4^%a>O`IARE8IiXT# z=R%H=TQMw??=)(m45e;cb1Q0C!f9w74IBWcm2^0&(;7aAfP_5mL;U8#-Jx!T%JPtI zl2pQ=;kyV%*n%~+(hTjZ-`>s{y1v1d5%X-bnUk$Nmif)-Fwh}V9%Y&x#&YIqL(&W>VTUonaet>A@{VJ}d8;A=Sc3*+RVGnXD^=b9}OLeg`<%{lN+PSeBbKy@N2MmRv5IE)y2MVH}O zWyayK=gb9TrwI-zW7mdyExc2+us;fx*>0#WYWrsr_60qp^~FDP$a>1kDrun9TU*nG zVq45EXj)WGhYEEUw2~cd%o-EZ#zwnFSDb0K%j2QErDV*G6|v z8ANo!pD~UbaC#5~tyyBbKF8YHdE>HioH;ySigj~U%cS=E%F*JzX1b%fwxc=dMNR&3 zh`fn5GmnfOL&evwJKH>N>w(pK!VD&}Ca4K`kk+y5WniX9#>d%)dzh+^XGaDnXWaec z2V`|pKIjLNGlS;TX?+HU*;Ox`9A9>_qZ+wwA9rh-Spb&Vy3u^7BdLeVeJM^Pg zcU$4x^&jbaAep>@iu4Ea$$36;AjabTKREoW>crrG`MEP$x&M`v|G=s5|HD?M{%Y|* z?^RDJ``O`_`9iCaI)hi$>O5m!bV8l)beI#p1}l8|etV(yDEnrx!`Swi?r`(llZDET z#U?pGI=SUE`L=GnW17)L^osJ90pc@m$Yh9zFNI<9z;iJ7OUXpIPgr{4S1jsBAQJO7Ym=Z!+T zk>Aj2S)+f^v5YZu&?(aPScrLZ51Fr37F&<{M0C*foGr~I z1I<{LztD<4l!n`%OG;ZXs77W>5$ns4a~Zda zW66a~pVPRIi5i$ceNH@SJ4UGMgwa{3yf$LTAJg5x!ZjFvRHT@atgf&*p@&V+Lc{wU z>^%qC2hW+N)D_4Q^435pYtRx4F{$azO0jakWBjh!kIcNtBa4p#BW3Zz>(t8_j<>AB zl%!jafQN_T9KN9VAQ#^vP|Ax=*PXn}3Fz@i zuYwJl4(Co7XZ0mZDsy=P3}K_Suesr){)!{@Ino@@=>~B+sBPk77!b1wW}6!6ksoyy z+nNd>Pp>SrBfXI0bZV*@FPxL&7B+iyq)p$Iw3<+~fW@#%4R~6MhdxpG1P1>Tcl23Z z0j(cVYMs=A(iKiFDElo3LIGJEd1n!|Zmf8+5IFHe-U1vald{BK(_A=k$Vd)Xx== z6NIkmJ+1UtoKI}%8~8tmq^=snTMFisdo^t2)1Vu)32Lwmmv3Ad(Bk7E>|^JA<~c=Q z>I$C)EB3uEt(5)Z>&`W^qpLS8bxnXU5Y@N{K|SD@L2sl}`IIAdAy$VGlaBfmu6G4? zH!$s>@lL?K^klDPo z!aDk#`gvvzY+~62B)k6K?dMpn+w9wyT*#*cRE-HY!e>h0+XJ|llMZb6U5<)#4Y-X06Qo^bGFVCCxX%IRUxv#)tuVCiD+>5c_R(9CH; z$oWhd1`c8Su>4>om*t!%I|Zzw$iXx{3yW!r+j^K!gx~TDZW+jt2V0XzXKgrT9DT## zdh=SG;gqlVR$vT!9t={?#LAXCF<`w=b+CIRse4#!xqin+>#y^_X6&f@qV+TP{F;&e z#kx1Y`bzhqr=JWy`}`~2qnS&C?;m~SXO)fKlM@e`S87LzXgJ^!memXXfi#`H05Zv&b9`d zf}AdUQ(5kG^;_C>`b%uTs-&l3XJU?dIokI`+G$RWnHLagmTA_|@T$^e2p)vw!Ie4A%nhBe2s5!AwSCc4A$#%V}&a~F4Ny|fNgiQKR zbbl6tP1RY{$K4Cqj$`R9JMWL#Z1@#y5U8gHZ2_t`PNbU zUQ_QdJ(!Op77uvzss(qX_Jq5yZFuT&ZFcxcC-00naCXj`*{nJ?a@HJ?dcPaY8+Pxq zHZq>EfAQTNcjC=};RclrrHVWyN&V z$}X)#b9%B!yH8bGP1wAta87SCtZ_N5g{|CO2W>05$jZ|uti(tMkDfE9xE0xF z5^^UA7qO2!4G!F@>Feaa*+_E!RNgzI#x0KkUp+Kk{h`A>l2JVW+Ir0y_MvQ9u) zN)Ntsr_sBdHJQYi@tg;;fRz)6QMNWT;EllN-hbS!ynVI)sm_y4}G` zXU(&1QtlOJXdiTh({bGC)fO$oK5lkJye0=BAgfm$_8k5?hA{W;FDd8kq5N5$Plblq zqoyGTy`huVxmvDG7MR&Qt>0@-WfU`a$UDJyXbv{|m8X2!zK6Y*)hsrZF&_4&RCmPo zcAe9jRg(F5CDR}O&GB6q;mM$U%YVEkR}1tv9tr5uMap) zLQw!2>vf@=UjSCcfnv!Cr9+ccAnphdOodELYAH?nRR;g2@tCL)vdSSVp9Q1h$(4ER zCp~E_7)@bJi%G@{E|hEX4s)jqoeW4ra$g#h?MMIYCn0nQXf^Pi8bnnkk_dI%ktWYp zB0G6^I0>2S!U)R&jKiIpp%$=Ql5c}0VfXvtMlCd{IgM6O>A&jf+_Y1tyj5IN^Zz6YnGIXkIYA%J5?t2 zUgYZMkOO3EwT}F(%y`OI<%FE}Z5f4$Ii9QFS1Bh;YM?`aSfm~jo@cpK@4jTusRv57 zX(GCC2b!Ef!(}PaX5_;*jNNO$^j;!~E$D|6S)K+0hbxN#GD5RnuiPsSry-`A<#CmV z2vvMqg_SdWaJdZ8lM5$8PVNM+J;9A`8NWgEspkRbsJ@MVGDHryv&KqUm6zVK{IJ>^ zu&{j=njtKCRssc}!tQY+Fx_%kEB)c?z}Xn#SnN1AauAJb&IHHN7rDp^EFCWqiUxk( z3`OOgZ62D(WG~^V;k+e#dbEwU6DND9Ec4@tu9{^TBkW?eLj^iUcZ`620um6-2P!BX zv?XjOYkdbDf%7DQh_K!QC#uVgCAboitb0^X^Djt31fU{e8leF;EwYALFtiy9uq{jgN5W8!hsGgMayqFZDyJI_o8@sCdQl}B$|0mi_zD;T zk75{cz<^=uU``y8kR8W?(AwZ6?xcoFNAZ_L7!8NM;)#oYxZxNsA=!h4Zix^A1QJsn zbfA>5G~2h;Vc@bkc{ry#(7rz6fmrU2$O7h#9stRtGjp<@P-NT!Vk9rqls@S6%18m| ziX<#qa>`B^KW;R97PRC>zxk1!=ob9s#59yrCUE?O7h)>(BLHdoTXP617bB1u1vU-e z)`$Y@=0*_J*K9_KO3wli_Q5Z9G_o%UB_JxC1b$0sfgccQ=C!~5XX8vqvwsExA-Kr> zWM0z@SWwpU%O5wpTlLQ_EOn$#K!fbihwe4E^8i3{aA+wTq{CYm_WSXYwV!>%`eS`U zd*pKWk~+1V4$^IUi&bKJ18=h0+9uLtu&JCwS#7)KAYO~0CxqmSygjXzv$fsDAb&M)WC!lib~q1J7LDF74BqSZOb_U1wXTsaRMd1+!;VwRFOQ74 z`&NeY{uTAzziTkCEV0Z=+(?wzu2O#2FzX$%dPlViUa!~l{eJBwLph-(o#O7{Sh=b%U?V)lfIvaI4mx1c3%jr(s=V}Et~GnYWWLk+ej*d z0dPQb$g|j4O|QLVDu$c|hYo{+gBD`4y~!Cvy@x$e|BBIO6s_)Qx5ql+POJK|x>>-_Qy-t8v)-quzU*=!)GFc7U_-qJuF?7aez#vG=P#*`TH(sD5-9r7}`y z)cMw$+8?S4kQ~5>0IWLyf)(~{H{xTn_+lYmI7RRe&TivRjh|GkrWsQ=wq-l}y98`$ z5H@KyEgXtlH@Kk-m^0|i7kP3aW(?!ywNLXT0LIjrEGx2yOD)Pg8-BR7Efybg_S>D` zX9fPS&G(ytQO$fY4am+otOC!w&w1odr)Tl8=yk{WwAD9tzq8n70ECJcfC#9A|y0V-<*+5OR(O0PQV{GNyP|wT~uZMSWa7vbU0E;YP8EUGQ@Xp1DqK0wR z3*{FF2(HTq^NM3Je8e(ztNBek?3B~?E6(CW+$sO?dk@b6w#jL7?o4)G$+aOR)!3%! zI}IR_l@@y;*`QCv7np;WugT60T}H^*VPFAn(?U|(VE`?y00LJ4E zHEs?8t9?#i1(S+B`}@X1 zcG6lv55CCeP~Z`upVXudzHR{xvJA;#oykzwVpi%}RE~NRktSQ^{svgMmeB|Z7zs^X z5A4Gp9d8bwQBNvBzkqF>cYF*%qmSPKutWb66lXb~2gcs#s6n4|AneR3Pbh!XYaz4C z=~_&aj?u22(cfaIwF-V^Ih%)uyUwT~=+{Yjj1B6<0sE}_?Eg#I+d#*0U3Z?ZiY2;5 z({@*>*eP`osb8TQDnOtGK#UjB;?>J5kS5>`wANRd?@BjVpedog9eR2X-w=|g|5f>Mci+HC_#{sYN+V$H)vfFG; z%vaahwO5cwaw6lJXUC0s-m_;stx7FBYj%DY%`LC`OJM!;RB?IDO)JE1dt&e*SOnJL ztX_6~=P~zo;JoBynjqGFk4_>Pam@*T@OYuDT68NZ#R|2VNso_hz+bPiIQtSkNqRT< z#kKB!_dOu#qA$&!83MUQjm6E1`tYJz6sMTQ$SCS=p$LfD*M=;UL5eaI3PpdpubYMB zzSsQHcTa}&oM%EBpDj4TN;KaZ$Wk#9Ihjh%@#(#3uan_7ZmTpD@q@R&>jq}OOvb3y zlbLK}#g-+aD?L%)D~LJXhV8&E4tMI$V7{&wRji2qB>L=NCI#4~$tv{z<{GK>*}MTI zldl&u^XU$j1Ues?Olz6Lv}vb(J)w;L-EdIJ0qiUEqoPv=5H{_5eDqK6XK#_YL{WJ?r3m4B90Kwa4Hz?B&!F8Ha)iwM_YbC`XXj$W!G;yCQuvh^o z$)7<6yC=3Oa_!l$2T>2hV4Q`j*L+`9xXxChuNyb3Ta(o)Kqlb$DGJse28}Hw`FfNr zGS^@Et>-rYlZVNaLUFF8;2n(EwmNtp_12Ty4-8XQDOs!~gb2-Khw*&%Sm=Gc=j4!g zrDqhAXGR`;wCPT=5GHyLRVaENwVSO_$7^A+KeRR4Oe#imzc8x( z0yNp)$R{Hk`Iu8#M|l(?eeU5sj}}DIqQ!v27Eo*Ir_wNw#==k+`jk_d+l=C%X!lua z*fY>1YUN5ACOMVFid!RUD%2d=RA3T-wT7L5Bd*Ugn$l{jLWQ7DEvsoa{J2qPrFr+{ zHVu>PPm?@*?b!c01E~44ky(!W2=6_g2wr^8-v=X~0EC==qaA_-e);PkTxNRJUmh{< z9Zd5^hUE?%IkpQ&{{FLLyKv;~Z$T2lMt>R{x%2-Qj=V8@_>ciL=RWEm-bGkPO?G~@ zzt806SNm_jij6)Kfw2DW$c?qDx%sMkBzgmI@)tU#diKHI4#fJIPd?cx)!%-mQ>uUc z!R4z!Z6`Z1&QS)ZO^@s*!uRjod^n_~Z26G4`^QIojp8qRwdo^yI}MIp?o)vs>6=jw8Woq< z{c`>Vb!1g7y{vP&>BE5B^hXz+3h1D-5zVmSc9_0)`VX*$(49Fd^s@?jEn2K=e#=B_ z*U$0{Vz&FqT-kKY#d+Plnq&97QK>n0f4wsLD`#4L(gd_gPG(It)wAN)UXfc~G5?Bx z(l56j2Cs+Haxt7^da;6gXItHW7KdB$hz|E;7gBk`Z;h9q?H_c5Wv}4f?B(BZPoVdg zhk`zN-@?LPQEAzUGqR_7(og!eT=jbFX4ta3Q!5AYfx@@S&yAd zjp;s@CTp^3KNSDaQ=VMn7Bw7s*aRVw+m@&~Yz0+xW?IcWv{;z0gxL9BsM5zGgDGUw z%EQ9HAF5PEZ-GcPdmKIDMNU|=pZfOOzhqc}A)^LD-R355W9`fD`vPWB-ks=+F^j-{oTe9ljvQ;Sj zfjC{xEwPm4k2<3{YyGW5nI5(7NYz-Y)r#OHI4@QA)l#dH+KseYV5;iytb@2l5iy4L z$t1HyuCTdkg_-W6U&xGnR8B>!Nowarx1O0RhQ7UCTuT-8fzxcue$<=aj4JMZ*+IWP zkm{4cU%bb4rf}bs1VYKuj(f zJP}73%||2pRJNWbr?ar0>U7!tRAk*y5BvdkmV51gxR^l2jM9@qgbC~)3Nag_z3Q;^a?rp{Y>YZN5*xY{dHy$*@X{IJ|0CQ*`m%4g^&P0wt03l1)dfKao97^10!jt>Kxl4QA1_tCt_W6sFU8yuCe}j81vo*0MVu zTux`h;z>_SIK0QY!}tbPLc;c;@TRnOI{b^-v`_h`e5{*^xF?dAqD#_svY_vTcSqWs zYrWeG8m uE($FYTR`pzM#2r_pk&+u-6^6BJ8<_D6Pg zF?R$16ojsk!Fo25J7(aiR2{cX(nr!lU3*Kk&Lo(?Us5;IAn^aFd?b&+2A%mN*kf(kJ&WEew=9G|;a zL`y(X^s9u10(L;_fhY&LEvfIz121|gI2kq5=~z{^=${6>8ndG?&toWZp|qn4tgLFi z01PJRO;qriR*|ZZ^@FhxtPI%e6AwOdt;!T*2cTC3pH3za2?^C1DNR2S5Go@TajhBW z=~9TM$z>R?dZIZPYRPm`=vhn|wp8`Bp9jUEzP`a=7A8I5OqkiZSOCH;6`I;95vb&x z5@Qp2b&mUl`^~MlCu!X)kf>QOjsRZ=eHz-|2Q!8TR;+e56)VP*D546;#$<$^E81y# z#7@$b6(T1DGPGH zqmm!Zqp0JeogDI40Q(C3w4_qIQ)pl!n#oSKeU^q}9p*wl$@mx-pT(s;XsC(kPx=AuFC{m)W2> zcm#lI$inYHEmqD7Gdd9rYB#C`=qABnz{q9eH6^vGW{Q zEw+T173+yj0%^W50Z4(7G^YKQlgZ>2?KJJ9b1g63WLkgA1;*(T}yet9`7c-uplb@<1k`T#A&_X&&7+sOanyTDL`yKR|jj7ZqG1D_y zB|BNCgXGA}mH+So1T-%a^L@1wiVF3*kmuC`!)uz>(|{6G>%p9Av}Ty=awIo5sW1&h zO)tysOsIP&>sdBGgEqto07ntZSutPqelctMj7LG1XLb6)`GAt4(3c=ITVW-%Z~5-q zq`IkPWQij{mRfqTKlig?KVQmDX7%N4UvelcMk-|$@teQ6HyeAT$1WZTzTF2m zwi*qxXrL!T?~Z^WPVuo$f>RFHcS;r+SK8 z*Pk_xBHnXH->G^&9{Vh~=ef)oU&lASsQ5=-GMxrG%Sv6-^(C+Dd(&w#ctF*FF|*(H z+ul@|RkytPTFNq1Y5K@bFX!v1?4|mPYwpLxyW{?o(M@wNyppPPD-4gG4{MJ^TVWm? zj1RBvZ1wArOZU%h9d3R9V*g*v>A`aFrF&<`+!sTY{c&_lI+#}LA3ySHHgRP6M3DDx zmX1|Re^eelg%?`=y}?;~VLtydYeUQLU+Z}`9E@*j^`^t|mEV520cw~|pR0!Iay$_x zpo^;#Zr2=v&HXvu_VymuXYWoSWA&^AhgEf7{&iL3+B)!enx)&Pxsa)@4GqFl)|H6yv!PeQLDS|lisoI=DNEsU+SF*d(VYXjBWrf z0ZwcD6`-d(ee^?fxE#NK8>sJL!hzj90q%9wdEb*0U+g{SzE4fO(AR)UV~Ki0nc)d$nH@ayN!J`;YiyM6b4@-eq|*Z1UOx9%^u2MCnU`Fg?Qql-EjI!&xDs2 zC$p#J>)*;624-ZL(mo!rrRTJPY~@5G!F!f#{+b&Bt>FK>NYRKgE_E3nw0{Lc>*gI? zZ(_XWzXCTZGw|g6QLv?|U4E^rDDd$qmn0}QU*zI5Mu20ZtHo+D@7o&V#$XT5o;RQJVrFuf&n zqAzpF4dtW1Vb`WYc)L6M-z}fJ;a#=K(J(1Pery{zD>!8t@t${JF0KoJ<}(02vJCbl zuCUA@+8=_NON$g?{$@yX)J^yb9Itsp zZ~V~QZPx{GHb*A^`sjKHPzs+>u79`7uH6;VG__ZwQ)#~QA8DC&w^sj}ch+q`JNj(; zY%qZ}yzp8*{SbJi0l6A6O)@^=0sG}=!cSguvcvVT7*GoI0x~7lU8A7(2$F*M8l4Gq z>gZ+V+Ak?q``Xj@-Rw3Z;|@Q2#?8Pq%dYd1p7`P!BV~(}J3T}0R4-k#=}kvRO`EzuWNGRYo2NJe9gg_7e&D6>5R#2ngv(ty%9mr8+VE@AJtK3y-3DWgHjq1 z@em(qw0q^!WR!t8o_k+d z;6C$ZE9<>R)pB)PdN3oh@_Lp`{&Amu2RG@Rg8#|uDe!$Fl44gjEEPfZTo!p`{I>8|IN46(&>jGcBaebk(BMfRb5{L;Gx*nrjDZ*Bz5m%tr<; zt@HB2*t3A1k?gg$#|~jLImf+TmP7cv!TWC6F8L?JJWF01`>jRz?d51<9^w$+fvtT**Nz!KwOX0oSZgfB82I3svN+jm2%l-fq{Oobzq=fyjF!;0Ex|MHs;f#im^l z#{)cZlGVY4u9){aIi@hd16(YiRyti5Pkrodxejw(Y)MP%hS$4bp_CKTpk8(^yi$mZ zd&ODX%#EH(>e-2~L0p#P#n7QzHZbz5VTkrk)LOu;)CoZWL$r(RmN2r#;de-E>KODg|yhZR8Rk3Tf;hKcz@xcCMqgvPnc=QOlX!{-D8 zeJh{yEfDIDG0VQ6P6`Ev{i&qTtBLeIwo3|qvq+s(()aFW<;RfavtzH{e=KUTze?cM z?P_6U)5oUFJ|xFo?-Txce*-V53$a>Ul|obqDJ_zHUUAgHJFh7%ap8zMT`mRh4PNNK z!z;d6e?1D0N4c&A_3|f5#NiOt)M?J8A&ei|5gDX}|4h^3@LS6}g>Kn41^zk%@&owRs)eKXGDQt6uQ z=T-c0&*k(|o@P$d@=B+pA&qB?fA)v57k__YtNy=u*^{Xsoyb#llP;~v5hor0`T9$t z|B1Q{%{Tkw^FS3|DL>Rz{rw<%Rr_D`*}8xglZ7p?zz=@(+NSH-Gn$;|GKwOV7wg3H z@SRsXByCxt@(oAIoUhne1mPi^h6ZHzDM&;3B+X<{LRu%|oA>6Kj0mUsOH(mqp4yzQvFU10&3J$qiJp>epdKo?Hw7Yr6nTZ z94ui>u%e|UHG{coRhR;JIGj0A8F4bs6_`gOcq*}t1z&ZdWG~6i%r`By;YUraoM71^ zzho_s7{YR$FcxB9J6ek?tKUu>Gij|0CYJ*RFk_-O?Ae)Hqf9A;GOMDj3;-zN(bYon z)FA}8P%PK?iN%c!T`x*gu;=IjOUzf8e5&V1n{M4XEVs#L&^uU<0K#5QnG`%{v#u%W zUM;=(Xges@yZptk{K#pfZTkW8XFwelWEJ1evMk)(ChmN;S+yZL3_;4G0&ug(C7@f` z@_o7mW}Y}-@b-;Po~j0{dh}%F`sLPScsx4%M3(p1<5P1%)_dZB)EDlDVWmFpw)CbC zcWr#ABp)~t^ejt%6uBHNTxhj7Cz~~Ym?gR5`ML(d8wyJM0&g`BO6TW4_$&JX*r*}^ zKqY1(YB6kQxp4~z3WEk5}*Sz zrzUm@TtY9nr|UQV<=Y~VHZhAr11cp2p6yVSiuD5XYY}=Z&KqV?7x)vF(M(G>r^qJ) zPAAFgU=n2UnvR#Xw{*hn)Ebp?Ev-CC3e;P_#nYO0o*PlDNEf!^>z_YO5tPtc&n8|_ zsDb(bfKZ*lRU}JA(-n+n6*!T(jY|iDibqWy4#_Adi>1(3$(*jFG4Tp2R>`a+WI{)! z0@r#V2c#L;PR4v>+=PMnP2j*>We;GU+1S7}&Do$(eJ zT1?|m+x4`7tEt6Kn{ft&VGeql44Q5sS#%dIaw&83kmALU?a7fEZsNNU44Tp)Fa|^9 zK@p!E)BGZ1WN|v^o5=+7pNkB_m=3aaE@GrJY%;}#sMazPn@M4wglcJQC502e{8t52 zVHrY`WF~p?;~F*4sdbRM5WNugIJ#=$@QSu%8`w;;@Q41{VJv1+Q>C)W=wmnP^zT|M zEgV-)!ozJ&7)o1WZ}6HEbm^<0MkwJN$tZ6I;8J;~NK`{Y6>=tHY&LH+AiWumXhg;- z{dz`}pL?PeWNCg?7YE;wuUq8$ED3blPf)blGVgwmA zT;-Xj_!8D?B6c>du8T~% zyQ0Y_3SV?87Nz!4@Ci|3Q>W@>uC-T!3YW=9%ifgy7?H-usUsvhH{B#D{9hJnk?41R zd#@QT7(leCO>=1ecxa}IDd~$5Ys`}Tq&h|Abj+kvw&mE6x8gI^)57i6h{Gh2+(3z$ z2)TBX(nAww4MnIGA}OG0wOA0e^5DTIZIwl8R#ofMPw2B#aV@x~-p=X>$E+^aKLOG& zbT(#X1eEuj%$rZPScjaS&z2FOOs$Vu;y6$QG(;A5d;IO5{_fYI2ptR;F3qV^KJ!x7 z+*awME&0OS%inl7{DgPD|M<`yah|_$qU05a{bc-erP_2o!0q|NdUq6wdm@*j$8CvW7 zxNEE9e$)DfJC%}Qm3iQi4{Uio&qSLn{rCJ)&)D2t-@^cuQ{hW3sqWWHtw-V^zxs*3 z`?mA~`qBei&#QpR2VUakNKVub>+Kixtgh8>3%rHFU|TPo2)3Um+YkG}<(6BZF2p#T zM!mcl{EK(va4i7IP-c(XOep3906#;H#$vgPKGMMb+AB?e^w+}4<+Bj_!dG7w+0jCq z2Lc72pXdon#^2k#1ncdtQ(XID82}tkrj7I8EqnE;C)~X4TX4_Gfuz3m zKZkdD$77%XIg@4e#v(w-H+wr^8+K*@6q1SC-J{?SkqqvP2o3oD`5JJ>*SBksXeQ=~ zFx*}wW_zvwnfNatC>r3ZtE=|4Fr558sL!Rv33=1pLAN}){BE$T$J}GN!BGBvP_EvU z_WeJL<+H!p3f?#C+DjLsiP7R4{9dww4eif7(sP#=M@LKc;q6-f!@%RW$Df?|6d+*j zu0NGt%|MB@{oV&pJGGMC_`ZSQ>NU^ClN9TI@;(_UB^%=%-`miDSAJHp3>3sHRr{JJ zE!>5sG6-6fw=qAk05%2XN*s0s`&h&k^eJm(^DD0LK=S}W!D8H0GGh}?i zzZR}_gR%VqZ##F5qLc${nOx(^0Z0P03NQ@}ESbd3lE*C1#|ORdi9pDmIj z@yoxzC#pK4<}f-mUWjDl`%)++cJ`ZWg0AfpJhqg94#@zc%3x|0OEEHlzDcifPI@A| z&933tz3~1QBC&G>zF4>LydB(nZ{?c!@m1F@KN8#>QZ!kal}CXx&QZUK$N!dMoY=W1 zTL~h0#x2?gxMRPMiLCjuw%ot|pBnSh;4va^mz?4_MJwA3vc>5Prl-k4bJfjw2Wnw7 zy&AF;Ffc$i+Ge-QW(xu*Ag}>413bx(I(>VpCq1wIMr5iI);he;@5#Osf$TMPB7)$k zqha)gGOxknvxLOS*(D1#N039FzjMzErVTyee)8YHOMy0hi7Ky6%~QQHDe&ho85*!v zwD$+F(P#+4K(FY2Q&|9B3#Ox3TC{5YYeSI?`#ShpsAM1Tt?bpVgXjR8l}A$FTyS&f z)$V?wq9#Z2)D?|$RYc{Sl%iIeT*Onmd^VuL*Ce0F2V_zt?+wcOmk-dQF!tcuA)1ja zk~Nfp9S0#X8TOs4tvX8O0bL=6z?Ggr63H>jrxC>R&{fi8ev}8}lZDW^~q0*tqxH*#cdiUa=@{`JH!~+FGvB@u^J% zwwSu0YVgKpil&pFH#n&IGg$)u?VzEC=I0ph=PWOvegv&If(2XkcDLr+3NU+Vf@*T9 zY(FJB;UX>#7C79xiFI%4?4h7w#04E`v0b>Z$j5fw_!f601au3+>Xko7|7r%?PeUWr^jdbqsj-bmd0y7UM|*z^2^Xr{8o{6Z z@*cBb*Xt0};6!jRH<&KPV=b8-9jxZ8=Gov=2+JbOj7GikvfkvPvc(5$MwhLZKJ@l& z#iF#yl=5RraM0*1-!=+@U>ZZHnr>zj*sP`wf|;=n&AW;{^NMp56Av7 z2=7}RhTc`zWXCVEuDuD$V36x-Y)4~hc(FdK-^~qPuhdcx245o8;{7@homk0;nO(K} zty#?MdwOVk@ms%6&faotzvWxUQZT<%oX+nEYl1S`Id&1Ht6$7p;#@gDh51s#oKQu7 zuTkW6qkqgToW;f^EUFM~RNVoeMQhZ?7;O$5i0xY{f!<2cyP2}GKixtytM&jK6aBt#??Xps zKF0un08q?qax((#OR32S|FQMRv=*p-qSqq#0@Hwt6btCr2EBH$pu@os-$g8(KxC1~ zZ;FcLz}pXUC88+9S-XXyQXj9^0X@t!AN=q4;7^QYg2^TmlNQAn5rvk;;C{ZY^OU9) zw0R-A1N00!ptoQdI#fH|4zow=js$E9H#1$IkvP2;Zb}Ej2hzepV#Ni%AERC6z>KJ? z6%5oI{#ubSTxcmkCB+9{eP>kkvH$4hwv^JCBG_T#CVn8NG$9%>)(I__0b!Z;`MaYD z*noUQfOV#gKyWy>9Z-7(U9p%)g8gQ!y7Hk=%k3H4(33f z%t18)CXfwd@>VjNR}5hq!TfB99vsH|#cWyhW;>I^?53;mEHUn8duuxwU3Ti9Msa97(DPaQWQ}(gGPX3 znVL5Ur^y4?8`0@EUpb3%L2-F;Hu$v|YYHGiNcdtB;0|&VkwFS#4k7}^kN@*`wj%N# zHRd`6tp)`x8!ZqD(~KNaAPxPN)SYkUmHO+{4E4Z`yqYX=Kvm*HmeAY`N!Z?$!DO=M zjsN@e=JDp@-D75zsx$tLRfF;vA`^H3naa&&rc_t2;|G!e=6Lhg1d%e$fNX(nb-J1O zlm88Ik(sPA@_-=F#NFI48(|~VQZgL0tfmDLXAabNkpOao17rP>-^AAFi(W7~JqNY{ zXo7$uJE#Q?Pwud($c=#+G+80Sz@NUWC1z=s{i6BaX_QXcIu*#{O?`P;vOhy;xHWOu%i)*5RH4$wI7xB|VfhxUB`Zqq*V^15 zHb^PQc|1vxXl0&aT13g&Mh}1nm6J0-@wQ300E>YKUt64ZvqFXO*c=R)yB~h^tM4-N zgJm>KX-@0|&@l~ZN?|4PHo0FZS%(zZT%rBj;p?I9h zS$<2;z7;=f%&EjS>FkOPvKbklbh5z>tXo}edXue$2z#=4%Fj4a*?ktDNGYSDcvqPw z&Vl*IkYOIlNAb|Qqs$;A4W46$qHb$TW zmAOZ&4njrZ8HE7t@oAg3Z$=e3X+N8qTIO#Tt;>u14C2cXz1b@`X=Viq!x-rAs}{_l z#?WL2wZKpxBXWf(5D0~fGKMRFIUZ~plcj|=U7?mv^^BeNR3=#^vJA)X%U(OJPq(V& z={_Ch2fcOp2Vr6xFiC+e742?QmP>iIewQy~@c}SGOq~3MTQ<+fJ@= zgP;I2n$O#LF*qNvtmXrZRI5+r$uvtxUN)W5bE?iwC=mO%MgQz8OGuWvWAyZ?Ij$|-}wU`4eHdfpUhpUgWzMrr!Vo5F)G zj$Lrd4`+t+);_o_I`3Gj-SwA=fNdvS3R^D;V|Ww0l*N`0|F89)pW zbvCkmTMJ{7N}?KX#>-50GiZA4a)B*6%BzM2cD7>~;!B5f$s%1MC;&jrV_p)5tmd>a zoG0VzWO~J5K?WGWO!W4e{V4sTd$qxXmbkN<;AX%lcV|U%W|A`I`Ps64L#YZBVyG88 z`_H(V|2z8|i{Kx0wVmn3jGEQh#EZZZ&+Vx>XIw?gXRx!pMrd-{ZD5zG7p`Im)6KjD znvpK@*P*V|KIF*z1cS}UEv#2r`zR{9(#sxbd zOJ8K5qV^Yor6@O9-N8oRL34uM!TpY)Y}1R)*Pr_B3Tqy$!p>)ieXj*b$cRai@ym=L zH7!;Fn(W460>y>ef<*;%(#f8a3kpkA!_<^U=3+)ifRc?DVAc?tL=_sbG^Mz~qXqE? z6gwGHILe*_M$T7sMp#h}6%#)=_V$r!Fo9ZT;2;Vu2m}yyE5HXeP2iTqG@EHMrW(ey z$@|I{ZjdyVP8fPkSizd}(yY6;{a3RVqYQ``9m%v*w<0TO_K@NV5c^!ax*SbhK_03QV&S2jb0?7$%~sDio?CCbs9 zSXAVRX6p#1flL}N?ChtwCSYWl>g(KXg2jR36p2!cTxc)_md$=#MNG|9QJX(aCFNq1 zabF7&9{kVAJ@Xy19zI)e<18Q&T6P6TNKw4}rq89JApwmbbkmDm(7p$(;v;0oxCoDP zvgXWeDxUSYvMw;i0^j(4{iRih?Tkk16r;WAOU zag-?|^U6%TMX1Z9Tsv*}R+>``KTKX){PJh_Zb!8LjN)|x%f$FX+EBI7>EIdVIwJfq z7uIxIkYWYs&>$;Nr$lKg=JAG5pqW{7C{3u8`SF||xB0{9ObWm;%G?B|e*x8DlX_sk z&vSck$p7p7C;wqcWj7++-$5!LJ9IUe+u=?~xbKbrlxS`{&qQy*RBp^*>U5x)8#Ckg zNNBkqs(%bs!T-`=Gk_~^2`x9V>-b2FxvQb&{xM$EA6`v_Hxl_N&XYfwdOI)&;K@h4 zOg>cF^1BHm)#?BuOT3m&6ZXPG)2ODW%b48kU=><4?+2LDz>0gYY~t`28y`dCk$NlkXMI(=k;3}udk-- zh;_!&ypb=c)AWWMFJDV&R5b$|5YFnBZ|`p@7QnNNgw3JXVG~*_C@?mikgjFYh%!bf zbGDHNwP2qy_&e*K!laY5+`Y|NpaP@odKYrzWQ^Tca>O?LcFw~HA=5sZ-glngZ{olV zv`A>Tu$<aN|XC#5Nc} z!t(DjkENq=7(3ErOAJKMb-g2K=N8rk%JG8b4Y&daL^w%!*%WOr(p16}dDEC!TtcFR zXNpRn2-1SrBo-MZi{0R4o$z%5b3=ux7NZW%fx8cLEmDqvO~9jQe3k_*>}%p(iPEC| z$vf>9l_sSzBS0NC4`D8Mxm0OKLX4%8^WRvILZPsWII74!{Vhv3O{tk9MH4Wi7`Cis z-kVxG<|;ED>0kkau@Vged@Ng`uGmYjec|6VpWh|3fW8xbB?Ms20;kxEpY~XtO|5} zs%e-?5;qq(0{7>jhbtnR|V!f=v0F6l+5KrJ5FD|k{frZ)8j|IFc z)|-}$JTCSEKL|B|SMX{O_YdOynnzUOy?$ZY4pv!|EY?qXWC`wbNKFP<#(ic1TVvm7 zDxQ9tz^cNwdFr3Oqp7B4PQ9YFYXmfNXj#?d0=lyeFp(ox1*(JV^DN3?UT2hCc?a>gH$ZjOiT|QgoG-_+Ui_u{C3C) zItG`SVD?Q%G*997Xr{RRco+)&NNtgXszcM@35mn?Jb*nANd5zNBNjil@R)}Zt7(%m zMV3Zrcp_dv$UT{BDkn52yUhit*1Sa~rG7i1A9nK2WXN&Gj8S~N@}nhzvja8-%nA|% z3FE1_SXC^_2%{5F%x)?pdYv6rqMZ57pb0pJG)c)q#cTmAbNz!?~U7IFeeMGPIhr3pj2Ut^|&MB(KEKQCWGusg0 zrlG@=hr)(e3R|{mqHaq9xdkyp&zoZ{xiJT6o1Wh^@@k6UU#Z{HG$qD&k8GKZrKEoy zEEL-fM%)N&l`%k6^dN5@JW!ZxLOiLp)Y>8~D%F%W)-%+yjkqx6Q)}GPd9KbogrZ$m z5%N+fJu_Tr2_Oav2eiTxqXE7Rgacl|)6EC;2`YqCcI%KjsaPzaVuy>&Q1K{hw@v$a za5*xA;+X3w5Fe;fQ!HSgvi;D1`*yfp=-VLfoGmJbga%y;%qb8IYCIR&AW>i`ZECDk zm+!)6A=p4;eQbhdBVSq-H>TdOQo|KEq>*1Xh$nK^`f-ST% zrhpl33a42CF(Rk~z5t2yvBSyP*iG6rP;n@15-5leEuk4eb235h&0z+}j>vBW>>klH zwFc)awm$T4gr=w3ZbHsk-l8!oRy5!me3R=VbFaxFCvAolIxguJ_vMXNvX~n57R#cW zNwJf5lYuqJ`Am{#0J?f-WwLzZ$Hw@EQ1qxnz|atP@?9XQGu)eJ&@d)xQ*WZe2&;!7 zP`vv@J}7(6{;wX(dFJ)jx(CUUt6h_c|$Z|1+C3N#&A;jU#gknx}PAX2Q-johq;|gz{o+v7qqKaJaCicW}5nw3y76E~XQ$UJLGx z43?!e_UTZUhQppHWUVULpP`M>6}=g7l>!P?;4PsYAvjG2K@F@EEG^6qKK^HWig>@? zuk&qp%DuC&Db>;GyfprBpuFJY?xC)lS2*szNaPUHsO}=bzYGor{brm|1(bYb;U*bH z>^7>)j|{aIT9@#Oi-){08cLkAj_!Ln?y)Flk zI)S&oVP@F^c3-LPu@4u?diPs9c(uBJNh&rDft{X{ zm)^nPz);w=Duq0z(`H6wiidM=D`!DmYTVGyg&tQ?gcR&0?0Y{xc2IKEBRyXWy9eui zYaeUO%f3ezxnoA2Ej;GJ4#8li)4ILs%i5+0q0>7B?c=rOU?V5*!u&n1zu-O{zTR`9 zllA&N^AI=8%X+nB1B1wRdM%~+7SxTqKXt&Y`M>iF;0dsf0HVkv0Frjydp#&am;;=O zBptNRnEU1h>JZ_D$n0&CSqJ4By^RG#_F&k9_Z;pfw#g`124IZo&tALmlC~;Tu(7Jo z5T2@&L&9=h86Gx`_sbu6=N-oU1W$r!^Yaj2sF~W`WO90mpX=*nZ<>upyS(r2$0B}= zko^{@g^9pqQpydQWTt2E5yqi2pf4tak-Y5--L=US6b@I)1gEw=JB7Y2G}_YSr(jZ4 zxSN~|203_>(I(Hhgw!JD6Uc|bYHpiY6rcQ^x0{?5Y9+gaUyPU1x~aVB(*{uhd091e zH~FUw3x)?7H5N_HB|s635w^iJqEO())Dcme3=I`&ylD0|TeI^)gDC#x-P$q(v+*Ok zS~ozG2KfL|Fvu2rFOjKs{rAGx@BB+l+K2rD_|5^VH2vxwUkS*<8qvEVL$hME)gqFxb`Z+;T1eI|#Bg5Ob;M1kdVpi5GniD1A}O)30V9OP zq+KzCg+VqR4hoDfX9(#U5Rc4abT*Oa<>Oe}qH$g@2kqRI!sr|bPBrDkomt~t!v)+n zG6f_>auOs0fWf@2uS$kXX^|N_?{|^52*=BvyXILw`>YAK5ACLem@$ELU?YPM(NJ&Z z1ATFq3j_XTvU1y=sPFY#Rg)^Bs@l`+@eKpYD^F~bUAJO^lQiPS0bn0R%YtPxNSQja zJECKtFI*JblTggqPy_wz@}gy+5MvX3T5g@t4O zRELe@mwzv?3>O6GDQs@$_-|4*sz8x>4zjM9EmmF5TjIibYM1pkK7R8D;9(5B%a&WL zkDrh^V2=w#<2J2>c|}`I?Gm_#B_3?rs-~1+MFznME~HFqatJ+$CM@FS|W*MWEsEG5v<(N<_RgYdw%A+KD|#Deb7 zv^L~(@3uaROBo@oc3sLIi3o)CwX1=!&OLiID*u~QlB*6_yU@ul>hTXp<$p5_){(1G z`QPGF_9k@l_wg5e+d85Bzxo#ZmP6^`>p7z zL)orZ+PP=P*k$1Fs4;Ikly%DU!*A_6w-L;LH@N@R7+B|?t-t;?cD-cAH416S4bSvjsl zJGfo;Z4&Fey9MtUi_0j0jQD_j=FRkv(xQb_ti;J{6EB({b`Q^}dT$=chq13ZhxrUm zii3XFQGj`?*cHcoBTJ5`bkgN3tEHE}CuHs&g-<_fwNK)tEo8sZg}N-r0l%>AVvmhj zBe*q%*c?W8-9U+c(ptLiD_F&heLB*ZTm9RFe<7qqVMe{DKKf+d7ZJ2t}vGBy52R71{t*SZQw_ zxYF6wwAUnIi~_XVVAK&~(#ghAb^XX=S&-y+Z3DWbQK>6t{g$p!w6FY&<4G%Xw#9}L z2bf+e7TqP0%H4EXe=~aEDi{?upEQM4Cxew?f9YBblR9N(+Gr)fDXjXgY(g*? zqt0G+%xKd4n|sg+14A)U-eMVQ0$hFFtzRGdbd-XiCax1NVNey>)Rnb3|60>j`9M4w zYBcbWOga@0lm1L=gA*Q9B8y#2ttJi<9m5ujlu5%&<~)M&%;+WUL`DCQPqeWaQ!OFJ z_$gw96uc#@1$}}+gf$fH)!%sPMqlJ3>{U|-!Rp`unNGF{NQ~;=nxG=%1)Cjadg@!M z5zpv^R#Hvd3vQ7uXIx5ra6*h&=Ztq-7Nw_D(;6UjIyI{duu$3`3Y8M+zwH<_pddm_ zcRfI`@ZzZOdH5A7EVesE2fkuwC<1W%#!E>ds7N*9np z=9Pwn#)sKrvDO+PMsdghts^0td*I_RI~pOWJ7LhrGWEbP;zOZ&g3*?qRpoWL5)B<@ z25IgYOBbb?dI;duM?NerAM*NKA`|6hn~^xu@4LhXb}lVQ$7hu1HP@;Fg27B5CD*tWI*G>CqxC<=L}Yc5I>_C`!&d9!5g>YrmbZ2WY|n$U(xa67U4JmAcGL3 z-%LMjyBUk5D{v@v2k+rdeDAc1t?iutYiy)qtU@aSr!qrEg@Eu1N#r3kI0X$&A~PYW zA?yQ$NkSCDCS8z=4JJX}bwY?7GiCqtf1B7Tk2iyw>5Jx8o@zQLKhVE1>vv7b&M#cH z5;IRC&K@!(a2gXC4li}HCT5NyMPK0_;!+V6QiO^9-1VFxNoL1Rc0p1rYStL_UUSc~ zO^bCNAQ?<~>MC#-{YYEngifb|F)}LvO)HpuEOVSbL_q|Qg1Ju*;G9C>eUpT-;KLss zyC!?o(u%~RMh=Sa~VA< z=8Y0569$QTYo<=8!Vyw~Ds*m(HcS&dc3TlD@lDOq8b>O6uZv}r#`BNdBI#nE;cq~Vlg(-GSb>LJOjMPEXaB*`#uf@#{(2XA^? zD{)9rb@~)%Tn$KMDpC|fkNT5Bt8t6W3|jNEo|Yx3_rY z^6jyc{$ZV|p#5U*)^lsjb+!XyEo^G48k({XLwQ@*hFO7=OyGJe2xIMea=7=?!SD(* z=}IuXpih*$UjN;f{cPDiwXpcXdg1;`)+y>x+9(9*UE#{`7q4 z_0H)=Uwg02X@vXLvSuaIFP-yB%d?vv5sk7~4g0w+-9M7YH{g#xKCiP)uN_&N23sly zpiVE=AMsE7#IE=717k(-ksx{*FpG=_;Ryp8mRalI>(Zya$E2qv5yZaeEz5_$v}X}A z(RtNaM34;Y1XHqt6U3sz6(+#S({Y$AhIVyL9&0Uu`mn8LDD$j0Oxak@BYMrL=(bs{ z$JvdoYqR;XnuuO9j1=YNOYZuuzcfr(rkC~m#}#b*@y-s0*orWI+J)eKn-k+rynt%^ zgRiTalm9DU1;;cS)?#G=65Rk`WFP|m9z*XkmgjXBzje(G{sBkd;NQtkdpq~sd129& zTkd0n2Z*gSq*l_#Qq8&jdjIFz*h|H8fDC{b?K0ew&)f!)XSn7Nem!m0>{BVtWtG;JqtF|6K6x^NRcC`1l zM~0_mc!OK2KNE%@3+D2$_iqA^@a;o~Me`d>=dQa6G3czyaw2p6kMO$o4wVU zC36x_q)?X0=N1uRP7VdlwIRVqr;BGj8**4^GWnRR$>mdB@4DT6e}M=_R&g488xf)H zS6$ak>|~To5U)E9gQtO~$X|Hd`_C~60)Gq{$DQs-kxN2^o$+duJ;IQtL=Cb;BpFs9 zcj-pv<;?EJALI-hJ%*d5GhyDgX?nnn6M^w~W4sP$WpynieY}t^#TfepLV6~ijQ#kz zJFiiq0oG94Z}wzq2jtC=mzpju-DzOjm$2tl3rL5-Ti~*M|7c{8s34qJ=H+6hyhnn| z%M)-;IQ@9*{doq|TfjW>j+=lvU?qVV_Sj(adGnIuJ9Ru}5FOU}neGL`RSkk=-KxP! znnS=^@#i0XXEH_A7Y?{V;YQa03do+IvJOBdAY0}z>ta!_MeHhzz6s+DG_v_{*YsaC zFJ>ku$2M8H>0`UlI#^f%XEMRYv5Ju%)hf%H)=}U)Jkj1$0BG{S2o27ALr`41&RdsW z=PzOp$x?kNe6Z(QXk2%?<*#&6DZ1g_$fB)AHYQwpNZC}>XQuBo71rqz9ceRFhXa<8 zGY}ejL@B(uXm(YN6$t1Zek7Zn>YAo!=1r(vX1J&@sx$eY3H$bBXKrnTvTtN^zI%1Z zU}1qF_}z+}b%VXKvF|EWG$N!ymWq(F#}^(6(r3cSt>hllYt2rSolcvq4hr-A;&l$c zc^k_v;FE#7*o?AYA-o+(F{-W(!YDSBr`~*%@MFoPxnPZiJ^R&J>Wjezl1_?$7r;(} zwz9b_z;@TdoUEoi7vvYm!N&WdCM1n0-Fby*tVQj zu^z8^FSz@IS5@&m>K(r4%V}NT8rSMMtM0uKPSJ*B^gNLVYV0vC;-!1_85t*X6-{Nq zx;Y)fmKzFQSPXAv?TR%xyr5xo#R4bkHUxj-&Wt(!p?OqD?@3GV9y` zPH0sJA2qxO0!|nMLU>sX70uj5jTtbixPRAA%be@LR82=1|1ES562J11zx)aBEfZ*{ z6RQ2KafZ&l1)+K~UeTLAQ(wKhapYHjWfxug`;po|`%@vR{~c`VCn!QcPUKCB&{c@) zvm+gf(BEF&ee!SL48YXefvDb0(Pp|-f-NoQx_q==Ert7vR zwE1tovI@rJqu~e#gzm+1?&#$s)&{{oxCtcWgzp6zxTIXzQ`y8drGnZvg4UPMs4-P2 z^ll0D>!DY^6j}$phlps%Xa3@+?!Cs0 zNk4g1OkXxfy8E{Kn*HRL3R?0&{pv3X!qL%A&G##l|4p-yz}j}S`PzV8&6)`$JD(6p zLVUy4P4vsT7A(zhvkz-7xBz*od$yY;=6F?U@T5hFY6)zdqnX{WHUhQaLe;B4=32 z|8~>z&KQ^|!>kJ*`WUVvW+}Vbv9swuW@zsicxLgopK{p|CO@UFpS63nSJ2^VvCwVT z;7^fd7W_dxI%WfF{$VDZgwrp zXh~!l+zcxN%40BMU2iXW+IFw=hJ9T-fl-y|3-wGD474O|rsh*&Ya|@>+n0Q6OunYE zQxP)u+f1wz6)QMU&y}_+EjK#hPXhzy-B#6>UiBA;V95QVF;bFjPDjNfc#MLd_MYym zKJz;OClD+OK87b24FCXC-olGNBf&TB$zg~-PfZweLnnp-Alj<%bAem3pcA8!2E}-m zX_89F-Qa-jxx%b^*o3iViiJMY2X=zRxhJkj{OWIr7qo@%++J(~*3F;$v~sHx>eTh= z`RnsU72M}Tb)Hdj$=YO9WV-%ylx(2Cz1{DJ$~}HakFj}itV5OKcUzoo%`Vt+Wl}#5 zSmiGMid|FFrQiiEuU%sOrOOzDxql&`vtE$yY5zJUtpTLQdtsG$>izuW5#2SsS00-i zrgGTUvPG6vbW9x|B9ah3F8!9ur%U$+qIT(s2>@p;MY_n^H0n}W~NHo#hQ@WOimtwH9 zfbGg$!^vox{;pQy0s3qq-xwm$lawCuh}mbB&RA&?N=IG^Pm4aro?_iKMC=*SM(deCOyeKKzPhE-D%^4Fo2&3bs+DYVz)Vbj25l!O_M~HZzk7%#<`&EWI_+P2Zlq)$Az|?UOGrWF&gD{=;ulf@-v;#Vqrsvm66bn`uC6(y5cTMB8GdZ5VkOd+DY%(ibWh2N;-Pq=57ZOjsGzQAr2|PUfxLoBX%`eyVAf%XP{0cD;gfi9IA6d9 zXZa)UHVtD4WLPZPzH-k;_%`ljN$!FckuC^TZE;SctuitQ9@hdj@cjcUc>AG>U2#Yh z-#N%>nV`d);fVwwpYscY5h}PCGIKSBCm+Rl#PB~6)0nY~N)_JIpR{IJ;YA*G)}=tn zxbi@kQrF}?4k8jZP6_wAN$7e&cAW-1Mf#~%Xz#5JVk~szirWuNQ%D5RNCl7fNS=2V z2zhu%;5bt}?}_LB>>BVRtDTK!*?hXvPsb`+cLI1(t*(`; zVxFSuJuBe6)1OJsEJzkLotXJ0q(S!>Hc=>w)nx(LtGRnqR7 z@Z$rmmQL;Kr80?RAm`Y?FutgNpHYnbl6yl@Fduj>M@Jf{+2pmp-(?u#T!rv?0qcrH z{?3h_&+K0;jM++$c$2x#edQWLXJUnbgQ2ny*jchSyP!0S70Lh0+S|ZKao+d7v&%Y_ z6UWtzR$?Rs>^vGRqZLAEP27!|COe~%7=(5ARB<1GQd$O}T3#+gS z2t$e+=MyAkm*gfVhLF@vUk<{;kxxjC9MVI2&gaGuPMW^mf>Tree699RQXLQXIlJ#6Pkiv(ehO z`qw=-VG%+2AZL2V@gSAmhlpita0f1|ZJ1x1v!9)8bIbNCdi_1UdVS8W-{}O&k@ic^ z&06mm2@=D_+Pjm~D6B;SKD8X@;ex+gJE7I)(obsnsX9OB*V?)oj}V=%)*n+R>uXdL z&tptRtz;d-C{9xYW;v?lbYx0$Jy*=*Sz1)fK*CyL` z)eUEYZ#x6ayD&+#`mI{8s(sqXm9)NH@w~Q1?P|SGH&@0x>KeWy>~ng9Kc*gy9f8Rs zg4H8h*;dEYQWt$!)ke6Wz?+N5*2J9IZ|H0Dex_tUR;2nnky@W8a=UUxuqJv^5UeL5 z;44PaSbXL;3RK|70Z7mmXk5A3owdNr$Rpg^D>Gc)*UOvVwJG+owW|8r#$1K6*>$I@TJQQsrT)9>Np+~2irTq)^;W!TY>ny%9~}n! z@Ym#9XRV{7A2rI|1GZNlZS9>>U;VuLir=(QRYx@Rp2CgF$%{bTX)z9aO>!`ck zH1mRf#jvNTas5R#`nfMfUAG=Q#$8ZBwrbrg#bJ;69L-t2zg1pzbRma@UVj4-&FU}` zTltnYMyAp#3aC=EXw@>J*C?p@sYfFCdN-@*o8%=`;2sI}Gj&H{=1NJ<9y=Q-BeC5# z!!!BX)q};TNy?4z%atNYdMAx;VK)`?eyd)LP=#j|NQ!Cvhrg+fkEp5P`S?nMg6IS8 zDa#?_sQOSj+I!4M5zx1wf*ec&P}o#tfa$GT)f)3>MwH%F5Y*3FBZwUHxh*Ekr+hf~ z?+t3GsOYwl^UZOa99`Igtm>o3As)$lKsM6*jaE)$oM}A_48>WQX>hwkA_#lw0hs7z zO`L|72xBtZ4g*qYi~Ik)c27A1DAg;5+=osXvP^UE(2q?#Pe;c9opzzi$VpUY1zjB4 z*-EBltG4X?NS-LB(MPD_2H51b1^{9{tNc58gBk+F%#nM=h-BRwqKWW3A=F`CbTUx~ zrX)HS@ z4c-W%{k#_$&pq=H6#dL?Koj1i$rNiuw%*f3& zk1GQ~I7IHJ790m!s$u>RURkypn5UxAY??DIiMC5cfrVITQ1+&l9P8X-YsI=6nHhIT z9H`UHw#D-wQ{3xT2DL0|H(n_X4-oei57Hc!I|U)s=N?K$kN%bv`NFoTk+zn!z0s4s zcZu`V(_7vWomI?7fERrWH@#vbSXf|k0?`(kE$O|BOUw#5P4=y&;b8%iFN<~odHPvF zJ@(^u3?O0G2y&n`Q-Fyo-yD{aUG-!BH`VxZNeQmIx^6mcCr;_=O~dG3<|n5_Dfi6s z;S?O;$Lx@y3kAnD&sh(|<*}E&eH_-r2 z`BBEfBIPyP#rwD4eIfS{8$!>m1pONUYP?c<&>LxbNk5uXyVH98n?q- zuG;{Tm0a8}d;V}mjqCm)r&hNOW5M2%OKolZS?vh`^Z%yf#=g5&Vo zoz%G|D0o^lyau|*ikvjIO_@ej?m6+j_ebnqmV%Tl2w~e?-mB6S}~y zvm+iSciFW&XKPi@El2HoCh?LJ^JiVHy0BA^%#<}s?)ZmDW1)r)p>Xj`32mizvL_Kq z_zS2aiQ-F`96eF|<%c*>G9rAD4(ORE*%gu2CjyHF`zI~IgEn$ZL9AbuVzS4ER~93xnd1yz<+x~S&})l@xmS3FV|vD9SF7LA83WwJ$U!>4RS$8G#*S_7eOGjs&t#5ct9YRyB(N&-N|3<^;;dnK21n}iZIg<2^ zE*x9&ro2zGgcYUIufW9I43XJayeLY2Wy==A9D zo?fvd07{b2`37n}KJY_W`9^f84A*{AtN0ODhF!=<0jv#Jsz5q`PU5ha2~1_1!~L~2 z(h1%YEf@q!0jx-bmUz*g#lKpvfNm;&eQba*A$?ZgbXdRWGbuPCn5o(>quxAhh+2KD zI4M-2CTsk7huP@ArcWAvDc58jN|&ED%KotS;INfzom7K&s(M5X5-N2>x2eYDb7NGN zvv;bv-OGdpvV$=?F>UBA;9*8)+G!-b`QRKUu`UGpN6A~qz6}InDS_p_g7L=SL?G5@ z2JD#VC59|I-W9iHk*hdIM)gzV)Qd+H8(%mDfXs4qu0gBVGN#Z18L80>9LCI!raPF= zt=2*y4ihm6=EEe(K2U2YMzbKJr98a=B8euhJ#{bKi*IN5MP1@OD11VHXB%AHb}r#f zcHB9p`9sNG?y!jps8~Y?&RV@11W|wiUDbyyaftz`xnr`DQZ9#Gwo|XXi~2MaMlBcY7j6`CNR?Zq?$$ zK2mr=kpp(kRBiKUQ6FolX^~~K0{4(=xWoYuSs3VAlS$(TwDcE&&}v1O zNjWDapzwmv3>2c-O7wo~lujO&?9S+F_g7V~wrNAXTYJ}jfGAt@_dD2l@grtXUnA;+ zF1^9RNO_5|0{LZQhvGiIJY{M}pb~yy2fOrYFUNJKj^7)z=iQ{UQsW(Ho|1bK7)cal?Q@IsYM# zg_Vu&UQf&>-LcC00PMn>VW+30`{5kL&wS}5ZVYphHqmJw%U`G8RNUQrpgm>#uw#Sku@ z%F{RRRTPqRc}xIO*2bW`K;Pz*Tg!bRT^c2ph?$|~z@+n2wAx@nlK{vGVopkSB%ocR zK*+uk;+S$8*w(lqqU=LJ0D1Sd(d(6SP^;^giTMWU-k=xQy)@D!5dK_FnrkbUCZb$b zP-C{TZAz2bQ;uD<)1;=|jeW%o+JZZ?$a2ThEC09wlp@LfP$pX``eA1*?h;6jbp0bA zR*HTinmuCGQZYE>2=J^d!0lL3IWi!-29B7G*#Ka^PedEZ1WOe2D zq|=Z<)~Kc7E%Ax5Ohkq6`yAT`-WAm>)D|r`OHY)nu{iQM0+Q5>HHYiQDn?|KYaI*- zRcRB+88#O&)!BKCw9lT1txp9ri3pHK{a(&6CR%|U3YlVHE9fc;@ufh3#aUedI^`^QH3QqlXFAY z5|ZzfB5;9%dXL72kuc{9Hj?o|QtP*_z7mHWseHZzn(>F|jBd|bw=l4YS)v|Hc$T}8KKAABj< z$Z5uDpxKNSxLg%OL9-vtJ|h&fAiJwH0I4em+vn+sVeD6fhMIVSEh4)U?)5fb6!}*& zN+NpJBBowYHB=;cO}vSS3Q9c{d~ou@;`i_0<_$nK1qZ28yEfv^)y9ZxjGK8X2vETT z@->W2qb7#QVyXZhAC0SM8t=^i*N%dl>Lq*e4HS1 zlBKgij-2TI4}6}Ll$}jVc@Y3A)W$t-A>Z;@9w!zrL~5J5m}BN_Opz|v@IgUFpOd|nlnb6R>j_< z2NC|H)18bcTZ<9T@wtUIU;Vn$Ycb?mC@29`+Rxj{3 zssoN2A9KbUM)eL6@92(iHmtFWuT6@%*2|u6|B3G0^M|>P%paudW}Gcbdp!%FtHy{v!a;M+Vik6(6#ahz;@lKTtTYu4_ zSc3I5F~=F?B#r=V?Y*1tT^01!PzkIz1o43G;sN1q&GIRHwbf1SitAL|!o@K{G-5;2 zo^N~^KgZ1vMCH%St*CI+1+DP{~6%3;l0zwLI0GFT$^Yb3&Z1NW~DiF zvMlRF@umH~uY%t^_Xi*e*-tKJbaK*~hAZQd%>86w%8O+ljGxH+{&6Drd<*rxa#}Yc zuNS-Y7u2h%du$gom+*U;fe8%rop+h>gIKdl!)|Zjh(kJ_O(yfBVxpF3(@Rj0bLb;FhYN9z5NO8K|crd?H{?rO>ppFB=FCWE2Q5u+@mGe<2) z+U8bj;iM63cS%Y^TY&xaqCMo^AacV(E zEy|h35$r#7m*yW=wfdZ~lu9`|4r(>}3?Xxsw&}X;VOgA<97MT5lmdSctGP^?Il7e{OzlA#?f5qAWv?$XNE z)KmJy!z){Xo$XPzd;2UAh?*I%XUH1Sd}VD>1?o!R=#oK*$Kk~KLt}E$mKoyyT#YjT+wz_HjHL!c$(R<4*e%#`~ zN&p>ig!nSMfvWQrmwlEyHV_4JddZX_cQxIBvVTP{UeIyg44r($G>G}z&(IOl*$5{T zMarI-?otGqv1FXK91yT}ux9=&qn07U68wR+Bi^&;ZjBf_jIU%(K}323&_@n^b1iUn zSoKExAv4+=H{`FR$3GHLqCJOJt`mm;kTtFDH$IA+cyuxq?S0rMsgJj*>7AT)J?00L9+_Rw&)Mr*RKh0V}x50%wDn3lV8E1;IxHRPL?xyL~oHg7CHm5cIbpM)-Gtp6dRyybOg)NPDBdG z3{!^bkzg)Mn4uC&R|fz5IGa$`VOJ8fK>_hXWW!lty!ZdtW&a99_e*86?to&acP=4x zR~?zB`Tpt>EOzF0Jf2KDy|Hv^;4f6$dL!HOF_~~W`qQIJiJE`*ozs?t#oj-$beo@_ zLikIi&|eJmSC2eloss#Tk6?&R(6OoaF8`R$IS(+q!{TRKAU!Oz^j&u_nx zH)@Bc87}3GmOt_Nr6|KCknS5x1{F)O zwJ|%AlScT{mVBmd&1nha?G}^92htX`)t4XCZm8D5;Ty}XrB}Oe)LUz`#>G_qYJYd? z+y0_i*Erl=KcME)PtP{W;Jyu$t;GE4O8uzbbvw|HSidfHLap}*3S{&erP_T1Jr11^ zED)wi3VZ?_(R z&|sMp9!E_Xr6)g|vSUoeHul@~X_ifuP(t-G8E_4`qOL#d+n5av8OuzJ`7-N}u~ZEk5*g?U~fL)l9njZN12s|{o`wA zJ@0$}5S5uy;8V6AWbeL!-MXIWHHkE7n~1XHdj=LAlCLGAOgVu;+yyr})TG!6!lb1I zLN97b6k|i8RAWQcO7uetBSbp!AwH_UTu#I*aDgTbt?Ppivzak(Vgzc?8;n+p)GnFc zc8uy(z)RUpH8v*nP~i90Y*L%!Ic{YIWlpMN_9*=0)HFmqY#+u#tC(Y(4r?z(1gM#& z%;BtqC;8m6mT(s=6dsvG#Q*Z(-8L&tOEHC1wtMIu$uhO5*M@yJ8$u7N5x+GCpaY^* z)VX^ZTh+(Du;5U^S*u6{b=D&!gD#Lw1tlh&6aha`OJIgzqXh|K`(hS7vh)N&N3`|5 zr|Bd#pM8VIS)nOq=q;JN+yAkfjEJP2i%gxuskXyrMQYs~6${ zsf*ZWk$|1TL&H)l#pyt{h8P8(&=}2XHyWy}XP^r)tEJ)8{&*A|$6jyIK?{qX5=UC? zt#6#6+e{G4o#ntUUNJQ`u$^8V^BcVRIMRdYq(D|af=)`aTERDF@xuf%pUY=z&;`sx z%@|Jsm}E7=)c6fG_PDSyQWGi|UQ@KG7-goFWV7WQv(|GH(p{r|rZAgHbBLUl-H92N z0Oq`Pc~Y**5Hl58qlE}4tARBPoz%v#&{;=GGk`5f5?KUlX`$tpfC9jlfE8qwDZVeg z3D6wx>q@c`O_9W)BQ%PUv(~4+FPF-#!BXTG$s|K#gF*z#1gT;2NI5p-ookEiX>dCB zA>Yt?L6#&51Io>xnPop>eX-Q3O|rHtE8~NvJr^|$*)FbxjDY)U;o`I%nrU|Q!y{Z2VHuN`caKQ zQw=dLhodECnJ;PJNqP#Zj*hMy_P*bB$-tRcuB5AXXW>~34dgX)S@Ds9CmnD*>!neDH_8z1~h? z0Ri*s_E6;42LJBuw(MZ|psVET4>7p}CdvhYPjZeXToZH&!6yJ81FXW;~a^nek0zt+&Q_9Q6IWa`aZ8;@UA7s05KiY%f z{W6}xsT2?_Qbr<_na!AF4kbD+y;-mlEc zb*tyQ?peJ$ z*$hv5l0Drpz{$jMZ!J1Q$uRseyH-jX3y&jSH8{3(;WM?8`gp_N1{~croH$iu~}mIsCst_kt_4 zxoYiB*o!mm;A`wF_>cD*WUPP$B;WmNQjB63p~nnCav?$vGT_y z95pnrygF`IDmV1z^RbI0sd1O{118nO!#vYTQZo?aueb8*gcac0h_%Cio|M27W#elK7*8ZQQYB-!Qkcdw3K+JGH+Fk9?Rf@b zrP5VkCn_01Sc`m(tc?fEU8RATBSQYUXUKxEli!(@9?~H_s4M z2Y3YJKqtAu0fIM|<_P&)kwvlCkG#*%Hs~KFO=M0qFBIBxftij6_0*$N-Tzhv1xgp(uP!2>&?ml&z=&ti`@ml+_<&GH6g1%~t04 zb>#`Ivb0NuWQxEf0LA2VVO7h0D@iKkC_GEH!CQ3YI36BWL82(uIty0q zlZeK^tyGk^!=Tl&7x~9e-9xmK@@L$xWamS6EzUr4}W1$y5HyHP_d^3lYY6 z<#cm=0so(mDd)pt>4XRO#u5>EG8{bsF_Xo|?hKF@k~z}!l2G-TdF%)YyhT}X{)kH6 z$NbV0fro(GFw<*KE6$cGUWM@duQ~xZaK0>v038*mZ68=B}hG0KP5t`s3C!wOdz^ElSBITq?FjLmY0OGASVj7l? zp_7U%i>7c-lsJc3l5@g)es6Q$& zvjF1M*Q#hn@BwHj0ZL#~Go865@`is*a3KFd`b)o3v7_7qwoSMvmOhey^09m;{4aas zf&Ui%89rrWKY#tESJsT*n+5S9h{ctCMO{`Y8357{lseL?!fNEPofJQYWr}YfJ}gY%`%o?49ai z-QenMh|u{~;+$@k(;g(ZKB)a^a+lOdd1N6!b|`ujJ>U)nn+?QcmBnelXgyLdXa8|x z98)2418EU{rpJk7mntY53ZLULDL4u;6e%>TaMBB3NZlQ#vwHOHD0$&f;a6qQM1+pv z=rN@{jnftujK)2i%}_lHKEq9L_fD}ywN^|i_+3&q6%08)(kk$!y=ppWuH`s)P{s)Z zVkUr%$*wBgJtHgYDP+W~{6U3H=D_tWB(I>#T<}8kSBO8N6;<9{?tX9I1 z4PUfUfE(S{BTq$e&jei|^maV$khpA@gchr%3@~tkzPkdG;krhrW7OrJJMug9hA<;?~TV3@T5XKQro7*K{(1HUQ^1o2KF^&K&#m{r@AHy zN3*74lg5+s1BzaEIox&I&RWYs&(z`6&2zj_Ylt0WiL5naiHI1Q@lej}lEXnCQR_Gg zCh2-O3W%>Voj~~6%I=`Tz=2&lzr=+9zd-|)aDx)b2K6ET8Js0 z6UYl0h#5A<=e(9L4OQ68ynG|UuafY|F_d{=%KKjA&#asW5IXtgEMc3mTBtw<7RWd5 zJm|#wq85G~X^O2zqx=^>(_b$U;l5EC1V3VYnli9>YM2^B#OYL`OhYAh*5>kbA?7P> zIh)c%Y;L((T|{z<4B~`l-c@i#Y+BjJb`-vxqyn8PM0L-hskKy<%SPFe0mD`bHEaO3 zAfXju!|(ahve0t26#RM8@1T$|oQ-e-m21o8>6M{U64e9ei;BWsiJ0S`RQ9=1>>Y2Vo+y{}RQ;*GBmG&5w{K-u%Y@`0MMxAxZj|9t?cx zQ2<0{Nur=;a&Yn?KV#i~`61sj67rgD-zPH6mDYDqkGluz7E%RUADG#wC&@Hx!mZ#e z1tYT7;2Y}$zU3=Lr6`lVR6&D$!z!fZH#lhnT!Kb@zJWGvI;`>fpb%q9`Jke``h~mX z8WPr}s3py4iDZQ<@Kml3UI=PD38iFX8UPCEnFggq9OMpM7ny_*&T?bI@qB_yMS{+- z__c_u&D8|!+#@Q5H z@^aRRN@Jj6hed3Cbv4N-2;T6L0&_O#WgJu57&flXD?a=oyrd8bFJXVlc9?vBf*=T6 zc~7V!7uamfZ#YtxlDU=a=wE;L&UA%qd}ryyP@sZbALi=kcTj%wFW4H{&=@%)35-e| zVPy?z87RKAQEuz$zx;NCoY}--d9T~LDwY;>CExz}T_oMT|Bv1l@P9#OKgB=GiQ_fO zMRCTVp#et9SEMnu^eL$tQF-2UyDOU;lyVNlfOVoH#1Sx&|I=UXy!tlU_}Aw|a{7NU zssA&VKGBBFwe(;){(nB6_|UI%paM4`(o6?<)Rb(O(HPE0Z84U>%z|PhUzA!+(vH9e zgSgzO*#Izdsr_1eOiM;Mj_iojT0|Mn}#x_=hp1sxipof}n>Wc(pdRNrq zZgr}KIGT3noqKM7;i@~ouWxY>zDg&9|5IV>K6;Jpo!&#Pqt=7I~E>OhTufhcZy=jxq1Kkckd z?sLSE+xI=A-%A+D?H>bdl|OhkU@hU^2TPGZ9!FJDq`uNXrOE0f!PH3NkjMat!V5+z zS9ci*3%Id*wRn}PTPG+uMqFoUTBM3R1Y0F!Buj1S8R~se6TbVzeQUojX4CH$R;Q5H z4GYEK5#GFT`lt9mv?jwKSyq2jKi}w`4t7ZoN&uR&^{_MJL#)WTevclq# zcO54^irV>!2v1EBz7=*JSHqo|8ILraR1=c~0ydJ?i(*Obwyez{f}c+xjt0uFpR=se zi7ukUi_79lktX*F?;9Dk?eh?T0n^H*Z6+Wb#-<*~ZfQh&w9d z;#4Mr zO{EiRMoXi?@^Rsf<_q~RQ+NQ{iCDN9ar}G0I4*(nt{r3t?%|kKaJ~u7?>MC&HYQk| z1(lMExrUtTWo>{etkSt4z%*s8FsBcQLNR*Cv4m)gC4>}Y63)``7t#QI0_Z`Xoj*#v+jV=QppHi)~56!dHjHcMI zl1jd1(CeP*zvkAw9>fObp@eyGssJot@!|h=HjaLRFNN%HQoI~%jqv)8m=rGWiGA^m zv%@8|d)BS)YK~3dDxmKZ)(Y)@b+&7HU22#1bk7!}ewRo)Ta0xx|2BBs>AULw&>Kzm zZ}299Z_K~sU7p`d+@&t*^OkfrC!)yo9mhqd_?i13e&cqPvjFrb ztrxB0)P1QI7QHn&EgnnGh=Gh80BSmON1+<_gU0qKM79}d z(d-(4hV!FUpOoxzf0q0sZaw6$uA5Z#x>JTMdqo82HcqKb#LV(eb_e$wt@O<66P&%k zaTtUmr~IjOYD&wnJ1i@a7Y=+F0)-)wKiedx>ryA`G8V${)$!5^SA=zA>pDYD?r*wL@#0ipSsaBVn8^f2ur~f6bXg z@7d~f3lte z(tFJx^H=Gw`$o@6LvNZkGKJP?s(8(r4+i@y!CWvfKL;3#Xb3J~UmrYo zeLbIck@`EHa4${o0d>$vDs!ZPQO8rOGT>?Lc-rdrXL9}1W@_4b?0NGxWlO?1=_2D$ zEiTAE*s1T?c#lmDWw`5cY9JbZ@zn54ujzD}Gp?5n&N$`<_W=|j=X?y+Vw+ECN9qKA z7TqE>C01*Tb?G(l*y6nRhSSoS+>zYk4?ev2`H#(f`rKaxPwd>g@4xkX2i=_mgYz{L z!NK`kM}lmHI6fjO_7{;s{%K17b(+s7+ntVl-?TaCyw>;L9h=?WOONhxzt&H5b9`&{ z{AI5zzG*U!V+;)Ln0`RhGB^t@JwoFTI>;{|x1C-mBDeiuVj^zH~}ND*oz zq6vU2$27}(aSI0LcUCs*c8_6K{L|K9t9#lIsS`r162qzDIq?|WSC~$(H1>D|RCd~| z0sz8a#{KC!p}#wAt{pQ{!)$_S0TbD-YiTrto=jCf!Dy@}RNP)BJ0TH8ruef?Q_NW# z0AuvexP?oV8P^X&cPX-;1T??lWLg(ZEl+8OwKXOzsXgB^H#2a{eEtdVro*KCC+BkC zNYVRL^}?ukwmN{qpj*3ieP?l9CUd+c-I4EK5GRt7)xbks3nkdS=v*qsz4+){xu#)H zdIwyp4S&my?kxp;e1c!k~8p=An+#o2fUj|t2r6p37dKUi`jTU zB#K^`g54LU?3Nj~zsTU#I@H%0x zF;7-H9~(7Wrz;Qad!YZhKd2n2JWx458ho>IkOIGU0mb6Q3@*n5s0nGS-CpOkirCsC zju30FCP##8eqhHVO`{bs%V2iX6;}0-UcFDR62Bu-d9iAEOTK>xg5d`oYjmye*7Gw@ zq|P`a&f~#{5K3#v2X`|;+55c!|E?0OKJzP^NU?-wBwVH|4?pL=S6nlL8CRi2$MO1{ zo$!PS#!FcfxQ?DGwzQy{{79rb&702f!_-;mOzz1~&kS;^&|n^M^cTS+fB7i?yZbLZ z5ZD8^D%EctAwmWIe@wq_(aXYNC%LEzs~w(oQwXtHd??@hm&y30dkO^-S zG~wOak(Xy3z$gt4Y-m=ED=MX08jYCR$8T@cQTq1EJ_Y`Iz3SV?P*{kLT&L=*)Fir3 zW27!^Y)GVyUMFhKly(F`iTcX>GSyyvV6W~SYg?`Dwoob-4P&)>+Hi7RtK-Ai?)h{| zn>5V6Xzy+}Z`R7aQZdN1K_XLy_5iz6Om(qQn#M#zI8jS!*|2YWjS+5?+6{r!_&>4f zUi2?y@hM8lG+$A*U0qY^JJ02o*Z&*m9kaIcs5aT_Icq2jVD54Bt(EiMvE~D6uXV+T zdp$kdRvuI97Sv_$+@;-k&>m7d>~{C$`-0!Dumc8e?c3Mkw7D08S5dKpPgMs|uo0gZ z$4_Tot5DN6byeG~F6Nyr;<2mg#qDh^A}Tv%`s zZU}%`!qax6$!RH6jl39b|7iQhwO5fvKj?#JJpnei<>f7NPk5DUGuL)pK+edY(3-b? z<0u6sHZj|0i7ZzM1#g^ESJ=O!t&STz;(a-Fi(P-j&KrGaT&QUR8lHVSgpprM2!h(st*_*JpN@ez5bF8?^l1z90N>?alt6xY3)aLWcS$zdOg; z=`|~@@zX}SZJn_~Snm-t4b4AlaXK3wy}$j@PVFaVYp3%u^M3b(m-2huV*_8}!1>;h zf!RTOVGh~rAM_V0r!rc`LiVfecK3g7?Q%Mk!A!E#Jt;c#e;Q=w`p)g!T-pBNnG!;% zva#Y1dc44(zW(^N#Hl#Sa>FDeZa%DHW7xlAHXo0k8Aq zrJYRrM0MkQC?+O?jo$u>?+2en)}C(Dri55$yhrS4So?$f7xUXc(z0dymgGlT*CjXh zb@cW9#OXuke&MFmw}0;Z$b8WId-~0IGY<0ozIL&4wYUsDsC$gvKC|K9FlNZ4|0mAN z9|=0HbtZ4l&2_qe@BZxNxxwm9=fdFpeD%WKAQOPM07(tx{K!El?Z5ARDSkK=XB<-BGni z^@hu8WF-}$wlM%%ZaKUH3kZoq=tba9VEv%iP>;Y6R`XRr!qhnfg9vp}Q3|1%=SR|+ zRHVBE>+pMucMM$lxl*_~z>#vHDLACAWG7Lxo3hD`uqXMyN~Q*N&1BFO;MxI7!4Pq9 zjLfm$pRDg{Y+g_&`EGokOXtO?KS;y*NQae==}?7QJh0F zfNL*xP8y&zj=RfGihiy4`VZ{wsFcF6;lqfWI={qNzbCng^Y84i)!OBtk+B(i^Pqnn3){H4( zh(p3MIgrnhXSHKi;E7a%|MNfA5Gh~mBwepGe0uA8mC)U zP-|h*fIRspb86`8(&YM_{~?<J?AnCqNs)QO2j74YV+BEKH^|FrZA6eV^I~6doTCD9VIWVzz5Up_Xqn z8rFDTTS?cD@%NpvHDghXQS$$agJB#de@IhHwv|zuma$u2O0O}7MC)`?R`}TMXe>9k zLOCME>|0;a=&2@$D4y4akl_?e+V}gfB-4LRl+tJcBv{+cHPGvCvb`F^R9&*0^ewn)>l*Uiecwlj#HfEsso$ee$44W zXl1Y}IaWT9Hs*vhlu=D@eW`88-OS`_ughJfuT<+#sjTu9^`p6*de&Y}1sfb!RWqgp z#N<$8r%PvBjT3*dpiUVp>a;inE*y2z=)on8J&$rQAAdzfNul;oX-um-)itelf2He& z*5jm{8)Ewk6MkK{m~^6JWrdcn`MnBZ=;7%iU#-7)qh^H4CUt>J#N<#%Efobs6 zoHoov3=(^?LLBaRWWP@#s8x;6_=}dhLW?K;Xxogj!l-*q+W?ji_qYi7%EBiiq*TB! z(kMMCEicxl#Tv0TFFFL8ykWFDn&yQ3L%H}73Ma^ui^9;YCNsiTfgIT;{FYR<|8ljI z)9ZJk3^kC#qN?>d#<4Zy8)t*sXnCHMxm?j}_7er^jW4zt`?b||`MPbIXm=z#edmaJ zF}K?|16&)19aZ2{cxM4mPO$1m%k)@h%VBXm-+I+~ObD-IpJ{rnOI@>G{o-9s5!f#& z=fGRHy?#N^hqa^-gU$gg8U3iI8oQXbHC;^EJEHMQu{FwXzgOAIlucA#Y9q1O+pFK= zTq!?cwEQUTI7|%YNs*~p6fA28zlyS1wSk)q)q*B3rgHLS7%h&VR_^yFbI|r6O7X_C|fc5 zi_J<@4e~yxt2%P1K`Op+#F2mye4kLei30j0+bD#y9y07U3$X1KQWR7!@q7d)C ziAD%}6n=M!esP3jcQJ~Xnilc`ys14kQU&{HS?+o*!J=a7Gl%tS&A^;+jqxzt6g1<&MxEXh8uR>N5qzv<@axC`AExeHuUb^vD@A4 zF7`Q3m^b^JFPj?%X6^GM-uX+e8b3xt%~P3MRtAET7}fFCzN_YILd=+(*L{d~d)_tI z^QXa?3ul}SbATM7jvqd~R0B@?U%oC&J2piCzZ-LCIyaCPD^!>)oE5$b6fSi{)PVqJ z9Kq%dqvKEK{LiVoMQ%R+p<5nt2Z*Ek|{~ zC#5rk(4RvddSk%hNJv0dLiV)sbW21NYmBxaNCTH|{aa7}w6i4`;K0MnfW0-ru>ta+ zVcbW%jFr}BMC!CEzS4DCyQC%a8U|L2U4Lab?|krxlReVc=8}|eb8q%{gKqix5~|Ay zkvy!e{hIS$(Kem$OwP@8=084Dyy@)g2sTaE^2_U z?>OAjx*#q&U~Z2Go%vgH`#RmreV4C#|I{B$R5p6w3NFkad)2!U9GTEDvecD&!z}}) zyiqKso%Y}C*ag`1Xvg;7a5}Y@%@5@_=6hdrF7>$|bHCm{GJk$#?!5PV&3obuctZDA zSq;$EI1IFenw)y>qwQnuKwRy89ZcoA=hwYFXffU=KFntF`UD8nARIcRFFY64_a=WO zYqHc+YV9mg_JWF^XA4shTON=1sSzguLosDe{X7t%$wUia^av{Mi)vb32XlRzd`M|URNA5#N3u{Yy&+Vz=~oCj3>3bIR0bI0+a|T?90H9NPBts>}sBSftjOnqDW9UDhq{BE8>AL6eQjxzLi@5VGfGO|*1Kku? zRN;m;%yRtl0Qwn#q}vM1hVaN$E&_R^8eDceh0GzswX?r&U$w5K<`iv;b zkrY5udTN+1Nb7ojqavvv-H>|gtVjQkhaKm*3njUSBysgQ-WOSpK52v{$kk@iE?36< zXV7dL(QJ3O>~@~+Lg)FlI8* zKAcQWJN-+pCvOXSPbTnCeFwe0L5);=*i41RFFkiC^!~A90sX*d{D?s7#etapxc6}w z7Zi*z!XZ3{l8bal-INM&U0%go9$zlDA=XW$X!fBIWvX%jFlA&`jbDu>Pqs7(T;nN! znLO;w5(K2kn=9j!ain@F0%U$5jeDk>g5KT!wBQu8eMJ#g=r2qx$ngC#QV?_}|u%wd9&lRQrSJ`?}YdI{nBds1idjaq_u6l8$5SlYIfk#N5 zcxK^UTaUY+5lE)S59$+`79rnARD2pgEWTEe9>R1=oE8DSXrq=%@|vT?vN5VOW^3Fg zkRrnvE2UceQj}1OrhV+NSY;i%Y95pX+(+J6u|Bc*t9TCJ4-|G^LBhVAMtk((nMWQQ z)>>{lZ2H=?(-Jebcw4+OP^omh!|cfxgyAEXLBb7+6I;~ZUXtB&!6vf6E>vEvj0P9I z7ptSeSY`_y({m?3}S^b?L6UNZo1U z%9@<9Avu<`wzRA>wwVe1#(iks|A*_4uQor?JYYyHYa9B5=!S2Y>4Y7%tj*S-C2D$L z+3jA3Grp&qsg^E-?>_sjBRz!22jjaf+~q<{wcMXy^WNz-Yx8D*QM+l1jb;z7olwKx zy}i2 zBvWEc^VYhfP;Iz8XxMfauq#+%1Jp@`i6mjnL?5D%5iS@3sMo+BT4IT~*>bQ$j~vcb zI>u8~t&q}mfUq2m&4OVV6^vm?Z{Rx;mS~#7(ibU6IaOpDL`b(h6&AnnJt+w}LsTtL zRioKHrP}Hh)ybimV2#VDNmv#RckiRzkKL@w1@7 zbyw7{4qwA3)fmhfisvcb_)?FQAYqIZOIZEU*27MJ)M%T__g?e#x3B{`yiFsO3tqE( zd4AOGm0O!rjHpeRY7wtt`G~ep=h?lk6vmE=lOAu-=)8+}bXAVG^p%3x*8HSj+xbaHuL< z`kgt0(Q^)&TT;G-<9qm+LoC-#6G|h;OUCw)j%jVje+sOBlVh6WL&Kfy(3ux zir^BQ1%XN_6!=7Cc{=S+WSUwcnUule2|N&QI2z=)2vnOgQd3=t6H;K)Lm@9(+AOl8 zPrP@Tj6Oh+YihkOQS-WRBEq={#RWMJBnBhMK>I?OBfrKpp`y?U_N}tt*BV~T6i?|V zy0CGZL_|V7Qot=;DItV{U!0a8P^x%>VS_?3A8+c#nw7PjZ2%ljq6Aoz|J*~vD1(lW zPiLJ2F7RDe*LV07)d2EBk)Qy!dR;YEXdQJc;tjJv$EJG)Fbma&weE2Gc7m9Eqk`gf zgj#$$K}11S3Yzialt}zYa~__i9G1vG7Ini|ruZcx*|tZB%&6CcUdnJ^_jhNG5!r!< zL>+B;8Q1;hP{npZoBgWWdLv-kOP&cNPa&%W0LTY0Cqu~MF|9-PlSOK}_K_<*s$bg<}8 z3B~N2HU-wEa0aeAuSsR7&pqOF^gCtOttlUopxldkm!8d7BM7mvMKRutmQu_*o4ZZSI3 zKWTCLuZ>*o?|z;|oBNAk`{n6Nm75-z-o{D@wgEKPVC^3)k+^CsBfj+~IB3P;Jo@@I z=c;qKPpY@LwWrOI`SJ(@l+-zf+UaL^7JWL`J#BPP?RmI3o$vpFT+|Av9<0u*-t+=U z3i&HFTQoi*x1M^tErZCBOFj&*Dbi^$mfc%b?cA_#B)PVkaan#!!1Zu}kn#b}3< z7XzBaq}go%(*t&PbYQdkf^KJY{eXc9wG8~L`veY%KTN_*nSo3o!D$qu4W6_E1Kjc% z^fr!^u)ewsBM?WMaYDlZOrh>`+8TrGpkmYpA!zleec+8$+(2RL!`k)}&MIMQbk#c% zzueA;vO@axlY1?_2Iag()4HP)b_l7VASVh>0(KzL$Y>I>STX9x5<&C)>Tks`s`bPv zbs6ga3Zt7zs_U8TTGz5#8}2e%mt}{YM;!2@znyh!bpox&DF-p;2c<{?Zhl+8VHdH5 z_yK%C^C(NuaFunil(w3tTNHv%j5L8?OQ5-AG_V@OkD}&j>EkWJLUV?j-eIrv63$yAepA+gprn>j@$YcLP<~fKAH~uyxW+nBcg;T89H=FBjaY)U@2C> zm{7EI7(;Lx*ZY{d+PIpI-dD_{MrnOiiftWs=#YJ=8O6ls(ZEbe*ga-lN$g>sMd%}7=Qt1Y8ce@7@)exywu<23_wlP!hi)WO%4`}+>EFQ66`+c?~=je76C`m z!U?1Rf{zsoo8%BT3B17dT283KlEIu(IKH_@gYUj)NiimHGj-bN!a4VDBwO8f;~l$& znloDSyL%(H+Z*kzF&X)2C2N6#+UPL_xUXTrrsRXkp-23n#QK3%x{5Dl3S=dckem;) z4X=`PR}jW@T1y$z2F?m8sOgUgKjo>g_tEGFs3`wYR$w5M$CiL;AEEt!C)oI|( zr7%R{&Q^my!lg?^i7)MvNceFB%}RFfPS=#{|GXu^wn1U(KFmS5Kz{eBoe$XS zO$=)rH8vc4r9zRIO8FjXqRXgYDtNqkrlj@DL`ohfBYK&*O!o=qgBfBY-EJ<_iVSor zzbF6@a9s(JV5;8ejP?GNQ6#49jWz^=tuElHg}>woD3OY!T#ax=Dh%x)GU*UzLxR=1 zLqv2AO<58VNR@OF$T|Fqg#sr{MY-)+mOJw!B{ba5C%Uk^bb>0H#4@2$9)F|1a^?Q~ zV-Q;yK1Y^~jGe1vwi?vink|{$2=$>#1AdY)ynw9^#ws7gzJxpc^L#l6VAF28izB~obJ&_j2Ti^plX6^Q)uv>dOm=IVVD3=N%+yzW8mD=W5JPDD) zYo$gRP`yuX8|dY%B}0TYqutguL#b5k0#VA?uz`Q}4%MKG0TST65&$_ZSbS_CiB=4p zHvezJ-UmLa^StvtGfWaCakn~WMlccr&3WfY90`G#5l&?_vF98eiLtEMNLEwU*PEg- zc4*Vomh9w1eRrEVqa!>55hFrkoY*xI!k47YjfH8h({6VWmJ`xA4G@|S*=Dy05jIwl+FZqwMm4J2*FAa4i9iQRz?z}o*Aj1&X7OJ=nba^d-TChI~QwqW2X^Ac};ue zaHBB^&4Qk%8=$Y;F$STukc^qzw}EW^zCEQOHBmlME{UyH{t^wrPFJ-9#t4-X9ElrE0)i@xr)-3kj8UXjac05 zCvs=4zJ;_fE{gzu!c-JHb%cn-IDngw*ec??SQQ6w0UM5G7LaF$HEGdJlkWXS5d!m9 z5pogMT9KMU$Y?TKNg>NjMkFMl70CTKTKG`tlRdt z-e1fOw3WzY)=aUbVbMnrXxC02dm+KZYf+q$YdS)$aoN=41OQf(BGE0kC1Y8AD&aj& z&Q{Fc9aAYpmMmTvl;}`Itu7&mRm#fFS*fi-^W*tF1SF;;pZxX1(EDg8PGt4ROx4xZ zK9gWzm|NsKSD;XWD%i<+mQb8V!R}Y^7eH-7tw;6WnT5yqBIMkjntm$=tqKkVANBRa z_*-cE)U;&R$y~^SNk~@h7qJ>dcwsT1pLY9`qv)N%vP_2zyT(Hz@^?`QXGv=C^9k&V zCw(fIv z!3dTP`G!cYtWj3*)z{yHd|?KfpEVP_cT>FTjtBvof*ByXn5a3B{FbS6^AZG>-c`QA zu*~zIGlsUSyhQv}9)Vy^5;Nflca&d!nm{0sl=rcKVn?owDEIM;sj1dK$?@=pT=>0z!?=#rCUC%(pW|E&g1or^0>Ul;W|1P;oTSn<*lLNA-ht_bM7Gx1r1KL*@3*s-Cte zB$o&9A4*4GyEbw`jM>n>lI#kZ+IYx?l}B*$Qo zT8H@8L-{Q2!8$$B>N%037c0iXD99AuREV!5w_pU@ux0?cD=AZq5(YgA!ZmL0$b z;igeyW$ZUn5ZE&Nlse=V2`yQzf;ED~kSPm@=!Ue93Pk6_39FFId`cW1z=wQLCv^8^ zM-VbX-azE^#!(FI^@D2%`-qE?$1U=GuDlf}2IN;H5y5O3mU##u{V_Z?9oM)m5giz_ z5()RB2dVlK7he^2y&t#^a@!_aF;4ELtAQNR#>WH;@U=ovaEULUB8(@GF`#iZ14}^T zu+=DmY2)hdnRHST2Sru7;{I$7S|r$u1Bj6kCOSgZ62b;MzOibXbEnwkm}>+Je zhFx`aj&FI9qM!(#Kp?YvYBVC_5ikTKJ+d}d8CEs=Fj~x7RK1FX$uBJU6CZ5c<`cUU zry}r+tBelQYW9iWwIFOh0X@n$eXc-{_e9V(4d(c)ST9m87{P-nv_vThA~aA&P7mlQce8%;VLH z%8j}?5da{nvHBii+FuQ@X8gEGtSqDLq>51r0sbwNgm5^AD}|KP#F24n5Qp^4G7&=W zpv>a+Z+~TrfVs5#$QHeRfsEE-PlxqjUH!$Z_|PqpN@5 z(tfeaFHwsztQw9tw|z=-YgdR{HJ>5Z36CGhyA%hD%zmclw`D)^q?6^+beC-ia}PB{ zS*>@~JqfOb$bdua2W%y>iy7mmljC?0x7)l8vfETU3hHP9kjG1N>WoAM@@Mnoivf`b zslWq>aoYasU%$Uc3`V12TJMh5`!Z6tm3#Qepx!9A((BBU)3ah=eEP}diMfv>IGQ9Y z2cn*0$dk5-o-U~7S?_nQ03Hqtp!y$w{<=#Ra4~q`hTDH2FEL);{Yd#cQ!kfw(L>|p zGm~OsX1b4=Epl!;chRZbMX|)5nYp>O7bii2VZR zq&BFwu?n~dd=X!j>z^EdKesP&iHa7OaqdGDTy>+TUF|f4wqrn?sR549v7O!1I~ijc zk0h;*%qb_*@;#M^e7VcVG`1O)^uwtxSng7}Yw8-|S3hOXj7r1c}!Njsj#8N-lUo@sK;EED?h*q$QH^@ z{liG~PV&$~$67r+gR)pt2NssRM1qAoe>_~)7El(_niA$GqLHtOM;K)dKD=eHGm+Tr zmtcJmpwPmRa>)-^`%a7{>6w*3k?v+Wt;mUuu5IIWsHo>?cpmh&3B*8CzAtfYDXwCR ze=LAm!O^=LtbrYJ8~g&iSJoWGxZ}gV=b5PZ zG^l(KcdL zBGD>Rtp^^EL&a2{&0u_{Sz{I<{g1LNd?HM%3Hw47C2Zod<=lqm;8bemvqZXO3QIf1xp`=#l^Cju-W%OVg?8r zR(QjPzZzyb4Fqq0l;Ert+v#o$;q+(;=#7~ok#4le_-wkHkam~QEjBIdEAFZo3R=ha zYrGyjIP7>~^c@Y&u{;nx%!7n?1Yb|oXBmH(b?!-$EWbcTR`=i33AK`2UvD;^G!yQE zwax8~_F*R^YrJiFS?a%U+{F?_2()3aio!py{$!|G4AzaFz1g~HCs-9TVIgs6glY$J zK`KeW5TS*MBNqN;%!IiWGhq+*0kRquQ3cSSwm)5W3lny*dBrr8q7idOZ*P7V27<#k zxdbc#qG6YPa4Hj2^GsawB{yTN13cd-J3MAa`+Q7=EuzjBd!@4bgNb{v9wQSq)IUzK z2&`>3*8;rk{thxyJf9`oyKlGg1~{3Vy?JGG-3LMv~FcH1Yz+ zsw*iW$IKHvb`e!99dc7MtkcCc!jcVnOWp`83VWhE3UWq?0fwMQj$t!~bjriEN52Oy z7=sbIM$AbDqTyYZyIXs~^cdk=DvFV1m77^`oBx_xcHJSoZBiBiA$RZz4%kg@NuZ1r z44=n5FP<;YrjKR_mq=dj_%2m^-m~xN2N2#bTC`>|CR$Xw_y~CEp#7hB*Kq!DByA-G zhy8+ayw@#~p!4LRcq;!GE*|N7IB`mxE{0v%&)O{+@^qQChUkdiN}g_EuIIlfK@(k? zr51>G2{q^PU!Rh(4&yA$y;PQ+BhK7m&RI6RS5R+5uLM>j_b?>I+Oe(9^_AwoLG8 zOP4^MiN5NdD@u~?GAMCwBn$v)*M9y**?#Ogf}29c+`>xmi|u1?x{?jDFZ*>O@W#62 z1fq@}Arznru2z(8AXkB26k{R4HUlJPyktj?rtKsa37VaAubj8I$!ydt?Lg8htFlLR z5nMtN{HR>Q2v;0nU9ESZbIH#Q43rIRGuW5|<14grn6(``i6#LhmLa)F}AC z@V1K)2*2nvf4=QlC(VG;vt}00kk6ajQoP>$*J85?`!by#h{W{GOrXTwiO*E(X4w?% ziUVD|qHS!{^O}io53~Z)mTOQ-mGW|&F0!Yqx@Xe|hk)%A+8bzll)!2y2s+zIbYMz(bM zlhH0a%+a}Rhg|eI5r#t#k=pivyOHv}Ki?}3W5RO8Ha^WXA#gm|wwG1%qhogyxDoW^ zz@N^|x&wdjb?u$HSfZ@@eP=hrMZ@Oqy()g>*7GyRPCk3mx9Pn2z6@Az9Hi(=(F%WfGGm&u--jz z$U=rz(1@>4-Tob0_$IWK5$@bTquPAke`uHpEo;gyw9kBPg($;U4l`+$?abif(g!8n1{dUmr1@B+`7*=^vPM3qI1t> z(|Qa+K|+|$2+yl?g`c}PN0&-lX&e(sg?A5>s|8O!9K|~qaeI_Zxg=xejUT&1ZkT^| ztPsF^8T#uVXCmPaDY_uci~J%gv*cePWkD|Kwe+Yl+xz&x;+RdI%F~r%TiKtw#AX_B zEpBwSB?&9?j4@0etD~jGI%91U+|97}34z%TmtPU_`$|H(pudzPSW<31qDu+Dw$L6Ti@9Gz`x*_9k74vL%#|;VZEUexjPefCu?N@Twbm2F>2k12IV z0qamo1WvWo!~X6E1qV~nQnEuJHPeGCh5M6Bc!rxu5U8BEU-F?(Iu2C4z$RvrxUF0o z`mQVtJOmQLXYc`MiwV4QoCujZiv4G@L#b$GMJa4k-T^U8NIJWjHPI=*Ihs;K_7KF z5L0C_E|Tr(g{;vWZMiOCUqwYP+3U()+8r0)&t7ux0DBr{)6rM1D;wiTL( zt?i2s=07q8nhqbyd(xMveR4JEHx8i~ZjKtN(DM_{p8fWhZt)9+nicbXPa5Z^CU9m(y}t z=4FX{xLr_AAQL1^G#(+(!p|svbdm7xuyyS;FbweAnuNF zc;Hr6CEL~aROt-l4ZDSbnCdQFyP62^mun-kQP7f+1D#-{7%i{12NOfH1YR9<@_|H; zKbkCy4_P6oRJf)}nGFIGvsNJV_%XKsf*s9v<4Y6Smdq{^s&f165Xq)AL?*a^^vj${ zd$a?&1eI@RA6Cxp(@pOef`a9sT0AWCs%c?lyY6Wz#azt$U&7^1iXcQAiM71DM4(lj zG-h45;RA);g*V*R(p->trxHibvZu%t-!x{v~F2V&Y*Bfjr5`wU`-u5T% zgcZ#7?e33m_F4~n?yHAs{o`@Cy&l?3O8XAjo4Y2D8C~6Uy4l#X(QEjLt6INSSiE}A zz<(+HOnDD`f1m$3C2a#=4HjSh%c%oXi?6m zccG*3BkyPG`2jj~Z2AT@nh#^dEdm~Ubx&}XA7U?%wZG9Yx83VKl@M*gDThZ0@lo4OugY#VK5)evu^uX@hpt#BuU?;1 z+uF9ZZ)^Wp`@{BIf7$;>-Z!VDR+0`@&)jq8PY)md4z>@u_;sZPqp`tj^@2-+ejJI6Of4zkqeY@69I{Y#B_vzcblztTT1&)=!POvltj- zg_DcdpI1GPe`D+$SqkXBJ@7}~%O9MgJQUb24;Xrs_)eU)KfMkayAtf-j)fMhPLrI1 zuZfOA!QhOFa23jy4;C$mMw~nl5hMZZLg}c{mL~Q9>Px|lcsOJ<9_vKH!PX-rHSROM4WY1V74b4tui*Ww;)UfJk{ygY$Ozr=7Zth{KzZM@J z_@VprQqYlqrvEFi9+>(Jp8(MRF!;k~!uEFJtzv_XN7+q6w-)AVhxIl0YlI)ZR(Qz$ zxcdq3;~w*~EfIMCSp7R|{JK`^Ma2hkbR|&!tT0=Ycd;oB9mBkTA*lfrf&M4?J}+F_4iYe*}2SOq_#pQ!&1H zXnibyY2Vg?-W`Dx5ceQWm7D-1Yeut2F z?^9NpyemmJEy>6sp-{!3@QYE^TY$_dqLd#`SW-uMxRF*9K6Rp9;|r7^gB>;c{X)xS z%bm5hwLP-vW*4_C`qWX%Ctaibsq6dy7%?h5D_PX4E+PIey>uuG)QP7FIj2N}@F9RI zfd*iWZb>pwDA`3`N(_S)F)kMsOMV)!;k0yZK-XhdT1fD>4Y@z7FVX}D`OT}QW)cX^ zoANvGj`WN7JPE;K;!ZkLgqCTQs9KM?_sxu?_qOcad|2iC%T^GBykIp<&OoyR$e&I( z&`&XHYb{`MI1Yc2Z@Qg`v23)|sIKBM$F(+CyEj;y3NAL?W9|jD)y*HgDY1JRPA8Dq z(kh?vK#1S`FO|>-TL*ltcQFxiHIUJ^lgTtGqzmdrT2}@CimdiJf{Xc|pCzKXcmRqS zxYNoc0re3HotjUkS3#*8`&thdDB^KXsl%?f>7kCke@#*&Z7-GGmuys8X}0HXx8YN6 z>2Ixzg&*}@Dj=Q*epsMc*3Z9@pZXW1bjP0U-ZsY(i%;yIqluw+ifHtO=lagXV&lMQ zVmQEl)OVN0RkUqMji|mt^S>;fzkz7qHuZ8BOhHZ0ck@3D0*CY4uNV`SY#(V6$$Z5s zSa++ttPd-Z%m0#OW>vU4!IK1TkJ#BRm0Cg{^p)gkJwFdr&z`DC{-6J{NY39Fbg@Yx zDx%^dXoLziLQ~;fy_Bg#10fAp4PB`LmjYoTg!m%U}E314VILcxicaA?WHy4toJJi^e`acAO-JDjER33gf!s#_|6X zHT#Xpao-2qhq=)ft(dWzmf}W$rXv}cT4iCI+7=A-3oP{X-C7u8E=DlX$WUmx7=svc zHE4C12U{g1`qS9B>XnK&JEuzREQS>SY_SGjNTH7NPfG>_ND z;aG*ynmeP?B^N8q#1+$8X%?;#w9u|S2MkiS)bvX@12e`!QioQ~qq{XHiAP2{tVrof z`4AVOn0Qt9Xjv=ol=rXQT?T|yLXO~QjN0@L@v(T6>#BSk`Uyme>|N8k0sr6yq{H>?^-IP~CcwP;6Y0Si8eGf`uSAEaL{~(-%mSLh*?36;m}D zTJIo*k%SsvO75N<4*}*7-%%q~in5V{5@`!?$a8!np-5MBY5ac!B!CZr*G`FqLdePc zYuDcVQ`swSlHI5nK5U=k092F%gUFHFa3=NHhqFs*d%a`fHF-fU6IX;p?^ov!+ zDD8^FkbS{bRYHIO(e2znB-mAqSdX9{IEQBO_bP_Mwg37vtp_X;r!4nQFJl~vn8vLG zr`*cCVe!L-_H2%=7%NlfN#wZfm%uOAs9-z|utcm~l`8SmEpp%C?gRM(zz{W(LUzs3 zcFx9#=1r|sIs8BQ@7zBUy0}V9m2h~g2M-nl8k5F6+Ul}mTU3!D2eExM#hQ|q+bzMJ zy?IL70#-`2s|^T{WivUYHWu!6^);i#(iPx=SFAI1SBllD9qM37s~&i9?3G^`fV23J zfE35ZBDi^*K_T9bQV`Io>C)M-Te76colE#6{2kp^Is3h50aTYK(5vO3VfQ5IIAYdM z`p42!VVks!fW1Y8WyO+$@(pON?626d& ziK`@sO1>;xv%hX)zD`@xG~w@VR*wf2t~^|fCW#wF)Ot+w>RSs~L6$CGAUncE z%M%b#r2C-b5>oy8xBuxE_Pg@j?iqa}Y+fP9-f%gu@JPg`arF&J9#pq|@=!e)SUo*Ux&n1*Q6B&kJpY76GtDL&^xH+l4BUg%=H&ULNePrsUs6Di zOx8f6?G=h)--WCvj+D8N@R;5v85WW4o{dYyX64JxbNpT?7ytkEy(GlNx>;IB=li|h ztu(%dxw9l{8pt~2T~}+TCvt2u*yU+XlJ0YaQ~~nduE)ohCGo~sd27+U0}s4^aqh$e z`>5;e+I#2Wyu`7S&m0-JEm+XBok*Z;w?D4}%Q~s9Dyv0`k3Q`76+DN>ZnEjU0Iaq- zJ#_W3BQ1G*m61x9j(Y{wHs=;L2R$zZfu!8-%2TUzAn4f>2u_i}Hks7#USEY-$88`s z53=68OJX6VL@A!j? zG=~plm%|UgVp3>=xs3oCA_o|z+#K#A2(WF?-8I@4Xc`0vv;?|YtH!}@zD$a1QEDqM zNqzcXzIWvxq7*70=-Kgzq1Q%E>j2mxs!G>?P#~N+Fa$KDq|OKe(kuVwTk!lM0uwbI zKCZl&_TN9qHKxfsuY9mr4K*jaSf^8@UcV4#55aTt2XujyLF7?X81qQ7hBRvYoonWz z;yYeV(=LDPJu5H0@{_knnWAdph&tWz9j7`ofY-<1vXSC7&&Qq^Cl88u-`hZnx2)Wjxc04)BM?< zp~kWF_qh1}Kb=XIu6lOz5Luh4n&5QV?`4LVnbEUb>J8g|K@qol*CvP5Q=&zhN68T+;`S5L0tBzrnhu_V$$VS_)lzTOV^9-bqCNv~-Kt3#T$C zPRq@B?m_o%*R{QTf5HpqJZOfbVI+5FmtMCNPs5jHTOqwx|HzEhq=xi9e)K;c?6s~E z(fPytc!F@pH_!T0G%ec4v-ICL_n5yOUlVVN3*vr6ze9iPUZ-v_b4TJQu5->A+VydA z@V(FDKQITlc2DxLgheV{mo!pJchAuCCGACVFMjvN-r%xzbr(Ncug>8S<1`Q!2|N2@ z9bEhL6I&9nRih6NF6c>!KbgEE(d(Ra-s%}iD7`=Im+f+sOyU%}uaC4D`n-8E^J09| zc-gt#Y#B8A=VtG@uPaE;E#8w)Zgs~m61&$hT zwV94v-x{Rt=Y{xBdU~1?XUq>b|NhqsF}iwe1PtprjDp>oFsK%x#uOL`c1P)Z>NWMc z^^XSbZTnU0`|5fy_pp5u7fxF0+O0H3I1WGzB%r`vD<;v*4y?+4K(e zKT8Xt@T>kp_LH#iI`cyh(9~&ou$tMVI~s+>{(%I(8DkGtQL>=cXRSvt?Ay<%4J`wY z?=>W&xo2;*BiP!HoO%aB)44^c>Kz{+OF;qzsrBV4eXbgxQ4Oc^l^w* z@dsXf?E)My=@}G7`M^vttmmm?tM@6=9qO#`8Bp4=jU=J0OQJy1ENbVO@3GwT; zc{@_{#nN6Wu9Hi``@%PnFM%{}5Tm*BPaZ|+;3)jH9EM1vyPN%!m(*AmIb!Zhgtz&4NZIRt4|mhlL` z-&|0*oZ|JL|F10xDwcSD1z3j;@#l@^2=iuHu|VWcC%+Y$(({*+oJak$=H~`kcav_M z&n`RgzWyN?Wms<->Y54&M*cQB-crHs6F%0Hr`q&zfRo|nv-!Rozf>k2 zOkMxGFQk0am)pY0;e>AH3`3=i@0=6IU~u|#=cfnjxiNH}+^GB}{}4KYUa%l1B-a^8 zOO^w+LcfY0C(=>*;5`7TG1H-oCg@pOFPmfdwn8=koP6!){*p8{D>53{UiOr4=@-pE zq>@P=4B!1jLKnK`5qoA9yA&PLzLGAi|F(WzYhCqVj8WsfKFmVn>zumtgUQJ0KqC)| zK{HKRk`8`=K{V<@xde(0WX{GO9P-wdQt6BZXJbJJ#fWTTQA?{w~Q*v|zPU3b1hAEUZZ$Qf?ptwGkIU(nxjoJ8D3S8n&FPPtx9 zZw+td2SPaO%;$_Tgc68C)sb1&dz$Lra?Crj7dm;;{XaWyeQb9t4a0!Oz44{1#QM-T z3qXWz@CVYOczyIR^&zJczPZFX z$?d)=d{MdY1vI$miMsRg$M~UR6T3xgS3u~brngICs*E?t60UDJW;BDVH>0Zn1ydBjBP11-80$DNE5>oTp|Li(QksH{i9NS8p0Xn?LCaOF@|% zKvz8f@b6p#f_*^_3`q7I2PS1Pa$eNho&6f6nRiBZ>LI<+C7RP=K=XP1lY@_PL`}wH z&L|+jycu!4vZhX_MPn5%=^v7Wo-~)}=}a6PQ5f$q-xN6ak6lH4T?uWf?-%y$g+E=lW7nR&*Z0!1 zA|XB*XNjH=IqqNXWRPqaqN?T?#0VPql62|PQVYpM-S5*0Riur4uJoo~BgF`H88Xo^ zd@sOIxo{5~A;EeRK{J{`*kC=q%ronxys~cDH0!3#mEwq`0kA1Nuw&`T^s!E=md0l? zgc!)d5obunG}NDbeD{0c7cfpnVSahbQ5{E2eyMy(87z4Qi82S{H0)oeKSg)P&xL=~ zS1;=;*9{^RjcgF>UrbG>-#eXLj(%J3L zgjF2GMQ4$R)sJgeA0t#qt(pl)L^F#~GYkh4!ekzqsQ3UNeC3Vwb(uQ3hx7VSb#>uX z0nrr*Dj-CA=+vv{fAI8$>7l2&3Qp#F*>5NxRmpbhW>ns{$hyL>WVpPUY_{#qY<3eA z@F)}yHHHroce+{TG20wPg7bCx&RgzuVg|%6l2?>AGf^_8-h4hj8kYmRbuhkW=r_Em z+3Q7TdJ_XRz04Xmf+sl8XU%oyvl$iyf?mIZ*d9c^8|y|wHE-NjiVei3Vh1RH1dkk$G}2_qdtX4^^IsR7LvAjnX}vLSOcXBnc1%*C*=&Q* zH7(P#8`4sy@RmZ!*dJ>{(_AR*fY6)on|-wgFJ=nlDTA=Sb<3Ul;e?R_ylYHLKW2Iy z)JA_-Wi8XQoZNl87Z;Em{$08x2nPNA=DK8k1i!a?hB>K))Gnpml(&cC-mbH9CcXo1 zDj28!h(6`?k^G{v<5VpgHe*OoCvgY?x+a)Y8%y?xv4FWC3l|8?Yv~yPH@6FAwS@(VWl#NkAwD${|v~cwRWP^mKHynOc=IOU&aAKPprr`$;t;=4zUePwk_|8CA?; zjj%78Dc4~leY*cW@R|($180M=4{udIq{aa>CL;msYg@rK;R%F)fT84*FLLfde7WA% zr;}Zfb3CeQBNgu8jae{zLf=#JZOqxbqRbIf;S;>gK0o>!1P~osh=Gt9+d+f~OCtt8 z3%SfxL~0MG^=TVptf8crtVk8(D^`oxIa`wa@^rTKSvx+{*;PEL=1dBRT4OX@TQOOx ztALeFTDHyTZL*9R$5M8l?h~?;l{2nC^ujKmi@&}&}yprig}#Yr3!K+$aYWv*j~CT;{flGpLt3!ryQoLAp2`pgIa=_ z*Qz)@V|{7NdA0fam?P$ShxL=fh~4s5OP>U?ET5 z6F9-`22c?d1LrFp+sKJ8;_H^L2E%3EF!bwt;ES4TEia+=DEqdL=BK4d9x$QB9Rj@7Jn|yWZn|Y@5 z(OgB}5@ew1TZc_CKi17{>wj=>Xhc0EaZ2vt6)LayOdU?pd&BT$z(-T*MLIcQES9dT zp}RA!EdY8~w*rIxWy6D>oznajN%fk{GVPRL`5D!62Jp4IOgYOL2;nS-8;8-p3jy^G zd&7S2e|!IWnIVS^Ps0B7pQXu6MrUH@u3O`=wq1#M41>@MCU*tyqTgEsVx?WD5^(zI ztl7Pw*U!c`q}zAZt+ha^yM4>+XW1k$=$Gy4nVb1jksM2}b6-?2LF9Ps^O!;hD zKw-;9;iJUFfNlf!4yfZ0l)3bmygT$h-x2mLU;n>v6m^S`1P4}M=~)41t5}dqBx8Y3 z6PrkFgfaqrK?xj$s9x8OyjRQ^m$#KNK(Tg z2F2U$>I@JyK3wu$Aurp%^@D#y7+f>xQh_1}rBGF*`SNs!kRZ!K*onU%K1Gv21IcIy zqYx{h$;UTctEO`q)5xAS<0W;5f2NQYJl_;w|EN)nv^fO@g0IBq+Y+sKGW#BIgr>>* zX462v)7@0nE}0{uxpum?>Ww2x(snV+m*&w z_+kIqH~5*T&tiMQF+9f4==KM&rq1gH`US0Vx2c@#_2<{eh=By7i4!_~eW&#`ejQC# zXz5GHKe-y?pT>}4Kn9{Fpq4~E+BhCfG#N(ZvVk3kb(@f53EwrDiljIK5Vzq!D+D9I z+W+Z~i@5yE7DPhE={BS5*48>+zWtE8$52tr++Lc)VNL8fdpdEB%xQAQ9>PGCothWV+ahqb9A&;TQQJl4Vl7S1hek zq@ZY#27>9GA^yLq6Pqiwr1Pw0GtAhL$Ak*=i=tvQKzOY!pia?G3sKP+KtZG@+}f*JiO?*(b^Y-^3RXhw6+} zd`80+CguHSbin!DX*JW?UYgN26Gdxtqqqa6S+P+_+>L#gtGM%s0kT7#YZO7Mp_m^vbm>*@3AFFtJH2L28Q3=x|S4Y<17(~KG^w@VSG%4lz zHK^6ZQ`1j;mQ(!!wW0Uw*$*txqJw`{79K8C`mvDurZWIQ<5lF#N|=8jRaas<1RB5a zQLLv1ddy^$qV1Zl8s{@kXFN+8yyt-({a7JSxqu!C^O=Wu!!~xqa{eI=m z_2v5dr~GyGO@wZwjvnzgk24cS(8I8o_*Y1dBx~?&TOobO&j+G^Nh`{tpJ9$bTh& z_p34y7J%2;zjMA6o(dZ*8~)@t1lcXaYdY5(AI%Q6xhLH@rFstawZ;zeJwZZh;;Q51 z-=H>%YQ)Vpg$)hGh zdFcAUGwToY961I)bs371l&2nxV}vg?AKQ?7pv8T`I@D*mq*+>riC2PQp17DdrP)$cR-Wc8(#`EoL}%YBngmwG(N-ikXdw) z0{A*veTBej;SkmQ{vz~J5)5cIj$HO>dDu7<*SEThv zjsG1P&=?XbTL`%t;kL`Y2#1=;Za4AWNL>CL2$g0!w-Z+D)R5i z>3Ka}Z}x+H9cM?fZrH>x78$ z#BP*I8|)5?c%cevga#n43$MQyDTS+=i|oV)&XK-@@|*{U2&~kCb|5f!4}wn^l;S9V zs`5+t7`n_3`6UMk`%57KR!C4}>w4+mjD(rVAf6h`C87ld*QRHfG=l?Lbr_B+qPR71 zV$oF8b#1N3uF2D5U3($0Yv}5C!Y#W*kzxa7C>a|_I>rDhF=1?W!HY>e8Q)n@d$z3uSVXSC8`U@&p_9;Sy;TnXz7}(O_(OInj6cj}<1W{8x2@e2n6p6k2kPNHt*~u+0F-I5traIuMPwk=SzP zvQ3t@6U#MfYAdM07jG8`@Hvc7dyTP1-)tuI)#uHV=8%5tLi*PX<`KK*F4Nm?Pf#bK z-6=LgRgsZPs6Wp-rFVYF-2|M7kA_y4gn}63HV;3C9L>pb&71Z1v-NA^uteut7~^)B zaBW&*iS^=1$SLswJFs0Uk{iP?usYDXW;0`lNuq8%xWn*|`pQ>s94BS?29fM7}P%p z6LX7n{EFYsD|TTEbPC$Gwh|LGdYThrV0ilC9JnxW8;Pm7RC>?sSn#@&r9Q& zv4HS>>sxtuf+P&0hFC&PZT_tN+@D7o6j5|c{k+a3=0W|kKI6HG%Lxz*>~cOIEP!LF z$XKBZG1{J(-kiCe8(h+t;xA^FI+q9UT~pVzb*$&QG2D>u#_LA7d1p^vAhpw`3}YPheF*##F(J4h(*?j9q9Xp)>K>GFX~? zhxR59LiD~1=s}LJO7rXCN@Ja@iti@wReoEI{?HvAuhr!VQm7l68=)NGmh}1h(c6C7 zdfSuwn^>umrduntI-hZQo+QoWjrd5>hcT&j8|7ole#B5Hh6_@%CuoB(VY^*z2xAbM z*6}|GLg1&d=4t7H6L+Q^S;VwcWmQ5AnAKG&B4jVKhIz}1!lU6EChcl8pL5>n@=YdY zy2Plk&T6K<6jG`PW$25p!?%4)4pNlf?RxjT@j9Ed*=+rY9v>QPat}#-Ph>w_lQMl| zQ*?uQ+9g@b(%~1n6Tv;q8H^G{uZV5CBmQ1kD-n;t)p{e}tHo<#|M-Th>{f5eJr4H>kLAKfXg1ZQ2$F2k5DEuKe|9ZaxiNUq4!ZzW&YI!h?b= z$zQ!**QqBq6`B$kbYtEmAbPWPk#0$<+{e>@M5!xuEmzH@3p6Z>Zcyjoj-l|8JLmzw zaqTaDEGBhsTHmgV1D06BjZ8az)dQ%D4$12gt#T4_A4_#F>h5yd zWwvbHk4@w$khQSkc{qvFqq`UtGc+4c4XLChBddi41O&u6bnM!p&domN{>9DKrNKQf zz1wlLT!#Azb4R)}uOp5)5Fsb&U}ncOB37b*LaTNc-#z?3imi;(J-qh>Ae4a!xo;LwVipt?6K zUPV&~THTR>r=*mB?O9=7jsYcCm(lTm=K+=J>&5%m8;1r50qSf1B7mA#s|y#d)6Mhm z-~{=P2kA)2@u51<8dC|B21u%^+7L!qnx5B3_47|J>lu7ZVPRTT$Dze09hX~|=#0$F zs?ax~yGU`Bvb&?_RJ6T{6@rVa99-psYd>ixe#69I88gtNv)j5pXb=vPr6=m@-bL6< z^LlH}os~TdT})Z&jJdA<$(x(bSrE-aUcoo`(Ub&mc~UMHVYM{9tTW5|ndRHU9e<6d z2?u4&)DXz%lV;VCm%trJsVE7sX!~-O?ZtbKQql#-LiMx5vvM+;_S}DXzta;bjxceP zC=R>*D2+zf$Ln_yJ~Ho3GtT-q-Coxl_8yE*#Cn;+wum<(91wbEvX&?OCgZhuD1tJX zZf<9gfrCclDd%b;YYvhkIGyjKnZT>TgoK7!Ep)^7^VF`VKKrcxTqr%`h|sn1j?9k- zp&i08b(P$?ajS(S0%3|E5?z4#V-t$d+fi&Hi|}II$DfaKN8DTg@dj}U0qyu&$6rM` z2oa^>O%ZnM`K-wEHQBf~SQj6%YGb{0L6DhT0j|kBNPr4cuEke2R}LfO>-*$(wm5yr z^LM$f6Uboi;1$MOtQ;XGmh8iG`kDIYLXiqbbB07+P4awe3>`NHA`&zaYXZ$P8Eu>G z+^$ZOavf4_terc7l(-Ap%dSw;-86jyOXdi1n8LnTUV~ZDVI0yBorm#ClX(vG7Gmg#b1;C#}yUsU8Ae* zEct>{A^a3>y&p+Mp{4CACn@1aLb9(A{_OGh@v7l)&fJafcW$BAD|4?SVx6*7f;<^n zvHYGfLp!z?h>PrYMH400*FQ(^fF=X3lST75qu;kgj=Po^nyk8*2z%NQ8mcZ^_;xwj z^<4Zy64(27SQnv_;mMhdy&|Zs4(1-B!7-(8 zQ*b_qA6jZ`d@{U)aNpT|QMe*qJN03teY7^l&;Y5wD1PbY@=>%IsO89ORo={O%m@}t zk1s3p*Rmqvg`30&!9ao1b+Sw1FK;lzBY;2OVX29hl8^uV-S-khl&pq~>yR)`Q~Xi$ z`dIwetj%bRq0U>cj9q^a+4O`UjCxD+$gRqU+}4k_QBi_DWCA7 z-UQ4P2u0l)0!Y7JcFa4wO1<}${O^>`8GEtN<)lKq$rZoMpVhOGwU-O^^L#z z(R<^A#@dIPww~gqKKXgi^*&4~hUt?!$qf%6=k>F;F@DG{&Ssit-S$smP?s)Z4xRLF z%+4~-rLWY{p1jyHtnMUkap#esgjLk_1MIrobOzCqSrcDtHa?okWIlL4y=;u!!Fb;X zZoM${lji1ohpguB_a%l~n)`<-`S4y{qF+F$Bp@TW-B?$@Vq7oNl7gI;p4nRG{*~S} zzTGXS^F#aG{pG#CTlSwU|MYO;55xEpx452r9nJgz-yN;Yp|Hr)_?OYSzTxbO9 z6#t27{o9{dZrVzG-`Yw#8Wokh-*W)!BuVjQaXUN6U45!R5&m`HOb-P&O0%VoU2nN( zHrOTcncVW;U3r3B+^YT6Z@(u+NmoL*vA~6{$WJg}TLf6ksClbN7h)G$hJJo?N!bZOIB|XniOHZ)o+e^x%-$a09eLeaQ%c(vST2A`0 z&W)kYXn7Q1|624$-I$8z+$er)naJf9UdH|2RN`2igiVu+?bcqd4tMVvJ;`0q28g5dN?UaIU3OPwEzjv%z zxakjuaxuCc=uw@%pDiqSYupn%wN5fp4gh=lFDwWGD-;4D^U&1F6fqpKPAJF#Xp7{C z>p19tJUk`SPD0F96K+gvrezzYPI#8nE6hC~Mq(s&E`e-)V`sy>aXhUt-cs3GD1RJz zPpvItYXs2n&X_D8-4eKTV_&3ugDkA}Mz9|fupv@wDval&aj06`>TW^Mi6=IZKPysb zMGHfa8R8hSp~UNm^Xr*GD@9CJv!(!Lf{*xiMFG!OqG&KB1I~zEbxU~0oTn1pF zhLXu)hZM(yERG)%5gG&zRD5@_tCTm-mb9rLUsG|&!i(8fwp_w{Tzb5&A-Z{_&vAN# zggcDSKsf?dJWZqMY^-T}?u!c>8Je{ld{%K!|Nss4&I$V z`Na5cIw>JN{d%-zVX^8R}rv_&CGAdZblNvkW6Wq&Cuju4SmD`BUz2--E`O>kT9b zz}yo;WGnY|lX%I4HJI-ys6v02mHy0$;I63+Q(`u}6yV|x%s(TVw{lAvVx0UYUrUS_ z7;0PWx!ZZD_pd-GEqZ+yz2QFUf`BRYdc*l`0#q{mWY=bzkhewXNT2Wj^lX{=|;cX31IBf&n*rLl!~2}+F5REbIQ>4r!<{fSJnmC6}0DFDKS zCUB3iuB-#|VFo~%1YWs~rDoHNxmqbjFuWMlXh*(KxeCU}jDMDlnIw^T=0}9j43t+o;waTEIhq_Ky22(8BY$$q!aE+$@6X+nRTojeZ;;Ov} zYHPfS#u{Y(U7>WCPtGUds+%Ehc7qDzuqZueCvKGKv;XzvOg-_C>Xo7;DzrbNXT!<` z*DVIDip(qJJ4p=kwkX1HvjVoWS!sRIB7Y2vY@hpo-p4x7VA3u)`+^bCsujT`1s$13 z!MpJ4m<1S4WqA|6ILbk$y@%E6d$}-0L$ZdYFmfq-C0EL2m9M(Tqxsz{b?e;vQQg+M zSEcZOTiT;-yQB|VDR=1Yvi0kC{O`E{$sV@e<7#$IO4eiMM2$01Gk_@<(z?7neYAM2 z#?}dKaiw0OF5D~E?5&xcnf}8{%t&PJ>J!;MNu7XUMA zY3U{9Qhm}AH8sRwdWWjg)!%&X7K6siMf5lE5A2Vm#xiZK&big3%K@I$K#a~fA%TVO zyew(`1H5%bnTPAlgio0Ei?OoiPMjcfccftk^n&~m>$g*1C+eztXS_gGzXnJ|OUWFh z@POH&RJ425(M+>($Vj`CVGPOf){e1eoRUP8gkuc|@axI**tZRl#Om|9>rCrO^@u_A zrLM>M4NjW;yqt7WEN|AzgKWtl0l9?T5YEsW$7Yt>5s1eVI)cCTuljc-zYL+W&a4AWSN{K=*g*d8r3q^{k_ z&^BH>jh88gF#dIYgfL+waZWehMg0f9&$+Yj&_e4;p#W41t!7d;a`u_(c zNuXjVTdsYfw@4`*7^{ zp$t-?gA71&pDG?dZ8evNT+3t_F2!C3KiKtj!B$!6=N7f=ioDs^_M~qz5$+?tTX`wS!Su2Z(pD%}U;AWz7b>(tc z1ZXnFUGtd7)ti$;fOLcw#0L+ld_EJUn4Gti4?uNdWNN7k%7_vILIN~LK^-)^lB1L> z7+!SSu40%iS}hXmJgFD7^!6}l&Z_`4A@OshYRCw&uGgQM7H?J-xROh)3)3Fq>q+HzO4~)51HOep9ZCW`QS2rDO7lMUgUmAguFRe75##w zeq`Bf9#pG;gy`r9UO}FOpDU%h{D1h>q^CbiS_oe|E(?YfaQtbp&{zPKQCW*D2yU~L zX&qGPCRj_7sI|sWDO{`e4VQCw?9Xuf$Hk>x%j%kF*o z;1vliH+~m`Q{<7jnR?UoXnh!q4LL_r(U9(%y7;Wyl#tkRPsxfEtynHrlti~IUFatB z0smMW2^OE%0oWM#-uD1Y&o(8-%muTDCPS?;QB&y2AY4Dp#$a+{6NNy^O_tH*IpTRNSDBZ%DXmZ1A78T>H2eOGnQh54V-n@aQb z)z!1OnYy7hL`Qcel#{X&F&AeujD^0v^y$i$uZ`oKW=ON@k7lNc=237Fmwop&)?Qmv z#M`n(l(nMMWa~k$ZrPiwoXjJW?-HPi)Jzh`tv4g9Uvx%vRX1q%O`A?G=4>zdwO(kf zR3twEBsO}+*dG$KxPK7nNZocKw|cXOTbVmj4xY#}(L_XW)&3-Sf4jN)wzZwzgamKT zbidY#UR#^~^}=S=KdZnPlk%aOH0FnSlNnPnd53TXePlbVEWeoT>iS-Q6s~(gc|*$Y zsqs&fUDB@orz7$V!kMU^gzR?Y;G?VIOEz1qufXR^C^b~Ba^Ce%>5Z?k;$=huvMmgu zp|k3}cw`^_o+wWUCU=)Pn{PuOH%ZHXv&(Ec^yY1>J&bB{BTFQIPMQq#0yMA|i<#{V zAp=t+ekwPRl`5j(PmF@x3C8ppD4(Xe*6-fJ$}n&f?~|Q}-ZO(Np3yrRnIBE!!5*#Z z{G68zo$hxoT~f|fmW0jpk3=lJKI#yMDD8xWlPvrlyDofu+ZWSKr8m36b-$RQbU>oX ztaqboGG_T^$PC%r*4Xwu)B<=0H56`sww+ZQ8%@t&u zHL@?6%^_x(%_nj+Ae2p8R-sbiOi4i1KS8M#J6@sO8AJ)%T6efMpcQc#J-)fw^-cbx zw=L1$6t<)h1xtoW*TmUpvIIsoV^v$@6wxR&ZZo5d83`$6gQ;3)iF2{d+a)uCER=2b zix&#OsWdh?L0R*l&IlX{*oStCa5f0SFv}sq4G0CY9!*Zyi^Wh;DYD~hB*@QGdA$(3 zM4@#kDywHRUyll$ujdYVN=9B}t)8_pwF+XX@d4@*Uj|Ql#^^ey)O6OQ|FauKj3Bb< z^A%on?SK6q+Wjc+R$0kt}%_WFkcJ-n45+^@WuH)q1_doHv%t zk-FQ441M5+KWB~%yc#rnm-a1Al_v%+l`rvJA!$*aBHAcWh(lCilL_)D;p@%q7^gci@e}$+^YF zO~J0#MGQM|rxot}!iREmAbu+;hdQ>WE&?n%kx(d-ltD|V+BvU}1NbE!{ght6geqY( zdAOZ8_j+SZ-3JTutbOi~W%e);)oS(jOi`5l!}3eP@-z5Vp)rCUAh9;8-!L;@)SICB{B0Zb6hYFW}G4X>~gYTY_o-o3e`&UV;crOzz(ZzU=zA*eUD zhl33#q=--(m~Z^M$z|*=?m^I?6chvLL@Qtfa3ip9W=);mkuB{?fUVG6Z-YnOIr`V1 zpeq(p0Auo)I!aDj6LNeL@vHmzaKA`+dv&pFW=UBK$5zm-|)sc!!>nlRie>)H*s9>!FN*6t}U(} z_Sn>!blWN@zWrWhZZPeQs`a9pQ>pj0pYnFwChcTsfORK^&$U1Q1MP)Ggk^*3Kqk%W zx_J@=7>;DzifXQg498bVh^}WhF>_a+pS(#vECVxab)?R8i3z0a0a61(@*ym^B0GU7 zh|fD+Ki?%Dq*$Awii<~!uI>|{Nqf_bcyu>w&GBhn;C*dl5PqpZ}d zVg%Xku0Zpzeg5P@lH_2+T3cenwY!X>Y+tY?Z`8&d zTwhJMl8@jHx(7?en$tlE*ZnVwqp!euJGZ!MxvzAck+=0^RJ_+AmY*g%QUqflkz%aa;>Jn{kai2&^`3B2cI05f_U)80U4Jx@E5&jVg5uOR2Gm`<^f1U-gfOU< zO0Ck|KH?(^9^Ywg&wusqRhmzlyofQ7i{$+17R9=wc}N{009zAqL{=8@2XoOa?1)!u zQG9}?jud^J420_|IxcQk{~u{@10Bb4or!igM)XKl;^{(TSQtWdS542TFal{{2r*+s zvAcSD$bkfgGZ0|IjvNCZ>Ck@mnv|VA&O4jqsh%DY5Wyt?feGcG7=R#$_R4FCmfur0 z`<^inxTX^2L}cW%+86H$N!z>5CVNa$+N|>T^1cG8#Ljc}yq_UB7|cv}S6AJ-b?tP$rKsVtCwFQ6}Zh-5*^?+}QMHoC; z-!wSWT-ks0zKAk~LRiR6(;LyH+eqx1CjAV6ej>+FCxM4fn2;e@ z)^Y5E@BoOmrwv$vZLn^lP{DNHMo>lVuFd$d!FU+x^JAgTpo(W1k}zlwE3%$N1_z1% zBHw52v$CHKqA#Kl#vO(nz|xqksCN0p_^w-|N4A!JrS0R+Swq}!AfwuVZ>gLgDF&hVe0iOQs>d|;T5*l})+r)! zQD&4)Z$8}gtyBwXkx60C13pSwaWjm8$M2M2yFX~n-Q~X9b5tuJg7n1INe)abe43)5vLro7P{&{04QAtDHZ zBXoD@N$Fw(5Z!LY4FgOV7y6fDt{^YUQpQnqQv6JtO_@9V687mV+pozs_zwNuhO$rCODTKCLNTR~4_o;}tZk%(npGV-nvj~2N$gV6 zHu`Z1NJN6K>mgb9KnpQ(H~NnBOT8V>Jao}nx@pYHWWPY@P4tWFFa3*kma;=f)#KFn zFWO2?NmcKLPCJfdQ+141?o0{Z#wjFkEO@w03Cc+dRV9s-87gi1%^|sV&RQ=)IUvA- zX5jiPl*>$H0xLEiv>Fz7Ju@9QP1nMf-zd2M1vaVTX0W6i!5iB?`71wT7_M~ioKA$` zUDi~pCz&Er$w8-kKungm2kXwg(avz#>RlV0phJ-HSYUtIm)UBByRv9#@ZvlRid;=& zjLWN}ADeQtJrajkA~P25528fFD!CVh3m?r-2>!C2L^`q;F|I6}NaW7}ruN zxRbz-@nP^04Am(if57f_F(Y`T4d8~UIoVQ_8p$*ECUK0S>+ZE-+Jg6ynjwe^7L`VRH1R7_^j zX#jbEs4ufyAI3N#*ab?z*O-bR>)-Cw&I)j0AEGgjfE84QjSU}KQYUFo0z=0;xSBqI zo8UQZoe0p#=7ETaIx=%fUF_a z86Rx*+pVwR5=PT18IzHL@0XzyIw@&7wxA2C3lu*aFi znM!PyrxdKDt#v+y_TnXa2p=_YCjET~9{2CoV`#vM8^I04=+Uj_HW(;qTGc?JapXEo z4aJ)f2;|>#YBU)3`2Ny|w&6xV$ix@)Idu6F8h6TML#=3mL34@Gue%k63^1ScTlj|l zg-3{{gd76`!N9Mcx1V&4BbMw zX7`&S&Jex-(R7nE!B;3Xp~g~YOC^<_5Z_u!j-Uue3phW@f>LZqgDv7GP%Ed^ja2%x znvdP8OZ|-f1nMy$z(fn?HU@@-4OX9NOkvuf0Du)MEgA+sL|AD{!RwUv1cU%8>=rnV z#SkOtPAesNtc2c+UKDWrv!FH<9$N-V zb7HCMIdaFD?iKqFXLVYv_Rm|%p=Lc4APH1Fof|BU?Qz@ z01}aj-!_MP&s&dH&+*=E%l!Hrjd#ZdFu#*SR*dbesh7R+JC7QEea3KF$yea40u89# zK{EAOPzU6Mj_X(J_OldUAQdzsQx9>tQRo2W3onu1tqRd`)GD@WGll3z4{a}#hiu8Y ze$vh5rz6_|P|_~*4q4_Feq*pZwL&jMx~uifSmtp9jYbJNRK0*{jrUh=@A|)MrN(Th z7NU8T@C^V?^%?g$T7R{RDY)$`_L&Jp|3N&e&n#ox8uaHXsfEa19BRVxLhDxb5qNIa zXZ8o6o;PmLp4Q!^olYpk)>9aYQW(-q{o+W}5spMwYste>UNFHP!7^>|g0+nQnAs$W zp)yV@DI(o_8LM%#Br?)^=kIJgrflqiW!p#|?}9eVm>0%Taz*Dc5vXmHFejCG6=Bq6 zZY*apX)B3>rIvY`z80?X)57{*$74;o?^T^Kz_OBhwC z!k@8m5NSmC0Ax0;cwrP`YR_1wv3fu2U~42`He3HOL-{Exjf~v~r-2*ot^3As=?RXY zx9%nA;uETYaRN~TiW?^#ok6!)-+Jin5||azC+#jYrGAgCEjYNk=#NZY>E@W|7%Xxq zQ>VLSr~?Wc6A2xo)WN_?o#SMuQ(5Cf*CeL!(D(H7=_nWrGq0CQVZ~dq!vo%sg{OiQ zj$llL(D8MOzQziMsRgBtR5;j1m_q=dIm`=@(zhwCPri6pSzbf;L4 zMGEr=Dil3}$%QIwm}SD~eJs$2jR+2NNMW2H0NUP|M|Pr!SQ~ybIt)}lbkFGr-u_q> zMQ*TsgE23#n;@AFC`l=E1ZEMmI^M?dME8q9R4)j%C>DVgRx5d!R_INvw(|l0(3TRg zSfqyIZM4+jMz05_a6Q)6Qd;k_<9z+%w)Cs-d0t+Fa(i{}^Kv>mAwN;xF}N3{zaI;B zIQyHYTA%IxaytFm#G9?c$EMWRzWw33^Voj!YY+cY-$}A_?nkBnCPm?@eDhzH-&wg9 z-JtO&OK%K&`8V~8=jEa1srZw<&&w%&9&!6TeCs^F)Q2_ZYi0bp+Q!j4yjy44;q9iU z<(rS;jh{G)_{S$XiAMd;e{(^vRBxT-U*9^*U+J^yv;5WHpJiyPDJTK{V`q6&v_33W z^;!Pyg;kP%ZRmOY!W{{0?t4}K)xQoN)c1p9*1Qj=6OUmD$1WzYh|%RLMqT{sjOk;~ z4P#OQZix*HjKuKb1w2Ut(%_VQ>q(**JvJVrx1Vf;?JB5hfipr-pj-4}49x-nMPCEP z-j@EW(Qm&WfBzE?{Z;)Cw|;FsV<-X7z|5CuaSnDC2+4Tw)C&zI6LB}Z80@TP!&xIfFb2cuF+m7$ z%|6Rm+cI|v>X1p;+i*$3S`{8)I1%_^GN1HeC01XH(ez=)t(^ij{JyWO^o+8~@V*%4 z8=prOcSA)i(yqojx*Zb{JuCk3Uu|=m@JETR#%wgCeH6<95H6`5xCJ3nLUCq-Gin^8 zaUEsFQN;`$pgjsCS4xt2N+x+xQ>-?PxB_4#fz^bE%HZBkF|9n=?|Bdqg;@{mgFhhx zF&n|_bKxx=eBawL)(LyQiy9I(bw7GY;!NiV9hP^K5mV+b_K-;_0kNCq$fQ_)iHen) zR2fU5AK@s&l1Cj=mMv^Hu$?HMD%h!*l+ zfAxwT4RnJ9jQfQXAz_dm#;R-!%&n$O^p_5zcwqxliXF}cI+820j`B;fMiSh!z_lT6 z4LKT%IZ3g6WtlY#v;g}WNsewnFUS}O$@+Ahvfz}?SO8ttVc|@&{y`OBURA0fu#3ix zBc1R?9N-S2YmH#965Dyni4|4zJ^fBRdr8SYJ}?-Hyr;@DD%eE-F$*_-0Q-}d!a`e| za^|wAM&FsFdqsPY!g_ASwmT7|( z5QP8cv_c32r*|zZckrGu^w4zzatsgCGOqtk&s%B<9&rf3519ZiLqXVNzX$6}-zgdk z#I*r6V9t9u8hZp{M;8+lMz3?8K_C%;0sMr4tAw$J4DgA^x2751Q|P-_-naFxLG&In zsqocUI-w2V(jA}#6~u8s+%Vy2LwPIw(U6+}&uUdL=u?|u-}(?Jcz$XP`wWMSxsKi;O~SwhwrEmF?*^LZX?brpMl^4Rz8hW>RNe+qbX!q-cC zmxDS;xF|YaOSA5B+BZw)n_APB%Sm;zj_xM!D7zo99bf%Ah0|Psc*$Ax=-U_ z2~<({s9r`yih}nDv2xgbP=>fFHJmd1P#>n^q^voyA40(aF+dmj3CK^3*{Q8B+K|`_ zKxs%9{fXk;3v?YFjP=Piaem@X1vo!~L7vQEdgr15RrV0n@vYq5J`_w~G0%ACi+2!r zzybZ!(O}cOW4rbsHF|8+i&ClNONbKa3*#kzw$wdbR16(1lX9wwG;}3RxR^UOjZKIS zOsZ> zo1X!nLld@oJojK2Ks;VT=gdm0wTS)Wo2VX(BGcw9N%Gu~VANoAndG7@bfDhV6)d0z zY-0}o$ARxS?$)J32i}4s9cXA=pzW-8VIr7r8~q7?y9!;+parpn>I%26iR&9EdaRq{ zFN(>P(1QzL8B)g&&|FJ+0HFdN1snQqne+z{{llf#@YliO&k}$wI9Or`u{bD565iLh zhnv##WD8cBN2N%iJJxU)&?u{kFQrByD%<5&UJ*g(8%WhJy zZ@LL{b!*@uPd7>zoL8Iyrw13aK`=wChsn^c$~IkyU!nGh=_rh6L$8;5L^Y-v^O(e> z(H2|7tN|=}NjEx8fA$3OW;@1WT2rE9*chRt%!ijs$Ac#lKVXXtj>-M;6Eb~iFWC%`Rx zWC5F>C(^NOBy zlgH^{JN-ac`lI%wL?y#Xh-(|Fm8265#ttdcR7%e5p-t=pjvuyxCMQW5w}^LqCwYqe z0jU*E6;H@rq`Z)6li4f^Nwu9h+futS>QC$98Sq);O+lV1ViVkg^;A(@-8Iw~Ol<5T zr#Ss+WR^~Oy=d`<2ST0YjqD*`fs7yHuX0`l$L}E#Q%k58AbG{0FwO*)>qyol34v-tSbNBj2R; z|A^WHXX~>)=j;Q{h2%WESNjioT;OT0c_s-^tUmMjIr~&*U-G_Bq56DCzdaN0UV~#= zzX*8E2?0}_+L^-^Alk$e3QRC&32M~-w)0ge-nZB{pWH~GM)Jx&49o_RQ1&hJTgsBv0>-g7kSFjk4VN z;?0l#ky}T{AB5Ep2Ynon6Q-kDvAf3W1RD!}K(_}l!iwFx`wAv0{;DKUT?zn`oy;iv z+>HKwnPlv0f+&u!+>KO~@Cr(T{&G;8Ih^}4Zun@H%!SdsKjBptu{G`7Lwx8(9N||9uZ!Fe7t+0^0%D2U zzU)Tn0$97PiD!26`xuoxbNO8T&w(2~h?12Dr|JlFV<|6X}VH5ihtJ>oCf8;+T`bR#%k-`WU_YII3eMjSEiVgi^dVC!r_T=|N_1YfX4Z z_zjE**_Im1X9wto7d*WN#2%xq(Lyf|!bM&Hj?9AzPZSRy!4VgaEES0cbM$tX2Vg+B zR_-w#jD3XpqeYaEdONKl;c`5I3ZPpsk28i*4s#2%t3F0lR}~-^R`$>Z7K!~y*6a+X zD2>sb5Yc2wp$`=xpoN~T&NS2oVyVgp`F$9PN`~7L82D8i@Gk@gcZa^b(UU3Q!T@x|2>>k}Y#@nSYjo z!G}Gm5yb_Niv@G+S&G75L^&1DPC5W{4eTg$yZg|BhPjOS5H~t7zNus_tR$~%Avic? zClutp*R1T^re82p8T5ws&y!*0I=UB*Uhbv!ZwDM|*UaNfC zi$Uw*2OOZryfSw9J}kedpSI$Z2}H?sXew-FVS83C?Mo1(rMj1@$=XOIQH${(!iVXC z!34x~ZiWI(;<{M#dW=#x31s8@Ka}--4LP=t0p4f@)v_=&^*#1AWpSALkJsf@Lm zvuFxG2@(#9+F=G8dJcwBQ60;4w8nxIUHS;T3i`@X zlu8eX*8iBH1~2&$W^5IkA2iTC0Pg$3mOTJH%pIo} zYY*OyAE9QfU{E(~kVoMu_zL8R)Y-tble+5w)k%B+@{5UktrZb5k4%l(wJhUnj~Vd5 zVpN8l;OkT|10Nf>ec+%L%2iSP@+aWo{q9NXq`WL+|iKMP2m93dgD9dq1!N>hZpgW0LtBl>7E~+j)1iN##?aT zgFBqP`b;0w$lAnTp5a>v+fQO+r8nL}`#yggr+eu0-WE>x!fmkc-1EZ#`_6p!+!omP zm#w$XvIQCf?YjjU{{3nGX}C|Hrgi%?Z{9x5Kfrxkrzt#r8>u^ayD<+wc=NA*(FIL~ zg7OBdSdQ2U3C3`Yw$Us507Jow;!~VGcG*H29m*CnQ#H3^>@eJ2q0iXP|r>v z2)aU=mVx~z0Ao~26h@w3J?ms{dbGbMmWobpv_iUv)84NXwy>yv&fAP} z;0!RTcpVq!mB)b^z$m|&hicRgBa*e7MbzBkYb7sZmHG+a#ZnQuark_H7eHx=e8mP_ zwvYfz8>V*}mEoksWfCtB2<`EWm`yvpXlG~w+o8H0z)y*;!vdQ=j?p1>xL!5luoWEM zR4K$j;RnN^N8B0+njut?>rNASF%=184VoWk05)*_^Z(gMD{LML)Pm}}GSCM2HehZI z;1yykCY?oxWZ?M46x*GdL>4RbY@Y($^>R@MWk+D47^;Opk0NWzi(ORI*aV}^jA@GS zh>^2JOvcz9%|ja?{@t(``k-I9rora3aC>k5@j-*RW6<4f|x^e10@z zWl(0>=z7qC!?K?7$YduM-nyr~*dtS+3Y~okZ_X0^Jc#q2l}EzhgEtEw>SybYh~PH^ zljV_OOe%gT%D_7zA|dc zl$+gU6ks(RPyVL^iciUB9qi!K2<|9m zHk|aP({dKPboWb6`$RCO%6D&%R6BhXKqPqq_9~>oDJZWaG-TOT0}0t)obwv@@+A) zLSi#X+K5K^$ESI0|4(ckJ|Y*d6!_vGSAVj(4d71%!DpZMYD!A*0 zv(5~NH{L%A)pATk9R^FG{{)GF89)KzRP&+=kUIc3S-BR7pjh6y!4R^yq-$M&?2yZ* zJ4Mle%79Kyj6|ddh(g}8MMo&ZSE^=yW-7n}^onEdt(raM6$b9voIQ(8?_&!aWe$Si zhfprtMIABN53RvLiv^6uG!m3mppBy2A-mY37{IFLlgh)r$3ja(gQSJ)dS%I#1iUu_G7e*uget~7a=PL>)$0{V;_YYiLS zhw!8UvA{+1R^Ed8^?>dT2lx&ZgShcy@Y?&VGD)s5M}6tL_;QccFrlDHV}JqYbc)!f zk`VtKiydHb8Xh}fgYHwKPg)^VEHswkdjWVw(D}Y&kyD;ga%EEW_lkM=Gf=AiI#dAI z=%OCk7HE=B9k-W66MC3$?N-%_7tv0uu`T%H%f?s@E>CKJRTOU6m%xpy$aG-SFtU9X zuxZ2=@WMJE5JdtoQcZRRRm&TUvzR*T1yAFfzI87U7QO}? z*7H;hXews9WBhQ4<&xYG!`28W?$^KnTN+Jo1ZoOv5iC5#?RnUSAeUJ#79>nF&_gvW z#a(l@Z6j86m^i7U62cOXR=rdQP*hdt3UI2=7r4=FdFxq`d;8ZQWS$17u=XKQ!AvDM z{=me9m;rK4wt|}_K1fB0jH~;3} z_*z&cng)6SmipKz=%~4tN&xH{7q<~lgr$e+GizawB4C86BoW01QFWyg#cgc9sx>3x zax{iXrmYNrCcr;yGGbbnE^AV7w0Z1nE3iHP|i(+zd z%&0lsm_!7m=-9&HHt_!jCuRx=U`aMM`q29RzOIWvl?BW|J{jbWGQ7_L0#QF{`zGM^ zHpeYt+NT0DYt0gi0c^H-1)#IVm^dJEmh!EJ6WzRbak=*%kKf~T!n`OBc7M-~o?;={ z-SzOs{U0hla-)Z(A7DL;_Fm^mC+X>^vwMw_#wJo&2jS! zH*C>)Sw*AN-mefO9FE$|5qrZIyyxh7Pc`kU8v}&{VpnheV_C23Vg^-Pwr5Y0rR<{& z^`&QD>{ai!a@7soL~j=X*SxjX#?T4=e%x+pP`#gfl~K_-8i`L7 zFvY~wSIAg&ulJE34&MBWrqkWdb+=K!(>|kk_jBHWU4WH)$bRuf`z856Zx7024)$Cn z&)K82^M+^VbMgr3iQ0q3ovh@@e93XvOWRfW1S?N%bVqG_H{F{!gu0zOO*vSbXC@!PPXAx76yi_ zanBzK-uWCmFAm8i2pac|jI-0HHCH;&JS1WuuNEW~)!%A4=Ncqog0hFA3EzS-;^o=jb8|>+!*J)233Ceo_x*p?nB{H~AU66!51-rjr+_yelb_-%{GsmFU-P&GNah?nSq7R`<=n+0HEeaR`;Nt6eU9HFY9db zhrp5BS*lFfy2F#m_b=&G)z%aNf%CgKW)Be4KM$e}dZu@E;Ix$9Doc;mJ8#m`=_&hH z$VpzS?AS9`9_6ra+H9x;MSy5mF-rR1SHy$FZlZ4Hu_kb6+l|!p$%f1)Fe#wy{g;$35ReUU|cN&Oq~c( z%{9?4P6I1cM$ZDe->pB=vuaak8~|!HF%!3gl-@>sq5rl6bSft;8bclMOjJW+NR zu~1{fu-|R@Pw>K1c4`$u!_i`p_iix8jc2LpWUbclO6S^A&WxArLhwQOp^ar}ze*HO+5qW_G%P$Ss-uVYEQ(qMnfd5vZ24(-uJRfv zsp!ojH}JpEftIIMIT~J@>B?G_#IjxUdaW^Z-}jFwYt1PHfd{_ zWMEsR%p$Z}&2j7Da0J?99mV>b%yB2t$%Al!73x)|n~#R$Pm>`F97~heE^v(%$6TO4 zGSBsxM%l~*be3N4waD_`Gq6(_*(tTovKIV_)MvQq!^t#Y;ck&47dXH^Nb(QhprsaI z$zu?d&1y%dxKH3*Uh%w}uYRCm_5hMIxWCdVAj1R&baUW##y7z&zL*0$`z$JE(3aqK zB7e16pKD-`gk!8-Y9;O3Bloa|{59|tPpEKz03%QoQGm{EJLC5=2n#keAg4s_{q{-b zv2}_wKS#_iTcJ1i=(&gfL@7HPuyn?JmOcep@VeTf5+*YBuKkbpTHiTvNBo1oyEo@g zoOMKH;`bV(hp~4{^*n#$;~P5ej>-z~m65M%46h0CyK@m(8rMg+O1R5V?Sf zXoJkO(mK@rRKP44caYVt`u+EO?O(Q`6a#f`c}jiWvc3 z?89&EO~eiBm1*1zgJq2L3M-$?MM2ULvGPEkoFb`NpFfSQ z89cakKVU}QI!Ex}&CVCxS&4m>fy4Q5(_J`b4OsYa9;kq!EKn_m%m&@VPxj`!kE&Fi z+WCcC3!8qQ@s!9Xn$e?P8)yprhjnk*u1-{A6{|ebR}klNp$LSl5OiJvj(+=}J~ym( zuxxL=f?>VR{$A%D*J81V+7Wl-293;*LG$RlDOo^Om&c|m>=_w26Q(xf(CSUiF?U2qdoMA(TL;- zcE8AT^{DM#%9>N)V;JZrXV^lKB_m4`p+ClhCWL#W+;&cr0iILS#ad?A66J{V$eO5j z-c(wzjabt!d=g#q;?Tt>?#&*6Br?OWSdlS%SmnGE0!OgrL@xyAFO)tmJbI$i1T3I z@UKjh6THHdRudGyF}6YCX3irr>2e;(m{~c`5#<)0Ilf?=KEGPG4XyNij@9 z59meW*#D92Hi0}F!(|V+@4BF`C}w5?VLJJJJaoaq9M-P_+C8uTe4*=>g=cYNBT;05r+ls(tb1j!3%A>YNDbIpy4F55? zw_1nK=)?|o5aU@0exG&H<3BfS)EMap6V?!&x?AlS@ZhkWC{L61uEunoqZ)A=Fp9hA zz`35{1>jdkpAJKnoRr0&?nYIOg?8#WzQE*f@)ScBli%h&iITg?yuEkvXDkBG9Uz`z zS9t+hsHp_9GE=d=3FNkE0;DKgl_d6Q_)zbiFkBLpY zJufQqKTs)9zBM@OiI=A#|Idpz4*lhN+Gl1yq~q1X0{UuJUhLW&Uhr&*UJyawI@#Go6S5OW3?q$q4uw00h2+ZOtU3c-;e-0)@iARF%q3;IO*#P*V_mo-lE0|o;6jiiiObx%3aDQoRkB) zR$CxQSpvnhu_3fB1r&=G*xhiZGs2`garV~x`s~0Qzj39 zMyV021okbzUV7XpNRyADg@B-e$WZVRpV0?=t#o&*SNc}4@&PwBG_V8mpe<4pK3 zj1sgJOexm_6BZFU%)UO;@RU!mDA-{H`l$sJsK4mpbVR~jG=B8kZ4+pZd5ICbg{jn1+4EI>8_G9j~}&Ah+^(Ile+U>0>F)NUqxoKi7*FH zG9XuKL7+*%Z`!#=utvCSbo5y1cp6z=F5|0AlX+OSgl@n*1O?sTVAR4qaXKyE15RtW zi<~l_gjPdZ7#wudNuY;VK(Oy2w{D%>H8FuPHCj{3aAKC>f#t00;SKX)A_U?kyEnWL zXu64AIB4h}mWsXl?8Ud~xi7mB`tl!f0J|kHR;F~)h=jUk1FBq^F05MccX44OclSK$ zRi>v`Y49L)8!{F_c^rJ^GK=+L#0qv~dh1&NA$;tHOd$M;ni<#`+dmr2Eoku)Sf7pS zFTd$q$aI7RG?=NJ&`B)-&%#hhfb6{A+g=8OhA~DOr_|k;+>g9TRb(8E0)q@mRqLAD zjJ-K6l`HA-@r;(T07#~jlMy~aB-T&hF1YEo8F*_U&bGqjpZwfAaKXtYKCV#H*ZLBV zG%`hD;x$+#SpYeM)-D;$;5pL>OU9Kz)q{0d9C&}4gOCf&+LbhRG65Mmiwt_x1*sfT zVYnc%kdSN2|3Z`WfNf=yE&NF<;sW1}ok$IRvB#?xZq-CPO||2NIqT z`QR{X3<_D~7%aERtb3HCuSrQijI94gbHL|5wI#=J%SZdb}hR zOd@4tlK8lxkk~dH1EUAEuDUtm2KtU%VghTX10qzND8|&V`WS=>ks!#bK_`pA^w9*g z5*Tj`bPq@1C_Uxy3atbC9H9>W-h1Bm_>}$UQwtK275Rk$dQTt5n0|LkjQ~sS+K$+s zB-?Lr#XUy)t}ZJ-B=YPDMxL0;s`OpOg(fJUaC)BHQvzU@*8(~f8OOjl zuF|9JCtvJqSLlIK@7$;}f`tzf(riZLHRq;%UoB6-YOY-D9wtxH)`D}On+$jU@N(N3 zhLO>OpxYQp-_bqlEVEY}rB=uo*#+wo=D25dH>2!ToT|uQX~WMWzf10I{u$fV`#Xz- z9*o$d>7y(A+1yiPjx91VUwX1#qN12C4A?1J5(WDl`*}j1l%FdVXAd>!+Qt0QES()F zHL-S91z(_hH=VtkbfmM2Xz&YkI%<7C8u*2|(dyhn=|E`*DX>HGWoz%!;7QgyCFO|^ zFE8XQU2|%gVRe4qzS?{kEm8YNLLsKic*Rx(Bi|rZ(t4E?RfEmh9IgLY27dWcrj?O5ZSJsHY zDLuz|B?mXWAC|HD%l>Yd5y9{pi`vpn?9BC3Kr1Q9|8%j%{(itb+%jVq|4cc zIaHsi`@nL(^Xpy$K<&sI9=lJTP#0*fIA?c%m0y^cE1WOA?tr_tSUSOutYv>IMCvL? zmw!OVp?B&#P*oq)F-o1Tm10JYkAW`*+NCZBoB`ymu~C#V>s}r3?*9!yupu_ogMK5< zuIzo>pBf#)5WVDKh`#yxZ008IIm`;vvTKc>h<5gLb*=Ej_b;;3N@8og8tVcG2AbyS z6}ifRv;hVMyoo(9`q^HnL~D7VRn_d&Gu??(bo`4seEP-uByy??^*Jeq>ftg!nN_TC z{;!iCugd|DRJ(patgy0R&vk||GO*7sgP<^00k5@QK?e42HUvn2kY!$UDw|t4(HUlp zU$Uc)WdM#9*yP7qe3jM7@M!jDx z3C*V{W6O4H#zNb9!Sn|N7{|bTPYLuQT7O}pt8jUy-hGcpS`+o%xm49&;h_|nZ#Z&| z&y^>p+3$YY|8!JgZLGcinA2%joft56a;T$jyY&h{EQj|a)yXQG=yoK`o9#XG(%&vzd#Ufr0ft#<|mBS?SJtlt@fWPlSRsc?4&q03A zfQy8V0SdZ7?mx~IW=#Q%5p(EuC&PL$VKUb`pW+_NAkP@9zf*{GT~99$n2&*%i6Fsy zN*NPfy$8Kb?cJX4?85;et?JWUwW?;T>T$$ATpfrB`DefW4rv?TR*=CK?J>CwT?vd` zh6>a`13N{-$kf!9+TI>3^{UOaP}Igycl3@ED^FL~$O$#r&0oh|yS%Pz2ApQ~he4~h ze5*wzj7!~_Oc(=Lo`$5}sOCM$9ppg$u`oFg@mFT*-w_a&aTB4SGs(ikbGT$Bff(oY z&G9R;KAvN8Y#e#j;aK{r>I|1q@uX3&k9#OtT>;*=%)&~zvIj&{#~%&vS60`r>N zzl@OT`1^y*Wl`Th90j9~=hW!S7l1+5x04f5b}bZ;mmyfE?~l#O__*7S-J*k}{>nrsYT=O+tKg!d8AV@Nnk0Sc{oB@_ z9t{ifwI7}ZWcWzP#b%|2dIe*@`tGPM?zgkSXtB4W>tU896ZX5ed0l(5HSr5ARuhm0 zi7m+y6`nQGRPX`n_M|$q@GJhrhwAR74SuEN2X92EeHb_e6gu~vo0Aw;96E~2^T2WcD z0J@UBOP16^Pc*H*2mrQ>1oBTgNpX_JVfJR}FD7SN*0L~X8=S*ABM73yMRQ6>kPDJX7H?Sb2v)vyavA?&nq7&^ z1`k5j5yC&6hP~8AjVl025^THR#$T6yjHjyew{P)OKQ*|OO#aSL6IFqezC~1BP4C}A zCwFU}>h0WXuv2d#rEkdF(4s!i|66zx$82GoTb$YdN6F+r29*BaGtzGZr9ZtTXKtsp zgXyYI^6!5#t^KC}rQf>dErNUj^L5=Rzc?sI2D(P6BZNqqY){QAd#zx7EY;R3?A z{orrCFs?uJ!1AJzJ{*yCPxefe!v^3)RD0{1v2DaH!3HUj60#^W7B@yDa7*~{K#tkG zQ&7jd7QHNA^xnl+h!^%Vv3)87LvzIJ8k5_nU&+1>c152$PKbOFd^r}WhY9U9d(GU8 zO%^n54vW}2lO^;?Y;>Fby|aqL9d=5t#mm`6@DvlI^BR2zhs;|5K<#pnpbqV~kx7VR z9sMk|q8X@R5jo^e1I^-%DcdEHj7@uYnro^JoLLtKrxJ{Q0-hVHFVJaHI1I`H2*UzC zziavCBGcsGv?$LK;B7j(h|I3l1hl}*FF@h89G!h@eJ+8dU{jtuWR8lUys`F)8WJLq=9cS+<998xIc zeX+{&=NYs1{oay)iF16njY=SB%ALMT6zSv^@3cH_#5@(*8@=FxZ7@^_&=4kZ&CH{u8WieagTel@QB7{cDYrd&k24%N zgD~n?+S~Aiiy0~aXMO#hcYxc+qp8S^lr>o%Cm%7wko6dq8{Iz2q2Go#yN+1FP;B zj|Yed=b~sj=nZ0v^iC-r=aS7LtUM-iN6VAs6$0}*dkrON!P^9cDjF-y*~N_6Ramb@ zW3Dawk)_hvk=dZN0@A|I+M;n4JWy)Sqnnnzd%%ZsoJ)*POED6?Z5gq%#$NK_&vfj) z7hE}V=P~a|`ZD9lWoNwZTu}kEo}7?zt342_9RwiqhCO#VzG+wIE(cK**sBa2`KFmh zzdG-V%z5Cdha%US9k7cu7JO(>Tm_iiEBG}-4N&zouHU~)ODk)|Hleoc* z$&0&S{PdZ;hE4J-<6SRu${0Z^i(+cKIKPtP!m#XC{_K{Z#1(=a(SE0qn-36MX3 zH9#2^K4QMi6Nvc%9*HW{sR zvSxs*kmN`KpY4df*jwr+fLT!z)#x9>^PrVrIMVy^RW-h+K@k`S)8<=4IU-g9@{&>dowP~NR6nPiNc!!Xa3 zu|Akefbiuqf{~_krkLbAy@ttns_GF`mWm=|HW+*z!BPLHox-0ao&((%B^5wmMmfm^ zp1#W<%ExQmTufjb2$z{g<{Ck|j8-j1@UHW3Z%8OFEZDw@cT%w6VnpqP)qr6H&^?uy zhxG##)lkFMu~LX^Go%et*)kp3uuaq?ml#fB>_!eaO2uL%II0{&dWbhqc@x+tsKI;| zcZ7;BzKJ`<3m6w4{x5Hbw7|IpbgSBs&3-4mA}uaa=XcXHq^op%Ds-X4A&ZE=0GJ1d zmVr*o`7A)%qmc%$(aZg`FraHwm91 z0F0+Wzc7jlg?nbf+i#df^ao&U&=eyS)jGuHvHuvjiJ*_oE{ehpEP8p&d?SO7LNAFJ zWjhIbmP-px^4373{wQu5ed)yw`);7}3*Nf7)f!KqO2~#<^uA7h)(7u5U9F;|2?BAo zs+b$_jC5kN9XH5X2X72_@Ofxa>CHghQIQ%J#~doQAt!w0dhdHJKOCmES-)472zl8K({ z;(Oq=P%N>8eLQL|knl=i?k9h^X{M1_&7XKqutQNdU&Ph}ELx;Z0RNo>D3hu7UM%$^ z31XH{HH+9Tlpm7ogS$$-K{9_1td-_elU<2!aHqG3*K&4|&K~DIpUdo`*F}2ojVyiY zlC=4ws&vmvn^T49!4jTY=@j+br-vZOkLi6||Yd5X-b z>>&jK5_9A;4sH{PQDwzg(V@doRy}QO&y~n5@?m&Yun4Y&H{ba4Wwlng*8B!FGt&`W z-m^D}k~XE*64SiCi@+~Io*a&*6kzZ}?KZG*pxM`ehC7b|upiC$K9y}f$~FEiKEVr9 zOg+T!Bk73(=x*r`7V!1<(-B^daqt0gP>h2T-G`d0aa9qGCulewB~1&s0QfFje-iHs zmLU!Dn^&5)z_|&9o)!fr69q1ne!ZheJZ#2e?#O@XvAcE>5BoWn!2UINpils4oEje2 zbrI^ab9K)tvhUyiU+leocw5(f=XviX=nUrn zV7U8Dz64C?R}LE#er3lK-nWC`bCneZzjfQuX!5aqpO@TyGJjT0o@tPt>Hdx0=e&V0 z_bP^CoII<}k{u%+dZ~t9WxKLPYF%uLqn*8TvIxZf^j!z@GxF`;KOe+8V0UAw(L@`u6I2lb0-ZbJAqch5pU>;JO*0oFKV8? zH?7*IcKj~U744x_Q5YI3T$F)B82s)Pbw#CNyj}RvKfU9pv+ZqVL`7*3H(g4k-zqux z=%6CuyJDPqaSrbB8I|4J178NGHsYGQ2jM>NL;F-hZtZ%GcVA)E?Pd=a;zd)5ZFB9) z&A`0(w72<(E1>vI`|0JlPxipcs2`Ik-bM_B{#Bo}ptn)%Vq=&d7=` z3_<>X`h5^49~%BmSG@a)V$_&y2jz>>&ab$@<(ZZI?mK78QR6+cv(JpaQQYL;ATtkm zi7isqUvw4wYI>OAscIWJMnO1Ez(MuL?A@#CD4k%bF0KDa)dCXjm6fthUv;ySO|{zn z1Xf1j78vGe7l`#*u=_=!CjYefg!>mLic(?OKC>lX770TSUJk}Vo+nNDkIEndF1DZT z?e&YZB3|9jf^km)Zt2(VU3R@-H#DjD>{VF<(=WTlG;FE|)$C01q1oT=UL%rB8f%L@ ztgMZd?0^C6zqUmhB>hY8XpF(G-TnPS0lic;_KjxyYl?y{F<+*d7Hn&fV4t66t}u#v z%&fTkKw%Y%dvD2o`p#2E7{Ofr4wstHafVI~Ej>XghW=PNhx7|#rQ%5=Wn0(IX^8aS zmiEvSwS!)3mQAGo5?|TCxau}wSbYblSMojWC0FJnZRa_!=T}sr82{kCe^j4zVMV{} zq3poXxP&0w)b89tZ)(pw3ss7VZ_VhvetGTb&C%Yb1(1#yEi>U73ptpscMT#BUePMl1PWFQ%E7>ocUw1 zB0E7sP*-2+Ac0B&!<{kdqqxvxP`ex)xB;RlMtP#$yRQ@@rs_RC$i8!@JM@xIBfq=t zmM8Bi{)NIoOXP`yfJpri61a^?v4ea(+3wGYY%uvzC?_<1ci%Pu`{G{rQ1tZsK0qTs z{k|a>-|w~y_fB4SP86#O#h3Q%CI#63_R*+uXLIy&^|$K#QaM0$Tp6yr6N2mnv@U>S zirAxwN9L_V+G5o6%+{<5kfWzeBN4jjO^?Du3A%zkf8pk}5Da>RGXlXvwx)$;^=75ll7j{kZD1Prdtf zsJZ-$-Sx@T(CZ3sd9bWrN@wnMym!1fW4$BT?Y!%)Z=7#eOL|79OIijqDWJdV(+e`^ zKKucp*P7y}UYifc)0+Vk;OI@lI-eg1Hc^gA;lI^8hKs=drN&re$`8ux;t0wmILA}b zb8&D$%|vT=rlR)h_7q0YBg8u1$^<^KYp`&l!Y=r~PKo;MClz$_G|`snoEyl2boOE= z*eiud?(*l+>RGz|jejB@P=RvSooPAk>K=gc2;) zVxNnKd0QY_Hgf7t|AjhHe2#^!jcJg>qQi9QKOik!`Guo$|6#rz+U7$bA&0NI^5Rc= zHpbOYdi`&E?eX{7Czs@$c(bpS3IigbJNBHi_ZY<8LlHl$6yWXbiS)%t=NpYLsY@m_ z8o0;%gr~hs&wy*roYZS#OFEQ`mC|#K4hDN(RHe*S0Mt~lUM`jGvV6+(L7qLiHCtK` zC8@8mN;8qcr($CDIn9YVWA^4Ea4!p=;m=AWW~1n1@?DL=>XHA86rw7Kdh_aFBsYbP+ZWdx$iD^l~%Q>x8kO*ziio$Jz82Ft}rK_=bjQpdLR`S5B zIs&Q&_?Qibp$&qLeGP+cxE&>HVl~7HwGCQH&qT}8A1>i<$rUa_+dJk503&4ZpntWV zZkV2nv7`btFhSHTi+EIGgEfWCIaOt#Mc~WBAf6z0a6T3Es-S$pcZ%z7IDjZ8FuXGw zxDC5ut@;W?1;1|6yH@3(JvI8H0;Al&H1eT(_05@xX+0-QR){@80)*c7_bhs71=w@a znOjKf+h$Y{zcV|pp7LXV+};crq#GC61{$qeb<{nBL9c8jhBd5}G_?aywd-?EM>^f$ ze17CP5N5DD(UDm8TU?l2rSnp!#W1Ef!Kl|o!>&E7bi+1L#O?IfvBRzhS&QLMfIns{U^0{rnk4Kv+E6MF-g<9+MIP%5377Ua#FFslJC%{l z1Ka(@k$Jm%qyX#vjMQ^FuU{&7@wi>QC;ARzo!xt)J-QJocfYQaMp=hzepUJ&^d*$V z*KqtRln`hl5Ds~w28^w{YknGCfy_Wh20gP$4==)1-%t3sR{}o4tF%sx+lU%SYchR# z?-Dk|upm1d^wiSm3=s4Q3m_8N#r)tV0b%QauF zM2d}#Z?NT6Vj zK%PL?ZJ=#YvP<@6B^^>7(#-fRtM0KBJB+{X)BkqLNrx;2Bz*z7=DQ3mw3JY*2oL=7 z09AY(H-c{5uf#f zM88e;%%uJX-Vq@LP%*8MRh18gOxGn=4~WMX`hAxT5swlN2NDN--O#KF6uasQmha5T#yZX+cHIdYoe`^9{LFB{ljy|tsmNj(WU$c2h9;A9 z_2fvWK{0(X?l6WdM076>vtG@K^PWi)x0PHNG6yW{M*yNRTxZq=E6zr1o8!RJJ5BaX z@LIrWM#@cY!LzoecmXmbBLxOr#dj&YmmtQ`bF6vkFhM3Xk;(H1)3PyW#upz9SedW+ z-ai@mlw9!UXZ5i+`}1cY5Y0tF4WSLzSv|LHd;AqZ>loB=3sN?Bi0p;a7Jsi z7G6oM)X44x_Y*{UjHwR%tBapF8+`jsO8=0!PGuysCf}1GFPWDWq z6_}a33+fR_w<~I{-Sfv*+??Vc$kj$sJgY#iR%JGyYMm8!LDu*6sQps{p+w~mNFIHy<8a5_D}`22(JQL(W|&)PP0Ds zm7&#YwjB%=7QH6n6uxLSm$qe)$kMBC-#D^Fa&ow$0eP;Hg-5noFp|jHjUK?ZBV;U! z0O?^nr;eET50JW}^nnYL*atU)91Wij5Cg?iv??r1vwgtsc2Mzr-AgU2^Uq0ZtF#%8azd*R^0A&|7U z#DoIqYR!<`@kKIdCsFTB??%vIw{(Jl1v_|}2{mZaZl%>TUwpl19R&&rmWZ|=>+A)& zGy(BV1-<%wc_kmyzTarI=gaD100{b2M&ezVJRgj`1d|0(g&yaa>_Cz$Sf)+EyrRG{ zP+=$%x4IY+=oxt6=k5>(8ZT;0xy9wmM(VpU^Y$@b|=K|aw^+DnKaP|qhfOew`-~Uc2ghxxJD)e`Aoyi`@ z7fu_{?RPh0r%sW% zdcRyetzeSBZsOhV)(n_%vKcJp?_f&F<>u@&U&rrD`cp!G!86%;pM86w;oaF(-jVrS zJ8mwCKJ9pZH??>=F-kKWCyYTvGs5E_3|$Dl(-?@wUXbAb5%;TTa@RbP6OWr z(sEW!rf(=@Rq*e}W4F~DJQpj!xjW&1iSf9kKJp?*m#rQuDBzMA+L29#9ceTMiNvbJ z`isr>6wXE+`s^=m6->75;>d0ErwL#v)}t^qt0-faW(!}4y(T*tZ+r0;T2(ptC9#m zkuLmKKPriEp!!WCviqR}qK_5+WAa7)>hC{&R{b#f)ZZh8)rYQNpNFp`pZZ%w9)2?I z_%+J7SFgf3fjn4Oz|U7`$ESa&&+t$&XKQ5)@jVVHO)!4=gHtZ^fc$ahC~y9^iUjK^ z2a(r4HKc#S{Na<)VgQi=PI54C_=}T1=XLYsV*!uEJER`Bq40R)_B*1j+nj-1Twhh^NVE_Qc*4z1`dz!YASWX7CFGb4!-2DP zcp5`b7UpK8qP6E{I)rNGFx5oYt#~Jtnt*nR_OD)dvuZXVhSJA;^Zo1ptwlTP@k!lO z%{yd#n_R%0c!y37jt}gH%Ja3<`E+L%B1)XO1|DN%=LQP(Y^&kVY8H4E$pO&GoAfc2 zRe760S{qT#Bn*_fi?QSBE#PA#5yxU*4&?IW{|!5&5zX2Dxi;*-rwV0)0%y}eWh5La zPqp*@LwG@Lgyh{oW0>KBEkz} zH<|~9T&%^QrLc+I76;5S)Q}g_I0k3LaNaqT(&_r$I=z78BUEjWlDY^Wb6d1HFr`7V zRNWuce|=^{X)veOvRgT24M=XHLf|3NrO?lG3xhgl4I@cPy1?A+}>1Jgje!z2LkFaWG}>XBLz`@c8yy4#$botzHxds@gdsV>cO~EnE*;K(rk@6^MYcb9%(+o`)y_W~r0DddyMtFGf#zk$VzVhdA8k z??iMmi){Lur*eQ!qY=U=>wZ3()Ey`Dn2a-Cs`nJp`{0vKM|IY~E0LfaXLgPvv2_-i zz4_UQ?vL#qgZ`2X<{puUMd}-c)9Ab8>^*Qs$L%2em=}L>@9Q~LFg#4AB&%rTU}gx= z^G+hyK&r-`8xL${w^15Y#B30-CVP^Bhn){+jO9vTJ0D|07f838mx8w zxpfrHN3CZW3BdoL5tAByDOa(z@nNpGBBx8^P;T4)1|qFD!F| zJ&t#qW3{Xs|1r4?j2d~kA{kmBa|xoA>`aBfa*#K0X+BtF;-C|%E{8f z&^3wv>e8w4!zvu};}GZqOwm#SeuV%+BqjAjcTmkWUVi>vu7nW>@Eq&X1FNkKF}0q)k#qQ2&C zKVhXOxLeZ6+p@DJ>e6*`QQ$~b2i63zKKXc_=;< zml}-(fXTBy*bQ$c?YefzoJ;*~ihQeTSt;#uN*_YmmC?*BC639_bBhF5Pw@pw^0UCU zB+Jb)BZ`O+P3zLyc)bt|B4Bn`0dK+Py?&RYtQ|uta1#aCNauV_FcjlUoyN7tBZ>bH z{}7#$v^Q6zyibwbpy4&{@L;F1>@2{HL(L38{8!NMoQSaP=r36!3Nb;VC+XMA&*9kS z6Y;3KE@CtX(a``7xpdnj;cNqduHM4`$483^;8v@Cjr0Rw7Q)6gXd%aH)TKC-BR7uS z6M1l5LrrfK;7_O^YB*ihCbL~=p9AIEU~SLY8Nt$~XiB~%rXw_P8;;dAAe$G@qug2h zo@E6E%)sgO0%HUZCT%<|W2@Uh9yg~DA17A%0O?5HKRcvGZM_(w2o!1KC1&8(66mgoj1wJ z79jo-*h7hce=XcxRxVnj@V<5F-uL7_k)Dp4Pf0PD@g0HgytxEU!(&Fj#63+e<5qP$OV)Mkuz5N;~kd?R_kxHd_OjYedTnyo2LpA%foHm#HJ z$SY+JLm152MbTrgczN-k*9V*I$5zvf4mA1W`vi_4N|78ar5UvhxK*Q+Y+2_x@%0)s z8&bm~ttAlEFw>oQG?wL}oz{@TQwG02PhW?? zcY*(dwJ&Tv(cDckovFqz>X~O-_g7hQwm&wbJB_zO0cw2>$odg?GU}%H!Sh}$-&2}x zJXY~HyU(ktf3uo9sIt#TlV!4R#n$Q^{$b&7%f^sCA^9zoGk=*<$MUzXbQio6r^U~y zp?$T~!D=)Klk(HSuM9VKc_Rnh=e^4Hzb`&6)A}>w)B$&W`1eJ+aw3AxD`dW@t6nKW?egO?GrQceOE~1j&NIaiynMb>|7?18{p(eA+hi+< z|3DsUbXTNo=Ik}}gg#vHig9q~IyCaqW=H1_s>YqF^nhyIUL|*sU#yk84Py0>z1knC z!&2YW@w|?{yCXj-M%K$Wh#OUK_u>-^UH7)&`DObpHE_|mL)yO7bGq%tAD0sy_jtQ= z$enM?p~fzEv)dN#h*Qv?7EcPywEa3$6Pp*@!d7uTfv~0Ly;*%os*lTfXhU~aZ&f$3 z|32=|bUNQ3zxRc}i!WkM-C5#;t#+vlv*ad&A?ji@18@l(S+?TSjaB4jBjw!tQDDYm z^vV;kM?%Hg&(HQh+9!KX)gXF`;&BOpxg7wrc-(!ff90CpcAo2buE988^F&(vvo1iU zQv!#oWq1M<<;n0{fJ6OSMg+`}V2r z-sVd1xJXY`cgUAw;ojx9&wOrgiUevq+Ad5gaWo<+RCU{$_t3AZ#&X4VH@TUEfw$1; zPUS0Omt*hQMuL*6(X)P|;h8Mz%gtZ?!>3<&huicK=iPl)Io%f02p!TXK@oe}aK(1_ zP|JAw4HIXewjE5r@6$jk_opX6U}`f-);XX}uorlCg(4aRu<;Bm4Y{!WDSm8(96B~W zDM2)5Wf1{iNUF)by?R^BBU37d_Nu&WpR@`)MCX8qB;|eHzE6T`Or&-|0k7<6_X~3yXhL#mnvZGN$%(!uP z!;-tb_=qb`q|2hUSBy1occy6yht1%SKH@FC{agRtwYv+wNZfhP3+%P!ndqL~gHWpO z8EyBz^v=SPdwQyAV8ODi&A0yq`1He6@UmZHT+x5rL;*4bj2R5CunD18vd4QQ9)hV_ zmWarnz6k3P^^(zkEbdt*c!muzA6+|B-68jkrY^Dpu`~JN53#wUqyF$x%~la_r{&-u(;=O*unQO zAc%&T@J(~=Qz6vSXhq$#JC4Q;enqjmEmVb}OmYq_pY_Nivf%MqYVt`atTZ}2#O{7Q zwZ(c=ugR#xkR1qnBK%e0`*NLS>(8wm*!R@2Vtlw49bw#@$}w@<00)n{tE6xuq7f!guZg zO?^5|XFq+SVck1OdM@OE?<_5)-m#`p0OowL;_7(&CMd9H~bd0QB*L|c5lZM($U+qh-?o-z#Uq+ z`vu(|20(pwZvtrFfxXQVU(F4E{M!>T2d!)37;AIF7-#j94a^vFkC-$m@Pwloj4Qh9 zIAlk?4QRh(Z)yk;vvZ(=fI|OTmT!fwR~4%Mh*W7SKGxvyb1s&`8{(|!rpt=WvzB~O z4AeUcBtfL#)F`ie#a$r1{?`)3#c=)uL~FIab-?+UH;B+dGm3&cOYj~#<7ShJ_2@M* zeul3SK-euEy1AqRt&4bqB_#JJ$t`c%AqcpWNTYR%&~#Wn{NOQH^DS`=`KMXk>6$>qRUr}c*u^{KMCBFL1sKJte@V{p;7*~qWp zqDO-ZJNs$6USU7JDqir=74ZV2G;3hQR~2V(jIRpUg08*Z{W^yH<9cn`@bawT9Hhfmi)Q z(4YO&17&%S*2cbALMYcE<`KphwpcZ(q;oiEHI9y7v!@YvT+eBCq6R4=P7fAqKDK-Y z#sx$a&#yy4@C!Sg8QQkb_|d>2%oj8VzZ+=)L$DD^_#q8w5Zp#0p2xP=X~(ZwIwQz6 zX)z;e3Z#WuP3XQ9;2|k^Oz4pnJi;LBG)*fTfB&2Rb13cIVBOfIVP4~Rkc(hkC1rb6 z3v5Q}iU&z+PRoJ%;F2UUWTxu-!x1I`ukb4d7Wf{G6GKXg=VvIX1)M~R7h8IpWV{o8 z>qcpnrRyb%1D%xul70mE{QUK_=_L6JvEK1kVOCyNHS(5Vm+-xZO>_O z(gQcU&m*u*U=e)ufJm$=xDgCFw3O}gb3S0NA3Q+Do%v;1R8_Te&Cpb~j^=CuUw1+0 zoKEBv{I`QjD!H{{ZBMNuaJcZWXbnR-=?sK6M>Bu;em_XCPsGW^8aR+y)lv@}zBbe@ zE?um5h&m9QFtbC@DKp|kW?h7hby)$4lpxdfh&h8*I>9E)5e3~FC|0!>q_>`2jn6y)kPEdpI=Qg0b6uJ#9#05q4o$9D{0{=%MRB-eG z5AjYPB*-t@qiZeYpLRmBv&^JY4s_Rt#s0;T9|hml&it~tSq!gNTsWSk{gEgI*P`HV ziOpCqG0|y9f9>@aNs^I7jlV+3U}S6Aq0<&>_1mG3%o7htQW1Sb#X}eQc6+^;!)PfaFgVR#IlJ;7ElO|X@ta0@{kv9=fYDdSOm|Lw2>jQDtiG=7WrxL@lS*t6|lvhWZEZ+Qw*| z*7y(DDRI{2mVpD34v_X5q=Tdo$ij6=GU_4r|u@DCp=Oj7iAlONTth0;rK&k1- zlx$iLOClN!8k77)g`F`D4J!{uSMK1#AX61g$s|P5mRDe{38fCa^H+JHlzqU!xT7}3 zvvLX)RS7l7VjgMN=dfXe>U5dl6N;-^6MjfoRU#+u;AZe>B+<>v+Kf!LrKS~haA7t6 z`@Jv($6ZG@W4WwX*&~Xq7@RNTV;~uNJuCoGU@S*)y}sf)~oyZQk|51yIm}hulp?C2iViByvAW?rLGnLn;^8Ibz;7 zkK2$q@%2=#oW$UQy-QVf#g5StuaSrE5fpse647n)8IZ6)^0jaA-kVQO)0AiFO>h1uF-a*jnRXLx* z%eCfb8IvJP|FB($7V6hs*1*6~XB52oZu%Gu(s zcGf%zu^v}R(rs<1Lk@TI3&Uz5&WVq@!K_TyjQfI7kh7op^ad(dA1qJ;IGpwUks+Nj zZz#N-YoMFL0Xd!0+Bp>@^pLaNtqe7SVS7Z`r3O2L)C^GT5nGxKgDf41WQPTpE(A>t zNgZ3j*>B?EJB|jX!-@fD1|GHi_rLerrZrJuo;M=|#lidP4yaol-$NnkxBUVeTUyRd zDlM1QF|}+ZhwXF-j~C$2ODrVWAAlSO^cI$VRLoT5K%;(LF# zA+ijJYcWl6a5|gJww0Hlz(TOD$)JrNn76G_13AyKQ{*K(zGQu@OIvQ0Zzdy5Fp1|D z6&g-&3-gU$l}WK#J_lP4jdZEynk%+%l%C$cuvttgS9lnZ2f|e63p$I0&csg~iVY@NUZX23OkT@)2h9R`s zkL-Noc&qt@hQ1&rjxWP$O-zt5Ur+ zsti3pI;kHy=zepQx8hSTo^^jkes%Pw#o!i9)Zn?hn zE7^nc!t$)Rb-DDN90YB2g+IzH+aiOD%WXX$D4JQ+HCeCCagXE7eXg_lf^>r?Q&mxUSbTeVQf|(# zd!^thd3yYR@!I|k*>1bqtz6$FiOB<^72KW59B`v=)SkLNd!gL;X07qn{OXp}p?oax z>m8px&cPvh-rG6pt3zm2l@59bWN#Ag?)5VDoVQo&>sAg`|E0Rm|Au$a7Y~R@eUrPe zanlXniOd7L7X4e7{me$r;Zb;=?fkUvE=UuRKgOqC`)m+cfYNq#*nqXi zD?2?eqIdhB^7_vPr=?Y2eHPn?FLD6he&q{rVXveb^LG63V`IZ=R-ZmD8A23I6df?$ zP&H&u(0;q2-UCxIs=GTHaw_dN@0DS)B#~8hS~}4wS~@pq!od zwm0RE_#n62E=GIjROY$fWDhdt-S)%qjdxwwNax zJn<&wXC{SVlnPKZm~Ur_k5rHNt08#X^N{$g+7a&|uhZ~|8zz5RI}d*^DG)YA%yzPu zzR$<%>9*Vo7@bW_b$i>}gyWt5D>#SkGhNs{MRgDuD|fm2YtJ?j5BOTUVC|l|XH*02 z(ZIbzZO#T>Z+Olj$<9?Udc(;8j6A(#S#_tXMzi1yq3sX-d=)Co2q50S0Y>WHzE6y$ zyeIN2gzjF>qmC=k1MRkMch~(@s@dHyKuw0EYD_(05W*wb%95hSG=`8{39)t&wrxxb z;AW=?pk%_WA?OnN|Ijv4g%uCxm-AhKN12&a1SBW?@BPtFy)zx1^PmzAl9v9#H7{w3 zf97#TOAyj!aN5)O*9>b_!Rky;_}gz0;H$uTZk!lr=ba9q4c?)n8oo9P+NKHt4>k$=q6ReCAVBI}y zESRj&dn@keE~LyCKLvdiQlmddWV^ono5Lo#+(hr4nP$>!W>y#-AsP&dbivMMmxGqe zxyLC~ZV}NMl>oQg0c;AHvi(>(kFF`W6I95(DNy-`{y=&ZZ*OXB*>#J{skqoq`4d%h z(+v~7t@08DUBdAAM#?e$-f5p9%UuD)b39Rc0x!ZWP6vmvxsYZwyAPdV-0d4SM8VXp zVr=i3;syw;A)WBd%^N0~Is4v0D_~;4;XRWxiVP}fI7k+-kK4(Mi~u6T;b$_;8YEsj ziBn_Fr>F&420Ki#TvaOBak4A71n%1U0-efX^}8mo7O4upt=sZeF1&-X&bNDc2fYm3 z6P91!=RyqzXpAQ+@XPHRMbv2@zdA&=&eko|=J@v-~T36r)ibf*fC$rHup3cQsu!|5JfbwQTS!H#^K z@fR1DmBqg@>`jR~LaRy7Ej!PuM;YE`ipG1qWmQkDU^NVZIKcPN$0XtI(8867cNLuO zhB4=c2X}$Y^66D7M&}PLsJUR$wd%{27%(0&f=l_CbKyL{&SbT8=diC%NJ6HiqAJM!Q#e=i$`NxkOPXWspPBZU6ZOy)Hq=Qp!)Lf!1clO``$ z`AUASE8NXT$6I*9e;bn&?DnE&0sv8%5Qqu)qjUcMrO zdo}6UE0y{inCpXJuJ?W^haJrW3&A|hQ5}23V0o~}RD`^cvU3rMZu+tIef?V&$^K_w z`{V;FUHzK_^DB?~OP_nc`5kNFwU0W{3P1V?fB3>Hm-*2TT>0zL2Y=yQ97;Q`Z$SAN zV=8CIa|RY8{8fuNCpD?y&)qC17ERQv+fdp*R|~aWbu&K5(>!N_4W0r5tg3L*i`AT? zEk#VGHFuFr3(+GYO*IreR0P|qekodnT6UI1XM};Pjzf+^3$z96%27%uU-~a!NH$IA zVGJyaEw8Z%Axv7ydvr5%l1TT|xT7aHsA~75nwRcLKeU&%Z?rP& z{=l3Q4?C7-L5Sc7u1Oyn+$5zT+#J_FA2X$xCmK6y+@{IAPBGLduwZQ6@`DYa41^LQ zZ^oZ6mJ-;{YaZfo78I6(Re<#6QvkUYlNF|p2-`@SuNmOM%jKO0ce!3__}pO>HSFAM zL@pTmQ-@r>YB*DI2-ggCP}2#Rc|^ewdk2xT>=22N3lgL&=N~1S!=5Bg6tmI9)t9gt zc>qL7^D}h#6A=K0$2m+T^HR?B?UbE!wjxEW_z!3R@~DJT7H}on2eTQlagEOL-v zxe8DmZ=xqa9q4CxKf>xb_;9!iC_w)T&~Fm3P)%J@(xH~zAfy_@yapzdvR|pjvScXy zNHyO7$v1kXL2h9lTE!Td#(Ia=#^oEJf`$TVu1`(YgHSw4OPuqInCpDY3;;-wIY$d=ZjJ7Q zp}5nm=8HOX!XVO+n3h36xU}gu01cZPCqal5F`SIlZ~g0^y3(KK+Wjj6BJ#bOm^WR^gl3r?w!YuQTd}2e zjF*7Dfu+)Xp4FGXJ>xWDaF{1P1wF>B!r@?lh0ocS-u)lV8;lM<+h0p5Z~s^Gr@5>7 z3zq;#t1J72xx0Co@x-ng^0&D*rM|kt0!b$<>lc15XPd^T$G97>EY{|-Y?iF~>@cvZ zUoAWrW=l1J`(`Wd7p3pe3EhaRD~nVKc)}9qXuvhNTZ3w`FNXtK7|Jw>w+@j_$eS_G^>@lpJvT>dy&*yIpy4S3=!8c3|1(@xjaC(U-G3?ng)6A!L8fri`E;$nczx`a0-(6nyCuG4+O@U9Spg# z+F>EoXh22<#CJGh;Rp&FgmK7*$C1t<+JUxV#-?1u&*pICBSceAWNeh4IELa)Gi^LC zQwa`TW|w?_{`KQ{bk=%vL4tro?g`Z5<3q}EB-gg-9fB=-jFPIF;|3mqEz;o0CEwv_ zhvSfao^T{-rv$H<`jg7fGa6?&NTDQf9ZVGYpUow64hLb7{!4%U z^C7tY9*&gFI1Cc-lT8*APA?p&;pgK?#pR_ceu%&*2)T|lDW$Vc$JQ-IRiw|mqtUo; zcLo>{p%c&BIoLG`%u+7_3BI?Y!zeiE3gEj&k5NN7s22{@ZL7wylF%B?eAcB;o}g_2 zir|vv%(;aJ&A_=Mw@g)f$%s%c)_)h@0%Mqq#+I6vA|wxJ-8P@g*)EfOvBgA(GKP4*asI3fezM!lWy)F$qg%UThRn72P$H8M}IycrUNEX zGO>q<8Dr$oIOc60$k#L67#B-4TrKBdGvVJZ>qypss$hcIj*D+<-s=%4Wug#k$d1paAIHn?>Bh z-ZVdPIO@`Qges5&nu#dJDQ8$o!KBADRMeE!*d=I?VT->Qx9EsNo)v-sGIkm}0j)MQ zf-S<63)Pt$V$NBYX8s)UFmjg$$wBszeq-x;3j&Mj_xkM#tr*Ol&I_N$fo*wty)5e| zq0e<`0<&-7k2LHemJQ@z=A?4!B!NfmSv$iGk|{DnPzmBBKgak*KAZXGuohjqYrem^ zbO)`>`M#xQmO%cuOwg8+mZCXA#pT%LkilWq(s!BeK&IJtVza;e`)`I=4Hh>zg$?R|w(`qcF3azEBHBD>MFpQEc5c)La1G9J?^Wd8ROnBgA{+wheZ*g_HZfgy< z=|s3#3vHdkhCF9bbkxL1l56TRa{0~ZMmC%CWDsiB2icNgDrw@gS@ca!L)b*;e2UmF z2W@2OD)dU}4poW1XPqNqr^}+vwalG5ji1wQI@x-XS#5a}9_b9`fl;B-`yDCV;noB4 zyk8HdxI<3r0c^AQw}1Ah7spYn2j^%eTO%LV{NkBTMmpVPwajUWkJiMP;NUtf5;rRy zhbh=Z9-HLN{>{h+5^jA)j$SSc#Mkm`wfWhF>-1;jwwDB%tB<5c7QK-Mbf8l*^Z8*I zbROiAvis0VpuaYHe4BSYL=@5a3*UWs!GE|qn$Db;bMm;C*}srl z992i$g-?oVrZU`V)OO83A!iUET0}bkac|w9UzSJYjm2wj3r?h)aktsJD<<)cYiLh% z?Bg(uazx6oS`fE6x4?E0HWvL(Rdp@^jO~vO%iogoO}Csj9zM=nGas`nXH zn$?HJ4dwE}woNFJ_-i$H%W&y~!XI{q#}U(UlNE`Fa>2iW;y*l_`lRgKFHa6dtTJuvEyvwe?W%&54_V245sGK)G&d(1!nO(b1oI=a)I!)qF77{eXSJ=X} zSv2CK*=sk(L5Sq{;QaF~7l>5jul%upzN+_wMHZEb?HHZyToDr&&qHv!q780>I$jC# zFdj+#mU;Y^0SQV^qP7fT%XPab&kG!#eDdmZ-v?=amwfW-=e^bfZcaZ!to(;w&DVN3 zugH%hWl-^s$#4DZ!RBrwMA8%!abR4G{zVAa#>aIv2e4Cs55dEf8hyYMivix-4*5Ic|1eR2wE8rZOeC1W&V(Wrhk!>&BNH~UW>+8hf{DgZW{+_uu zKfc`&NS?QJCrae9QhBb!ZqP-2R(F3-8G(=+g}Nw%C-MY0 zblS$9px#=ua6dhCEyQo$>@&MfE5SmKqPFLcbzF^BNd>P9A~#fh!FO=d=F6l6wE?4QO|WjU(1vBFN(4F`(;`%V7@3TNYPO4xw_QKNO)9 zD9U({^Kn7pfE(YZHqjL~D*_d$SS?EkhJR?ei_%y7^S6p67blO2#+Y)4>_ilFY( zK1ICGLw|`LiAY>W%ygS>IOh$$EM-WQSMo*)hh9gwd@Pk9Ep1GL;YKUdm(-$+Q_I7z zl=9HFMq3+sncU@%{QG65omBHXCL zO$raQRugAX9->Knyrsc~6jCokDdeA7Zc*?jE{7bOX(C6`L_Nh}bj2y|*MSY6kZO`B zm_)c-C49K2F6!V#;T+ZOs8R3s%8n-7XW3m+h)H*?Cu_{d#gwqqPl^L%BXr9 z`52ULph;Oq`MX$Ej3WX!e2Tcy!_)T&LueouokaoDIAdKr&%_p7cV%>^=N#7rxNw2? zDPnb8$zp+|G9oBT$}_PD7DHtm=^mn_-|FH>x4Z?Me7%PbNFB7UHSKxodK274DJ{hS zP5A%lE$qT(E5`CO2VhQega|9K)xQYCG_KU?2=gj2vzHr2&Vh9OjUvO3W-+Dk5Rx4);i9i@O!+V;NY4 zc5%@C;=jd=q%AmSp+8N53^wyDg}DNe9;wxt7|!-lgB$1?lP-~&5>MhP&{#+Yl9AB!fa>Roi$%aZizut4|xE#@u8H;Qb zXf&}M3}C+hWd0gN&~xJX@Ae`NjhsRVQJXjFdkA3muYfsmzRQYMHhu+6#ytzuYk`#+ zJm)nn#x*v936Gd&6AGShA=|(;rXwwvh>?%_nlpuR;GLW`M^2+Eb9FBXh`=b}x?d-+ zz1eL@iD^8DcG~D_w-%%)?t-u?(k`D*Ly*DY$bM6I+3ffze;^I!?RwC>86k(mXL0cw z?=KT8{l)+DjejFB<52KRR|6_OMnDB|5JqZ-K$(Z$e?_q73s18#zM3R+te+%v)p+=> zL}l>HL1mnBymNo`o2{20d`(!!RoC8&`ogdN=wfcvhx0)r^);qUPj9Dqq^a* zdKrJbDE?}p@APckZYs3;k@9L`;~{h4hZ zm0!(=`VU{F-@o&kE5EZAUwt4k$)j=gub=$m)k|2`Rsf{QiZy*bNPjAO>sn1TWfKCpp34tH~f?kwM~M! zgGe=MglZsYVUG86WSMa_JZ}V}j7x)G?;E7AZfHp)4g zWLN7jFgPqH`x-x-WnE1!vsvoz`YbZl5}KNFfM9wbkVN1O4DI2wKDz{VYV7>Fd;>5< zk5dJP2JzWuX3htRIA_=UlUEh|RSHl=5QQcY{${0TqZWoJk%cx{-0gEp9OhnBOmw8i zzb=efQRg^}QFIQ$ZaW7Zby{PGy?G|Jbrg~?UO#MJvqsNf{i~=Q-QGJ=}T`8lIi9fsA|BvM-UFZ)W2m8)Non@hG~)DoQ$push(g^xFT1cgur zS>rrtC=qnXSfnCC|EXc%>j72Q>oQXt5m;0J0*9rE-SF^c*IRR)<9?N7PHec;6qxOc z-Jh{6Xy>i-9+!^+puGJWL!AHOWKTQrlow!epYu7*1J0UqL<6kDGZCO@W;XI3VTrZE zs@ox{B6B4iAPz*hrQV`nN}zFiJgS!Io?*?1XEcl;GX@yQl=}*tXxC&-OwT*HanRYE z#BA)?zwv)K>^~T+HO^c%oyf>yt0E+amJ0#@xTpwcu}LjLJ7^Dte(6L;35B$B17_Zb z;G4iuC9qm$bW%J7WCflHtNZN5N4R~>4w78-!l6L$pT3e|WI z;L8jw{svO9G{YI}p#UOb7CK;5dO-^n`$#H)f8eZy$UGh8Kr7J9F-JMOejC9qoC0zV zBICxV%yekIy!&l^6oHiywl^v@T#qu942akdMuTKvF(|@;km#kHgPYoDFdI>JQxt#% z)|fs_k+MVgT8n1Y$6RGzF|KD?hIXk@iAy)mTh>G?*-Y#S2Ju=`GX}9|<`P%6V+M#u zh+n|E<+>f_sue0A&XIu;B%Fa6M)(vfM^}zR+w0@jk4$XoFg&WmbW}(yuNHAl4E1;#3zd;X^7BDNO!G3Z! z7=&Qh>S~8p&Tz1zAnYH$wRKp`4S1Q8Zl(vBR-~DoJM9k0HZw9r$LjLO{@mPaH=RGt zD4||CgT%A0(l)F}V0Ts1cqU9{y2C8Bw4t)N=9EDU3jh_;Bn?VYXVuEcVALi`$6-wg zd{W79+zNS9D^8xK(L&oNiXn7v9Nl2;AqZvgOWed%gz()xR8bUe7Bxv6<1Sy zY5}Q%LWO~*1=8kdNYpKxq#g3N<$vVY-$0H7ss*ORaN;FaUsGS>hceYNHILc)xFGKW z3g?t@kjy(YSdT7c&Bu9<9=mPw2{NY`Lv|^_4Op`o@6h7v^d?i?wAQ=!I_RSXaDmQ^ zP~t1(0BvqaMX)SF$MDrC&M}`kNvB#JX>aw9nX?-eBZfFYT82(yz#2h4T}PD-gpwF8 zt5&zE8%+i8&jy+jrr9a+GDf&(4j#B4Krda z3_Mv%7+BwqS&fHUC*6@M5l}N794DQ?4)0!MY*vXk33v+)EsC(!9a#r_4f9k)>F;eM z5QHITHbD0IJ^*Z*a-Oy`D;;YKV~;+f^0abnRV_Ca%;*v229?np00+s*05S-(JZOW| zv1NAFhAlPZ+vy4Iov07@9BhJE!DDH)y*YsSV+?)P^3Y)kyYz>5E_uh&nLFdJxFd;R zEhZ-hZZuk!M@O@%ci2Hl5S#zO~`4i5x~A*zq|7!1CpyasDI$zZ@cG|Y=O!th2(%8sVHHuOi2V0t9eHyXB-Q!Uh6A{Y}8w`0^ zlCz1=a*Q82EE_h9>UNi+p4Djeh_e<6yY3mAAmXIwPc))03VcuM*@4ml3@?* zE(ftBBbhU9GvQ(*-*lu$IKr3(xHd%Sa)DMp`}}6Ky`x7==vJqi#x{Ckn%@#(tHMY0W;|Vd88$L?~alvM} zz)Y#U@;9TA-)+{IeN`xOLuv|}28dNro2V8yX$LRqvQAr((Mh4r6C4D<3Rq+plXZm* zzK?N@Z-R~21ty7D!jd$}qkl{327BC$uu$OXNK_vRm%jAxdRY>%)lF>b z-7*2ad(f)24WH;WXD$#)BHUo{;9^dn1bzKQVq;?iwHWwva#J4)2l@#!eGfYeteojz zUGYviK+V+w(J5FC&^Lp#{K{=*V%HGzJFGb|YUNRiCM>THPL6Gn6!|m;u&Qho!MIUA zgs%Do%l+onwc7gNG_XhtNbFF^0j= zm;->d%&$veXZvvlAS(Ed4{w>MZD}HV_cSLE7NdpnS7Il2B3T4SX2*gQGz|3z>xPv> z;EHVdz>rNix^0AA2ek55ItE7`W}1_tW^QHlr>+#tjDi~bRHSq3BXsXQ?BG>QsmztN zh-T~3kEz1hd=DKyogLfj{E;soy>inEnnEvu;@K+^wUu=r<_%RIBF^!UPjzPA&FBFm zVAb$%V5lGTk&r&0VqhOSotyEjkV8!*kJ?|Mp;a|3#XoA8&Gf}__8laXUgN>@$rb$Q1nu*nN8k<7`|zwD8pXw{2gBNo3HtY$2vd*|NA?0{;a0aESNyOr z(hImiRKy5(sfJ(mS?FAxLfM7%Ry2So1-30^6Gzg*=bnY-6bp-3)gubzo`fYV1KY=g z#N#$*R+K-Vk{lGoG4)4J9z_w=35r6JwCZ+Al`8FHPYJTA#O(*-tNHtB05X*3;kOU%IO7`vly3{c@d#pGO!d3dqJ`x z{b_~Fhw3w+sLo|oM-N3|goVUlbBOAQtNXjj76T!nGR9EA75OGU61hTuA;9Hf?u86N?np0@KZ42SDU!q ziB#60V9w!xhKK}H0J{jp4ah{pj~kSIC6*xzF`56tU4+FEWlVSCVga5c;qW6TuTW+7 z5on=^(ADq>%Ov!0mx_|}eWneCazKr`&U=K^MRWwCNQo1n4iyEHA2vFqe=D6sHYzB= z3*&l^_5{H@?8gdaeJckJQqL5jLkAT=bEHA^3{DoQ{l4H3g~Ip(11m@Y5GsIsMaa%3KGG7X+Z=- z8OI`zkzrDxeAe0N{#vA7zD??!QXdc#3tb0L6lJBh0+3-PHk8qOKK?r*7~7hJbBw_Y z9m{2ks!R??ImiHAKsbX`rU6vY85L@lW(-tYaH=0ClNGE1A_{$u9`P74 zZQZKEqhK2Q6V}KKV1btUw&5&P8mLs#LK?+2e{XQDYKtC4%~LK`9u$f=l0>J}@)BRQ z%F@6h^^^L-RqRlUp?22e51VZ*RV(aX7mhzew4u!5*}6LdXBGF=SSh8~e#dXZ@yO3; z<@5^bd_^%yDQAI?DK)4?Kuk?!4GIas?IVJ962WH|D8>)VML}u=OYqYDB^MUFW2*qV zRlT&0Dvi}R+rEXKf-Z~8svR+zEQvz|ZqA!@VP0mSZs>T0M`K*d)X{ErVg}3cp<{Y~ zC0DKo6L@RcwT{Uk|K3@_^;j|u_1k$H@z{TVpJ~CMw8=H zEG6|M9ZwEm-EdHyuu5mRo~m^-KjSk>v7Kk)8igz!Cz6vtEe}eDMjvh>{5<{QXRoz% zY1w5uXNC~{BuS-ZvWhgA8=G>M=%*|IQ+I2b@vtjzWo$Hj4ch2sLns%b2O|ris<}P& z(N_L6*HX{}Px1&3N_C|LQs;7+X$)Pqeu;@`Cl}mM)kR60Y-ggPf0b=7)z#1+asMFI z9^$hdMs+Gnod!ZuJu`QYiZD&SR@EMX#qck+U(-;Q(c+i_Flh<5Ikf<0$-)RfNAW`v zkR3^87`?LUAuzPGT=J_MN{D=^L~D)%eUCHsrLZmg0Kr;iA}jf^cgr7Kc|cM~7f*on zNv6>7mm`)M15}2;G}xAUbdLd2oxtET3G)*t&{`Nc2#a3>D&FqU7)LcQ%$C`T1DtGoaP1(28hvA3AIOHmPbj} z($_Pq#%*S78v83{to0KCzcbfh`?b-^ttZqzP`u%&Q$Q_ZyB$Omo6_cNycHxkf~`)- zVC6IGa6Y@?rP63=&xU@XYj?XNtJoi4hD~YwRpsD#v}$|*s&egksnmbEG+uJiY(!+| zfDJa=U;9YQdDB>*Cz@Jk>C$ZrZe-f^+R!V3>n*wry8vdj2C`@r23*mR*e`t06&l!2 zGM8@0ZR|9&aQO{A+dUH~bvC+1COKNA8{CCHJJAj|_E);|;C0pFYPOOeVlW)Ki<{+UnOWMN`|J2k^^$hrcw=?d&sfI-vm%q@=ZhlgpV8AN7hjY+q z4tGjA0(MvfXj%{A+9R7_Wrb#U~!$A9_qVnj8T>qrr-? zc>J)97e=>_{mp`KKb#%grGUX>1b|KG2zM4w5pN8{Lr+7@#$h>P0nn7#Sq%tg#PEol zqhP2u6K`o&k5+mFe)P?Z4V8|ATHQr+Duq3$3!s+Xj;Fu?uxC>ZU_+;AJ3t}qx3vX^ zfrY7;qTdJD!RxA3B1-^35CY(Xl0dIQ_%vms4`b6b?qjRBz?>8e8cNtrY-ch%HW)k; z&6cVUM>GAPNF{&^53eJqO~8ikIw+{+GzeKxS{eBz28uz_D0%H0Uk(%TL?DAe9jHaROrp$T4H)YT-W61g2!5QZ)8bas$vb0BP1zx6QZjHgkA#D~&&4CW}Q+)hV?t0s&sHHS zxM48~Mf8v=;)1?TY>k-`&`g1yS4-hAIu)3m*Z$e>2$ic2lM-r0(xC$YO$XfB2pR)t z&`L%MfF^_|o5YG}vGN+>LdP(iuC)Q2&G$C?1N|@IlL^!)iKuuqS=`c|03423NlUN` zsVj_~FQZ}byi}=yA-K^h?HlKMzATRXq&8F?DC5|c=1tpaVaF(?20Fk>#w?H;e(xKv z0uUWTM1ujaBaE){Kx>rZRtW?FcBMq|f+%^L1M<~C*baV$4kT#8MpR8Vj1fi<2K=GY z|>-S`ZA5T>zXI%qTGF0U<=fi9(PZKFcQ{1h0(&_({-TCJ;eY z98-1swxh1F8(G4fC-Wda<9U1K|DpsmKwLK{9Rvwaq9vZlQfNRO$+*{nc%Y0;zG|8} zAi8Y`9+RUokQeq(y89dx3&h*qYQ6a$EGEqXfCKG|)>fD(!1s&U0&}90zm+f^bbaR& z=mJw-Qsh0jW`(?`aD7r(+g~J%?7a8X*X8$w_tYzonfH_-Gqz9HV(+Q5AQgjk&IhT0 z=j8Yfshrn&>e7Lkvq;GvXqSO|WX{b0?mld<#GZHR<5%TxFaG5E{Gpt>N7~yx>wY)8C;4~#$^H~I4OIzO z3pBk+wy!fau9ieT?IUW$@fgk?2o{U7Fed6Vm~V^-?2${zRt*P|q=ZbFo)2p$5=fWj zg5AbKhbGEm1?La{SSj^+2qzO1?U{%LL(ImV`P6$uS4z-BL+r68!BD=qK4K-fu7051-Z%;?pkEsVkXICaXC zw0X2nj0Fl-_hKwwJZb10f8q}@8>f5*a+!ZjSZZyd7rG4qBe0oS2JA}igt$uaGL}v% zz1hjo#*0P5V3nE@`4i;SKyPxv5@EHriJN#sqird$WTvUkFkx?FK@UT(QR=F;I z;LK5>1C3YJW542sXWn`T!StFawWunp$y#%p1D!#CE>mwfHx$wBk5OV-2+Cbn6m-!M zqiQ2Qnk`>NE{QUB$~YZ`8)^_(;A9Q6L|&Z?Vsd5|sS^8v^$dh(zInaCo)8m5N<0WW zog<2{Pd|s?vZ8SO{s!H!mhMdzFiMZZM9fZXL|f*Vq_uTD06ch?ikm3q`?Tt-Q5ZRn zT@APKC2`};=KvS{jGCtiC=qcQ_js)cS@ItIl^&3&TCb!tqiQw1&nLtqarDZ}Ao@Uz z!ds|F;J^I9QLV@N1S&+Ge(J9$C~Oaez;gsj7@apt2FhdaGgmRPm&sq)BDKOEj z5ALVbbimorACJ9nedsFnH2@L_G6KpAv=kGL3_7LHATk1J8rY}lH3q+=Fb(daBgk-u>RREUq=!Ml3_7eG2Aa(yO;5vV$1R(?#?t; ztT-PBw3OV~DGEc?nh9A$4LJcpq?8CK0@1qP(VC!Zloo=ke(d~^9zn3~U%nz~a5b-Q zK-Mz0FVHQr(1$M!J9T>SlN@AHi^0NYVYa$76&$sQ8=+615F)kYsf-i5g|HyBRx@yI z8Z5c}SMT$x1Mhb%?fZWEkklb3BuFKZD|L?xmT-&{0S4wl+!HtOx)>HfA!Nh1jM|4X zJSwK?$7rJ9&ahHd20J_DCO6Z!0)V;OjtUB6$McC0_01^#@d@=R^d=*Ald9*rK0o zE+$?AR6?%a%`)}@;s%0EMQH+svY>qBY!o{YOv36Q3+*@k8xIf*$bow1S)?|vP@yTb zdn!buqEhJtwBjr#Q5awO6WZP=hgtRy6b-cKuMxYMFtb;Oxkf(poTW87A0 zD?Q?n4RSQ}xyo-LIRae3dnF8Xk9^Tb*WeSv%ffA6;)e*3Cj>e=TZkrmQe`BkEwLbx z^cm^ul2Yaetfe{)$Z$~&qVEKwddx;Z?#9D~t*-q1^UqJ$Rvh0PIf5I}b2G=z3OW`9 z-tSzQzN)bSOWwQnncx5m_!8RK6h3~_wI=RLmFaq!ZwDFz8p=!YY=o$@u#LW#@ZR7b z{wWvZb2XUYi3DaaUBD@d!NK{0dhV~Y@GTgvDvdI+S|Nd<7DpCLx$#2`HKU{D(Y3GC zJ_!rHGhoD6vGdV^T{UJ1$O3mke~M&y$w+dIs48OdJ?T$xg$|FY;)c%B| zh08Fe981ch!PE>91~m}HQy-&b0AGyqjj_rIlLC}E17@MC9|hFEnL(HahKnTlWTPht z@q)6E8l|Qlk0*_%L8o??cWk25*Nkm-(dxZ@vbX7BTr4y$MXUv+1)sjU{-e5!8{Fl;m9?e_v>x8vium{Mji6dol7i zK9cZYRF1~K)V%#rJUSTTV>IrK#B9~XlN)Ts8qNcRO7!mh$hF^F+tj<0?X&P^cmb%w zg%306uo(@*0muoaE30}6-flpO7QG%^EA3>4d`naNyW^k%tin}*3)~J!5U&uT5weFh z7=%xMTbE7G>ML-W*-|r2>OB+OL_hqQ70r~Qh!TAL<8{Eb_`7e5dO})F^Uil$q zpgy4TUjo1cQUOMhg~z%q@l6ph!!ke%hAy1lh~vc}%+l^{x_}mzD#(nMkg>N?vo(hL zKs-j2rrF2?AxO1YYHGYV1U)1REQ9LGCn%3mJG}F%GZ5#VVUEUC(Xa=zQY|BlwH*@(B0at&LrN_Y zMBm8+qJ`j`7#ejZZ45!NDW{a`LPAJ{QJ*IbPr+ZNwZW70c;4aruGsVgn;#0;8Qt5o zLh^wy(7NzDq7M$SnILrpq*5v2s)Kxnjhs=tnOy-+)cU$g&IY8BiVdC5;8?F23*e=^ z)?$$UjUP&=T&oCuu$2g^6W>5LFM%Y+lqLD`Pdqg6p3PgDs|Pmq1~_MxCLJTjUCnj9 z_)rb`o7n{f`Ge1iv0!yj1?NrgbNjY4gq&a?8mVuG*U}#nf?#Un_jqUVChiKop(Nup zqfu|2_1uS^04 zKdGyDuWPP)-?)|q00Y+rkszkbp=Tk&fB!-o0^fzVjnr)aZwG)~3$WVxtW^j(~2j03Y^68#oF}oh1tI)E)GA$RI z$0z32;9UB^J8ztLWX-<{e(#s&_ZGTiTO;2SsI&Nt_?BP6J!VmuOT1#_9Wx(S@}qBG z5?8XjL6JGxtWGX$A>5N^<0s$f;z72W?tH;1JEUA*oXqj>Ge4b?pLvtT+Wge`?lmWW z`i*xj_%+Pm-Y{R;OLy1d)=Cb)F+c-^aK6{cI$38HcFR zl%0-oZ^z6vz$<4E=Ipqpr;r)MWH7<}<-sXhJFAjXln`Hgci@hWE=-gW&4toHY1b~s z$c@oU@t$!Fh65jEu`lVTb5d~<@cqU(JN^iVL`B`|aONM5rFMpxQhi@P@XHKo$EEc! zzGKC*TnU?nyy<_vWFK2C`*?#i!8I_G3K)6#>rDA@469e51gaIin@{S~F@|9eD2I66 z0F)^cb`~}Z#(=G`8u<^_zET;XN-8WPt+A{I2t1PJfl4ODRXL@2q5By<5tlsrX~=P@ z&w#A4XjN$~1nuBnNzFAlm9-xrF0RrWHrnH`g9vHxVYfyCQ zMOE+ebwH=Cf^<+^bnvZCR)aiah8)j;dziH{D3=G9({-?e)dY&TcF4|D)>JraHY8kbS zZQ^6Dq!D0G>M-4&{b1paJedhI+=5{ULU?IIs%^#zzsyU1* zA_`GTq7;+m(V{oATpO;?Pa>2MFBt`045_*)F4m_{c(uR z<4AM}U~MaD3>HT=fF#AtZDLaD-$V6lg#ea{)m{%XNo=LK)TvA{5d=bFWa+Y#FM}PL zJOBs-0inz@j19a2gt(74#0&0?%A!x1r@$24@zM%O2i$;or=i88)c7ZHj%p$AD-1D@ zD(4B_#u8`nDA3?M^25Xz4KfcS;+Vyh3B!!FiO^jrYlULN(OL=(hdU<{c6g5c;UTw4 zLgc9$*9t{W*Q+gxkWdsdLKyUeOcC)*g`A+&^+c6m%Yh_G97crFNm&uXJjT#bZwu+` z(0(+?nBz%#jbUjE4CW{EAmo(Rn!XbaVhk7wU4VkJ$u^)V$a3ITN^|53*pEM#A}tZE z9TD-5RoXAs!gW9qly}1EfLT-sn8ZQjG~CtOU?dVc6@ipgZ!Ux*RH7>!gSt@Nbe1|= z0+t}9#~llUMr z$S5OkZLl&E={-W{U>alm7CbVULJm?=a^imI(-F}Rdxt+j->;O9K{!rrqR6E_U8SR) zHGFKwj7-TBshK8G;LX}3A?$cd%_JkGG9be~T;`yMj+NAnNs=Yt0Z9~O;id+rC<%S{ zlc5ADBTr_5G!M&}9r-u-1?`~7V%BC9sf!i}F{pTDeZ?sVU;&l0MnR=T~P0YjgS#7(6Y;&M>-CHyr)`I7IqVmNin=QPsA57PSNHoDyNz-)PTB|7rTYi6+x;4%ZU+J6T<9WK;|;_ijU1!4Xje;dCQVif zO204ROzu8L2=6NgeNu4bRoqR;q=mtU*tLJe^0q6Vxbqh@Z@ALX>diOG+AME&G41D005=js_u~LVoq!8X$@xsY494 zY!7s9b|30|qjo!x|KcqBeQf3e#B)Kwj04QW6}ulFAKil7|Ia^*^BEry%Al$ z3Is?a#Dzbw4Nx2~Ut+AV)a$xUYl=JXnE;`>X99;B`UUz3?~C-qLlO-2CE$AwV8Ip6EU11a{Cjl+H-JnDBf7nrgk2FsU#-I9uME9gLPg~M4$1z`NkzXnng7OhbU z6bsWg~GNc{;w7;wzF8$alIU{BWh!3x!; z(TjHmh7Mu^-jE9m-LdE}T76IhIJ=QQTGLE~@E2RdU{vh2g67s5gX(gKAC!T$YHDI|RF@d5|-(t5STPezwx$y*Adc@nLK)(zd7#~y_I2RFT zicB1Th9eP=s*XR8oL+1RB3?-;luNxEQ9Z0JGM z$8c`rr?yVX(a_3Qb&401d$1J2z$N^$&(Kk&-V9)$()9`u@dml8u5>FZ8@Z{BX02Su3 zke71~DOl$}Vkt6qs2g#8L^{o=2s5DG_uo6Wq{hvk>LgwIbICn^Oq_jz-|eR!OOxXn zvaLB37L62TD>27_n|4I!#AI8cO0SQq?hhi{Oh`&oSKl@pp|a+SH?YhYvat`819}sZ zEcKt@CqOQtbM`Pr{#;5hY{@x8Gp2Y5Gs38j zgxicy3}X^V3;~jF$@B{SY6ivP#B`y7SxI|D$U~o}r-&!a+d*1;WqPkyZZ>I=$9Yse zHN}+TO1i`bLVM(dDQ$rigEX2tBV{PVs*kwK8XSx~l}+r3 z_Pit9R>L;zr6Zyhb70)C$bqCqBD7~-$ov^6Rn|bMZ0IWFD@sgX4}Fd zUMNXpSHNJyn9q{-(cRoZ83x_*^o*=kCs$zfQ7hccNR8xxh(KC!CNTOOt3)VG1zS-WC=`p4 zPN`rNcM$?+%G3SiR#5Jw5VF)V#HEKya+C{AILY>Y3V66lCg|xlyyF=NxuOY{6&$ra z{hhq)-0CLAKZFD+-4mw%p>aveG~FNYLerIw2jR8`BEksGVDB-w#u%0;8X0D+N*Mw# zP+U5-M!nGf#V5!?(6$bB-kpOchZ37%$LAOD(ojh`D5n~Mro{P0y@S?)-eCljK!prI zYiyR8)J}ck3ZWq$0G*?GQ5IE}4%}?8RBG_9NwFysn29dOK51Kp4luYwso*G-7S4Eo zJ-s?lsXllNu?WdG{^ei5L^e8kNSGIFrnO{@Qv7jfTVmXYm*E^-z0~2 z1T?~@d>W`=xnFRQEwqFTJ^tgL2Bec9*SVytkjN+~j)8!pJ4r4ieX`vcxcI@y@1PyB zky4W&kh|0mH2|^fqleqL8Szev+nnc7EvbtN?dN~b6JkL*tC%MeU!#N%wHL~UpkW;; ziZAlOCHvz8t)ATIGI`@>brArzq_66NoSx9tBNsUb;uJ=1?(_eQtl@(w4Wo!Rm5!>z z*H>N|67_E!OpRyo^_F#EX3}wEx4(}LPd5IE}CVa1`;^(-T0PnHruZd3*ZaIi| z9D+~7Yl^$$hp&a(ya^&gsww^}yn$W{SCB-=l==YOf<^eH`r@)_uR;XDuV`?P!J0Sv zhplz=BD!M5&ksR%sVGk;-+Jpc))6WlXh*!1Fcp1_^hgzs$?GaqUuQhYdm-vm4CRvt z@&hVhs2&11$6tZCJmWVi*C1X7t;sAEz#gdg$$h8l-cN-b7Zpq)~RBsaEg}Ol#I|FzVC` z5J9CDOtPjEsr0gG^BOG6B7-Yx19X(4raXAR!y!_mC9An`z!YY&mE~39c8n4w_5oN& z1+V?|j{x-1cqAocA1WJ=X{-r4SR_t0rRoC&6`!*%*N&OZWBeN=v|Sq2tijU3;d&I) zo^*>2KA~gsuKyDE!91pLfbPTjP=Rd(cYt=|wvjkYZt4%`lXB2t9sw+zZGG{@q@2JH znLMH#;6ejG6qlv6AX*V?lknNV!36vcteQY^V0l~x_s9S#NT)n?+A$ySL=>=ic{G`d z$N$0|s7#N7LlD+FV#!Z5>;RAJ^&YK_wG}{7z2}>ga2t0Ong+lz{&NPC39iX-Ly26e z!bMj3;8D4sFoxJV3>XC1;z`N?Eg}pr6+Tp!gFqxa(1>aQFi7W3%3ls(GMWd45tfq? ze7+TlvgxBlymnKC=>v)5k@6`Q4>xAZtimc2YlrEtXt*(4uhWnBid~-?byGBd$6ciMd*hjS-Z46jBBXL zL#N1>K$I{R%eMl!q=lby3v}c+@N6Q|2266Yn1v7|ip$Z`6J85!CIU9N^F8d|yNgZ? z4-Gv=(daQX_=ZTABCDXWs#o>EH|Zk8C&*NlNvRS1lZi3HB{W8YM~XX&LbMt&5id5r z#H`$B`65#x2@`YM zV#SDCf^_r*!&_#~C^=3b2NhldV^Mi#a}SdObbJlvHs=sP1t^)Y2P$Q#;fFCkCP6s& ziMP?gN>DVayVRGYXh~0&;)aB34>35JfM}_V0scVpjRBU@qqH?cCS0l*+|;rCr(%d5 zP4!7@6F$=#zBw@)ItQadKkSiyN2u|+H$Ra3cZ!#2TDT<9`e6d;ld)h!t82Q$5U5!Q zi3Rr&3wCMb6W>^Yr(PY64<15B%<#R}Niqv23N&EG2Lyp}NsFJTPtEb|ee8|qFafJ^ z7wMreERNYqwZBk&NF_(@k?DlcPclEovMyXXutJVQKn@GQYAGz({ZjO_CI&wbXb7cH zDAXJa7+7?}NV#qeJdckNkw@&nx@mvUkCGF*4K)Tl!Qjj?%5f+;Hm#y@Fi_%MGBipC z1WiE{kIA<-JneiQkWxghjH$eY&;XU7F=cR+yd72n*`jUu>(;RFs1C)77wwVl@4rAa zLXu=i-wNFXY>|V9mhli`hTfh|wS$I$dw{s;!oKkw=-88~%Y_I~5v4&~G#2!r7MlkN z6WKr_Bq2AHL|ycVpKfbd9wYv~?Pv&ff3t#op+8txJNIkvdc7f!Pp(;eK|sT~o+y{+ z{_(otCLJFV7VzZzwX#d z9syn6X5r|&Gvv~Yb8HuNv0Bh!+m8SzPy6cSFe{NcR5xN{gEz300-p^J>m_DVvF;}^ zDWYbke)#kkuCZoL$53UtkTl#J-=ne6WMmSUiJ=|~JjFBRlYSDlmD|z+GYo=+y9ovl zIwE!%>M*PIX=7hiaZCY*jx|*10F%nRv6h3Dxdy58>soR z2kk(YV36o>VG%-bC{W?T4kDU!&w$Q5xOWtYG} z{qV9>tbk`cCi5`>p#ntX4RR~f1IqlxAXL7%p<`;nSm2wmhiC_cf!3rhVwe)|1BM?mP_^@a{G9TM zmoDU$hlJ{8rzY(QK9otPfD#sYEFv!?socUIT}#3<|KS^a+o49%Nna`Ri$81*X*)`5 z;ox@8WiHV`jcoX<^$M0aEPHtkujvPD$`clade6S-i1P1F!V;uTL(8vw*p8i}713CE zvp^Q@w|#e>f)i9qlE5aNBu&0U(m`$_o=5IFiR&VVKqa~d$%@JJFAY zq&oC+XYtWtwbE2_G%FT8Qk&|lL^IxGe|@D&!mz*aUaAu6u(Ioyo#fZLpLw0AOcEhI z0G-B{bZ+d0P7?EiuBVU&dr1`*k|=-OM3ktQnWx4NHRo7Y<&H&g0tCd4!~Ya=m74^E z%1Jw<+BY8vVSXvhZ}4d6%wAVOB@TVk!Pun`cME!mxj*}JdK?@!Y2YY`nS%yE4KCSb zt81vmp7-TCAVNubo_;lTV}o^C)H=N@zvDD6RMc`})_J($CrFI#uj#e0SYmJQn6Tm? zyoxnzbRW)y4ntG5CKxIe%qE_MoFGWL0u${}DMf?+DG_c8^9J>XbC8v2#R~pnEegOw zDOG$WPG0<6PdRJB6qId>C??YN!uk3C9;Gt(0SY4CS*<8XF}?HKZs}U=RYtinZ(I`{ zlFFjY47h%B%Xh87bXhgzm%rFV#r}9Qe%ke<+ai1nWWSYeFK$jSJEmlp@em~hZ*Crgei`i0&Z*Yv^3b27$@b*=>9^iOSSP^DMoj;oTA?r8b0G2iZ zHQvn9VDdnE2xy@>^dW!a+yi%7Q7FG-4xT;5{IF&#H?SLE1N3mn0wn`4pW4+G9eUP8 zRt6bXADyDIMNBu^1?(d%5KUELhp`xf5!`Ek@GsyYscM>B$&pB^I?TWMw4@z(tT8}? zUEoIuIdp`gg9&kOXc+$qxawi}GIeCdA)Xr3+>|TN595eQ*YSR%o0oW%neoU<=<%&& z5C^p`jQmc6w{lvZJVAz5>#|H2WoT(xXb^>{RJ}6}^UjT5z0z8M+5A{LYAjf8)RYRN zVcZU?)F!BT)gGtxN}o~J_@*<=Yf2W;ClTnE34b{?z~*7QZi@*R*5K*f-+n+z8aFX3 zVI@PO_^UkAd~n1V_pyufIdOe=4tNVsb53iP81Gv7O}0jHTo6B1Jqk6#nSPO7^5RF~ zl1j`cyz?1W*8b#a*DP<2)5{mWR?ZgauKNexF=A@@$oFA?WuFyanfHDP(l$HSkv(K zPk!gEUu7em@5=*90VADg6GwCm9!FV%mfPPe>f0 z)2vVr3Z${*hkb|KBfDGyKtB16FIj$&2~kPu+Hf@o5-fYS{qD71xy~R1nXWSlsvcOP z&h9Mqn3`S1QJ`CbZu^3Gm5t=B(CEkJu?s!%nyAppjz0L&4o6WN>>|{hd)UP!=e`kq z_9L=P@;6c6B9aP=A=Z8OF)m2Q&Y7$qqEINa1h&eLKyIfdMdoHOa5@iI8Zhv?9?I;*+0a#y`&@ ze$=H?rWV>$Rye(_lf0z) z8%lUF754Pfk5f0~J%g@F6gg;1E|&?;x}KmZWB&T%NYtAOH23{+2(aNLT&a-q+y^5AkeH6DO(Oqu`u&4=#0oF-smi z_eUqMg&U}Oc9>*&FK&Kg-a~NBsGynRkrYYUu}f;U{8FCqP2M*x%>FB+Lj-|`UMlJ-19J-p13 zSClM7C4BPk74{63WJ3Z!AVil)XW24`iFuN9IBctc%nqW$Y&Rtsz0a))fyh-g({^lC+o?M@{sc{}SQ(|HhxrLrZVuDRe$_ zAtZ7A_xeshbscW2us~6JqUSU}ikCQR?L$qWHc>Hm6Uq+_7otHGZT5V^%4@~46FpC6WFchZHBAW(zwbe`cY#Av+O#f9CGYS`U;IpSBgD)9xndP49lWyB*VM?_FUYoR*l$tBF}s!1B<)k!@5;5GHSJ(Kq=RJ$t3BRO7&K621u z_0DstUE~Imh%tRm5!6n2Sp^9T)tUI^=etWxNlR@_-rr`FDiabBk(_pSXHb?ZS7b$$ z1*Df2ADBy>Lk3j)BkS^la}C<~uRo=zOvEh_?W~Hdx^ksN*;xTXo>~5osk=Am{Y1`d z^&pUDwQQy`bcr&hPZ4OSYA2+Yr!2Om%Oq{Bh1Xz^4D8!JwE~xSC?qknipu5~E%T@B zoq}qm$3kypT!soiF<~^_p<3dfOfBZ#P-rE!U4>3p98aO5Hor9(_ub<_eS$y~lo#_KntatPO5R?DRPxRwe z4@)f^*IPgNL!Boj;+J;}c(*iZS~w}H(OvQp8fR6KV8>741I^3=>A6cz&se2CpcI~Y zM1j0&-h>h(pYtB&D!~ZP^F(K+gMLlNf0^~XPJ-u6&GM?AH@iheYww!Vyt5rSeR7Am zs3V_~qI*LY;oXCS-Fz2ib8do0-Gb*XKc z`fgiquOa;MkM_}bN`5apAvQwBxF~x&9_UK$WfYZ0kas61f9^V__vx7Alii&Mz9d@J z@oTs_{K>0H4NTJhUwYj_A{sKI4MFrD{+la->Y0m>W9F77(^2m3rc97=vmvyC24-k1 z(=?Pg$>?j+uhf3$*3)<9bjYDIVRQLe9{%ukn)LdIA${QGslvGvGPhr! zPsns4eE&Z&A;UD&^4quFdFa}@Iy{6zJ?f=Ovq4G*19}6uz4LWwa-mcxjyR#=L zU+re+p2Ulz^!U2#I#({`>#Uq^?0>Ctp7p}Ad3H!U_0v6JPNd5pvtP0_F7_~}{>brV zxn`LuQBKzIm7JY;C-bu}mkg)oFEJ%&W+jn47AR(k}FE?Jba!XRsY3-yjIR*( zolCH2@6|Iu`L%9QJC85omLBR(3%^=ob}ui=gCDen=S`qo^yFBE3$@b>w0R`*eKEr4 z-Eii8FRFq~_PXU?K$bNBp;?UAB$5XVK;paXJ@^+_;H;EKh?PKud6jF?0w#aH za(4^~PZq_C|GBqgP3URMuWM*v7;p$uH(0&%rE9No%sz#hhKY7Q} zc1k8(Un8Y3AI-aOw}0qxYFD~CgLxt>C_}6b=|1|Z z-$t9BD82j5OCGe@wQhP4XU;uKo>>0J{0R2!E4hB8_4t=@s6}wxSzAuq%J1p(!b;nD zzFoR^;Z2<-OMhO}ulB!uYU%SaKSLWX^6T>)S^C)Wzm7cCQ%eh*iq%}1URmtxWieTd zQ_(Ea7`piBNol`wq{GRp98aKxj1$IlI~v(yVRR(l5n}+!oEnyh5!GPCchA17AR;Fg zNDu=g3KL6hnsF}c$?l<4^J9rtnN(jn{aLbqzGI6qUDC^AsUO#%$`$U1GRaej3IbXD zE$EMC9aw5ry)%E56`-WTcB_XT(~XXpivU|$Hbf#UHsyoZ4T=FfA-_1vr^LHQ=Os&> zYt@_$`Q5$0nsYdt=srzaqI)v`-RdqLTe$5}4;%|j;76V|RoqvcY+rxdqK6lscG6sY zY5v?;HUDej zx!g1HFH$1wdZD&zWSR(?bcF>^osUVJ<>YuctRZOf@}F-zDaG-cVJ{cM;&_rjf6Ma# zds~v5CX#rXPzTWe%6E!Wa*fP=LCLQ^vY)vob2UqhWbJcPo<)tlj@2rzx zna7$ZW8(}Dv?esA^KYALG9qJcX*TPV<0A$30jdr3%4um+?+^diAGuasRM3Om$mpbd z(O)Pp@{{pSJzq4|RO1W|2~hJE+YLsov$e&lleulD7?<22WE~ AEC2ui diff --git a/Arcade_MiST/Midway MCR 1/SolarFox_MiST/SolarFox.qsf b/Arcade_MiST/Midway MCR 1/SolarFox_MiST/SolarFox.qsf index ae2d14d0..19295d18 100644 --- a/Arcade_MiST/Midway MCR 1/SolarFox_MiST/SolarFox.qsf +++ b/Arcade_MiST/Midway MCR 1/SolarFox_MiST/SolarFox.qsf @@ -18,7 +18,7 @@ # # Quartus II 64-Bit # Version 13.1.0 Build 162 10/23/2013 SJ Web Edition -# Date created = 07:19:02 November 06, 2019 +# Date created = 06:53:17 November 08, 2019 # # -------------------------------------------------------------------------- # # @@ -64,6 +64,7 @@ set_global_assignment -name VHDL_FILE rtl/T80/T80_Pack.vhd set_global_assignment -name VHDL_FILE rtl/T80/T80_MCode.vhd set_global_assignment -name VHDL_FILE rtl/T80/T80_ALU.vhd set_global_assignment -name VHDL_FILE rtl/T80/T80.vhd +set_global_assignment -name VHDL_FILE rtl/cmos_ram.vhd set_global_assignment -name SYSTEMVERILOG_FILE rtl/sdram.sv set_global_assignment -name VHDL_FILE rtl/pll_mist.vhd set_global_assignment -name QIP_FILE ../../../common/mist/mist.qip diff --git a/Arcade_MiST/Midway MCR 1/SolarFox_MiST/SolarFox.sdc b/Arcade_MiST/Midway MCR 1/SolarFox_MiST/SolarFox.sdc index e8ccdf1d..cd9fab28 100644 --- a/Arcade_MiST/Midway MCR 1/SolarFox_MiST/SolarFox.sdc +++ b/Arcade_MiST/Midway MCR 1/SolarFox_MiST/SolarFox.sdc @@ -87,8 +87,8 @@ set_input_delay -clock [get_clocks {pll|altpll_component|auto_generated|pll1|clk #************************************************************** set_output_delay -add_delay -clock_fall -clock [get_clocks {SPI_SCK}] 1.000 [get_ports {SPI_DO}] -set_output_delay -add_delay -clock_fall -clock [get_clocks {pll|altpll_component|auto_generated|pll1|clk[1]}] 1.000 [get_ports {AUDIO_L}] -set_output_delay -add_delay -clock_fall -clock [get_clocks {pll|altpll_component|auto_generated|pll1|clk[1]}] 1.000 [get_ports {AUDIO_R}] +set_output_delay -add_delay -clock_fall -clock [get_clocks {pll|altpll_component|auto_generated|pll1|clk[0]}] 1.000 [get_ports {AUDIO_L}] +set_output_delay -add_delay -clock_fall -clock [get_clocks {pll|altpll_component|auto_generated|pll1|clk[0]}] 1.000 [get_ports {AUDIO_R}] set_output_delay -add_delay -clock_fall -clock [get_clocks {pll|altpll_component|auto_generated|pll1|clk[0]}] 1.000 [get_ports {LED}] set_output_delay -add_delay -clock_fall -clock [get_clocks {pll|altpll_component|auto_generated|pll1|clk[0]}] 1.000 [get_ports {VGA_*}] @@ -116,9 +116,6 @@ set_clock_groups -asynchronous -group [get_clocks {SPI_SCK}] -group [get_clocks set_multicycle_path -to {VGA_*[*]} -setup 2 set_multicycle_path -to {VGA_*[*]} -hold 1 -set_multicycle_path -from [get_clocks {pll|altpll_component|auto_generated|pll1|clk[0]}] -to [get_clocks {pll|altpll_component|auto_generated|pll1|clk[1]}] -setup 2 -set_multicycle_path -from [get_clocks {pll|altpll_component|auto_generated|pll1|clk[0]}] -to [get_clocks {pll|altpll_component|auto_generated|pll1|clk[1]}] -hold 1 - #************************************************************** # Set Maximum Delay #************************************************************** diff --git a/Arcade_MiST/Midway MCR 1/SolarFox_MiST/rtl/cmos_ram.vhd b/Arcade_MiST/Midway MCR 1/SolarFox_MiST/rtl/cmos_ram.vhd new file mode 100644 index 00000000..c5e34893 --- /dev/null +++ b/Arcade_MiST/Midway MCR 1/SolarFox_MiST/rtl/cmos_ram.vhd @@ -0,0 +1,356 @@ +-- ----------------------------------------------------------------------- +-- +-- Syntiac's generic VHDL support files. +-- +-- ----------------------------------------------------------------------- +-- Copyright 2005-2008 by Peter Wendrich (pwsoft@syntiac.com) +-- http://www.syntiac.com/fpga64.html +-- +-- Modified April 2016 by Dar (darfpga@aol.fr) +-- http://darfpga.blogspot.fr +-- Remove address register when writing +-- +-- ----------------------------------------------------------------------- +-- +-- gen_rwram.vhd +-- +-- ----------------------------------------------------------------------- +-- +-- generic ram. +-- +-- ----------------------------------------------------------------------- + +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +use IEEE.numeric_std.ALL; + +-- ----------------------------------------------------------------------- + +entity cmos_ram is + generic ( + dWidth : integer := 8; + aWidth : integer := 10 + ); + port ( + clk : in std_logic; + we : in std_logic; + addr : in std_logic_vector((aWidth-1) downto 0); + d : in std_logic_vector((dWidth-1) downto 0); + q : out std_logic_vector((dWidth-1) downto 0) + ); +end entity; + +-- ----------------------------------------------------------------------- + +architecture rtl of cmos_ram is + subtype addressRange is integer range 0 to ((2**aWidth)-1); + type ramDef is array(addressRange) of std_logic_vector((dWidth-1) downto 0); + signal ram: ramDef:= ( + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", --000-00F + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", --010-01F + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", --020-02F + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", --030-03F + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", --040-04F + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", --050-05F + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", --060-06F + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", --070-07F + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", --080-08F + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", --090-09F + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", --0A0-0AF + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", --0B0-0BF + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", --0C0-0CF + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", --0D0-0DF + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", --0E0-0EF + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", --0F0-0FF + + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", --100-10F + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", --1F0-1FF + + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", --200-20F + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", --2F0-2FF + + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", --300-30F + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", --3F0-3FF + + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", --400-40F + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", --4F0-4FF + + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", --500-50F + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", --5F0-5FF + + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", --600-60F + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", --6F0-6FF + + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", --700-70F + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF" --7F0-7FF + +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", --800-80F +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", --8F0-8FF +-- +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", --900-90F +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", --9F0-9FF +-- +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", --A00-A0F +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", --AF0-AFF +-- +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", --B00-B0F +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", --BF0-BFF +-- +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", --C00-C0F +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", --CF0-CFF +-- +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", --D00-D0F +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", --DF0-DFF +-- +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", --E00-E0F +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", --EF0-EFF +-- +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", --F00-F0F +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", +-- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF" --FF0-FFF +); + + signal rAddrReg : std_logic_vector((aWidth-1) downto 0); + signal qReg : std_logic_vector((dWidth-1) downto 0); +begin +-- ----------------------------------------------------------------------- +-- Signals to entity interface +-- ----------------------------------------------------------------------- +-- q <= qReg; + +-- ----------------------------------------------------------------------- +-- Memory write +-- ----------------------------------------------------------------------- + process(clk) + begin + if rising_edge(clk) then + if we = '1' then + ram(to_integer(unsigned(addr))) <= d; + end if; + end if; + end process; + +-- ----------------------------------------------------------------------- +-- Memory read +-- ----------------------------------------------------------------------- +process(clk) + begin + if rising_edge(clk) then +-- qReg <= ram(to_integer(unsigned(rAddrReg))); +-- rAddrReg <= addr; +---- qReg <= ram(to_integer(unsigned(addr))); + q <= ram(to_integer(unsigned(addr))); + end if; + end process; +--q <= ram(to_integer(unsigned(addr))); +end architecture; + diff --git a/Arcade_MiST/Midway MCR 1/SolarFox_MiST/rtl/kick.vhd b/Arcade_MiST/Midway MCR 1/SolarFox_MiST/rtl/kick.vhd index 8cd275ba..08882c10 100644 --- a/Arcade_MiST/Midway MCR 1/SolarFox_MiST/rtl/kick.vhd +++ b/Arcade_MiST/Midway MCR 1/SolarFox_MiST/rtl/kick.vhd @@ -326,9 +326,7 @@ architecture struct of kick is signal service_toggle_r : std_logic; signal service : std_logic; - - signal flip0 : std_logic_vector(7 downto 0); - signal flip1 : std_logic_vector(7 downto 0); + begin @@ -398,8 +396,6 @@ end process; -------------------- -- players inputs -- -------------------- -flip0 <= x"08";-- when sp_hflip = '1' else -flip1 <= x"f0"; input_0 <= ('1' & not service & '1' & not fire1 & not start2 & not start1 & not coin2 & not coin1);-- or flip0; input_1 <= (not up2 & not down2 & not left2 & not right2 & not up1 & not down1 & not left1 & not right1);-- or flip1; input_2 <= "1111111" & not fire2; @@ -730,9 +726,10 @@ port map( ); cpu_rom_addr <= cpu_addr(14 downto 0); +cpu_rom_rd <= '1' when cpu_mreq_n = '0' and cpu_rd_n = '0' and cpu_addr(15 downto 12) < X"7" else '0'; -- working RAM 0x7000-0x77FF -wram : entity work.gen_ram +wram : entity work.cmos_ram generic map( dWidth => 8, aWidth => 11) port map( clk => clock_vidn, @@ -742,6 +739,7 @@ port map( q => wram_do ); + -- video RAM 0xFC00-0xFFFF video_ram : entity work.gen_ram generic map( dWidth => 8, aWidth => 10) @@ -865,7 +863,7 @@ port map( separate_audio => separate_audio, audio_out_l => audio_out_l, audio_out_r => audio_out_r, - + dbg_cpu_addr => open --dbg_cpu_addr ); diff --git a/Arcade_MiST/README.txt b/Arcade_MiST/README.txt index 6b2f9e37..3a66090e 100644 --- a/Arcade_MiST/README.txt +++ b/Arcade_MiST/README.txt @@ -101,6 +101,7 @@ Aviable Arcade Cores #Midway MCR 1 Kickman + Solar fox #Namco Rally X Hardware Rally X