From 5c8743deee36792d29bf4aa28d0c141d0fe7d67c Mon Sep 17 00:00:00 2001 From: Gyorgy Szombathelyi Date: Wed, 11 Mar 2020 20:10:19 +0100 Subject: [PATCH] MoonPatrol: add no_csync --- Arcade_MiST/IremM52 Hardware/MoonPatrol_MIST/src/mpatrol.vhd | 3 +++ 1 file changed, 3 insertions(+) diff --git a/Arcade_MiST/IremM52 Hardware/MoonPatrol_MIST/src/mpatrol.vhd b/Arcade_MiST/IremM52 Hardware/MoonPatrol_MIST/src/mpatrol.vhd index 8fcae7af..2fcb0538 100644 --- a/Arcade_MiST/IremM52 Hardware/MoonPatrol_MIST/src/mpatrol.vhd +++ b/Arcade_MiST/IremM52 Hardware/MoonPatrol_MIST/src/mpatrol.vhd @@ -61,6 +61,7 @@ architecture SYN of mpatrol is signal ps2_kbd_data : std_logic; signal scandoubler_disable : std_logic; signal ypbpr : std_logic; + signal no_csync : std_logic; signal reset : std_logic; signal audio_out : std_logic_vector(11 downto 0); signal sound_data : std_logic_vector(7 downto 0); @@ -175,6 +176,7 @@ user_io_inst : user_io buttons => buttons, scandoubler_disable => scandoubler_disable, ypbpr => ypbpr, + no_csync => no_csync, joystick_1 => joystick2, joystick_0 => joystick1, status => status, @@ -276,6 +278,7 @@ mist_video: work.mist.mist_video scanlines => status(2 downto 1), scandoubler_disable => scandoubler_disable, ypbpr => ypbpr, + no_csync => no_csync, rotate => "00", ce_divider => '1',