diff --git a/Arcade_MiST/IremM57 Hardware/TropicalAngel_MiST/TropicalAngel_MiST.qsf b/Arcade_MiST/IremM57 Hardware/TropicalAngel_MiST/TropicalAngel_MiST.qsf index 575d28df..47752e45 100644 --- a/Arcade_MiST/IremM57 Hardware/TropicalAngel_MiST/TropicalAngel_MiST.qsf +++ b/Arcade_MiST/IremM57 Hardware/TropicalAngel_MiST/TropicalAngel_MiST.qsf @@ -43,7 +43,7 @@ set_global_assignment -name PROJECT_CREATION_TIME_DATE "21:22:13 JUNE 04, 2019" set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files set_global_assignment -name PRE_FLOW_SCRIPT_FILE "quartus_sh:rtl/build_id.tcl" set_global_assignment -name ORIGINAL_QUARTUS_VERSION 13.1 -set_global_assignment -name LAST_QUARTUS_VERSION "13.1 SP4.26" +set_global_assignment -name LAST_QUARTUS_VERSION 13.1 set_global_assignment -name SMART_RECOMPILE ON # Pin & Location Assignments diff --git a/Arcade_MiST/IremM57 Hardware/TropicalAngel_MiST/rtl/TropicalAngel_MiST.sv b/Arcade_MiST/IremM57 Hardware/TropicalAngel_MiST/rtl/TropicalAngel_MiST.sv index 2ac37637..d466dbb9 100644 --- a/Arcade_MiST/IremM57 Hardware/TropicalAngel_MiST/rtl/TropicalAngel_MiST.sv +++ b/Arcade_MiST/IremM57 Hardware/TropicalAngel_MiST/rtl/TropicalAngel_MiST.sv @@ -49,7 +49,7 @@ module TropicalAngel_MiST( `include "rtl/build_id.v" localparam CONF_STR = { - "TROPANG;;", + "TROPANG;ROM;", "O2,Rotate Controls,Off,On;", "O34,Scanlines,Off,25%,50%,75%;", "O5,Blending,Off,On;", diff --git a/Arcade_MiST/Nintendo Popeye Hardware/SkySkipper_MiST/rtl/SkySkipper.vhd b/Arcade_MiST/Nintendo Popeye Hardware/SkySkipper_MiST/rtl/SkySkipper.vhd index 946201db..60d0f44d 100644 --- a/Arcade_MiST/Nintendo Popeye Hardware/SkySkipper_MiST/rtl/SkySkipper.vhd +++ b/Arcade_MiST/Nintendo Popeye Hardware/SkySkipper_MiST/rtl/SkySkipper.vhd @@ -86,8 +86,9 @@ port( down2 : in std_logic; fire21 : in std_logic; fire22 : in std_logic; - sw1 : in std_logic_vector(3 downto 0); + sw1 : in std_logic_vector(6 downto 0); sw2 : in std_logic_vector(7 downto 0); + service : in std_logic; cpu_rom_addr : out std_logic_vector(14 downto 0); @@ -531,8 +532,7 @@ audio_out <= ay_audio & X"00"; ay_bdir <= '1' when cpu_ioreq_n = '0' and cpu_wr_n = '0' else '0'; ay_bc1 <= '1' when cpu_ioreq_n = '0' and (cpu_rd_n = '0' or (cpu_wr_n = '0' and cpu_addr(0) = '0')) else '0'; -ay_ioa_di <= not sw2(to_integer(unsigned(ay_iob_do(3 downto 1)))) & "000" & not sw1; ---ay_ioa_di <= not sw2(to_integer(unsigned(ay_iob_do(3 downto 1)))) & not sw1; +ay_ioa_di <= not sw2(to_integer(unsigned(ay_iob_do(3 downto 1)))) & not sw1; ------------------------------------ ---------- sprite machine ---------- ------------------------------------ diff --git a/Arcade_MiST/Nintendo Popeye Hardware/SkySkipper_MiST/rtl/SkySkipper_MiST.sv b/Arcade_MiST/Nintendo Popeye Hardware/SkySkipper_MiST/rtl/SkySkipper_MiST.sv index bdb9f2f4..f9ff3ead 100644 --- a/Arcade_MiST/Nintendo Popeye Hardware/SkySkipper_MiST/rtl/SkySkipper_MiST.sv +++ b/Arcade_MiST/Nintendo Popeye Hardware/SkySkipper_MiST/rtl/SkySkipper_MiST.sv @@ -168,10 +168,9 @@ SkySkipper SkySkipper( .down2(m_down), .fire21(m_fire1), .fire22(m_fire2), -// .sw1("0000"), -// .sw2("01000101"), - .sw1("1111"),//coining - .sw2("00000000"), + .sw1("0000000"), + .sw2("0000000"), +// .sw2("01111101"), .service(status[7]), .cpu_rom_addr(rom_addr), .cpu_rom_do(rom_addr[0] ? rom_do[15:8] : rom_do[7:0])