From 6b7eeffe43ebc6684b982c58dbcf27acec561272 Mon Sep 17 00:00:00 2001 From: Marcel Date: Sun, 3 Mar 2019 15:32:47 +0100 Subject: [PATCH] Add Rotated OSD for Super Breakout --- .../SuperBreakout_MiST/README.txt | 3 +- .../Release/SuperBreakout.rbf | Bin 247650 -> 245650 bytes .../SuperBreakout_MiST/SuperBreakout.qsf | 168 ++++++++-------- .../SuperBreakout_MiST/rtl/build_id.sv | 4 +- .../SuperBreakout_MiST/rtl/osd.sv | 39 ++-- .../rtl/quadrature_decoder.vhd | 103 ++++++++++ .../rtl/super_breakout_mist.sv | 185 +++++++++--------- .../SuperBreakout_MiST/rtl/video_mixer.sv | 3 +- 8 files changed, 314 insertions(+), 191 deletions(-) create mode 100644 Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/rtl/quadrature_decoder.vhd diff --git a/Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/README.txt b/Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/README.txt index 59905d64..fae2b5a5 100644 --- a/Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/README.txt +++ b/Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/README.txt @@ -11,6 +11,5 @@ -- F1 or F2 : Start -- -- Joystick support. --- --- +-- OSD And Controls can be Rotated ---------------------------------------------------------------- \ No newline at end of file diff --git a/Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/Release/SuperBreakout.rbf b/Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/Release/SuperBreakout.rbf index 92f697ec4aaa4676f4f6a0358dd0a516c3e54b27..bf4eac8779d12cc09612be0d89caff7bf2041b78 100644 GIT binary patch literal 245650 zcmeFa4}2uYb>CStYC&TPl4n{id|D7pO+yU|OOfKAFbXXvarbP^a+U_e!3ttvdMz$! ztV}7=iE@;)V<$&6wui)8pt*Ethqh&r%PeKuPE5(>U2^%y9daP8BidT}Gu>T(U%h(u>b+N0jh9|JF?{Gx9sJin|F3`k zoj+gwvlss2#TQ@r&Uaq;i$DL)OE3M!U+C$@7hn7iuv9v6L4WCE4X^;Lz3Tn~oEZhY z0j$kkgq_V*xzS8+vs zx>u~Ssk|b57|`?D`gFfGO!tDdY1ZPPdzGy^*23B_)gvh4g@?*pi-+Qi=i;g`fu8$! z$EGRDTYFW#1*{F%eNk=^U$mnbgG!?~T{nUv-x9Dl(f+j`yTH2^@lvg~P0|k!j$A#jL2h9Pj#UTYs$AKE4sLlY2WXiJw+zlv7`1DQ! zPXX(b6nLmSLBW3o9TYEGR0pt@9tDjGIw($fti6g33e#2L0^KhI3KuA>z@wXL7pp0_$aT+ zTLc~iei{%CzXufc9Pj#7polAQ(RCM4AHZ6Cbgy7t|0y7vMu2cr9`$z-t~fpa;Q(}B zKoPdlwY?7Z0RvVz<{}&f;st>sMGxUGTB=V3>Q_CBCb|lAKRbYaNI&eE0Vrx68tr2$ zA3S^)@NGbNtd0NV02D49gpdkNRD_zqa1>_hSPn!qtYIz{P-~L@qsxKP1}(Yw!CZ zQ&Zm)ff~A zAN9Fl&Co3i7xk0s)AeTnp`tVw0m>^r5H1Crh%b)UN2-4F5#fC0#1gL;@9J$Ikp%J>uIC9B|8PWm;Cq<;7iNO zH2^*9wg@k-9{@CGtGpsi<9015ZY`+oYoGfVy^NFa79Ius$^i5%9L1v=N2*WP#{l7` z`vMdunN+})8-Qr1x(avzki00KH6InYZFK!2a1S8t*~O0}3nfD6Xn+EflyFc!}=+3{aYaUYdVI z2Z8Pj(6i{W_PYMQ;49Ig0F8k{PgC1fSAmD(^xW6dhKEI?O8|vw4wifqs9z;QE&~*& zuwMYAw`>O#FI`QvRG4(MVyr2h!l!|?IH_)d#;dN1(^cgQL>qzbr90}XdVYNXy8l%` zSLxON4$$=vfL{c3Uxewo0P$P_;=uw`x1hj7;!e*6P1ob0_Nndy3cMsU9szXy!~nhk z{L%o5Fp0DG4nQ>cM}V#cC`$LjK~U&v!nYsN4=egkw9wCj-ryf+C&Dkt{3Vw}3)k>8kKz+~}${ z33UHXK-YHw;uV4L&{gAESIG&5>8fXe?iE&m!isrD_^6H2pI@^EivB5rz8iQOP=u@B zZUGb~(EO!m$t>y70^PqK=s)#;*UDe@o$6l;MSa4lfFev+)g#coa8f(|4?uiXfS%XG z;dSsdur_Ed4!Rfb74_??`UJX{3=@Azwo29rH17W+ps)h;d@>M?)`G^Y#%2MkZzEtG z;YE$TwQ{{prBk@-Ez8puhzRT%f?IQb6)g^7NSW3&&k%I40TPAy^mq z_$QrqwY34L@zB2jh-=1Cl)xuZuYOPFv)M#vC0C!4MR);NtwIU5LERR%D!<7l#DB%GS`

ehUH(3wAb6rR-^EePxEDt$bzFX@{HyF?(7Cu5SG&rZ&+e=<~#jX$3eTRaxkGk;2s{Ndj@^W5umLbCH0v!(Uep6PS->EF;$@)6;+ zaV!&TjV+$JGC$bYW9lU=k*JZCP27-fy|pscA9<&pVfCke=1g&XWxma3=S}PA+kfl3 zUWx4)hMZ)YIlWRhn_|?C*_yx@eVc2*7H1py_^*FAB}<=hRx+$;2Ap`dfvf-OJDN1k zMbTFtsXu-7W9dV_fBDw8#^d_E=IW^z?rgj@xO(RccisA-sN1<^@}Uv`^3VU!WtT>z zU3tjAIREIi%5&^>{JHdnJI~+jporToAX^8IPC^)m|?SqrSlnoq`PSht4ZmMr0GVj45~-|%JoESo^pL0Z9FNhZu?ss zVQ^+)o7Fk0UF^JBT6a>q;#22xvFyyK<#KH{$td)K+C6ZwUr@W_zx--zTsT4YM^HiC?_Dh?J*rpY>*?oE5BDPt2k1=2Q>_wZ|%FW}k^W2AX&NXji z9{-cRqkJ>^XR}AfruFly%8ox5u4BB?VvuvB(@W5tgQAoDPhN0%Z=%CH_~O4A+6*z_ z%0O0Znxn$h{>uel@agr;W{c|6tNjG2FCcaT;%pctifxvv_Udv`ZAi}34%}o5cGHv| zv)S_c{Kah3W|CU<>Br?LIgX=x^IYLI^XQ+RzaE)Q>*))M_gQM==T`BC)6WvW4YO+x z%Y~gLr#+DzHv==wW=-(U7js4~>9yDmj<+PG>XEULwGn4?ADGqa?me3VTwd(RKCIsP zkBZx~zaDpvKa+mBWyhwPQOC9}k+=U0VfEMFdxkkT$aY+kZIJe4L9Z|h&Zkyx+GJ@i zc)U->2gHQ)_;rDPYup494-AUZZ-+A{+8!Ep5fs7*?51~Hsr>!H>`a;tNYDXiC!(OJS(EV zoO$!f|8m)9b$0==(<->Dd_nF0?#&uRX6>3~x=vo5{^RP;eQM#1ca;pPs~3BSt51LU zTzW|DX*W;HG<|sBAH9lAf`SOAb=@RCysLAwm7>#X$cYl4uZSh3kDPyNJr}z2M67h& zxZtC2IX|6^+OK$AtWP^)Gv&p=gYzoi-F@0!aGVSmyxnK%O+Ke;w>s@C@!Kf-h0Wc( z%=@jIySe)~P@c?m4x) z&7|0?YN9+f@}4Z!Ize`_t<>e zoBay%V=+COr&HI(B$!VE54>q}$$wTx=~`T0O$Vmdw)@QQPn|DLUdO9uByAeM>TTx7 z6XhqT_xcq4ueLMPw^<8)#lONliB^9>MPE<;lRcl$L+zYu(dV@A3o80!>3<(%;r|~M zeZf1~xH6J@>*1D5%9|=!*Oz}P&l_q|tAFo=?-FdBO2J3dtp50K9KAp0=6IINF^Sea ztiEN_YzO!6Th8I9$Q%EJX?o4`Z!=f)}=o^yd1c95( zWA&4pcPBt*ZPcmzRMzG?&ONQ%G#=aR{}FU1!gS+i@?t_Jg$++?p0Tg|xVrn1Z-0Gb zEY2i!leuuy1j?&t-#CnO_73xnebI0B&uQViq={`c^<()nlGcCTCXvW#_C#YrWXTeeC}7(^I2giRc|8MY;XB4a!SS)>bih zAHM(E^K6r6v7E5_gVP0$XMf%7DdOxg8kBGWvC}2x1&Upu*aj5nJNVCb(mswVaAf+& zH>mOqgI6=R`37NOvvy25Nn_9xkx42l z1+A!$WKuS3YAx_O=330m=6612Y!t=SAgIhAEtJw!psU4Gxeks`fk6ss_YS`J!_6{n zB}2918`o-{%I}E5%&B5&8rj5Kpc<7uPjEHxY(KMpkEtqmS$fq31s^w}W zBx9eRaeG|%da!BLD+pKh)Rcb7gEH6D@^XDtc*S=H&0G`8t!xUxu0;sX)z#ucHu$NA zl;NmQtyEw5mw#JLFR0f~-Y9Ynh|+&NHcgR)YcdQ4^M0AuyzSq=0USsiQ*5K?{y`Cb zBcO7DV$0S*18Ce2TJuXpN^{fdn1x?#h1)b~1usnfoQ&maP&Vo%6%kd-y5$2Ald{UP zuAnp*76pyhl>D0n09#kmn$|UW3r9DP^;m#qzw1R+|!9ZH`Spz+ioOYWZL7^ zhApQP!O&dQ26in^xWe_*uRx`XGrA`E){p#09;^zdc=rntTq}ff;`OT{P7nR~^}kgw z@tT!BdHZpXKSQfuk(yG$H?ZY-;?icBQ5c){B*Bq*SXiwC%d^T!Dp}(3$xV0l-#shp zq;W!@k(F;jbkUlUhN7zPdyqpkN)@;vP;ND3)aCuyr1F`6Efz_kD}NERb~#-!z9@Z^ zK6DLF$LmE$C%A_XxToA0bNlDZi^@;)Rq_C7ksEx)EGDWpf(8tv_-Z-vJ;Wb0*@(MU zfz2qM(UK=#k9th-!!J6vbJQvj6?OV`^qa`<@ra=WDrbBS7>KCF*IQWYM^Y9FB`1_j-Wm7^$5g zWatK7R;_eXTZLuwo_+qgH%^6Ka?>To?)J-cw)&g;bd4vPUC`f>JaWf#Qo zOxITj6$6h?uE9%e5)A7pOKZPyQFEqenltw8r5i??L)ELsgUL8b#=REJ+gh2b#1p<> znYbt^zr7Sz%kTU6_>4cvh;CeMoA))^}wdKQu=~V8-d?9-v}m~f!Dco#%{6Ul=ozKD6h`8s%h$IrOvEf{k2OOXU&_oQ9Oc&ir`9W)*bbT@XYpAce8t>47CU#zHJeFj18}f^nC>= znQeNV*{7(kIpyWKY3^*1Of|mc17^|0bCID3X2ksvpP22wjjMb0QnC%imFDihiX*P=o6H-q{tf^ zRY9G$N2b{rA&6duRAg8OD$}%=RB29kRZMf3WNO}%sO8Cy516(pbNxqFNExdmX^R@1 z_(K}tuEkz-Q~_F72k0;aJ0YVaHH^k75xZJh{c;ByBms=zAsAJv$Q4s6+~O$cCMD{0 zJ?Z*OU0O_g$5zwy;F=7~;fG2xyF^d*;4Rq0JUa1)9I@apmgdaMZ@9bsPF0A!SST^i z`I8j4MFa8Rox&hzkRHckDH$^}slnIzWTU;5na(rx(;?{wnR)y_y(X6|4WS|)&e$9t zhd>8&&3_>cStyxgCYVHGBwuRuW9-CmGNz#&LZ_F}W5hYtg&Zo$R>S)n)rvKP@XW6M z^MAFAT5UOm;oDbFLco0u$rc*sW}u03D7iumfv#zXZ>@mH37aPD=u`VauiY~F-MQ&? zO)pI<$!Guk4I^Lrn~$Z>J@hfRWBJxaw}JVZcbvk`<#+iPFF$|nW9e^>|K;AgJpWV7 zZ^r=RQ4D(~kQ|x(!{g**gdZp7_`wg{_J$=h7o&_92*pYahX@v|*OYKHRk%_Uy5^XT z1ctFLy{Sr5)&crD}&?&;LCD|#I9f$U!Y;KW5eHNdi_pH31Y^=)ld;Ecd2L_E7Ky+sMtf3y zuAC?)1caR&%ez8ZsRrnK>I=#r05N@3k)Ptn^rc__TV)u#rO9QG1}vm*HV30og~{ZO zD7a&Xs5vWYA7rSP9KRY{Pb~>C%s|HF8hM?&5wAGtUt{rhMWm(HO0wkjBl%T6)mK0| zunu}IAVGiR=7u}mldOdPjpo1sh_=c^@pOdxvC}VoO{tKSejr+FypL|X9_SVZK z2|GdTcv8~>WC%U#)Z87TG^|cJl_kyCp{&peMKn5ZkZf?Hi$l*1mqFa>?q9-OarA{976q)3Q(#V zj5M*W=)5_$g)_Vv@=R(C1Rb5YaOad=lds7eGVS0tTd`n9SB#{i^;@eOQ!@ za?|&AH*T7=X?3O{_le)ah}}uTbb_H40MdS4#jaJL!T_^!uk`=MJj4y-O|jKK`e$!g zqJe8anzQ*pyQ86)AhIP>Gcj~DFsGS>SdGB~`XR-y#K-`TYH;LxW|KxGDfkJjY{+8Jj&AM zXd@hpOE(0josI{0Po^f`8enW%XG&rPq)cgUKg`Esn<08W-@_J7W^5fxGoj0Rpzgnv zVH?09v{ZJZ2ECZwfvf@3#(EqX>dc~`&VDXRt`E!2*-vBo#8J+iBx}m#SYD#0?$_M% zEYqj6QyL7m0bUXJx#exIRRtIT7$DAc)Ki&{g1`WLs^#X8S(!m2f4^-{KJ1q}GuKDS zwxH3%+OQNRmOG|W2B2z5RjDX`OqE~x7nOK1B6?t_#@thxLxZVZmNItb2gGHemvvNc zrG4AOBV}f2S0hcsUPMRgS5N6OX4T+9E76Xy1~oKkvTc?=KPt)16zi-lEJvv7EJ{ad z>`57u&5?4u0e{RkqV{C9+}UxfNrtk__6w#R2m#g6zoT@h?3hV4sV<%$|K-<DsyTv1JLbi^D@h~7)5sSB7b2_}CW9-St2*^mQ1S;~BQ;Jm18Y~6TA_+) zWWSv-PY0Skl3~h^hUL!ADVz*}--1dak%*&WRq~+Y)VO{n>M}*(co4&Ix#O>va*AIl z(NSwRu_Wbzg$IiSTWBDzkPl)c-fWX+4prK{6~1#+f>vajoifZ&{n9p9`oY|>23?q% z5TS?(#-06$qxB8BvtoNGSy!X~IjLYNMyz86;c$X! zT17iWmo;HZnZYEulc(xYDR{_1t_TW)Gw(lIm=xvJt4DS%L0BO?u?L!xkG5f6(p3C- z;|nDoi9yaP%J;A@C5L*u%k5U`+DWn0`autRGO)3Fap@AHe$2|~gZCY+ksc53`QxF! zjk$QNjrs0pS})w$cx&)(PQ~7JE2m=b?%Xo@(8$O$KdG0pk3939$GG%OOn00VQ}emS zBmG0Mw=VWi$zJn6ULl-{b!UAW*Y{h)^No+ZVUd2@6j=M#$P*Pv-}QlUwhpp2&#V92 zd-g5%$DRu%wFY9$a76{B?@=O=V5x0s6(||0L`kK`u&wm6+$nALXkq;t8I>*sW-+Gr z(a*nD+Io>D?%%+kf&#^Mg9#X&#*OTL?m~i)DwSB`!__@;U48DIx*rsy+lKTCaAuq# zP)VDi7|h4u4F6VQ%u~ivp0rxnsRJaGtzV|@TwC1lqc5T?EsifunoBG7a`88*M8^SY z;ZGR$%d2_~!(ZCcukuubD9D3SV0PJib`B+zwYkP}P=3qYc)abgy)o=gnl0Dl!QP+UVWz^$J6*k{cjcG2 zR&RYv)lSx;U$hUG-x^-GxU}u3e-QOy+tAOv`SQu?6Wi{zKR4O(50Bp;t_IC5qqcEd zTDx>9xcmyLTjhM8a4^6|Wt{oZ6;dg}gB8umz|5EGzkV4~+J~2#Htlo49ygNPPMR>LWRRc9rOUl9E|%T)~qw z!L-Jggeb49e=w6&tv~Cu?)_vn&*s=A%`n`?tZc)|vkYDfZ%ZE)-qn`pN;mi!sf`>= zR5?wd#y+gR`O}PdA*n?!(2PtEvXyh0_28RNjLo!SrA@QGNsOO6!_|$K6hBf}$#P1| zbGImzyAj!8{e&u1oy}}DnBfuOArq-ux#c%_`-m$~W#Y~y3(-O>m}$AFrZ1zxjdt~q zCU!7#jJ%d1GJ@N5z|K*rXZI;*9T{$8ZQ3lu4L>ZTf%Ko@(+KsG(!c(p`LjR&XXR%Z#i>e|@f}tpls@pIlSU;ne_QPOQ8(F&ZB4hT)w3;D8 zb(DoZ+^X1|bzE@{$)P2Gco1DbWYi%>&cs;#s*=(zzd|v)=>$o3+m3CIHs=n#9CJ5| z_yzrr*O_TJJrpfgJ;tY(XI&MOLXJM=+zqwy(ZWX3g5^lS}VqKSsEnrldl+yy6@jU1xil&}HYs^=>uZNtD z*(^9QqO$fP(bel2BW1?7Jz%1Fjh4u!bf_mjL#s)QIvy!94b_$=E$+5)e`i@oe9#T)bJy``;{6u~hRr@I?7<853T z^PL@e*S0^@Y1};7DaYA-yV1TCW1|s{?wC{)AD)?PPa+BD=99{^Z7kFBL?xQ5j$MzU z)o#(*C@(WP+^p8LTCJU}F3ljYQVqw3kpsj5eYOx5dGNr~Z(t5;ly^7E?OTP(t?lvj zYYi`LHpWI9jgi?)+n012Gn3UFpN{PWlRy?t_&aZ%?@k0Z^gY|$abUiS%cSyf<9gcD z2^wRe*Xy8O(dKR@{V%WDR?DOPP8rMz1e0D8GQ{1W1`i^?@-IqDZu|}$9=ppqrQ{C} zwU-`la4l!;hmzUb2+kDs{!5bCukZHDwi&Raz>6n#G?Lj%Bdi$s*X87r;XG(bhBw1X zGA8k%$Sl>;-pi2+Gc~ZNJ-+`ncrV)V9LwpuhpM}8#St>oh&n$uHEXxbJhJ=!+iqXJ z`Pa5oR(2dPY&)p7cFwMryky(GlPj|}y4_CYcDA?MyKX7pQpvwo!Q~Nk zW)E4v^UR@?73aO786)oE2ov^32^=g&Gk~n62rdfk6_JXRmQB3UlQe=;pAq!+sm`4z5KONmf#^$t2xvOXSMPS z)yXm(9XQbRU;?GajkVO70;d)W!%L!+7?$4XNEfMAAQWj?bR~p%eOYwvRH`L|*?st5 zXwI>iq&v2;4nEI!cd%m#7JlSw7neIX|60_(t@B32EUj;jG{V{W_EOXOzDf#*tFu#L z#OBU_hxmxH6?s60c<)U(TWl5GKU;(lNs(t3y-eB+al93@W(F%@@ zMDdjMd2=8(^ABHKwl?atW*{ui1?22(Oc^Y`PvJN4vEG@QU^Yl>c%zb;FL7|?2#$2cb@rXL5+6Jw5^=inhe)D1^SSpz<)7b-1|5z*bX{u=VlY86X&kDNL=eOc5A?P|pt&P2_gtU9^Nk>zY;$`) z*nNN(DUg$+gPpfyiG2^&q!U4TMN=QE^xBi64t7+dKc`lP+cq^TW1gGOREe6E(Zm_i z5bc!)A8nx!P#e|U)ocHRzHy$F*w||(&79m3CV3*q1#3<7{d~QJl3PY^;*~kH#c`{g zGQt=aAea6*C0e+JM&@!tQd_YD0Cwmq{GdaCt`94#-!}nCs%0(oQ>DqMGPlX0W%<~8 z-J~^EzB@c%+P4K;{0bZTzBDcm<3XX+iV{}maud?LJebVL#@asBd_u!l40XNjc<>Z+U zk-wab4PlEfrwCtpulgRCB^ZR}kc*3gAp}WcvXNHLbApt>Q zqPV)vqu1jMAL}gp+=yXLcFxJ996{?oFt7@(hAnJXF1xkx*M4pABufjZJ5}-J?1N#m zHp>a_SAX?~7Ft2Wvn^*=v}5Ph$jqeKwM0o(zg3y=(eA@wc04!xt7uJTKiY+a7?NaI z?8tYP=GDbFuJ%18WR%&8*vHWmRO%(PODUKP)9isi)2kgDNFqCq%EkOd6$|BRPAlc_ zl?gh0pgP)b6)P)@U)ABZRWDYJl@vnsRHZg6f@(_jr&7iv2^y5zL?ogt-n8gGDnX?Z#Gzp~v15McMRV z2qG9})GmpR8D;jAZutisBeO!!>m_U+NCJwq<4zf;ewkE>RZn0vP(6_0EReQdq1F`r zwiKrSTCXJ`f10rkAIVX%O%}e!a~HcnvL&nl1Z0} zm=#+#95&6_#g1Lt(L#Ms1zO|8o?0Y9)&p5<19nE{_P0R^A3!C$JLq_3SC;MSnrcj) zH-ve1WndQ4fX-}bOMqVu!*5Mos=gZTGWS%2*&GaJT2nQ)MyC>f){bqn_l-rpCc0-g znR&v_WwB7V($ja1M#)LJg*;hxG8l)P-Zl|As~A$kcxPxo@+9!i?n9~6zw)1tkML1JwNO+|N$=(pq$ zN7xRX+*~SQ69%-7M$yT8N(HdS*i_q1d_WE+ohnll)!@atJf*n`Vc~O(QH2nDhyCK$s|h+hI+X* zZu&@w-$e<~UEIbmFAQgJ@W5FQ}FX4}ZN!%6UwyA`yz%vqW2w8+=eWX>Q zZh?d$P4w|IEkz|wk#D<;^Bf|)q=X80DRX+r<_L^IwpQpiEzGGI*k{8zgwp7yJU0x} z<&w{s%jh6jpE|$@U7X=jFD=wOG`I943|w+UB}ZPNVd)`F$3mA;l?qq(n$sZHgl;w5 z34c%tVh7q)ww7E2T`Y(ul@R-KcwfjY4^&&tV1D#{2x3}*U3L=9AU4q^^;ag>6h6~kx)Bj1WN+%ucz{bYMeT&ZbgNRA*? z1;4qCGKBZ|Oft-Mqh?QxT{T&;b!5@Lko*jrf>r&6tVXKUKY1w`vI#yy zIw0||b}*lzG#||=JxPdmi(-T)ceRF&AuB$K67?ai~?7q&2C=g79p zh=HfnEv|dvoZKyQ*Hk`>3b)qVKkz3Kpm|ZC(Q_r_^|6m}b z7$fyaY_SV0s^9wa|A4R1cJXn+aR@~QOPY6alg{LnoWl?7fN8>Oh;Td8N;71Tbz+Pm z3RAWl$UK~orkf+?W?Ht*B6~PXi@H5B&u8gKd~cYJ*lU7tYbpKhl0k^)*)qG&gJ<%Z z62b<1)5+}K@XGMYz=s8sBL|vzeI z>&3+{$Xt?h0p4MmJzwFgN4|0tu!amZT?7aNYu*o8Tmq^VM8Fak6*`0PRyF;gzg@ul0sO6|svzM(nu z$5H#KW?Xy5re5~GkH_}jNw$b%`fKNe+o9g~5nxEMyMjtx;-}%sY#f72Misb2wX>L0a4pV)(xAAGm^BUgyWOuY;sh-p_>jlFx4H>Q4kSy@TP6 z*)&tZ?}SZn@ATbUpQzoFy>~ec@7e#csS4>kE$@p<(@Rs8d%EfHCu&paaMqcMj~sZ+ zOboZDShY{_rZY8q?VgZq@q_ip;yA1D7_%5W7>Dg8*MdVzv5X@oB?Naxt1C+_%bbwH zCSyR$SceRl#bK{kKN1A#uB=y2XIpkOnm&~J)vUg7bu&%YMANujO zjj-HND;z#nMbYrtDa#mSc*qGr^Rt!rH(X54Zjoem88nwknny{0gL%HL4OH>Rb1yeWE` zG%f8h4@aj_;YL&M!5T`Jsg8O30whGU^rKJWn!qV!2OCxBu7NKnL-wMg%SVGd2JVra zo;6VyXfc%?;P^>T`Csb@Y>W9P@76b*2A zv_@&UdEomz8%OO!NHtoFbxyO@_Du5#(=I+DMkL|@5tq!mrpl3_c}aQ?xKKTrV2xQ6 zi-pwUnels=5C>}Lw@DC}s@#DXM=Q)IT6e{+{*&G9m`ay4jgyP|G6svh6wC`N&{Z=> zr{$O1%c`NhG=UdN{w4Ms?R-jzfRYpOlrV5;tcGDn4Y(`2NAx$NS#8FS?ys%14%BG2 zJy08+hInvrPXYi3#^I&cgJ*@bRZQ@NMH{&s4r7AjmSL-{w~&sM`#Vms=T-mRcRKb! zO=U=-OR&3x0@-GTQ)D#7zwy=Xw45f({D#?s@ZZenYv_Z~Qu-17qzm0#l@DAXFFp7A z=4>N^I68^I1`X%9_~bSke=yesnoL29gW938Q#IuoPJ$l&;f^GL;qXGraOt_@4Vfhp z`X5?Olbu{K268xnAqAF?$I?O)Q`@u&A3xa!|V~yk})V-s(8{DX5ph#4& z^q&#%fI1ef@Wv?^9JVD64A9_uQW0qZ)*sd>%-oup%rwF^>RU+|V{7CStx<1W0jRf> zmDu5~&0tP=2raASZBIjOTFEe-Og+W0H4C*0x|UxrnLV{5KM|vzQ6xx66KtTJG1;Ls zI@(yYqXv=(5ieYjD&-iN1qPKaCNs8GwQ{9oja?MM>Ig;;B;aH}7MfdYOC^!CXR@z; zFH+7Doydt}(-cLNk)RUzvFan`<%(HTM~P^G>n_|cqJik7nT|Px^&S){8ipXGSM|iW zalgifOi;TrROB!3PoO9fT{@OxCB*Bf$P6#gLio~1DX!Z~mS@yOe;(R5kqA^n>lux> z5un9nX-|}XDX)3E(hR%HguSj^D3Nucw3o5HP`W*Ujk*{l5B&Srs}+uU(zNd7aSsy( zeMkl)5;-+K2T7?PHMD2)J?TZPL|ebrxIZv&PrdHeQmSSnf?K#ujNG&adn=`mOidBl z;abE4m@lO%jQzI%b!eU{>2&m7aY#jT-tY)hhBCw4wAE6C1PU^zJ4P>BRxDa~&Drgx zyqBdtW_Zv?p9RGncT@-Q#k+(B{`b(1S4Qd%RW{L29Hp%3fZ54zcUK#aO%AkQq3_7^+w_^;$ z$i#@`ctcoKLnt}A=|{5yHU%o27hdL`KP)e%F@43oc#xiST$~b=5g0IiiCzOiE<|*sGotb zkSRe(bz$oGBm)6lm6fz66jp!r-`}3I!C6~LE&O0-s7T|T1`(ZJi9w%El`KX0BXNY; ze~rv-{5W_L!l^NQ2pt=zhRhW-5d#YDBPRguNfo@ zyUDlqZb?R%HW3o7DYj2gyXFe47J57NcOkTs;J$$0{HnkoLEe_8xalx#wqx?($!QJK zj2_NNHl{)RECcn0+ET5O?M)AbJ{`ew!DTh??f_dL1xawov#gYbBdw(x9aX(A?0oC; z&mGKJiNO#;)Xz@gdSIDa#$Pjlm94uY5_KP|Cy&y>cbZf=1{f&F6o)9+rA^In`3i0b zodZWt?gaUf03T&I5)L~zMT*_T1cBCuPVI(b8jj2^p+z&uustOZ zRI>N|gd7QM8;G~@mHuTDw;9mtEyvNMNy) z?`$nq@HhzjY{ra!X7;eQ5d+W_h}BWGpmSdZAhhU)k^m6^kFHAUZi1SFG!GIE_sjC?% z3s-@Yd959gxy5Q#)0!Z$WcUg#ycii#D|mzC zkj7~5%%U;RF5^I1da(9|+6tvv&+nP%FMr+bIm8*mycL-+;8ZZ+`(QKT)xko&6*|6? zXrl24YOspjrosi9D+{uQb$^=Pwhz{DFYr@&5Yt@SPuxz6Yz6Y6&!UqV{2rM4%MaFm zpk^12;E!B=?=NtEnepC!N)>nv9SwO}RibJ28V*n%s4qr@P#aCA-RPjEJ*5sqT%wt` zuww~tB0`(qCqMvWogzLP)S!-Jfm{|9yha_ycyOQ=b}GE@ob!=4VsYj7X@|r+XF= z{$P!HtD6& zTPv6iwQq*W1CoIatp{L*2Wz0Is68c!6~wg=MiSAQYP%V&O?j&UOvg~;_aFbwWVB5E zcY`{%IGipUyuc4lX;l`pNy`55Rci(R~puZ-?VS3m!~_-N6J4u(Bc49=0z1;Sy0&E6iY zIF~V%r3-T@yNK{2BykA=gjeGraui9;+^R`h8BxJH_iZ!TLhTu*lRR4h4MoyGUVY(3wa{c&ZWukGoF6El^bEgQ zK62#IFB7pA-2PW-HfsapwGXDR!4XtPLLCFQFI~4-X)mHobzbYln(EDE1ep};tGlZ! z9VW$KNH8X@4B?xUZE4AUBTnD89Jxy6=U|MrXx@AlXCX`Kl_yKpnLPP88XOu-o{PUR z+$f}*!=gc@_P`Z-tC{Jlp^il51S1+JiPnpf;TuAfe{XA4O3@d}S-nK{=5`-tK#DpF znpJzjwdYKF@}ROX3{XWVwQmtryuN+17)O zvSk~Un7!S3K5wFk969~Y58Ki_r<;>95mOaX-uDdt(-_w2$c(kxlF$U%>a~8F`}j;M z$u*EP;iv=^mYmr@*Ta||T7A9@A222K>Lof*8v(Mnz4To$KpDe0!>eREJ|5%tbJV@F zp^eif-48#&6iHbNcC3a~(sVg(g;N19fScgx!*y8-Rcz=r$7^0stO9!*KQ~+ zumeRL&TBsrRJt<7XL5C-U3fY#VMXOX^uvia(P&wgP#P%IV>68jsFP!h&UeZN4K|&V zmf0+)-S)e*UYx}&ndQ}Zu3Np91$KK~l%}@_w`E;=6=kPougmhSwF=G>mfR|G5Lt_+ z(+p=?n1E^TaEs+cmjBbe_lEd6_ECnIK>B8yuKvHjfnDia&&>7NDcOHDFH@Mh@D?P#vY$U_rjbS?Bue z)=Mf7U{El0h2H{RHvE<}1mle&QN|K4U0voC6x>@GB~5=e)`o1L67vFrhGc1{5p077 zVp>cl+hNI>0qh4i#i<`PN3EA`mA@bZ0ft5e?;7!8ER#}_Kw%OJl6*<7(AAf|&nybJ zSU+M~jTk|eN9kP8gNVgO8&=DMLM@sKAvM8smgCuItPWDL5n?}#EEV^S3( zT+?O+OOJIlN?V9BdDM(~+s^M6h16{V&}`tep&as1aoG~aP@?n6Mr&ymE^NmSkll$% zhaCktwA?>-mRm#c{9~_m+`~XIY@_Fx1c99D-j)&NI(H_S&S#uokf`}4m zSCar#EMFkwyZYPTC%)y(2v0UbcsjR4Kfb-lAha2!q%nNj7_N@j8eW)K$0bx+l<&i} zPT0c|ypY8WYwPqXqH9ePw3@i{;dj4gEPE;Gg=?{FASq!WS+ zGQSaLdO`+Mh~M|M<(g0WfbY6q;@17(g*3q}57U`q|BEyt2kGK|YWSSarJpVAy1(Ci z!>+FsBMc94EMD4WV^U-1xUmycGYxBV zWp0$?1J#=v48&@9(-Q~UlbxAcS%_=#3b)qq#BAQpvfbf*jF_pk5&XfsN}XWGolyL) zw3{zXx0Xw}4dHMY1@j_gcV13)d4lzyhn8IcggZsqPL_}u_k|#PY z@A$Ke%%gMrCm8(98uIGJE9GaIiISURP8sHN^d*{5Rw)M#P3aFSB_;2;H?;Yd?FFd#%sk9dCa6Ar1uM54751~`=?_HJ zxmzc)9S3%M*|y4-pjEEVPA;`Syy8KPY~`l$x7VI|Y8p*-_m4IvI0bSuM}w}D$D?!e zd^11t$@aWQ1qz=xx~>|@}x$O~gZv+piAyj1&G+|y2rCCp&|u6$pEHRP%Mv!BGJ zv4mOH84lTMQ29()^79+Uu&`FHYm7acy*FQYHoD5n|KfcHKh$K&*6+oJtCsx7|HJFs zZ06-~j9w{-f#SF?a>nA!-$KO9UN+%3W}ia(?;gtMwQs(~!v6I;*uub>4Zr)aOzp}i zYFWxYrUz?xWo@QUjAFlyL5cOo{}%DtlEFUnQD(kF;e$1#B+3Pnjb(5MtHIoaV6`gxxoR%=P{_Zk3D2 zYcuoF#i8>6$@9Rj0`^)g)k`^sc`9VO`1sKhV0U!I2Wxw3tvJ=VW+7ZwI`x3U%0>7& z3{>gAw<4YsE+MZWxhT2$b0i68F989uP7{KT(s)y0=ydFAl9TfhS%82!UtY1gl^AHr2UTNjCa) zVeualB|9GCT_cF}b}zzj&1sT|{U}UX_UBR`Fs?*qdrZnffcs>aGnLDdWm6$ zA7Y^dN}Zic3n?}=VgPoiEU-g^g#=IlQ0-v+)!+R)AmDp^2-8T2P3Puuv%+ygG7aG+ z_RI58(!v>%@@|dPZ$A?G@xycnhdUms;km$7A#W2L(Tvp(_=eOGTGOH`3Bpli5tafn zb+jb%_dDUG?QDpRW7=wII_?}x1ijFieFUY3uFCT$LK(*@D5twQRz=&xk@07vk~aFJ z;X~S65#5s=3C5Zpn&IfZI78$pG~ztI3A-=S%IJ}?vEGBV8`&BISKO1~{eUm-4*8*T z21(T?1*g94;n#w6=FoGtLMU=~Nc%@B!RTw?zI}~RyoRGVP)6Azf)lePx1e*Akch254_}4%qn{3J zrlc49%lN6x>W{w2GEODJRtn0=o^ou=WppO~3SQabW||##0rRBOn%YxFS9qp@ljVRl zci(BU1E%+I83tTt%LcC(oy>EXVGFxAh{mOfPnj*OVMPl9Y}m;2)Zoa$hRf-SJfrUS z|DU^-n18(G66({QMi?T8+48|leslB=@ZuG8%kBjs_%$ZVh%Cmp4yZ)XNx%*dlv#xv zc~}V0SArMM470i1Du`SBN`8vi7fb&2w;k&YdBxHVaOA#V1a+&PMT5V& zOrhaq=59zi_%r<^Y?v%kmQ=3bi=T7rsk)U9^kfi`pe{T&hAZAVv}9w{EY`OIjX=up3R*Lvd`~N3|)o?Z^EcadS3K(~j10ZMTP6-SV1j2A6d% zyDl|76x=YE{+clilAe@fI50&j)t>+Uvi3h?=A#x}0}Vj}<0Gl;)~acS3p$O=0VELK zS^T8Eg8{lSdT0$}T8L>YYQ@xpsS3l%p(>vYA&`p72mv(Xg6|dYeFJu+JQ8TM zyp$}J9-Y8)L_loQYF?Ufq8BFF627T*eK3rH?{;*fHcB^VZoxxl>gg~R_S}T$bDRRl z3yKn(J$ItCjZ`>5f$CfJ|9UX;M8F7TGV#q~y>t-uk#%NmiJ^h)^sSY@;+JT6Qbt0S zyNDIUC~vv&gk%f~)xh88+t%1nN??jQnWNK!TRr8F5Y0f3UvTq7NF5Z5#RnCcIcQ-L z*Os*h9iJ9@BSPXG&p`jm0Y6Kl1Ibt*ClPeqcjr~S6|>Tf@xrh%nfFPszZv5l3nAN& z$KpVfJwQQa;+hd&zJZOK=iL-Nx!qzf#a;}gkxI$|_mNiobR(K$8oM7OKhJO!ESG3u ziEi$1BEK?RIPzN;!>ap&_5l>oWz?_jHGd}GdT&Sv_kvwf41unmNy@A;j?7#tCrv}! zsC#fL8%_eW@u*lIKHrXBpnn;$r?fBJ7w|$UG<9(=jmL?!D>KXw5Q9Cg+HY?tH^ z+Zh-Dpk!4uEXEZfAlpp34}6H7?gB98Q=7qF*EvSx2BOOH?mHsc%|ij0P2>x4)4Xuq zf0B`%B^Sx(7`yO}^)0-+mCCB+Y#vc;8Evpa&gsjn$4Cv{Xr@?KyF1W<6c(|eP7WrP z1J)p>4{k*Cu?#Zea57@~4Ea?5=AZrVcrbWl2tojX0T$p*B&r)kjbJ5aSA|1Z_Ar%^ z9OlX}&XXl~ph4lzmd}xn zf_Z3j%1%=l19Ll{%jYN~#;;MhjDkdl+#!)9T4#oS1HGQ67 z97tb4z4X=p{CYw&KTda%&TOeMFsTV4G^Adl>}!K8;;=2Z(LxrLGVST_LHXRZ78=OC zEJ~2gn1y>;Y#c!7!xM~6%Khr6JXI@Cq_QP;TrLsO!X1Pm!yG~o#@dtqY!EjkBvJPy z(jkr@%h)KC3-<)&6Nie7c$BeztN{fQe)ZeKre0f0;Q>J=j1rG}jo(>R%KfQmPuT`u z;8;8&NiUURrJruBIPU{>7GbHzic@$k9{AkvlsQArU?9{HEN->3S zq2|4jvB?sCV}^VsWNflxAO{BL&X|stqiBxPFg_ZUl&WxHYh__^E;|@Ka3{j(etZd~ zXPf?)?xcX^2@YrCli3%rs+@)GMmoYi$|*c3(+8&R9jVStPNleo(rgMrjhDoapPC;3 zaC%$R@kXxk^R&5R(slwU)`@E@ZKhd@*A}MsMyxY4t$%ySP3Ub+VG5l|tY;CC)2bTjuZpqs`~oz4D@9Yz-Z-bU5oZe+OewDv`GEUh7CJOD6KmCaWcEYa zByuUMNW05f|NmSZWL%O{4i_v8op#Y{3($o4C@wQ2VP$mA9ei1cN__TdL3K~5_P{N# z8%;<3uV?9ajU5yp*cbl(hZ|%2ugWHJ47<(eCTG}=V$~lEEe@qHWD&ep(czAZ1Jl8ql=|84;QhhpAU6+&G$;b&nHl#xmGK{c z2ipNkaWXqU@lefYe}T`=7(drpg>1$1J3B7L&(+b^!;8bTIh1vqkEsdv-Rz8EAqEmm zFYVrjiTTs!^IZ&U_RTD_ji~A6%~|&Okbs>A?5APxO~?jYM&y*+i-TL~gxXp#Nfrk4={X~m%Om;0x{&o z`VZ8a-d8g={p1jlbHw1&(dv-H$SjmQmC3Js??nl|m!zEYPeRytgxdZHXU61+VMB}G zUaX@k(_IhNR(5`X6EYEQkml8X8$TJGQ{L@m6Xo0SaT!0>Ntn!{S0x@oc*MOH#jsGe zi;0VtETK5#UfNUAh}FC#sF%(7?O|p7qwjv>Xz4TIetN8VlYM7xZwZea<>OORZpOaNE0VW_=?FNjgUoI*4ms~JH3p>cERLvJ^iesk|9c^lMk zhNYO3Njv8mz{&or#Cjh*Q0s)aZ1TI=dej5sbePb?OY$t;mE}#O4jkefH^vV?9C}mJ z?|QIyao8!}mEk$MJM088D0b}rtAqmWvs>6smg@Y^6y@S^dJ4Z&w}zwVvtdnMXL+Zn z9a$IVTVnLsgUrPX&jqpri|Kj?%6$ubU?E`r1-7fe5J0Q<4mrkm;m83m!T+X&K2qZ^OeJ;zlNRqIl zMUyc<-#_(wS)a&$Oknay{f#@k2v#ZsuT#s>GxQ%+7znt4u-xzgxhPHe+Gt}@e~BR( zZ&uOT6FNc2tih(2GHLMiuz5#pgjtEuU;XF##*Us-`DY`SZrYieO>xnjav@tj%?oX3 zU+9c~i2W{~|Dnq+J>j;O=NjML_sD3k%2wy3nZi@EHH(VBdjmOl8nC8{LA5Kv#o8i)2+6>v9&!l@*VEc1#@msU^1!$K}{TvLV`r^3zyLEB$f6@N> z^?)*V6i_Ko86N$e$~-3TepD3}Xi+aR)_zf-zSbCmyJRaRIZOE^I@L~x0X|^kM_LUF2vr7yUccFwL zj0JS>NB_-`)=ZNT_BQKHI-DX-+2`Pqkv$|F4AJ369F$p)w?X=?U`LBd5nn{&v)vr7 zXA?`>>-wnfOlSVC?k@DyIx7umXpBGxlw}tgHDOg_$yArpN!bx1Sbj&d)GWumpF~zk zSGq4Um=~9sIgGS2VQJOpFra4{NxaH4$WuH!Wjohv=NJ%GaKnjVafT$<1TCE)OtFc# zGL(5)hNtG!iKqnX4f+;i<;JI3z%0vMYqBWD&62kt%JTN&+taWi(;&v?cr8dQscDBjN0tgQ_np9 zJ+#;D@t{YxmO6GvC*E6|nZyLA4lJ2yKDVq4w4JSi%Bmyi4bSu-K~7=#WJH<~=z$7Z z*?U!(RhMh6#x>{<9gD4B<3JTwC^jXjGaNYVW?{l&nhNt@0=L}EA<+^x`OR>GEzWxf zI$k%<_BX=@JA2CM#LX>q_;Sa~q8@MU;iMREXiT%1(^mBUe!8aJfB{?ZW}3`*>D#GF z8t)qmyKxuV?D-hm9-tlb;_^A~wFOIY?VsYKN` zez2706Z0v3XTrmaCHyYXYbGD@Z7;NOvz&2y$6C$C&}PqczS01LLw@!YMxqVV9^A=4 zSIOtY&NV?d*5ig_tcCugiRv4gpWdG>TeY>un#LfPiy zd%pP(e-7UXvqfa8BU7H4cP5njC4$+Q0q9J$c+1d~a}NIq#+i04A=InGX`ZGTwjf3$ zy~*CC+I^g-X5|lNu%zIA3Bkjm5_E~x_k6u-?<~om5_3{Ku6m5ZD>2p=_z!e!Q`(i< zD8)*}10mjH;utB1`9a_24C8W!5ehDsBil@#J}J9c77oXgu}B%b?i zmAS#E0qLo*BIDVok}i%zT`eP#W~gO3p8t9`pkZoPZS@D2Vg^#4Rc9C7i=il@9I4C# zQU;X!S3AOATUomFh>%5YCLV{0;!?McC5H?H{dwi4T->Y? z=DBM>{lM!#5el>m#8oIXfdYDmkV~PXDLG_8gy&LEX{2P4bw}GNkQ_`|drBJfUl>Pz zQBrbIoKcZ1QTbqqiK)glD^~_ltbM&iWWQtTob8i@PU(H2VO^Q(bpaU`O5BI$#vh+T zP9|mid<=;eYshTXzVEtoi+aMzPO;#s9}1VZ znq4XE|D+7Q3&@*3W~+C6!G-kwnlY)Ooc>pKFw!j(A-k7&EFkg3|CE>}izNcX|Bt=5 zfsf<34m`V?ZFo$>%1pa8ZX+-?J?x=}6eUqK?eLUb+|{TdhcsjjU|2>JMkZ)Lmc4Q; zX>G6bvC%YGLxC1(l8TZjiL)ZKP*%BeZ`Puikt0svgz~3RMDmmIutuDJmyFxhl%Q zpb*(rM2?VV=Lby(*8(1JP@>AnpSw(Zxa%`r@D27G^$d4Xu9Qx_{O6}w>0$7f{V|=8 zV#CRW5*j8BwlQ1dwUV;OKZ?@I;PntCF>Pf+ZMiMtEK`>h(C^?!C{QC5ZS`k37^SXz zdwq7k>@VQ_rB#2ZTsAzhUx-5#7_8i6P~_MQxuw^nueVE}FgW-xb9fg4fWvI!z|dD$ zoT-sCiZrHR1}hyAhEIoKzSnbFJ{g%usXwK4Vc2g|c@AV@{%yhPG4IwM?A5noR8mDV z6BG?cHy}7$dOHn>kl3If1#!59;RW8C)7z776XwKlgXPBJK*U`p(T>>v$06hY!3z@%InjH-@RD z-P9Z=@zvpSf9@THF_`&uD8WrUGdVCCnhSq%-PPXYAnI>xt5!X)Qi=mhCUUK-w{dO@ z8$<8_?nhaEJlkbd<8abn%uXMf4xieuCfEuoI|YnrfO1S~jWZXD!)e){ zhyFPcv?lIl%g}@l~DhGrD0>*-#Yf#p)xvVMb5Q{+^ zu+Pb$h@0uLVj{um3t~JVB&E* z8fvTFJIxZ72r>=X6@stEtsF1WGr(!Dm>Uk#wcgu*<+b$AHNbXn#T%SBb`61dhVQEs z`6)((=`q(t;Zq~ZcXX|oaN=x;b1{zOgV%*AB!yvv=>eatZ-w}T$vF;k%&BZh!I_0K zMBCbG4H7RTm*3Sdn{BWbiH3~{0M`lT!Op%B{5dkUy_Lf-F;PlwaMokbM*qgz2Taof zSQ-IWotAwIR0#xQYaA1Tj)8TSityUGhFWB!PZhTf95^O4jt!dHGtk!fP&OceH8=^o zq6|ees$*{2IZmaC?ITm_8+GyD;S{aRiUnM9&IWcNEI)YKfU;EC_rE0<0Zo0U=kbTH z&gy)9a2?=LdW36HRLNOmt1;ggm>Q#wn%EjACutyV&=J_bWSXBAR2XY5blSfWqpP~N(>*0^$_lQJ=Ho?)up2JJD%S2-? zXI?j|T6|nszlQb5ZSi0dHi48&7vc9pq2PnrnCTRpP&&_RyUg6P7HS5fhasbQ+%Rd; ze%aK+RAL>BE`Zw_j#2ekXn<(&KyK65Y@M2x(@U;thxnFoTp3t58KJYrmsKp4!_R3U zZEr&hT#G#n=EQKLow9FAPM-xg@NZrgdla3J0o-E5dF;?)lCoj1VFf7I@TvAhA;L8>+O}bVG$$8Zclr zCQg7KW3|CX(CWSsi5Mq2a3gkz9D9qz?}>(B#Q62xG@T7ooP=*GPB+11&1rHjsOy|y zk_%H)@o&d~7aGI4tW0u`trNNG=p>fz$U+##3tcAWb}e1S`~`Ss1_knf!A#b2y(@cX zn7Agfq_8Pqin>5OJCEw{DhfsO5h3}OvI{uGW=%t8iBufTaDfS}lVu(vG-Oy}W0eSL z*hMT_FXU-~Mn-a)2&?6c6D4DyHms}|NG;wUGjL77qy~X+;Nwg zkEes%jC%f)7%?v8458=u@nhZdoxnQpTSnm5A2M6a67DiPe*@Yeh2Rj4WhhZvRbW4? zG5OnMLlBY|z2o7?!1GylFZYC4Bs76eq*hZmwbb{xu`En^| zW&U;Z1ugha&s?AN^UTe2eqHt^qPgZJ*juX8s4-qy-AIu4LqpBI9MtYWy$S+@r;F@_ z4%Odfd93$i0~|7qy37iYwm+I;tYDZcaj(&NhPO7NxoTCb_x8Iu10#hMUGJ-?jFTfO zHCTrfjtu|hpaN%F=+8tM8W7nfr5WR~t{$rC?L))3($CHt;HL`9@o#Ok0ce#fpd%fswc zV1*xUAfgS^K?IfA{a|#}^KvtSo>un^bG?G#zMVxV3*`wAfyrPrHHVE9J z3n{qhMmy@Wy+)Gi5;7!C9aeRBn(ZT)>uJ$|jiujSd^MszN9;yH6g!F)eZg<2Lmc|b z=w7k}DlLTAbOf0Z-BpSBi*19`P`D2$FtyNw3(Z|>oT~L zX0<1YtPssahdMP80b}d9!^`?{ez2a`TQIy=T)LRDwTDQm!~C!Kxi^nAu8&dNcc)5) z@FJG*a*;|y^S(l)c5?FT-f%>*(Pt)TI%bm2q$i{);6k9lktlcL*lVLkQgJG|xF6*v zaI}>&a9BcSiIamV=%L4$YVjO3a&%gJnKKk65;J0K(zpBt_5;D37!<2n+TIPF-YX#OrXPBbgP zErAglEq*9mWoJRK$eImmD-m=GL1g$o&fw2>|ZdsqDUt*dJ)krb2HxUg07q)7FVFPI?`i>pDzT32|wzg(OJ~tZd`%wr!*>XZ> z;3Ud`Ml7;oliE$2@-A9;fePDD3#BHmKz1R+Fl(A-R|Jr7Getn60Y)Cn;Ly?`I=hBr zVF924LB9>G(rMWs*UWohPTqic{t^cpCP3HVudrJ3&_;Mr zSgLe+5K1oiMv0=Eh2%~Qp>dKpaR%om!6W6M!LXBAe!gdqaFZ-$pz?^is99Rnh)`QZ zTeCt;F^pm*JATsmdYKOcx|ov2?TE)K zyeM8Ig**eMxsS6m>iG+*nomz&oY{5ghwPpC+w$FkT&OYQgwnRTB=AKg8(Mdn;rQ3N zFVJ?*?5Sq-A+O;j)h^LMfDdu@{2(x!W0<91x(bLK$L-5-QllYgjl04}c)| z<1!Frx6`I=l|K8eA477)Zi`%8C|@{5Jy8 z*kq&u@LR|=d-@BVr{yom5bgVxJe;DwQabmh;8|L}$$ZiP3Iefn&ck*(tp;49Qu$76!%Ta124F+%9G-mzM?rS6oduo@M1aQU0x94 zYCgA(#bO?V7t5dIF|unX{$zF=bi^}N5EiYcVJDd=iGW0YQS&V8jw&Y8aJ}Zym9$n> z#FsB~LSRwRY93CMydy#~Pp!ND#RZ0M)^)WNKT^26%+<*b#`>R^Ct?uJR^%JOn?k6? zTK~O7i@^NJN!Y{qH1D$dYWY0G(fkky1-$+Y*@ojf5$@W)`1oXnQ}bNel0hS}0G7@av_}d{g{wvCmVa^$+Z2C-RAxnRH2YUWH-@M7s5h3A zJ$iK8&HAx&BvEtvK0bLIwy{4gd?`>t{prH^&4sD8fmgUV`CmRV_rf#!_`YqY+UAlZ zxzW_OnujL(x0*viz$!iKMOpvd&$(oTg$rUv?T00bqqNR((I@1UT|lbmnm8Z68VDMv)4Yl;Lh?cL0~HYJWNfD z<8#wj-ux1>-?`b(T$vP2c`=C396OWcW&A?35D1M~1mLqzOJJ6lpTx4z;qPa^JG=Qq zXJ0P+x6oh=fQ!Lfmx##U*l_H~L#t*X+zgi|#GwhK%4G!=oc4ob|@+Cq8)A)ywz^p$G+@o1XgB zmC-W$mM7@mC0XDvt&AsN3P7h`Jlrn37X4!-N%%n~;GgsIJMTH?@?~5s8fn&3w=Kly z@`^h@*qb*hoDIXnA6Vfu4(gWRrJuU`?80Bh@5Q*Yp88w+WfX8Gt1uOVIJW zsg0@EEauDBWvn%?C<)(V@>m4lmo8pWaY(aEPQ73L?<*?qys$nLn8oe=$h%esAO>SY zT0}C>rmtR6fnrI-ez&N+xBvFsiL@`b)Qqt#d}+mu{(Ol-3jwrN3gM!Hp=w?qfOXN5A=`50=iBn2+TMltJ^SJoNxwxx3@ z>&p}8TrT(Ge9Rg$=_2MX93HdfFE1CWbMgs@OHUkce{N;O_exJ3=VJiNSX+XlUiOTf zefRPc^R6(2C8Vp5t$q2W$s0_(;Bj#;fBrXDRNh=KFKIZ~zB0bb2wrLyS+U|aXE#{b zyxF@Z7v5UlHN#|yS>(pg|Jd?gUy$oO5TOWvi{(G-mcf-7A~M-;3Ddz_Rwj>UO7Egc z z_~ezV7F4jj%kxBlOEAI4mgPaTAlIDbFI~(8Pd>S_F_&R*k@af!rw%Nrd3l#}EReO) z1!;EA|GD7KGB00Y%L|^(OIuFwTDwBqpG+eQ+j8UESH@nJ64>a21{5D-ceiL z>kD#a#DX{0Vqxf=f3zU$axXLOZPCzp@}ZS!4B$^ZjTggr;^E~2bZ)*3cZB@sYa}LNgq*TDcN+^`}a##^OE;u@1;qX!rnz!{vb?diG`!z|CN`7X2}eY-lDFs zW`AylGtyF3taLhGs;m`v>{5mO2(YEF@?!UXgs~UH&Qi~Qgt4Wt@?!UXgs~UH&Qi~Q zgt4Wt@?!UXC@_ZhA?n*gIB#jKOgmawj$Hqvn=a>MQN9-8?b*p6;cY1z$nKp7yk*NK zixrpI_Z)vgC|>r1ABJ&y9#AJL5N3>}yoA2?Bka8tm7E9c{SdR_e-Pw%9)Mhm3RXIu zod@tQkmgA z^m4~=3MyYQVfB><@|DG*EYU2n!1cA2?Xdc%M}$lD#y{#=Z>a|V0N%Tci(4`Fe&|{B z;UrQC0+!d8m9rOH|{U_ay>Z>hGPZ@k6JaU{e|Xh{*{qOUDlwj-2ZufBfvCd&|1PTJ#cr#9z7EUe?*1}uMx)wQ}4gZU~WA@F@FROrsnS}V3D9*a-=U3*z zDZF6Gy;TpVEAtWp;LN$~T)>~bZH3^qFcxGc<8#ZiZv2%MI+@C5`Xx3qA6&DtsYv!H zyt120mzZ^}zyH?@n!n7;CG70HdC@;#nXM&jE1j`us9scAAuDC#kb?jhwB~`kR_G74 zcuA3kz<=Abp!nro%E%>_-Qs!osZXqoQaG57e~ZWN?5DoFJct(L$`0)Yfs1&{?BKs# zkagLYOUxT9B)ty$mT<;9{;SJ|=A4W#Fzc@L5CY6Kh|3xMol}i<^5<7JPYUW5qi^<# z@0?rw4|4U7PQzUa87mf(U*VOkO#6lhEH(Me_FS|S=r4Is2F3jUMIy%iE8GmZd_WuJ z%3xW5oI9QD#o_G$oAR{?)LWiDTh@)D=w%k#LBgV9KYhm{h+YPyFHq=Rk1v{=veVcW zRkiTOi{kZX-n20L@{qddExB>k<%{Oy|A`>JD3LCSO}z|``J$yhx%O;&%5bzy%gj>v zoc-pVi*93;A;jOJ+Y4_9v3DR{M4aE>u~1CmR6i{dgQ)3SVa3%)gS{cAE$c|a%vN@8a}{S} z*6JKCJ7pEyzLKcZ#AH&NypnQ_wiG8*%gMpimJ-rP#}oFB0!KIA|JK(=l*|F!(#159 zdI@Djp}hD(pO|Sy28OpfA}423x|(Vd52dSV zmlPqvN;YR-AL3MIBFiCSbL=K@;{tWn-*BGh*|aM_#IDrTIpZ_VcA10}8@rsXDM*Mo zrkGbkc}-dTKBG)OuwpKIlcU-qdYCiHM;JvdZ@AtXs<&%_=E%v;&a$wQIAJ5<{LsM& zf_mpQ1d}!C(cbX!$53)Pvx5L2yrllP!kbjZsg_Qm30!Yf2e8&x|F_wGF$>>Ww> z7q$}k(2^5&W6jao3If_i0GE1wi+{qNJRD4pq+fY#h3awE#B)E+k;rKMSqVf4bxIO^ zNy>23`8ofY-4djB((E7ImDhujF@)r(Tlv+dV1CWxAoX`Tm7%hn+s>iu901z0I=#m+ z=@jvp$7?-7eU}+K?oZVmQzUSmLkOdRXfGkGO**p4*J}?K*ACRf174pZwi3apqv_^M zubjG1m}$nOrTT92>D!`#L~c+*HClQ7GyV<&#TUNUw>eG{k5Qe@01!bAIHzRS7gO6}{o>t&M2`k!nY(MrSq=>N_<$i@{j@6A3U*C#AumqP9jk z&s$*7+f(R}UQWVwiBMEV(#Vz&^|g($fT=i~TMoSPneM zlry6_hMWP-Xmj)ZXp;meB&dTXWK^Lm&|zhZHwqOiWnJkVOOgpixPnrlsO6~iAl5FS zV;S(oJz7~A!vfsM za5TN=IXY5BCw|Ppwm6HO!*e5IE^yvDgL-@*spPCayXb(<+&Z@yH~|Ok4jXSb;qDoG zEYJ}D6{63jzw>?uW2hS{d@DsndB5)XYGfb3M{9-Q>JU6^Xr~G}nODPP2^u+6&sYW_ z5IJO@Bcg#3q@sESHO@D07+qW4U?5pVI8EEyL@cC|9dA_KA*TU1FRyZ3I7P~~{`hmh zbEU2F!->jYI5ed>+?PfZakGm!_L^wi*D9qW38PVQ)J&8Q5`qT!gX4N8jeB*HTdO{y z0=>o0kA^z-yXElxTz;#sBLe6EQU?skB=Vpy|A%Q&&=#E=uxHHQ#%g# zq?3g`$^;TnHP}AYXIrTfGENCA;F&l1`yZ)~qyVqH$|#|UT;ui2Q*Hgb&%OP1(25%l zsYV1jM?q{uS+$@mgb+At+P%j$mQRo~&ifVaJ+(a@^`@EyQ_womjHFG0foT*8@Tr<3 zN*8rqPZS2n83!!_Xb=uB?`IOMr|pB1k~0n4sWL<{PG@#tV$1Py#YIBhXAwn&U;BM)B#jdcKg5Y=V``yJxAB~6m~Be~(x5QSZ*z6Y zhP+JE=D>cqxW@(@hXn3cd6?_&i|&wIClpz?BNJ7E0qT#9TMq;9KfNRwNi2Eu-_z@LP%g8 z;&1XQ)4uO!k^P9TQFk>jmu#g{0`zH@&ds~C_nso$pKXma5*0C;Kp6-@#GsSuY|hk{ zSRQIR6*f-%psr1Hmo%T~=N;aU%)&3;j^JUX@g8=GCj6@olw~#t1g8G{oxQY7 zEG_`z5yv1^DWsAeOBGX}+)k+zhH&V^k29 z5V^uS+9RT7{;));bda-8Z;y*PfIp)R zMe+r%$!w{=`i(5&MlFFm&L41udT$J-?F!|0{#_hH0m<3(IO2JSmXbBxNG05qr=lB#h}a2mC79 zM>7C=CLet7x#JPSLxE^CgCiGu8o{~V3+b!IbiV%MYRuv4NmB zgmEBl@}z4`qK6du2Fys}uQ!u(4qv9=@Uw?^+k8ddz9m$IyR<)*&9Qi-X~H zFj#`heUQHmRvX1Zo)ViF<$?w~UW;i>gRPb?u^kgIS95yoF?aH_f$f-u;ni&^u6l{AYh6ysl7xz+_%R9WL3yLNP~ePB(^PlhY+-%~kUZ4u}&+OBJNB+;>Jli;fL0~;sjdi!4 zn6L?U*xi#3{Mov16rKyV5<_yv>+`?h9Se^2x}OYM$4x`Gy3?A@nPWqv-dztn(OU-u z`pe@%5t;J4BEs*ys&U^(?bh&5hK-3aC-$7ii7_9*iLJ++X^6O(A11n9I@aZxF!WkO z)zE9KW4iJh`bfd6?HXM>MdUoIMnt6VLB07ut=rVLZ5psD{tI^$pI2?;eN;|7Ik_@ezdZ&}vC|5O)P=I!74#H(#-COL_l9(?BJKyQ zgGiIQwr{GCN~lF*5l$D@5Q*hEpTLX}$PhgC*s1OzNQfpZ*>r(0n@2Mu9#PR79Ef`cULSAsoES`9tHG226~V7-o}(1#Y}(Ud z5PRclFbXG$_{CHdk53hj!Pjf9M^A=LI|_-lBy$4b94WNwW?({Q)2C8K1A2oS*ZbY? z|I(`)+b*XGZ-A^oPSI&>d8^&~LQPFi`_>lu7ZsFM|_slQ8ziI9#Lh)3{W3S%fTQOrK^Yw_TF{DywFzY4Y` zp&c*W#otiPYF+y->o9r(sBVl3k?;^ocJlRMB6ccbn}GWe^jbYnhn;|*5`l;KmQ#gV zhJwZfH83gUZVZk?zH$VoO8`n@IUYdFVKB&vB-vkWsNR@p>)BJ^iifuqz{IPVgNSr! zj$P^W*Wb=UW$ggoquo;=RtV zC3gOi5P30vuvKV8Ba9O?$D;vkQGcXrsnE1P;F_tCJRvLN{?!s+POGC-8`SqYGfi86 zfC)6}N0RPB1T5LWS1JriWE;<1BKlLnGdN=#nIU|5|LY80*R(@i)%GTJzl(^Khz3u5 zkQvm8`=#@P*fEV_Rm5ak@4F}WU^%l)D4rl1fs7E5C3`)VFGAkXUZP-i%q=|d4O1IZ zi+j`vGd9pc{M;`PPyIVtB*$CTha`~W$Aib-_67CNH~(e*`F+Wc`)_+<`1xD+e2{pS zt@l=+SvQh?`k|lQ_(K#9^0sp$Qxc)lJiGM^JDwSTj)0V3`ofMq;}W6r9Y4P1ZBNjk zoxj~_&Kyk-c&UHP%bx*F=jR1HhSg+39)-#8o%G|G8Q4Edhm zovY)a2dxmoCIF3P_M?DA-)NK(+C!{YQ=-s)2pBi7t5SA5<7qg zwYVYjyulzgYL8!~UTlYjK~gIQCPe1hIpoAldDWA5y|I8zD0!b_s>2 zjz(eiXcYUAQ?^QLZTJjE9E!fZT5>G7iq(!T8gvn8G2d@IBLmMzW z@f?d-#YH!uy;!6ghMC`*>K~ve`VKuI(=N8B29<<6RS8^YH67!%t8h!KGjMrcqOq6} zKJ}#%bnY;z1$wlIrl#}Pr5_P47Bhne^eGMSvbdgfiqRBRpa*C65)iX79+=FiR;%Z* z`iTAl`=tFlyt1>y>EG=4JQ$R%=9HJ8DBM}C4cCnkjb(>Zn06cBPBhed z(v4kb3y~-3PbPYIh7p@14E>B!BYN-QDDPg^_N|S33*$DSl6+uE%hPbvNk@+o9dfq+ zQ=r~r5h8Z89{`v|+LSM`z^fT7DbTiKK!4Dvqbo7a5DTO-OqQ4w+NxxynBJfu%PP5^ zDO+A&)@PPU=>wtgr*1$=bI6cV5)F@1sm&VYj&$e{CYZdg^D7qu$bO=t@1y+v>JDFw zjwrxb$926oHVc60ILWU$pj$-Tqb83~9F2|$eN2>@P zyHj-Nk|PtLQxZ8sDCp$tol*`Q==?exR>SK&09ho4m&e#2mEVw2QcZ0u->+GO3HQrS zL#R!fVU=tdB`xD%JKdln?#gwOaVS2wrpzzQcj?1Fw<>lc;w%;%+D)Rpq$tYML!CBR z@Iktg=1~AC?YHGeD$-+?SwOE!+0HQ9?P^jxE>sP$gv;nK+NJKy6#b@_|j+lrM zkZ^pvA4+6RsX!9+MrXR&Z>b9uGP@9@R|#6@5`4tkU>}M~s{nL^O>6QKLQ%;s zTUF|oYwO9+W{%mK{(zr~JZ@DoQwm%XEYY!&%SzLl@Hi0UV{ynNE0r25W$aLB{?01F z3!zfsx=m>UW(~)boOLvo_pJB+)$7KkCD;++#2)<~V{*5`P+HN!8I2I!Xa=CLD@;gs zQPx$mlp)PXsJ4=lexmP9O7_4iQ==sRq3an*6ihKBTFGZ9J(Poddy>^-*@%^{$x^(H zqO5 zzp&G7j7ROLjXc(_xZ&t1@$%p$u|p4fE>=S47?$mAgLQ;#*m@i805F~~SrIQ~HJpkK?~R(=?Z$rWDEeJFT5+Edu?;reW;SR5eRx4AIJKmk@VO*-RXOJuuOb1 zY>ZZ%#y7^gOsxp~*l=o~#&=tRkM@4g>c;ggr=iDu@A+O9`Y}EL6GEnG*Ia`E1lV$dA>%VWQm&@-ro_R!>UUqQ`!MpAK|>VU6<~?vqg9V?3cSIj zQ3Zi5w8<#Mj#C|TQ)sQGyBY}6;8&?2YGvRE-7(#W^kB_kvXd%%UCubwYJ;;~0mY?N z)A_WD!);+BRt;8QTKAUArd5Twj6a+F9nEM&&`Wg40|~~CMzLk-r^3Qu!pMpfo`hke z3P}S7W{N0e(ul^qy9?;e#~}7m%twJ9SjG)MmGTWw_Cj|d0@bxO*J>e4H+!#g;0#! z+UYdzyT@saVo-QU>@Ib;B{Z9Y0^Afd_QqX=*3LCfw7Rc=Sb*?I`QZ5A#1VR`mGg`# zS1G&mQBGSEkii5%x9YjseUCNTEaGl!+GCi%8pWQw3pLDJ7&JaGU6>sBwu+xPo_ z;d%|go3vp}4FB5DsHHyyz5w%*ePKNOzlpuT+?raGSjEh-;h}0gq~qb^9|AG~@xUZY zV%5!8_Ch)9sQ%KeY4g#E*Vm`QR&K-H-cEe0BcWgK*v8VhcXXdnw86v`(C2+Hz&K?tRXJ=G z22lvxj8&^g%nAC?))~tfzY4|Y?@X8%j~d34OqMtPti6xAMF8UMSd1gAFzw+9%l-&0 zfz&tT!3}sZGjKlv-NCP!yWFNicu5%v>3X4;X3lD25$JWxTBbxVP7zoRv6P%rUlo>b zDD}C^{{CFU$(zSB14_rvuuQ|roV7xoDhFbv5cA9Pm@T$`LA`J90rTPN7u3k!1Fc)C zzl)h-`1w{DtA&_fHt^NQ*V8l4f0B1Hb46yt`JY-yvNLNA_L57p)+9Sm1%I&{F97C9 z1{m_XR%wjgE;K1?%ZNHCW`fK4#04V}TB1Wely0nBo&O@Nkm%b^LUhS?07yuI)L<-m z?mv&tJwl?1Wxljn1YMX;zkk+)Xzn*virhAz{`m9F94jgzejri7(|eQ-KjKwf6U?CO zIQaw$V`R}TSTWG#(qb-ZThpn@Ut?yN7Fwfes#1$UVKntvwpO8D8w2gQ!{sk1kD4%cTb+ zek1x{HJX*0-|VrXV9yllrMhI>?KdWUNpjJxP)$7EUBNtKVLSLs9u{?C^}7b&v*dgDTuVL@6oZoR?8j{HTy%|^ap?c7A%l)_em--?0%<;sp`~5-SLQ9^`PoP>0yv9^#FWcXN9M`4{ zYjO5O?uEz?qJz5HLl{>4bmBUi9@avhBGaLHDpwGIA3FPP!};c~pbq#&2d0){CLmQi1Wk&Df9xgM~McA6=|;q6gFoI3HQN zQDM=XM9wTZCbQ#Z72)!j{98IaubgJVEjlPeM}4p=vF@wdY6B3Jv&w{)NQ#qw#xTkt z#tFok%zQ^vcv2e2)M0efi7=bteIX)JNku6A`#Y!un!-gFGKqn%2rd>0a}i?=E82r% zEWmMUHC95zNydQ62u5rMb132UR`wezOf5~ffrM%~EIaY>h&*NMP_=_6lYj0%eY0aQ z$Y%zHe5Bkc2rX-5B;U@)6@>|1VI8RJ)zcVjW0#(cb8Q}IFx4$>%DZK9Sw|!ImPymn zR9K=nVac6(;_9i}{*pGtWgA{ae>f4W0Ylv=rVfTtNex9AW@xL~&%$*&owld=gy?9$ zE1YhO#->tI#Amof2BJ%qfFO?7>>$(kC*4midYt)5d52s{nd zIK}}4G`WmNwN6YkfP!VAAhJ3A*37>7!T@$5Op!}!>hRTvp3B~hviImJ`EHaAL(*F<{H(*;F}R5P2--c^ zL9jMba0^(n3bGbrt)x&K%>vhyt)tb>Bks)p-y1WU!#0VnR2mS2Gu9lK?zQk8tu;;~ zZe|r50iSqAy1+vN=gOxh&uZE<$&}%Ja-S)NHd$7)>Sygk}6e*4B7tLFCV)^cj9)?V*h>E>W_T5hh|>r{{O4+67|nRMR%2VL;|-C?C{ zZyZ=xQWyqoJrZM>S+DRm38v9_+u!uO>(!V8R2?^zEb7*7=*isUWd11M6cdZZTt=3t zym+0f@tuifAUMg>)8(!)!km}`bMlawKvBrzg#EattBkvcN5iTBTA5pDqz8l^+c}@8e)41=OYn;A;Z=m*ldvxu<#0ll3 zkND`tV%ZHCuqsHY#gw}|T$dJZU;#l`4#EYwD2HMpgyXO9MP}`-^ zQ5(8v720y11%3Mno)_#ZM?-8(Y+yL&tlQQ<;KPGE*i=_<%iwC42JZc4uhVkE#$>J6 zL53T?w^B@o?+eAaQA5L><^$*Q*6{C+Kw!@3Js$R$ZGeEUKoq86Ei>vU0LQq)Eb~Ax zPzP@qe}Z@Q*CLO_e{^#zZ^V%X*CWwuxyH(26|k+I`v3f=REQFa^%#Vy+CE!A9MX+@ zzj*ksD*y%Z{MW<r1p$jo zYGDCkl`#s9aOnvh=Ihw@>4Ke@#a3Ib)o9n?0JfdS6o5g5HiH`reAMcUK@TW4I87L# zzT$o3VvRF41{pk81d^QO^A!321kr> zPzN7Xj6skJ)Bln3Rl~1oQv_ZXR;`8IL|eFtFjXAghbfoRO(VkjL!6b`W4}?xbFP@w zAKT`#UWbN9|E`X^t#;p>C@99U&QzY(My2+WboH#itEHbp(o1Lf&5R=B&% z=D_3z!3H2(PhGUi(1b83qUa43FUDufvM$-?HKLM@Atmoj7JP@^*e~d*53SFBtH%;I zMK2<)`THJ>n&nkZyIkF1?|rbk zH`!X9+*~#CQ?3{i#(LmPoUJA#CB`w4-DI$yNpr&3nH%T)lI|rTGxIrn?S`Ys!YrDE zVZYi^)T6i^+QsAeauh%2Z}r0wD-4m-Fn24ZW7nH8>Z__NoK3m|Mn$nq$WTY}LBChxpkOMLc@&=ie67Pw=m4sa{P zNB&?p&2X^Zbn+rQR+Y%VC3GU>O~yVlhsfLYiBnf!jGn>x+<{Pv1dDs`?hwUmUoNaM zE?_q#09U+)wUkk*8rR2;s1R7ru=Du1g8%>>!Dwx+eIJDmo1@ioTOFdosdpd>M9!hf zX#q(Yi?VgcU3Xs~FTn*o>__29RtZhir#mv!%49G$5|d>lOZJLoP1>CmM!1J}XEh}g z*;2p*pCTgj`=Wo%%Ic_Qg|Li-MQ6~qj8defoz#>EXQw$SK2sy`IAGBkr8p0tmP{rU zcQ#q1o&BwC6rw|%D;-u;k-LKc*qC%2!Fs1e2vT=`@g6Az#U09sg4G_ zF1!CKF?0g7F92qMl^m(B<(6z(09PopwkG+%fBz~v zfgiLSRa$JW5mX@>7~R$RpqpHI>VhV|WwZ$WxL}Mf5C8x~rI2YFy)i9j9FW>XO&&s;+=R8i&hOl|+C;yCm9hR|HLA&!k^CF!CYNWSGSb-1C z`M7b_oX7olo|jT0jCREc~AQilLZ;Oou9+bTzZ;7kYnZA`d!kWEhjQeo= zurkUDXE|7#hUw+Z48i(>!xS&{|H6HhId&M_5_5tDvBAMeWl4Ysp>NqnDdroOZy5AN&h)z)wCnc+T z*;{brX<(}x!}1n*>|D1Gc^x+i1pJr4Rc1c|!D4hnC}pydVO5rL+5TnCe*Afs27B;& zcQ&fBU09c-eO`ISOOB|Z;@oHk%a~7`H11($<-I}~F*Gi_2(X*XQ3i)}1Jq~b!z6XA z>2107I>>FBlt+7QGaI1J=I;!S6!sR@?y8xIO@#kE?1F3QGirOGBu%R4o=WMll5L^tQWI|YU~hPW z8*_~+Q4{F<@$$o8VLHYdi_U3T7ZAQ8-<4;JIq$ZDDRl@SD?*U9;nYulK#)+9FPd9|qtpisCdnhX}h5Ba0ZC2zidXClrfEjA~hT6&N|>)wKetsU#hgunG* z3gPwGXI^bm>Fh`!$cP=5$2`mLkv472$_`~v@-bKVx$4_ea6o)ig<&!soDjGHtOItH zT7Krj-Qe1bns!*SQ8Gix5J?jtggWhf(P~U*rM`H)(_&Ev_+L+*^b96SC@@y_{x83- z_}EW>K|OQ#P1(kVJ>#8a`Zq7R!2a`W1UR!F;F?6n6chDSnCPXI{SQs@G)lDPk+S3+iuYIA?M)km|Xd zb3ljCEOI7fOv?L75h=_mYf>DM@6vxo7WRn&e?BU(GQ?Ilu2uFT)yWUmp75#%3wf9NI!P`& zOd`7_AF|wK=AOEIAH4X2-ActS%TZhfdFN;(Pq~n(L=>)&mk_CP8pV^PcS}uk8;g+~ z$;jh{NmlY$woF-9NXvO(D!=FJtmox@<&N@lX(R-2_B7aeaY^qWPisZ3cyU!3+6tY7 zcDj6Lk}`f4nFgFy#J!9F_-1lXQ)VLS7_L`Q&vWHJ9D_*W$QDIWK$Ar@@nWZ56^rR0 z1K6-!O8dYzU9ZrFVpn6W5>-VKN~ydoSJ@XuNpFD5l-023$A2L|E?$orj-&_YIJ*1&+nf7 z%rlaQL?M(%miag2mpH-jDeIsD0EMF0rrDEoJ`_8*`^6q(S?vrMHG9(?QRn3>qqKqU zKkFrw;jA|9srFgml0|0)@k4NqaY*!9JYZ-XRO<*0ltPMr+d zeOMNam~ThA|5VR^oX-!PO0{!E$UBM)E<1P56k_JzIOm&^k!ZD0qIJuEQi(hFl#!OP z4~T&hHOd&qL+KgH?vnB~>xDw&^baSloaw#OrIVS#BM;!W+`Z^yKNih3eLj!wz$lj( zR_KSUD=3|gZGpo1d}n?01^}hf%b2C3Dm! zjZLi?GB9#5-;|v8Ox5Uz{}y{zsndas&Q50D?C^vP^Ji{mWiIt0(*sE8w8uR8-7XaZ zzw{Jd05lv9M1dMKQ!t-d=`2KJb_5oUlX0Cs$U1~Y;j^^J)A(bwC|+%g(+-O@sH62E z)1GPfd0RKmY#(p=&)@eq)`+skskLd#wkD0&j{w161_XWw8pE(lF`6<)r9LX9P(E0x z#*vKPfu1|akfGA4oqX)u;baHakXbvfBZ1ZLhztX_o!_$Yu8CLo2VGg;WDSs=26QhI zOLi$}pZkw%8NVuGqUQJ?JW=N!oS}Q2a;k{nt@9*X_r>@MUfVOc)*HDo`<)ZB{=Ttp zbe3kO`1P!6s!V$;Y56pK5(UsW|XdZ?{f@13w7n!p7X-`sLTmv0?s74C{t=8Ln&(RZ+SW%a*5^BW6Dn@0|Sz_GNRV~^x-qssV{rfj;@+&xhNb0e5GwtA(8fX>7D)nXh zsiA9lUrSx7k;yLm$X*l|?<~P^^5X5>&tfv!Q26UqmUpx6n{8imw5aH55}F=5P?l&3 zG*3NQRimM-7jn7*D}KXuo}@Jw0&-7}5tNv!goBP>@Mkx;)0}ZtCNv zep=0<5M}_9q)uaS zz;0XV2{9+I&K_hAj&mO0^C~V#l6?iV0Ua0dSkVL;+z*qA#*^-9HE$mMZuexi0Gj2| zr-9{FAO`_b8|>!;GLg}E7#4W!lz5J&nq`jJuTQ>|jsE#;l@}VtvEQA2$H-IaiJ$(S z!r}Rx&GY|s)-2yUIk$EGb5&85=NB!%P(QtQ{>cxbtdKGRI{QVR2&Vv}8M&WPH0h^v zl3DudD`VX3Q|FH1PRga06kYS-ir`db_?;t19Ip{ zl(I}VBU8u4j8!>g2qsGxKPnk|sT3IJF6*^r(FjdhU=|sz!<)ri-$|G}qyot=ij7o& zCmfe^Q|?@2g?I&TZK+?D0vQKy|B2V4%^*%qFgIFEYnaAZ&^nz|JO_7nCz~U5_Ig&B zC7a6W1`*2fe4|yeyf`P+r7izU@4;OzVh5BJjw*G?Kg0wYs8Yd36lrbg<#b11{|P(9 zv~+33Eq)>$xZ6@QMO<}LY(MEps`HtSmnv;!+?u(jpbzc4vFalHiFAoDM*(>s$2e=h z(_g5vA#WtHJ}_Xex@J|dR&`rzmA~z=x-H`0UR&)RnZfkuZ{~!=fuz{I){YPlKsnBH zet%9yCHvpmp)eL2gsP?uwg7w=@$SV(rJm14`Fbw^gI%LV%VJ&>&5^~|-6=wh{;8_; zq+9;(?=&wjj#z4hLu*HYQQzsGOb!QqGk)oC56pX{i0iN}_TKCbMI1q=Sr9bSDpi#m zQv6MIv3_%)dpJc(!-kQt@S9OcN33-*=QePM1T282^P;T2cHEC^7Mc-9O>xF8+H|T7 z+XDK=?Y#dd8y|jq-P6XVM`Qm{&Ov;zC`SwIWmmT~6XE$9Dix_v-{am0p7Fq#D9}dE zy9)Ll=dde73yq#?Q`?M*dvo~n^}3Z_X806N`-CZUn^f%|tk-hvHs8fp%jY24%s9}F zd;5bSbEzNS2_r1)@(!=+Ir~5N$ZH{FXj-!;)7c)fsk^r`9Cgthp{pR^Y$LIb^0J4T zE5-#_XK3X65d^^qL=LHN6S||_ZhU7%7h4L29E9bLa2y?8)~0F4PX74=UcMY+cuh*S z#wA9PGe&0I9ZCU-M~Bw6tBu^F9;qc4v12zvf0K3d>?XwTK^H@H$Pp*s^fUvBT}D93 z*_{}?&7b@)r;pK=vpkW0r?cf3G8M@e@*Pj4AzcNXFba{}+@#N;wuHff6|Vq{?yh@JvsgzQ!4uw1F``Uj2hq&Qc;?EhDeXx40c z&=qkkV@RMDz=2o-Rii4uO`1^~r?x094I~jRX@hmaCc9~2gYuLfhl&*6&+5X} zVi6sNYZe~ZVVgPiGL6GJDFgWDC`JZk1{AEs)j%OnfF@UlKT)lk?W+n0CWTTJ>jNMj z(6v=zB%iICYfPAeW9o{vT2}MSeUsNCj!T^X}&>(qGBOyt!%Io}( zhsdu$vAXEjbNj|$Bj$dJ^W4~Jc{z@g)=@1;{N7-AAKPUhKdo^kn+gqs)UntWO%c#S zrOtwY)Twxqd9G709cEci)0S?<6QM{3v*0YQvq_>{V;kcJOGS`-;x-b>wMHTIc3S(b zK&OT_vYG-_vXj{eUfAx;2$#2A%9VCy2?|7amQgJToJmu#6)=@RkUZzU*(4$!ofJQ} z=FTV*+jMFq6{rLA z)SXg2PxIe<+xut7z358ss9#IEwud*U+x?9*{*~SiH9ivrICj>q@Qb;V<2QMnF8z(Z z0=`c&al#SI*cF^De7iqdtmmeMqpxnc3i}4TbrKqFYD~-ZHN*ST8Lbs(VYmEUbvm+* zYF_7PI`rR}8C)pzkj!RmMy~t4*grF^+@vS=Jcv=iA2PKk>fRmIE5m2|y5QH3mYFx0 zGb(rZV~H~|x={_*Z&j1Fx+EAa?y7o~oBiQx`e|=_xXF)ZR0}G6`Wxxgo5Khn$Z=yv z^MiM%Q%q}w&2cMTk?;YwxF$g`xUUxUGOIA*4jC4o@Gl3<8AJi-K(*L2q&etC*HGCt zev_Dk(;FjZ8|+H@Qya;0aNu=~b?l%o+no*d{2U)a7~$xo;lJTPmf;P}n}S`JGC;;w z<1>#ZI0qThTAVWLHD@|iyQjo`ICC9OBMw>wg((92kmQo=bANNjgXuXh^2~$$oZpy_ z#k`PZ_n%9C&#J9q!|l*$cyhGxMK2tvygwFpXsSQn%v8Z-oSUm z+X@^sxDACE5AXwCr7L)RwA`D%>qN~wGI0~`uTyyuBd+UT8GI+ek{*)q)sJk?W zDE3;7@4PwjaW2Q%`Q~~KQ^Rq!X;RKAtD&oT9y+1dIv$|+Jiak`WWvie?!C2rC_z`m zA|d5XveGzY&>MH@%@0q$x<|QBjSO6ev+;FJHMoscztX^jbz?C6?Xc(appwYBecyf{ z$j;EiP%wPo*cUki?ZhE3Xmtns3&9=UtyA|5Zj)khhZ`Op>%pU({q~dSjW>juaw{6? zagfM%aNK|6VgeO#v>+hLleWx&1PO9Y+8jLIf~;8>G^eirwIhewZ@tDx=XFEbXdUz@ zkKJbM{*I%kr|i({8yF1(y!x>wfTO|W;(~W<&1UxQb86z{0SIE(eBhvm9z&?&z<|LC z*xjVXse-O-(Qk?OZ(WrC+R$;<%kf=iN~Sg$*b{TwwUyt6RmGKU*v(VNKh~_fK+%OI zswcF^B0FEUEqGpVTcP3vXx3goJJgxZy)I4=V7vN>Xl=-Wj9zi@6H;L2O^zyD7fTj! zUte)y%A6f4Ol<<@i&ljEE7k{)&qapy~d+n$R*sZ9`M)$uUUj2U2C^+ z7hyw=TcTrdSO=@@7%&2&$L@HHRJW0}X9mQ8kJ``)YRBMFgET-1d>o@u8)*hkevAij zbumI|Op9Pu7FcK}vu(*C2Z$-(|I0tNrU&zhYI0TqZvIzq?J4-y9z1 z$+8!&;E0o+-NQpz+AP#LE zFwH@znXa;)z3c*n4w!UFam>J8M@N`cOgK(URi;G;BOwZ|o@x zn<-*5>--{oisN8a=GfL9NydNSa{2Cjdi%0PdK;f<5m>T>tQBetw`Q*JUg9&7`!V}H9RxeM-ASQ*iDV`6 z7vJ)=F39zsTK~IqIp)7&d;Zs%Z@PFHiJi9Z_=8C2wRR9&HF^oB%Of5kF5u>KgDt{1 zr>tYFsoD^xsE##^_M&EtqfW+M3}4YYgmT-WWE#c>14toeAxtTe2@&NOzK8>ZT$fd&Z~xsfG|%w$WY%CbgbCfF#c60NU; z892P9Qeh;*Q+6jza$-(Eqk_nyUlMhXykaIMzJepJ` z_N)mec-!&R4ry;n(rjR}(7o~Tyh=ROLndjq1n81X@Px42CA84Jy>RblluBC{rZ(@+cr*GJ`8tv>HYrRBgv9y+7EnKjjfS&&UxSG|NA`8`@HX4B4GBcR-r-# zlZ!EppyL2$kV)$h2)r<|%*w;L1cd#{(X4H6z57$%iQ;~CYj8NxdW}PDZi~xsTM~!ZjT42loH9TmeWuhhQ>fjsOl;6}U;%P^gq#y3XyJ($ zmv{RsRrz!ls+cC@nLS*!_^^4ST>>^Mni3mtJy|2 zIUbgia?=NYs=Zldvch7-$!aCTehRx*tLGm2WzSq)+<#4B!-VbQ<7VnZZ0eY2pK`FO zQGWmWjibRe6QwEGVJHipvs1h36VH^Uu4h}hI82UnBu9PXkZoIQ+mV^y9Z3qwI>dxI zCN`}k@)d~8a}ZIbQ4OE3-xb#Ht}Ly_pUaweRVuZ6DrOYq+bw&rS}$E++I@ZL*#1q{ zT#WgL4;7N#=RSW$zHnD5Sd0(GjOPxV+*hPt8|s@* zmeY{t!xIzi7sx7wdP9yslFw?|Tp(pCHvHu1Y<(GtNm!yh3ZOX>FGT-^%H)x1yuTV& zeL{xO!>iIJOCk6p;r=mJm^KOjatFT{e{$lP%C0Xa<=6gt0w~6e;@&ujks;5+xF}eS z1MNfL5u4mcpn0cGi9rUfB{o4jw9jQgLV|DEL4bgrdt2Oxk`XC{7p-K?{ltOX2~id6 z_?|r)*R=^f*_+)qWA5#Ygl8*35-|6pEhO^3c5h=x5i~VM7%ffa{iGeB0LF=xG+`!G zfToOJ1UD_c@;`>oODJeT_m)U}0SONNysdbZ^=vYkHHF=!(j%4fvzAR#Ba=Oeut(X( zBDl7(M!r3q;EwSZjb&Mf@2gEZvyqaq2B{6)0%inNNhe61V}U#{Qafnkv>IK6XtVFz zFV*>a7$Ug!y90nO-|?uwkIgUN=!wd zW?L#CSF)2;bK5F%>65KvEzD*Jjl9Wg!kGO-mAWZE`met0F+x%!_)FPMMLvzeJHw)C@HNL&ys97fy0$GMo@Qql_7{2ddwnD$ zKZ3dUymSok_#(=|G}1yGv7xTngfAP%OI{M@a~pJ_*$IF(Ji`d+wxTE>tmew=pg}U* zW+$`Jb>PNpH(NwN4Q!bA>~oip&mvI55+fI`ORB>6&W5^?9F&=_NQvlHMBs%OptE@T zkP}|;4MM?1%rr#RnA`O6oePfuD`01(2IHfD@$bV`?O|sRt@nHszIPDsrKr zMD*8R|64jwV8D3w2qqxlufS?wiY&b|x@)QcUd;*qgkMku3q6dEXt>sQK#t>H-&cu7 z%N~24;EH(Q)y2A5H0waM|AHoak^+3}YT z*0yYWz1qgMv`pnhSSjybV~zg;OY`pvZ(BXK`h30mj=cvT3e9d7Y~3Fp$5t7;`?^xx zI#BqVKgQe?g7E@}hut}z4Uz+#o)Su6tGjaS?iMd{u~8m75^$IuRx}B$ZDtwYG*2^E zuaT47h$iZnc&*q{5ruhUSZ*h*!et#A?pMp(e^>aTrSqfD*PjXRueMrBTg}zi3&m2Y z9kvb@-cd;otgc*CDVHnpWnrsL{U7qtciuFRKJuuTexpJZw^roi%4);q;oyfTq8 ztA8uY+34oohm+>bY_`bnE%i9qI92p(w_ItWcYj~Le)AI&k@SZcg7`m8?hsA3TWl9t zowHXSS#7EaU{lfXb>Zm>_jNNvVYT#R`O4~qUo>%rapKjvQ~A030$bbl{cNhZi!BM~ zf8&Znh4n*~scf_CUls2i%iU?Ko2>dL1!ZcZGf%RW-`S9KOLP8f99i+)+M%Uoayy@G z*X-PrtYyD*?UsMLC)auR*V%AznbXf$z&`dOIfeZEyAD)~$EsSEe!!kkyt99PZH;L5 z<1D0RP5I+xRhS%F`}_nE>9Huy1>CPGaK7JKFTGs-x7R+~n%&%2dFNPd?4tlxr<#lW^Hj*lVH6nKiRN@7)y4{=AvNXpnmG!VsSacy4E*`%(>vft5T8 z;DpUvsxx2haBZo!O90arNrMIz?JmPJp(I9rl)$^C)#kuUD9b0e(SMq7&`x;NuiRN; z2e~Ucqrdg`S<^1#n$-Mzca0cuXKw-yf{O#j@_9&05&jAV>KvWG5_6IUwGs9;@IsPJ zAD{A*C9s$QI6+up4}<0{{}+M(bW~@5h52^Pce@~J7DR_L5IoyXpM<0aRKb8nw{k>1 z+pKSl-Jd*GF4X)hAHPObCkZXQwQ;ez&$sO*+GI0|wIHgq{G7!NB>i6wSSYxpOpaU* zWwyltdM2CGoF@S?|71Wq$EB<+F$z0oC(7yj*zV>6eW>hC(8jB$u`V0xk4_8&t!axK8eSY zy|x0S2$!_0CIB8RXFGpl9_Q4J>sx?Tj%zIJ|ED&tJo?P&{y2L~2j1wAi8S|w!4JqV zdMl(h_-bV_sA;_iho7+SqlS=a5h9ilIdk8`^c1H;FFZ3zTo?{7N-@Ec4hkNXQR-qgaAEKdcoN8|6Fh&)n1+BMJ5@ z+E{pgZMHE<-YzP+0Q=@xt0; z*Vq+y0ZAsGzm^ll@F^$!W3wAj{}#^Oz`vz8R%7ld`AdOUyD=Nx=(B5`7g7a7Jbrc6 zTlVwpo;b6FKr@nR`4XGfG_&p4J3H;eA7yv^a=Erpudof!m8%(SF&hmqKyu_oWLu@< zwsQUW#NNjXXJ+e_Ysc&U5B|pCwE(NXk_mO{Mm{^9;|z=0vi4n2r^peFux$W}MjnWf z`LvaNN3A$B3pF=nh-Bm6=Mxp3CF&r#h3flk*5I=tFL;^svNfJAk5*VY&F7bHA5E8s z>_{PfzfOzzhvaQs70`FwfAK%umL8&Up%l_LK?F%D``YQ0Ip6?Law;yGITkMz`n_ z>2PcR<$TrtU)>MC^{bbz?SHUPI(uaX^AbjWZ~|}Hr*y@idZzHX*hj!x8-xfPafPHB zOLR`%dD6mFW9mMdtHvHdA~R@&1;r92DlN<>!WEg#@E~cZsPbI>+R&6uJ7402+7o*d zueFk`OlAlu%Ze_{M_zmJI_hQ$q^YY}^dls(D!AEmyfA)kF(IYoh7nVksan}%YpfSi zu&O_;7vOx}iEqu^A0@*)*A7IR*c^MUSjH)1MkNcmjOU%eS8HMe*p3pv7yo;7fAH$Z zKbtQUv+TDY7rbkBo2&_lO$j(=%1WeGv!+F0TkvC3nB&AZlC33X>qxMe(ll8FN>SBi z*{C`O_a6I)f-4&GsBgKwYG&#!hBv|sYpIFIvhMO&wPdq-cGWvwx#_1bDaGUd6p^dm(EW;qzw+SDC#eOheQ0>3fkA z`K8EbfiFM8oA|?oTJBYbmNA&*>*wlkk9;UR#Wu5A7K7uOi>aZoEYXSaapyyxqfme& z4I%;u$U@k_wrtE^6A2OG5YoVA-?NxC_Prv}N6yJ7Q)lYAwrxlCcVI#ivmcv}gj+V* zQ|;33+g7dj){l;oB-tASe&iEag&911`(WW@yg&1S!X*3J=sX5wH)${4o+0K~O_X>u zQSRRF$8oA=YnkQ3M7v-XPcFs5M*E-+FGZ^IM-sL#Hwz?#@~i~F zuP5fli!U0c?wAyy*|xDQD22K~{U&PDpp5ERhJzbo)4V&}<(q)SAS9cpe5L~Fd}C|% z#zegoX4b2Zuvg^E)ePynO5xn!-57CfX;GVi5m}P1QDS2hIvmX~M}T~)2jVkf4Y|>O zc6&nD_)|Xu!YU_?ATv|!6VE7R_cFVENJ6dAf9RV7b?aB&BQ2XMu-Ook6VXRmq|N#$ z8kuB6YXa#i=?r!cCvH*6h%q$1fNbDd(Z(3{QgCH=&OZIOq?52!fmyUBKVoG63?fMMsCL7cEQE!S2#~XFNrV%WnlgN` zIv4x|apaC%0B|CQ@t{&;6hQ;+=*hxl5M(ipFMTz8I3|f17p_PskD#B>fzGpsgF@kO zOe|*_nQ<~ATwVrv1@2Y9?e0_WEm=@On5aLdCiyQR7ZCZR7Aq!>aSxL`ktT_p$!z=Q z?(_qlyaE}Vl%&co2%SF zFa%MQI~fP$RWkso^!Vc|NwrM|GV{mCgPmtJIiepKdW8 z&^8OhZ6=NUe>%#pWY}f~LYiPthI8%DSs+QF$qho!}6v)Y2p#TL>J37KLNgqF*LI#%NwKlLg;v-u*l{z=;q} zdQtdbfrb}jZ%=G=xXaWt#gihJnnL0uI0(&@O(g+qfV>5E7$ZOCE@$SoX9}T!Qvt9- zP{_8h-4CT;VfNm?%KkhHSR{y1yFeNoRA@TTI0+b`my{UkLQuiHNB0!w?~~o6JJOAg zhR6V>-gh#uRMiTQw5>5#Vye`il2tyGoh+F8t6%znN`FHyzk96AD!D=yuChst38m<= zQV@xn9}x|ng&}M~73e)SGA4X!VZE2&SW9G}c+7`#!Ut=(4U1NRlwMqZ)V}L+N-^fD z?_)y-rezl6_r`@6s?Y6i6+;Mmbs;7RM`C7`V@yMCG=^d=q%v4h3Fy9wUz@EbwM%|7 zIT@dv;>=MJTj*b#a$GWSED*vwnZV4jQizX+`2iD|7cBEOOt6r)v9{F)p8fBw8g}D_ zyYb|;VZ5J$$ahuZKY8tIZw{&dy`94$G594j&fbvY*%L(=$^T2^#cGw!aidirNscYX z)b=sPFsW6FF0IvX%uTUllI_5X;LBCgJ1xvhkx4q{A?mn0SYKsb6O%v{$Y!n!cQ@+1 z*mfx-dnj1n85rAaSK@Y5d1!C5_@PRBZ&Z3XJRUZSFaBljGP|5DjkE1*GwQ_ZW4YW*cjpS1C;oycp%)YBIReGEi zG9FuAa?0q`f$G%BYii?qhikEQ~1Nn@V z+2xHDrTv~AsxeP!f_7eO#XFPCD}wS;b*BC znj>D_&kmE$1YcR;v;mzZN{ve`;t*B;<=`4~0B7HzXhqIa?29OPOctm)Hbg8 z)}E0Ai128K({!iK_`kXEpKpOD4RY~ zlW~={HE8$`oSgluDSzYCE!F7e_Xo++)Of+IaAJ5-BBVxS-IVPo)kO>&jcQ{)6_spZ zTL^VI$us^^HQTIzrTRGbhRrJ$cALc9z3`5Me z6_HQ*irEEt0f!?{yka1}lhorhXIVed!siOTRtNKMKsgJR-tDk{807MNB z6E>S2ji?E!K7)uc!O&~~Qiy8sMUzk*0+JGWV3kv5Ui(_}&f;8oC4dGLCkT64?#IT= zV`IQj&QQ~oS>*FFdWlHs^puEoW>kd%Zxv}Fr>817oK=Pi08pKo*CCwI7}_D3h716N zNW*6cM%xX+LJDq;M35It%Ie;Ge*L2HEGeomV4p{hU}59Ohd7hk$NAqC7oVWes8&RM zV_%1z?kln>3g#OtO~ckVm}cgnxE( zEz4l5L~gS3+KX4SQUVbP@C)*DH}A>Dap1iVW@f$H6%etXJPsTba*L>f{0qDN{J3wg zCZw5rKUcG!InAS%q9qT@u6ibWT(c%<_X(7`WW5iUYxM+Ipp@(xX>tti>GevUj7({b zQGe^`TMmB?yMr}fiRa3c0YV85+kJT2n;LPIRb|i0XQB^}?3h`}oTwfq>^W^g50^=X zyTmWWph=c59;wQlbG4m#Z3sfJF;H!ZtpDvt)4bB(_K#J)P)4X%nRI?$>6>x?j1dZ* z-02_(-07^Z-8NL=^vTfeUUIqFQQ}042i&2k`!6?t@0Ds7NDBSbUrx%YlOXWIjiJDr z?W(%}4hBhviM7&ulYoD5Ho#rVXQ#4EH0864hCdMwJKD~cSc|S<7H{ky~_`*f z=G`}oe_3N2?-#;Mo<$i^;X_5rJje>+JYj-30+X^K;!m&5q-8y(N~O_YyhtX;uIEG# zn>Xw!;I9|h_&ZUws#8E3e*X4nW^A6akHoHI8)&@urs@O#I#-%niVt9r@f*Fu95~|3 zqd)-zq&Nu(ND$#E;Y=}JBsiaOG;!Tt zW0}9h2B4m@!C2DM2@UcCX!Sc$sEFq7Or^3n3geZXo?k%_w8*b zF(xp|0ax5?he=X-&m*L2%!o+1glkN)sQUXwlW$NB6@M<1DHz8K z8|S;THqM>hJ6mrz?=BUq#aq6ZBvY*1DBeuWuow`?*O?SfrkFsEp?F|(TFkwj6jkUb}YD#-7eWC4CX7#cYhT%Ay`Y|l2wR`9Qx>592h5tZ5vw?BET|Z z0WO6zPpqT1F<>IRdOq24#>cg3Cnt| zlf?8MtsC_@!}v!{)DqArpf$0+wImTwSMr~xve73nGE1w}!qjptG}SVmt!EP|`H0cI z-}=~yI<5i;-Q%eGlp@E5~z#c?W!M55V0tQu(*x-%K(GEg_ct!Zc8VMlxYy} zTF7Sn{0K9ms~7<{C@caiYf?hI*A}%#r@8s`^(>e?IJU|eU(|7}a4yk7tq9`>SAbgT zOoX9$q4Tj#B^7i_r0_{H>8ut$Bpf`PFe{)eOL6OO=SU%V(X<~%MS^GO4Cn;@ z1|m^M7+MnXa2Inqy2wWp(gI+T%`1+0))3UA$z-*B?VSoecjtH#a*6JEgQTQMsmn)Z zZ1cQlJLGf<_)03jq60!@on^z4D4rpuf|&?NGpu^vBu;WQOWmq3=f?FKFi@al2^0!7 zs5O1g%H=u(FybSjpi3mg(i4(~Ed6O6bgIZDKu*mdV%u zdh_ahailh14M|xu4q>0|A^``Z?gm@sqbHXYZ+_-2i8)?;?-)mYdmn$!wu+y7uAD(K zK2)sE+19CXMxf;q7&4f}anmO=gxF8qTwN|up=9P^7|z^W{nUs#&OtEtz|o_J?9{`T z1qZc%9P77CwVC>&QcmgbZ4k8*L=)@T+T0UJlYWSEhSF9|EnwqFU;P0MdtIDEk8^x#gG2X z?wbphu;w2xeAd>iIrQ~q|7iXBYOdBG31w=RvJ!8)aQ5S~N4)2LvRbT=bBTVop+pqt ztOoN>HY&55&5u*_V`?M2X3v;{{X7RujuoCOk1K1%G~mxRMz5MpnjC_!87QTY)H z#x@1?S{|Xms2tz*<lgq_JYvH0-J7i9980O5Aq|GQM zD`b-<@F|AZ)RrzF%*C8L&sgpw@UVk8-tzWrH260gtL@LJ(tfGFuvO-vw}90fETG(TOO1#v@asx~T6l|0fRE`~pvxyrNb*2=oF=DCTI_w3Ub>$un? zrfx3l*0v!_I`M_43jt`H;)vFWQnr9%z?n0l2JCQ=c3jxIu|a}pgwpCly)e?uQ8Ct> zt0Io%b6SkPZo-mu71~0k2-#z~rgEYhlH_Ng-6U1=pt9*bdPA;;IpCsdmjbRK8IXxu zT83H{zEM*4iDCv4YtiR4<3yqXuX&4ss0_F@9aG$#=g=TZ*WlL%xge#d))|ttB(t>o zg*e_QQ-&4B$=&meju8!=&YRhM2VAe zlTM?*8SKh)hSYc=33kP~s4|wF#GgpA;CHqR5Do9_*D1bYJ}Y6Drjg^U*>9HS3l$K{ zzgT8?FYJ2m_MGauzCdAB;jg0|9SsomqO`lGgr^!mxMJnU_7U+fodYGr_U*O-5A zn62Hi6c9I{WPw-Qqbgt)-W{90mEE&$Cuz8ftM~rs)113x<6THChp2cY;~;PJ`=qkk zZDc7bO>htkQ?)lXv()S*dwjd$on9wfTgh5a z!)uu~p~TtTj1-qZ73>Q9C zf2H{S9HIN2Qf`$mS(hne6}*?C-3atBeE1WE84hUPGlHY01enX41kiiNh!0r3e}b8T z`6KzlJn?NK8DrU8lCex_$Efdcb7je2%+*5=n{b;K>L%GV~6HZTqM zmpOb+h^NSfU@h{K18-6b#gPzyoxtziRgCMroxx?!GL4K0<{{H+K5sK-DjQg~J_uGa z`-(AZ<(gzC>TGnQvsV;r;Z8RUp@4Qfm>kPdAMd*@hp^;v4E7L$wSsKwGs&r5qb&9# zTUYnr`h&jNpP3@zP6(N;73%E3Q_AhnSUdi@IPliTj>Pu%ASQyjhR{T@2GLrrUWw;f zI)qw#P#o0Q9K$qywzbiUX@mrNMdslmX~?2g0Kf#XO_HW>DGoW7JXj?5#v(pYr5=l= zNzeYw>s;FbKtQi>36RM>`d4HSbe5+ChvOi>v`9`XNUnHr!UP*7BS{>`y2Y$p6ssp# zxJ3zm{q|MBVwnP)rC8i}3Mv+?n!_H#TerBIWt_SE-OM^ej92DI%)!hQ$)Tdb*Iivo zn$=68S8_WE*>HR*VFl%!UGjpdEEz9D>Nt5@&b;CT4HS*N1r z>36&_@1JIwqU0S65c~uwVEksxq>j%d=4?F!iTO&QGiruD5bz=I$S+O;(r>sd;fN$9 z;ghQzNI*5`C$xXi}VZ!#t{jME)Fw7aphoR!DM@Z>@%UdQ5?=(=KI z>ud80UJ+y`;0|~+6`2SE(hQo3UCHFDVJ23o1uq^1A_eg=OeJ%oJtk2H#F1ao0%PE{ z=#Kjc+!HpnvFjx3ZaVYMEX0|@c<^WvW0@cn!zu?n5ynR&CRU|}3G&YrBhyAa5*w1@ zr?aMyouWm4)U#pU4lqn=F;xc4j z64Lbs8PkoZ8j}4g-4+x!NHrco(X|HKBbh;WB-zPzqLeXlF(fQpE)c z4w(WPEKgq!a_eB6=-V znJ93RM8F6dN?}4m9#0J2p>7^(0-4znNf>LX2{(;;&wgJnrXPAzPRNqR^a65!OOS~4 z(VnqNrVvk&;Z4Xm1gt56Rgf=V${O)UnN0^cO|sRR#ylSxhrgE6BVl}|L_MTX!$|^+ z=&yqh0U>6L3=d;@sP2%+&r$9f@wW*LOO7LdWwtE%nP|(Mm{3EIU2h0TonaI`MHHFAqNh8Z8A1IvI)x5iSThjh~;j3c{b$N588yknhFocL4if;48BD>%% zP~A!uIAa$A+Gc(USZv!iW=Z0Y6>%MKKg$&n8`5aQ(-i=-qh&TrQ_rKs9JkV(0Qg5?~i}EH1)zNB%S)Ry{*F1()jAwJ;fWV zca^Hwab(=}h1+L0ys+sG@Wqjd~2Nh@N78{dWM-G&Qhw`La)=s^*~({0gv?dGyvtAbOCqz9 z#LJf*TDxqb7RE2ONdc6W$zd^I-DSv)&8=B)RU0d9~|2t;+*~beyXlm z5L5YV`?m^ztt7!d%dMmgnvBP6tuy)RfBta9zELEYC{nFaR&gug^+YjC!ASF#>6#C^ ztURyZOvmHlpDvZK8x<*xY&X(Bo^0)t_iM3W10Sut2IYHOYjmn|f~qe{|%J?bAD z__W4{nUMM%j|!!qM|aBf1e3`+B~yjfvAJmoP9h;cAJPy>gIkUSq@qaVQDOv7XibI! zhZTbk$+0eg61xqy@~S?a8xuYz%(`aRU+7W&=v728{Ssq?iC0P9T*jUSr8vYGGIs);)pTL`Jj?R`P zo3k->2`lE9%3}6b2Vd2EDyWK;qcF41CPew{S=P(h*s}rJa)Lv`B{xZ-#HLs$s$RS1 zpEV?GAPQXOzhfEZCs_i=bjdUu>S!ONG2bI5&3Sjc?F~3T@#0EcB~4q{lQsAbEOiS6 zXrmOyW+Z~1?8Q_FgP0^%R9$6J;+`;>UByzKDPd!AdkWP@NTuQ6DcwX;d#(8~GERwy zDIqEwgVG_Z3LyK;FT zwB(&Vk|X03EwD7V9#ij9%FmBi3NpG6{6$q4At%nCc2*Z_6e%<%ct(T;f?63tOvde z`PUqhItLvSTN+1H2#pRWjj?Gzp|Zluw~O*}e0@qQe3 zvg{O8j{T6yva5^jWNANX1RjoYhvzD-n8DmM>eV{&6jgyqQhU)7{JYdz8w zZpcpvEDN>DSbg+B(za-^9CvdxE`4rQRS;%T4prV?3^n07Q_UAHDI~S*!Lge|5)Xzc z;n*CGy*x^`tKK+*s`ES(=TOp&#t97)R;0-xN(g*r=qK1!2phPF@1#TKEV%(gTeVF=4b0oN>vK=Dvq@xf0N(khbD-NUbLjY#ikev;EK-Q zH>u+{0uPT89k%NQFvr}-+<`(7%QIxQ@UL^p%Gf0{7ML;pK(`jx&%K>PY9T?&p;9~s zNy(eDG$kkm*x@jrV;z;(F6}392d$ytUL%SuzSqm$KDm0L>fV)p%_sOz=aku0FJmM z3+ybRHW7sWQeBz#OvNI&@8)Q;cm-q6_xXk;X^uNPjxnc=z}r`(C9-!cu1PS11}P+b z7g}N%V*~9WCkgc~T0P`yN&wQZ_tga9M{E#K;%_a0W>Dmrec^xoGg^jn3NDp;RNl+A zhnxWdE(Ta}$-%0AXhEH^nY;TcaeeZLK?V^CkDQU>#onv&+8-WOG)@#uF2S3Ok=Lb| z3Yv(dm6sQ{C*y~UY@SlU-nVu4PxZd06N2s2q*r>k7E%G*zCmz& z+n0mND|=6WbyU>g75Nk%I?_l`8LS;-Jp)771i!WBI4d~j%tr5Ir~yvktnayMa4lo0g_ zPi=A_Z7TkKnmA_i#`WRXjxP*t?R>rUs!gE8=+kSW zclak`z%yTCR}CJ)vx%M?W37s}Rj7(*r&IE2_FB8Fc*Qpd(01~<^6!Xz4M)E8QBg0w z>Ro;QqsUmd;j`yGx^>rYy4CkI?}%3js}P|l>Ow;bFient0PX(AU9`(f4gDiuW$z_( zDP2PtUV@?biunN1Cj%L|^o552N4v(k_a#rJcCz;cY0FdSdGF6jIKYc9M2+-?ErA|? z@7LBR@4hp5?MVDRcl#tFw!7>?VsV(i+|*zRQibHS1EO0Uh2RrlGe$xi+w8@*_6`MzrT;Uj0&`8R*? z^F+n+Y`QNOBhT3;nc6wioRCk;q#fRjUYtc0n;Pyh=>V$gY73i9Y5>`PdVY;WLV6FE z)nE-zgscjmOx$fcZK6KEmN5NJ%2ll-PyaNfE(5pVd>c|rwOm`Pt=dhRpmC<$2%X&v z0FB@7)aN(3*5RFkb0DDHrF#dtE&_Ze2X)9n&(bHuCK+mN7$bN_rCRTHN>fYlJC;b8i0M0naaT^9qUQ_Lf0Hxfc zAMuCiFSvo98AjHDs!m=x^~B>xFLG|W-mcXb=(kauU#BWeJrAHS>Z#)k(_a}M?iaE6 zR66(m9~wlT$$23Nlj#&FtP+)wOzv{pKgdHCpS5LQ*6vUtEo*G5bsC~8<4^^)}wuqat258 zwEJP&6H9=zV5jzj$F_d&CkC-6;l(Ov!df=)2YdFt7(!l10i5lyVcOg}x&at#qxsE6ssM2nt z@C#>}q@-&iqH9yArDC()`%a}-7IQ%9?Mold4R;U6naGw_E}&UwS`d)dyXYhJ&{9g4 zBHyYltoIu1${ZB0`R*|B#+(zqIX&0%pHwTXsk z-{-s!LotI~M%HT!@PDB#P__*&yun;Kg9{`Qlwq-R(&p@)Gf}y3O%Ji&J?=6dvS#FM z5J7j=hQr=jP;Ob+>|kscHZcciJG$FNp0Z-uSc&EZtt~m3o^5hw_dQ=9X1qkk$D&}+ z&4R(?z;_<$$-NqeHbsox!WmOrAgis-O(7KqHicy!$3&o64zEx+4pNN{842 zJ;pp%*Bxw*(nVwldaU)KB{vk!{3cc8XPO+OwIEUsP~YbUVZikD1!(U?MLnk)@azu_ zSv%H2@f;SeHZiXe4TBH*w4RC{83(YJk?GXu);n|#ATr&3(Q}<6yj{yPEO{i`xmTT2 z(}eonv3rQ|P)bU}_%_2(%dFz?P%nkkK+2e)4k)o6MI1IJ37*0E{oX*= z)Y|uupI^J-;};FyA%02hYpIiiRyj8Gv3G=}f9BTb*Reh8P3{*f`^--@M|~r60^kDU ztYbb*1^ROz`L#j4BT*xmL41v|)WpplUQPt+h?dZ2EE4_=H`F?Eius~`+Nm3EH84Aw zNZ*_ZNezB#{9dY@r*=S0yEwV5U`6_O*gSlUcFT4U%5?y21K^Oscb%gj%<7zP@X5UP z#8e;dd2w`*^d#t<6TumLb8Kpw>Kv`7kfX|UEj>Ha(OAR8zl2BKyBj36Dc;V(7;ISA zp>9utO0INj@%+@zQIwbeg2kDk{Z1O9~|mkf5?3;)v@<6Lp^-h9_vLKWJ4n?qbdv<@I{?r(x{T%kppj^;CADvv)((>||3VH% z*ZA&0-<|XB?sJISlV`ctx8rx`ls9VCjY(Kms8bH1zyE ztYOg&5UJ%K2EmyeXZ`WCLGPv4GHTR;&l^QpKGgBYa>u-}v|FPo(qPBSUu}ybALSemv<7TwcnSfwt#%$UMWF z=E7R5L*S<(Pgq8vg!Rbt&#@yVuJ}{(vnytlV={YBNLY)|&C_L3HroSYW zEgAuH#hLBl?lBHMx$oQHA=D*sUGxRW1MeRi__|IS+-_p`AU$K-cA!DNGnG70NAWb| z&pTMU!t5l=VIUF;cNecZf#|;BwfFd>4_m+R$AhF-(Tj!*Y&%x3Lq$3L$@=!tM7+K8KTJdogR(eD~P#3Kb@$hHa!H{>}m(&Wa!%ntI1!7c4#t)|{tV1b&`eGdZN zE3?p1poh=_fD^rK(Rb+jCvT(CDt0TY=t)2>5E-o<{~l=eDKqYRmxt-_N-Od+R{8i+Q=ykT2V&e^6e$y0B{rIT=% z7;hw$u-uuj)YuDUC-=UF8V&k`GN0O8r|(YkU;4#M2N5ToBZZS_ffxa94Qr39Nv%XT zcaW+i&%klQj5AHf{fWke9A5`Us+%G`w_tgt^r;#S!=d2`{NaLZnLa-K8^ZyQGuGG* z3%bfPD48*kW1(Hw16~QS{sQhNfGpqycbiSA(FM0llN2Us>KKu}A$q+>6v#SAzLBH# z{!PNckrI~Aok{ouX~ZgQkAdk`NokKH3*o@7pr8&@XWhLl3e1Q#WkT~+&E z*gOAxQVc*K!!Qg2J%AbUF+5TFfmrN3D8vt8FVo;Y3^HUuG(-zP`l;{PK?VsaB!nMr zVsZ2ULog7II>`fU=+grt;j@UVU&jw658c0QLooz|Q>g(;T>T#hVZb;^CU}Pc8kvwj zV32X{@&fG>kA1vj!O-ZWgm4tz27+8&N(iZ(C^7&;8kgx_H{i2T;wS#!VHhMua&Fr- zd@uZO24F%-21(aS-giPaXAlQk0gAqUK-2V|)I`ZhI95nH1|e|XDbGqEoRO)Y`_zX9 zVMq;zXesaLjQo?Kgcuh9g54_{Xaj`6JyI^b8*#a=WTKpf00jLT5I3$zsWi9&A`Q?! z@=HSiFhtS;GRHncb%Q5>n+OG*N1^sf@6&_dCKEls2_f(xU5wIKOe}6se^IdWxS$Xr z4JTb@p!DLKhr9%ht$H3|Oe71MfswO5kdNhZ*H#gsqq%sg^9>m)Q0rRWnRopYT1^sIa42VF$_|`Q&kk^5rv3g+>mF$qUP661K1SC~> z;LJO2@Y%7a_vU0k;EEN^ul&xClMF}F4hY52N(K*xu8C3fp&KS3A&v~h5=bH@ftesM?)e8&aW9%$TbbHXa7A(qGv>!U(xGGuGg zS47dx1Z~>{93JQ><09`X1*L~S|MwHqqCqqF)YHSmgd?7;E;=~J*ljvuK+j)uR27$@ z`}|s)+Y;A(>Hxc5TqYh7fDTR=&L=>6vm?OPzaJ)mbV$~eaT}w^hprU#0yQV*v@04D zuFEEIClUcPqEl)QG@~PFkqDlz3vP(YNfKP7m^}M)Luo2mJDydZigk~sBUR`~6?(Cv zW0H7`1?bERf|j6h!(JbPG~&Y`2~w%fkQ6utaAp}QlyX1Z+NlZ9fQRZ0bW!dL`Tz$I zoD@F~tZ2DYSMR1n=l~;Vh!$xr@ZiR;)=o_g3FgOcvjZyLR9-8&?OOnd>k zD8lwAYT1nkK)S63PQg%35_U*Qlh8qq(g6N0-=O>`h$y!(fPI=L?f2fy-Sl@u#u!`g zl4Yzf>J;aQ$J1Ovm-m88695x)i2o)8P{@WPfQxAA`oWk>d_?_JkcI(B6@a^Oi1pm% z?-&kQ+yLU-0DzZ)gIhi6Cu9(Be1sE(Wq-~nXC>k9Q$8KC1*V@`0g3AX0o*_!jZGDB z>*qf(Bz;IWJ223u#u*ng4QihoDdQO0%l6ENxG{Ao9+JDDD&x4v@j_o#k=# z=Z$>rj}OgGv5y-F3QG(eJ&=+f@{=VUMY@NA~63^6^h7#Va?dw*tHE~8{{VY34wvcfn-&NG!Dx~?RC z`f6-@oZsCFZkgsQmlsI&Fq7N8jh$R@@ z49fPD9UR4+2#u5(1Ite+8Q%JT*!F)wEMz?xyrdZ}FQ{Qaw|0jZ5OPL} z2_0CiK|)mP$15(QowlI+hOl)v^}4lh?PP}tE!RST5C}_z2?n8Z-}tFp@fjw`M`Y*& zLb~sp9nDdSybhNEbh=DVA(ZXk8ozZ2U$qRt49=v45>a~~Kkx2DriH0XQaUORC>Oo< zu+``__!6c)Y`6a96GNS1n|w@@QV$?2H;?{%wuQ1YM+qofZ-b^B0TJ1~b$JV>>aW}V zK(~&$!qa^?`?jI#7x|B}MLFAn(!y4rWlxwL`5cK+DO!+e8}2oQ%aM8qvJO6BD<-r1 z>D#0h+uRqdiYv+Z81W7j*`9+)MaoICOGrWuwWKCf#Ck-gk-;#@vAxbG2h|<2D!0aYjSgQCeb`@j1a?AlVI6Wk zKRPii%vekqpvSfc5XW}ll>WS(K(fN=i!JABAw$}+Cvvx z=siS7E;{=5`MdS_qr*2q8|;;;Q5bwXbTa5zrP4egRcXJ2hE$eT#`eNw?&JIBrD3u1 z;U0`pT#XuOh97!wJ9K?}C5r;4JBK4j5SdaD<~wrtj$!sgVizQJxvOl=1^Z38tG*+mr0r5p zcWYNw9Z$Rdjcd*I>jW6sz}ZoHfW*3~`wNtpUpZ7#p-5IEFa8{vpVY0&^^g(uXxOUiabM3>3I_y$6?gH8) z^K=1Wa>Ju2>&D$T{napag*JmAHdw)s{AEr3_QhC|G9bo@GgSkIA~lCj+PQP^B@`X= z_2H@Kccc;|!*VFlR@bS<_wzl+xpob>Q?VM<9JLhkdPGkBsawyF zzW~?NnX+s-FL}s5rATzc`fkS}-vE2LMe;1mw!K_34=yDz7~jdjQE$;rcMgwtA}wa7C&p@NpyRGoq$rBH`yrVR@Xx+)DvVG@WGetqU+UB`oHX0{wvLOLH#B% zp9b4BxEjh9Av#+Tk9lp5_gTa`zXGg0|q@b7#N1gKtO)KX~w0hJJ0PK`4 zb(ji+9(*wvnp;y^T2tFKjk;uIq)G!7S8f#Vjy~PdKIOxKR^i4MGQ`B>S)g9S+?AqTZiwMN^NMQm!a@V+@L@HgGA|5-FLQqWcwiJ z6WKeazH7TXm?*Xz_6apHTX6!9oTn}^u^i}LOnuZs8%XBPbh9nJ>rfGYnk{+}{DC&r zDLWvsQ(lwJN1ndrjRU~sCFUrSFEE|v0hxQT8IeLnRWUN{RA+9G2D;bWR5=KX+PIg{ zX!zx}wdBl!P^uMkl#(&a&#qBQ%cPxY5>!IM=8Z^+ ztr0~+?_5_zkV-C!l*kBVA4ZS;C+JLcNw)sgA2C>^O{zz&+yu*rFq_?KeeL7d(}WnO zfwuS_Sz((mJ??gB(R1wCD`+$Tfg$W4c!>>nqK6wYYNT~; ziyqw~bEpmaWz{)F6BX#~q5=?kC;k`-?%HlI-Drg!+K|mhf9eg}WOU;&QpvbL{YQS( zU;`Lr-IE|igEZu(kJyp5k;js1A0NH)%Dm7SdY~vL{W5)*wztqK&TvH=Ul^a7s_BI^swxRD5xF8wwu!xkk@rO{FK`_THosOLwD*L#P#KrFEm79D9LUODJ#)@Vb!gJ-O&{$OFpl7_B3m}w~5G>dN7!s z-R99Z;gF85DHYK}v?%UilBqC@TOA*EcO^%NsWTaX0bvuAa9&cxaKq0l-+28%ca8)J zE`qTTw1(fpUT3W zOO_PC90=Ezj_I&gUYKL58?*L3#=fY+)I6OUv=NuVwQh2qyfPG z*n8=qcbOgl5{5(*BFaQjccd2~E0^$7u`x-^;uhN#4FG}W(m$0(2+9Ey&$9?3xM3bV z?^{p24vFq{=7tQ&in+2_AG8+5?hRZXreC4-x< z-+O((A2#Emo4CfA0NC~xMs;CLLs$9&a5v;xDp@EoTi2F?y^CZwrRneu`4<}o+LSmW z_LQ_d`-g*`Awn6s-)Fr?bx=sug#viJw=ChT0c$~+fAhy zIPYHEw(q&)4Fgx998`dVrX}A7e7ZD(EHYalHrknM%vgkjtsOBtU5ao?wwEzw5N=(R z|3yy>myiD4#e?GMgw}RAx|K9vX` z3%gtx4u0ppyFDO*dcZl*m;qMw08E1$9dGX0gceDt)}&W}*xQ7!J7v zUGUt0K+Bkl#A7;M-WWl6`d1~mVpyJ%NO*t%V1U!B;v`}dcXG|SHhb$pHnzsX_M|Tu zz>ixUioJnz+b=1Xh=5hx+WXqT#(zcyb!h(tfrF}{{1yt|m3w-VpVlT#%?j`ak)3HF zWIfbjG8h6AtlNPew?IWigaP_@`~l<)AVGFbw70SI136HcSP_a7F*=P=)0UF<22J{q zw(KxZG$kvC!13P9UEX-TT2EhaS?UPFtW`uoO`9lDYOfa;1%pYzn5H>c4V~z1(wdju zz#uX(F;Jwf_1>rPAUr|@kfH66NNHvbkEY86>e0A{W<*2A_KxfuWXVhTh z1h0E3;9&fcxDHAH*R*>picf%sWq4}w;^FS3PTH{=MI)nA!$|kW6@E${ zc~ZKnmyD>iOjcyzW${X(AKGf|zxmjJLPG{z%hE({<_v+SPAJ+Q>e&=tF_NEjiu0^4 zXkdEMmssVZHpc+R?18?J2oX?&=8sGbi~t&c)SB`L=XccjqUyR^g-i^Ts(Mo(`Bz8prZT*Wq(x2tkVz-BZ3kU>C=EkhfGauvWLD7 z#6V2JzNhT+NB~uF!GOo)9;HL>qu*xk@5|!$83~mzS*=-MA*hkai}6APb9q9PSDw!?sEtf8`I{*wF2XkqGf;2~2Epi)2Sql$`rw zd>m1HEO27Kdl=t0Vo(jF=>xx|4>KR=!)Y_E-1N^c-Ig8Uj|?*sV>)a{ZU)i&cI1ks z;v4iZtxhJE<{s>pK zIpx|A6e8#8Ma->;%CTSz>ow|`*$o^ygGjn8)p1L^MEIQ!G3S(N`a^`v+4szk3{XIN z#duLG&es{S>+HNO(L@>COGX8INSefN;zFPg6nF!6m#%KHE)77abZAPS>b)!7C*yMN zfwyi;j`KO`5uIYq;(}%9l)v7?0%CFUvTd@Fcsp*@d|-wGDKJQ!QYFM78kfl747?D~ zZVeXZ^N#<1$pFP&6bXpf5b{fn1POp1T@>KBl$|Rsvf}Vj4ep8^T;uep8^mw;B6oS< zg}e)H1dSBar~gpFNdJXY`?f`fjO7~03hK<5leP&cG9aX1yrwv+QM~({96Uk{#8hrT zzcGD~c?Bv3h~JvKc$=OeAfZ7`IXee}w?)pbP)MG9gn=kSlB474wsYxN4WYyHgHo@q z5aa|4EqS5W2VT5nKs_>DAIyFv{LxY}tJfd687+mIJEL(OBkp1(wFfXR z!dA#J0KP8hdB#^IY_@)Me87LjN??hwF(smTZ36>uySgW?ASbYpK?n=Nc`4|6_o|d! z^5xj7aZkN;U+RUC+%a!{?X4flZFA097@U3Pv^P-|=sH1(TTe>l4l4p`Heb#kNI+9P6i$(bHJB0xh@wA{u4fgQqOp>$-hwu}6f{8&xyK(4-N=NTTB|*(4YGsSm$?z@Q;sKv|j~p)^m9A8lCIu-(%>U}4k) zJJ6676sd#(TmudVD)xs^F8wlnkL2k)26Fp7Prh+je6iSGENyNhDP6OoRDq(HnYwMm zC=`}psYht|29We3{oX-Il4xigVCBy}|4w&T7Z9Qg&DDzXR| z0G$R2h<){d;rw86HG1rzAQo4#kTN&+2Y+|~CAg1N@sZTuk!SYfjGcYlvlaw@a*JM5P z5Qy-*J!WzdM64{N=k5FD9wJf`@w|HR_NI){g3qnTJJAxF+V)UzPSCEzn zqbUDF}=+RZmKerpivq9iCH5{`Jwjn2dZN`hGSXlgWY zqayG~mTnt*KFLQW-C$usbnfFnHmJtf*8jh~vw@Q1s_uMsQRvtkV8IP)v6Y4MMSOHk(nsMvV`O~fFqL=5+Iv+O0G8JM2>9M5N|#(HnO7w7@I(L zHz&!ld(#SL@x~4@iNhvGHVIiy0>>=_iSj|bzyGVM?&_JIFHOr4(Ude@Rqx~8`|i8% zzI*R`RYmKhqFa1iZc@S%YX^pliE4X!XNPOZ3MldzEV4aKsefF}`K+^e-h;8(09xtKR3P{KAmk>(mnbS1Y^dN)Xq0}Gw zy9*f;ah|v?HQcfqwoly34Vc&HsYukA_Zh=z8lOlx+Ez=Sb2%kYH&91aOk-=)AyGkpw_VwTM^h*B{3v(ZDbwpnSRuI+zwBvq6f7LpV1*w zzlkwRRYn=OB%AH6uVmt*IpG0n&5^v}O^N~)5|3v3-}p+1?o^ATS!Jd&Goz`fiI>?G zkpy21OlPS>jb|yguQp$nY`EqWFKF@^OIeTsq8n**WCQtjT^@;UVH6Z4=$d~cOlp#Z zM5i7;YCh3qrjbxQb=yBB`3M)Ku02-qrnWgm?a+HM6M^Oi7AxjF2TrsJ8#FdqkwZiT zOn_oO@A65cgepP;@XY6vJ&Hi8B)%dB&SNdKJ4M4j;j=HArObTH8-p>l;EZXOvB=3Y z)*Qb*4O8es$|ig2jh{|53hK1thnlZtr;q@7g0g=@fKYD667P3tFccezJ`x=(U|DfA zOKvNY8PMMu^E1e@r#ZN&ob(vY!XGh=Gr zH9%p!Bv87Vj_5@vTMk@M-oD{la=WycNIlDdL4eR5b{ z6o{rx@er|uqQqf*5Ps06)(cl9RI#yWJ*4rl=C&3ye(WJ4W#XSc>3!U8@zEsaw2nb3 z-vT^Hh%Dt~w@7_}g>IvXGA@pM`}~AdB2Nf$a9l+;DyDTDH5!YZdIn9=*iOk=`vZmfWq4Q&Z0c)*2J*lc(k;mR1%+{z$d2T zk?5EX@s9r*Z@q{ZXYk0Uu;wfO=7-KtwwXDNYFUQS?rk&@lesgYNer?DsWG-5A$t&v z)fLJDc|xO6Es~N4QijfxoV0)SU%MIVI>rog-Gq?hMo)1x+o8b`3%!b!QLJu>@W_;*TS&oBkXB+5E1nWG zXCjKGY7&nuP%0?E_uzp9?~D&q4+4e@UjRjkU|e)WO+COJJs32QK~*+~3HFgE1}2f{ zno`-!bB`s4734Ay%?3z5{wBr7{2ddFU?N`ZV&Y?bw5VeyqClks5ZxXrVAzTVc>eH> z7bcLSAP{nP>EMS*C)3!NFxcT)0exd*HG(;6LkM;@`ILzKlNUaBL02=FCZO3+Woig> z1R4OfZE%Q%Fnp?}Zm-&?a+xV%7QHQIK>;H?rpTO4m#g3I`RYrPRH(0Uwt)m9c@7c1 zAv|=X*)jpJu4bZv^aR|RC0bJ6#$9dy!Kq%k!~U|NmS@VaQNWhq3s9tqrA*;f$1yjm z03I4KPBw&Cmv97r`^WERymhkZ5hh&5cVpuanojz=BR^qh5!r9j8(@o#F@Pfth%aVM z?}4_4Ag!UE`k{$rJ3UMV6RcxyB|N$~1tdu)I46&up4w;W#a z>iU!l52FSUHtcaehsg+zhdOM~Fpye_%bGJNI5P>Jdfk@Z{y=ege3-H@Bnj{6Ml+rX zNDwPiPe}#DlI<}@%;1^&Hy3e5-%tP}TL zs5`K@d6frYGeTY6r??N{`>`1LKgyd!#jS@rRtU;;;LcDGGv2;g%ZdlAZ_;SdNvGV7!vmb#hJAD?e0B zssLO{n$G8hwN)UChl*B<0U2F^T6A4wkjBY6hvPC#(Jyi#yGH#HY`=4DHv@h!2!Vjb zZ#M%i$oQRH(otiK4D1zx#c-wL)JPX8o59i}EvBye1#H2d#}ivDP`I*WoFO(`!okFh zXPEA@+J)++Gh%fT&QijII0_f^8*LcUCpt~&$5}Ux%owhDVw71^*c? zdKg#=sXLD$76_?cd9QNs`^I=pOt@hvMglJQGD(C}x}ak+GJy(o zI3%GcfdXb3ESB}e&#X%tFdC19rSM+ZO+&|b#+}l&md%8Z@8hX^#;24c0hNp4V ztTPhhEHr?aQ?K!gX+EV*n>tY(jRRvNFeA^!6bgr|m!EoNQc;*;ARsi3t*?o00u^p7 z;Va%lhGrwkjnGHD4NX16#RyeQpkza~n8kp|9Lb-bY?bk`O@9#{>dY!KeVNj11h&Zv zjH-4-k}jf~n7fTgsCScxkvqTr{4XUX0!8PcdHB)VU#Eg866n`h=p6%G69B1Z7~v_I ziKvT%&M~|{u{*izT2T;a*76e{o@V;e>=zx1Y6a{QvSCEf9gnbCxHVSiAfdfxZ7Z-I z`TG<-9)mJ_m}xvihzKJpr)$rcA@A^g8%z&$d{RJAgMc88sx5ug=^T+q%)Xe~soD=F zO-ogfS%%6(BZIIz9+-q_Bq-P`fIvV(1dl2vHm`cK5P=l5(DZM=(1V3BM{m|2b5S9L zkbv6l9|#Z<<|2#{H8dhzrW|s}01}$6JtZn64&jo+&F@MYGhcj(V;8ZsMpD;_a~W%zk4g_S z3ELxX=8hs?Egi|R11_5>>bs^=-H1_uHbipp)RjbHV#=5OXAVB~jL4mO)prAOBxUg> zRsH6t`GI@D;X}p&w~qOSx`0a<(X=5eV~`{oG>ip`bUMv)#?#Z!-|`ARVVj?JgA|pi z_!wVA&lmIhuI+0ID5M%z;WT(f|1CL25KskJ!^Gx!B|(f1Stq(w>`Le9QNGn0_)k{O z(_KWpxrB7Jgu{G_*<67g6VP17KO|97@{>FOe$_kHZc}~3i72mV73+a@O9(buzT04v z3eedQNw0tGI=7h5L(a6%$AR??WgSi-NV%KuEDRq`4d37oXZ(>8NBHqy`T*UJdXa{T zyUf%gBC77en26$lCY2xmHRHbDzV*iVe&y$z*C!tTTLC!3$(x^AV?KOe$foI@;xJ;J zw;EpAPX#5<%6rc13ZA<6(L(XC+bTHUU$`2nL6)<~0c?O@Tp$)U=B(0vmr;^o3d#gk zgaSU99u9cQZ2VB(wnow~{Po&t7XzRy+Vigg;iCnQuPcLJvOH>yxHe2r<)`0Oh=s!o znaw(c4N4A;63-6Ib^POGE}2P>r0*E_k9>5k%h$Z!l*A=Z1DLHn%VC{xI+YEK;AgIL zr9WG*;n}qo&;lxmQlHXlj|pd4epNaK$24jVO3gIKx7;9qU4;*Sm##d(_tJB2S!0RA zcT#AplrFPrP5YLUFQi>ylV3*a_SI{eqWC9d%pZnHR#P>)a!aldG0;!_=HFSsqc$41 zutv5AQ%rWqt(QG#KRVSd!*6^KeAw}zj7BT2E0xd>WD!)O0R#;Q*GK~iB(95bk(}D@ zTB+$dH{fDW*A76>D95cyA8r55?^;>fQR2hlmYZWtc=QZ*h%NcI#_a6yM(C-JW+yLq z(ymjypzKtTgX~(1NMwfHLc-KyE)OIWL~v>*(uqMzvB2m=h^CFKE@sDb>Cz*+ZL8!k zhSmf!8>_DE?Z75jAEa(WmwHbHDLFW-ecR6CMXy|R54&uov0Jwn;8S3^MF?}YK@**d zQ%<0tEeC0A#=y6{t8;}~K7$40HfTnP=_Sic0+s9JZ*WVrvi#gNP!H0Acu-C8-?etA zJLqs@y%~jzl7#@Il0Q1cIH>iSR6FIQVsJogce86383r&KmMRfmSlJDQG~IF2CtvDt zF2S<`3>J8AZ5|aw9hD-aQM)ZAfz_D93uk5+$st6*@WEZQ@%q(Ozy*H^5=t$nNY69r zdVamE9EMSvT@C-`y9*^ssbJazmpQOoBzm69-~PN?lspJtbWEea$*2EHMi|GDTToBu zGLWq=cr%5hrXkRI&&Ss6tT4iWE+J1@h)IOQwT@aPG@?_SC-hgxIj~dHii{D?3Ej(=S9K zT$vB#1K$hy$TF*25at6;@*nfT^7jiwHvP%5tlA9u@nK{DDx&7VJZ@+VI!oPx&`QXv zHFj-#P>;1x(4@{-)&j9*rYNQY1FqaiJL&E3zQ7IPXYCzIW|K%Nn^H48)9%0%!3=-+ z4pzw=`budgo1XwBBr!s&3NtBL6f#;2k!7oW?b{tUXIddBUe-(y>bFw10?}h|T9QwT zoQ-MF8mKe|T>J->YB>yU-(zH$84S=Ut1>^?e0tNx=cBuFjJ1@-g07fFZ$pO41o~wR z?5|gjivNL3Yy6DB+Q< zp=LYjGARKEqe3_|IN(-5-v&N-Odp5r699_b9Kh66r-!pSJB#FkOU+JGA?=_nXv-ld z)2f%_pm*GvB}SLsf?97_lF zODyj|nn#o_ady?*QgHt;?(Jo4BuO*V;PMkQnDYmJT1}=Og3E+-^yjzH_h<<*DCVr4<%*x5-0AG|yi4iNk&K?!V9Q4}Q!gKQZT^lzxl}*!6Z^*Q z9Isxpr9QLS3nq_!<=QPb&FuQv6+!)RG*JseynN&7nH~ zj-i_lPtD-+V|)F^JE?WNj8!Ck({^pD`YE#B~P4Fo0%nU;1r1M9D3#(q<2wl}K zC@6rGttaXy$;A_etbE#qs`7#1%GCY?YuW2oKlYUkYa0{ym3X9wIi4M8+ib!aglD*B z?+pofG+L11EL(2~$~E@gcCpBysNyxkcaPsHSZ@BL5Lk7@lMo2v%e2FPd3lVx{bR#v zBn0$nf)+%XC-&{FAG?1;nrG;kP5dT-T7vphkIP-;PcL^kOd5MNrm!UG42Cyj#P>iB z4dziuNHHW>k9=+Ib>kh}v>C)ZnL{=HL)>17o6`)qFDcc>$H2X99o?MWNEgfing;1C zlrvmQDY6!-u_~?v!&y7Jwfxf!fkr&Ttwn zRz?J|sc36p9cS*snYA6-NKc5x2oR44Pdx_g7VVhgDZR>rHDrk*5&hWJ)uij zUC+_j^*e=zhUOGIiI`udzpa2@3^7y^TLN2n-yT6L-ZD`G)8k-PGg)4m5xotpI0Gku zXCKMY!QmR7>0BYb@@*FV%b~udZQ5Q$J^G${AO^VLsFmcC2tHa%A$)(TdHgA`QCAy5 zA{dy{xxk!BGslyqH38F3ZaM{f$JMMGtAhR{t5k?}V6YYen)UoNRcn`m^U;8)=ws}Q zhJ};f^o|-D)@L5HE~Oib_M?XZ>eXh-z{CQTnlZSA|4&XRk%mrLFcWj++UAe77(T zt?rGU*7Si4tyKnqXWzEND^a_WDO-1JK|KYxgMS?RcP)+yLg{!7c<$U)VJAN%S;27| z1Jw^)+5+1fqbV!6<-J!;YUHe@)pD`h zrc)*6;BoX?8Le@t(NcgX4;;RAIEARt+#+V3_mlj&Y8D!jsl~<0gk%)M9bt3TKiJ)c zC$?TV{L~MB#{Kf4cNL#{{b$^Lhu-hrxcTL;d;Qb3Q@2)LUU>b}Q>Wg)@9lg2+Z%hv z?;9R|`hCBD{L7WS{)3x;{PN45ZJzp-%`Y!s_N;5puPz>OPu)twC8vtP!SDZ!`?gzd z4-Srh#@&0%?TwqqAE5Tssm3V49{en|R|4cR17y$P-yRo~J%{hhUwrsEFmeub{_>%d zhJ>s49TH7HvdN2Rx!TZjYyny-TMdx$qvfzYm95X;^?wV85E5eqNtI+%l4Dsc*jbnm zZfHmHTT)VdFu)VxCk;(MAdMeNpi2UNSb{rw%m4~&(fKPn+IQuiEbtVloikRCH|e-F zmyHjpf|F{vJPpAd5cpRN``C8PdSxu{74i&M+Ecbl$YS1Z5|JHpwr!MC$1)NNosLAc zf%oHi8)_T26)PLKxVcY3_F<4)dFz|CG|70-2 z4=?3qz4cn_;Ex7s+VY0V*+Qk_rT1s^`9g)3=P`(_zp?JMvJ<1(A>`N#rcphj2oK() zRjOzEC`7vjt9UU;(1HUE8x5NQ17>yh`KP{7cC!^oBwAm<#c?qOwZVCP0auV!2PQ~n ztm;(7ZS4;NZ+}4Z>*LN)cAc3(WGVAlD^2Io0IWveVLP%yH+uC7o49IVt_{g8Kq-c= z4Rq2)V$YbgLH3(p{Q{PID5nt4FoiC|c<~-6&}9Of;w?#(5l@S7Pn|~R`PeR?Mu`UM zN?(RMee9rNIoj_VHz5@K0vMfRD;r{xT@MDVT@2h(9qqiAKBY23X_w%r^szt*kuTUx zRYWcf9UjghYoDCV+KIFZs-t*==2JSL{q>TBf~nOLwH~7=UFH|)a`6y74`JR~sHL%E zGg4N*%M6xjN_LPtPkUJdRpmfjz^}$wo&polB;yBD{lhyXo_Z9Km*Xo7}amBTLnE*(LO}B|VmAd{G}jbT>Q`1K=vmvdYMC2@ya>=t3Gun(w36YFpiJKKK=F zf-tzqq>|YYKt<_*G<8AcP2N|gRwa!$pRJH+n!=UDKdq zKpPnZTG_83%G&pQR?vyBpP zYtV%f9r#p(Vs#9)z#c@MB8lb^qD*1?H!@afuduk3px;dd0et~0Y^fT@rq{`<5?wQ6 zsYE%Sgn$#;!sE<;#e)Dz9xK?IK`Vu+N)WWrYIKiRJJong*~!6jm+@(m@X4Fm+WL*C zPg-9Cl3Q+$O^jFbyB~1JD%X_V0~59Tw@htq6n5_m?oXHNH|##dj`sIlks%x)OSt#HD-I1Q@tR9Y@n8Lh1Nn-g21oB7UR%bc+kb#R&ax50 zuz?J0=1!(3<_%tX%!aT4iL}w_NCnan{wMxUKC0ZAnsRd|-jyjI__6O|{qfzo)SC<5 z`qa+-c>7gvP5Di&^>RWRoQ!^LwCS%+8T6o^qT>r}4)9>7IeW@{3`kv9TJI5Q4 z>Aydi_9pfnus?fj>`RqQ_1XtYe)XFBN`aO4i#UC^jTUYn4{+yxx|YG|$tZw;J|?_G zW-#{JynpqMOG=I6yDyo@-!^f$nyYAx0Cq$dh}Il=gzA2#hqTZcz*rxdUuTXk^N8(llP$iiKC?cT@{i;6;;rqz9x8T^ zmg+NO`N~v{AJp8`^#|dqWq@cNW-MpL}?V&@w5CLmJP-Dp%A zUCK_qQ=x=(wzdI{Q$I%8vTq$9&eZoy@$tZn)s&yDRcA(+QEreIAb9nCrR?OslHc%# z^AN&r6C6t-CXLCrm$F_yU)%lK()auTf|aU!lXSBl?nGq(5x#>-Z5K>qIwPYT;!+t z`SK3FX19~L%ybHN6vpehob|yw>9{W<22bxOrs!zB^rta&M^S7)zRl08>#y*vx3e#?9*FpCO3i&UP$4XT`V6!c}{R_yYDYdq%*6`~4b zwsUdXxu$Z{t(l``%bHGka{rw*!JSvIo-tK$o~}(5Fde0#{JoDBkyA=piKSEPsUHp(TC^1bRG)5{KO+?OAI`i-ACK3^b#z(^R}INKv5{lY7K zLK>ewR!{()|IE?oc(i*?fpl|>rAzfue=K9p8keK)m>u14GG(w{!@^q0_2|V>{^QH( z?+|v7xzE~T6`QMPwhtYOO0WEM;#2D`uh}IGG-nbkl=-_Ja7I~TI-&V+Lu$i?LVjq! z>z2w)QI37@-m(>x0~eF@eqN6jG?5aw*=%}&Q`}e>!YRW=<^d6;)AMoTYu*Lf$qLpG z?pM*P)GBP0z85W>;{mg8jC~yQoMsqD1%y-r$2QzzZJuR>dMH~oIBLtvt_v-6wHgP{ z>>MWCz`zr=vd!yd2Fp5%8>cP6uE%H$4(BpQww+gY%a*rSuCT{IA^;64S;`>6^kv1Z zi7({fFJsFV*Oox)*DK{_5U`Mw#W0(!xhPcgWCD&`jTad}EsNwBV~iW9!H$AvU0T+i z)_(uWf6)pGN7*nGB=j;>X<>ZmuF1_vic~eddql-NQc;`6^ySi}<@S}EBETwbL@BHEZ4A08PFr{VvEUh(F6H*`^#T5IdFztnZY;@B@fIx z!ZUpJw30=lD8dKzp;Fu=L63c$osfqxrGdzLP zp_Sk=qHYITB8?~}oknTZSJ=#fX-x#p=DKduLSmly25agj-=k3`gEQ^m;6i^T+GOsP zW)@e&Ml!yX5+5oZQsvhttV1CWsh}W?2RY=^t56TM)Qs}g>m1~09L2EA*+7R%x{Hkm z%5zdb{EDy$0AT`LGqnvvltC4uVG$Syt)asXMKY|Y-%ew=)}|Rw4^NyYKiFhKnPO2~ zb9ha~FalFWa7d1Fq+TLSo*HA4anPcFA$x&Z zr)i`p69l&0~quPv@uf{@J2Q%cjE`cFrGnyng9`eVQlMMMx@GAA}XR=C+#+w zaQM+(bf}~_6~m6YFl!fH^-(Gtsg{7D!xZ>dEq)qy5MG2(yztcd<=zlPLMSNu?gFI*!7v`K_;13>)&mX@=vOAn=yZZ*F7;BP(3ZUioYgm{KtxgwN` z8b$vxEE%@NbQyP}OhF{1fcv6s!!(jO4C}}X3>^A^K4UEzdr}l`|=eA;1pdserIPlN&9J)4I_~kA?oK zJyLs&Pq96o&ESp=<*)jKOm!HqLtJ21!0E$Mm&XMCEUn77<-G}5B$SflUCpyN!3Kc6 zG#@rh;ENn5$P-xg!_u+zfI!u~W^_mK6-wvNs068N{=l~@LI>e11tT$lL zh9PD7>J-lPplTm{Pp10%LnR8*T2;hb(>@1b<{>P`cfCbqVHYJP>Bi+UQJ{wsxC8o1cp1zuT~FS-OHFopwQXacv| zCIM{UkZbq`9aL6h$l*G{Sv83=kf}P{Xq$;TH5~EyS@wy^A$m!*4UG_^!6qM73+4?Z zMZPhAP!n=Wgc%djgTKonvT{<_8*MkA-}AE$^6GZ1OxKE-g}gyLu<)3 zd(c`%cvKii!FSi}@tO;Em`+`hISr}^9fjjWZL|cJ<(%C6$Je=EXHEXqMYFJ9zT`b- zo&U@&&G&Oa|9v(I^rNJYD;#`jq!t>La8Cy_68awtw3Z%Yzsw=O6sAWf-O#C>|A|RQ zsz3*wq;*mCi|0IhaS!yc#A*Jv-?HP5L!o(w-Hpi-zJJa~8d(m&Pc*Ol4Sc{i=zXkq ziWMpz#t{O=Mlv!yazz;p#Uo_I>6)P){W^B;xv$5ssiBeKlBcPFqXq`t?SO$qiW2ca zJud(mM@x7k6O1d_R8~_-{Dnu>Zhzm$%Cf0R^vubWVwu>M0U|Ao=$a~^4zG>oQhnhQ z3VMiBper<4_^ZnQ^}>?5>;8y-{yR471~|$3FX&-wRZMs0M@fFCqGz-^eXRWUpW*S# z-&Yy0Q=6;K0gm3I1FDOvTi~Gvo}`wA40b~ys$E?O0@ftNWUghLb+C178#2@b;X$KB z33IxLxcTsTjv@%uE9h*{o3(BrD_PqT!gP_S6wb0(O-Um;x*|iBWt|ZxFaZcA0q?}m zrb4WyPgQ&N5w#au)LX&qY_B)rp_j|kHOBgW!v~Qezn8N_nUf2B2B?uXV0MoVwINc1 zAn-sv4B?jh$JcjD((k${byH}zh!fE6VK~{nMRY{3!lbJ1S|@6;!vP;5jz7;3Cr(7n z?uvWpgT&zGFbfu`M0@=u)}gpibPmhLHA3LxLYxv76K!*kLi-2)Xl`oALA7Gh1G*B& z9owUuh+HEYT!(|QKM7%tIIkC;b?`UN5A)R+bypWzXMBvs~RVj@xK4^=(N@?^n&_-kFDof=XjbZ^)oF+f*~6Bwe}bY&*$=8m9rdNj!*qXzp^ z*OOW}^2wKV6FO9gz*$5|i}f(FBSu|$hXOU{;Il95$Ra~1l7CbSH*k)fL8i@N?*Nlg zU^b-NT}oo$5!2}dW<^u8u<6^Ka$;qu?xgT^2$1npA;p6jBLbRcL$qB45&ydhkY;*y z(|@;T!c_GQXblMh0YKfx)3XYN1$t8=ke%M3zBreA;oWP|6YTi5vfo?A$Z~#~mrh)2 zSMApXj|P~NJiXeoyb+dlv3lLj6(6sxT)_-Qac*W$IKcyb1Eg9gr>@2lm0M>y?B2G` z=4}Cp!OL|_X7PQF0LUjl^XZ5-`c`&x6_#QI%XxuQT!>#fsX=rb|0U{CrM@S%k{b6M zPKDWGmMnC`G%yH~?y*&cj{lMt1_*4F`Mt;iXxBROy)PXJ6|BnRB#BIb08)0m`o%Z} z#(Sa@Nj8Khp@VqVVN#sbBaO&Pfns?Zh6f-u*&^2@QWvuMo5PPJ5`zH{RCet4AwR+x zDmlZEm<)qVI82L#Q=E!(RZn2%9;BXli01`$2%zDFenL=m85g0`6uMR7k*8Je9^i(S z5K8A)2%mh*1px_o6Iv^DBbPw|wkVm6!y@g{j_YJxqinbl8eP}*G3c=AlspgMg^myx zg7W$QhnV)sYlVpMucRhe!Q>P#@>%X|Sd6F$id?-IFYO8>4tpM2cWXDBviNcyz8L&s zc6aQ~YGMyXie6x0HP4sBld`ASI8VG6iV!yPO)3t`*_JZ zyw@#^UQw&NKUS*j3+{HS&C$v;#U^2wOUqM*!yl+z?cO!hDE~>mvBAE@-T3V0$0~`usoMF4PmHQ;C3ES|1T|B3rs!Yk zKIPqT$T?PeGlHQY<;Bs|WjF9xp?xYnC zzBk-j-AS2yVW`6~jM}>((Dtqe77{xHJha}!ZZH}Uwi$Xg>gOJXJ)5JkJ2#IMQOBPF z8vdAZ5`zjEF{|cPn_ek*P1Yl&~MGBZ)f69HF`L-JNh(4=v$M=;wHSa{4E2x@{q7@Ug$+WIUu< z5Y0^Rec!Sc5YWE;VZfNRr&$1T3fhHR=Op$dh&-soLj^XziurHGIK}z{wf5|YBk4V$ zqMN9}B2|D*s^S#f&*w(AtG^yqp64W(X5AM5Q4Y7f_vlaFnUSs@kFo=&UAP>}wvT@o zP$c0))lv1(( zIM;zqz{%S9lpW`ImXFB7S+c=hob3l*ySkc|cy71&CSW$oPhHuOr=s{qWx=Vr+@>x->|;MZ39v_cC#%u6y`% z(Z;pe_+xO1^6_P#q9)6=p85PD_L=GS-ulv`Jx5^fD3tx?<_$eLvu_eio}D%KzWt79 zv*O&WzA1*vc`-cqI}3URg4h+*j-l60nuYRBduAncZ+p4buXnp;!>dh?L<>-}GjtyT z5Y!%OdTx>xpZpp{mvqE-?E;0{|8xF^nrgkRRnh`2-u}_W27RJ3kWGwyUg~ao!$PJ^ zw^{;bH*xmWl^>Gh1;Jnar|F z=T#Xumlh99jDk-N@93_I-t^-#Sf?jms@)Z%EkMX#`s4NkwckN%uyza&7d1kGo)&nhY} zh2EN#+68c8>$)YiVV)g!3&P&?q2<3-XW+{^eO`yNw5QkxPD%d-CdpvmBed=KHCqex zw!6On?7o$iSlD#K{3A3`%e;*=ao>N4K78wWGmEMbqxzX+JPi9-ZO>M9UcAFBx9N#R z#wJ7=gPuuB`i+`8=9wlKDR25KBc@@o1%5q7)4UV3PfkE({FrxqY5^e2%vxv>V40w- zg;qS43(M+5m>WLTM_j45^P&!jqdk35wXZtfH{DuPc=}Xpj~(cf8YcCU*6Gez?E2KZ z>5-SrPIcplJ!fYudf&cxV$m{7E9&$ag@H(2j4}F*q4(zvANqBTO1-W?<01y+PW0DQg3>iFUk& z%L%iq95g|y!O%WvDI8p9pDPMQv;LySeAjedMp5GNXB7C7g8luSlSSIo-t*PfSpQ05 z{mWAh3B4+TS=rJ4g||%Opbh4D@}U&Ea6rc+Czs@zED4*@oBj8MEUA7^q4qx??zvyl zjf^KPSP$fQ=!t=G|BU+&r`_N|&w-~+$n1(DY*1#Mle+T5odvzwh?aeSRTR&dKCIIs>n zcT~z54QhR70(VY6^@Y`C?ZuY0S1?k0tDfbWwU^ix+;xof6~KtA&YIbr6|Eyb{PSnb z-al&ziy3)(=QG%qr$By&l;7>uHRE(z+E{o(@3^! z51}i%p_K!ZF>AmZVh3lThufnTc#Fho>@JWaFgr}BWMaA4bHtaC-7ILys- zb?0%h#bU%uFWO$8Kcm3oSGUi4al|0n^Frf-)t3`?zr}WjSF{JISDp01P3O<_sF;=9 zu%CZmM_1MLe`(sX5WV$_%PKy9VfL=JKlXw7^Ou{`ZRK)n&ML3Hs}Eh4wCYyKwr`)B zg<%D^y{$ZJ30px6sVZ}GP{z~hw$tVJMX!)8zkad?eK|M_eRbPulC?{_Ufp(T9_wv? zXjP{yO<+2u7`kWFj{eRCz8XY-(SqoTV-3m{uw?KL%tp`t$tQ9726UX25jOJ_*TIX< z!l!oh;9L7c%?|TdXb1!BO%HZ}t@vWV_EU$R6+iCR{@8DJfUWpqfUR^=H{Q8odO83G zNZ9H#gB`9e<-!Q)jo$$yX(^4FuZ&sxdvbViS}}KU{Sda$ixNfW6>c}*Gv|FU^EGwR z^s{o>g6eZep?qiVM6u5Ft07jS=!-?s7aiM6EwEf2P+ACZ`=fuhQ1){2oK)_A3@@j` z!ey6fRXFMS!SM#q5AUBpd$~Ewq-+83n9&cdAKb(y$uP6!0w|Z9)&7yMExGKX1qa8n zFj_sZ_g%FA{_aJIUvdFjIk4F4xwCO}$?RRM;Bpn?g75|{u?r$wYUXS=e5v*3Ek`Q{ z6YMdR{pAl#4=h5n8b&vrHb|9Xt-fJx>6=>mZ|xvXmwYu6AXD8B4lc<=B$glqhsIbL_{X|Z0_rowJcGaSsuCh4&8`;Mk!z2BGDtMs47C^R~taC=6FNY}$mKDba zvsXadMOVK~vLLhjWUgwmK1`V}Dc&cW-aAS8_M7 zzJ!RF{r{m`dG0*YwGy%FsT<2hPvYs`oC$$FU0OQz^xU`J>@l!oP^~mWagdMBznBk+ zp5;4S1~t{6J6``8-6(QKfuFf|EjlMZJifZeWwAXjBW#Wu%rWS9cTEqBGCkTeuwy_I zNccjNpV#WwfQ|tXW~lj(Z&;|AXOd&)O&O=#v+cM4{^?eoTW^4^Ts6_>vUib`vvwq% z)!!>xgsIEO9-#DFt0uZ(yhM7{L|=vsngGrjwW7}`@KqCi{4?&}TW)XMJpRD&@Y7SL z8l!K=tp058^PQVtUcT&E_tdX&es%GPd+Js$E;&^U4)W>mx7~7kaBwL=DqHW%UmQHg zYtcg(m95VQUs^TMmo?VTtX;QEQhJLIaxxmUVKLfEs^!?T66W5zbCXQUum4W+Yp(MY zT4Hj$^~4fOE}>W-{?FHjF0}c+HQ#>YubD#G+8`X&dtPB)+P2IH|2&=4{`jAE>0jwp z#I(UvwqhZ9=69CyqC0O9KHRhGWs8(pdiJ2l=F%}QQu0g@wzzOCHqP3|ZtT#WTXX}T zw-~sCzdG37%%-94j*3LKEIPxtUKu)3oJO`{lCl{J=L5?cIh3-jO5Ca%?|+=mRFrsV zBmfTO+ZDa?s`1;EVDx_#^Nmb>v~bZVS#_cZPQm^NpsV)QVDwNaRrhKYYeS0E5TH}c zluMQ>Rqg4~`*QUet5&%zO|r?~YEPF^ADO9D#z!}#8Z+ZF<)fq4aIyBfg7uM{Rrfx! zbI;~<;9H|uj(&Y+8cL(XsFbW-vDo+^n&b5F4AGWvS)&&1OB^IwP6m`#`@!2n4wWn7 zPDzDA>7X&zblC3hN!U!>{H2_oRBx&F=P!C;V8{Ol`nM29 literal 247650 zcmeFa51d?gS?_;lJ8h?s(wSjcPM4C-EDKKhho-exW3R%T&1}0Zi`_tkT&*^VC|4Qr2 zU;f%xzjpj9U-|Nvzy9@qf9`8v`||PQUj@Dbyyw54xS{{>xgO8}(pTND!0AyS8$-Hw zl6EFnwU-0Z*K{Af_eo!>BNzuJfFxblI-s;(PTcim-@_R?4N zn?O2U_er}+elm_^4yugubnOR8y(Z8vU+oC`+trC#b zSH5shcy$OE1(bgPNXjKwr6rK0seB?QNq@Qyq#(h|jUbAYC45v@?QIA40q+BZ!~X=5 zeipkv1tfV1F1l_8$}yzz(Y=y&{VhN=tpLJJb;R!^U3q%`TnxHTAW7?Yo$P_#Kr9Mf z7vUg~EC`e-dI*2fQalleuX+|ubQS1+Qw%P}r5%ewSv_b>c6A;WJ zHv#Vfgpc?x*-!V|dw)p`NxH^x3$PkcmdK@N$%k~CAbsyrCMNzVh9pn@Z%x59F$@E` zRhI4(_?;N^d>NqYhXBzb4Qbja{qKP!U-Y>bNS<}o925v2@m!Fax&y*Rd{Te9-UA2~ zm3a}Mx{?FolE8`NVlf`6|1_vSfy2msx)k^Mu^9A}&`Ts1ZV4nbPhUmMy}fvh{T!!9iC&pA35SNqVm6KIw03m z7Z0tm$z15Ajrx}E6zE?18wi)_RoS z*6(^4*ab*Fm9O;d7ajz|=S6y+_PbZ`<5@ubPeAyj(CB;n zU<|@T1%$rZQL^w8?bH38DozOwiJBK(6B{J0W2ILG=f$8rJ!`Fc3osbNuK{}gJ3!Zs zfN;@O@O^-;34X#^_G5yF=&So=ZY0Fev-Fp)vWKM81e)s?1G05L3uqoDAbWZ-=+*K6 z8&Fz;M{-qvX-IHO@DkmB8&H{qURr-d2Z8Pr(6i{0zV_ZHawR$>pgEA(X&SrwO7Kvg zo}HDpYL#g88bE1UgQedD;;U516@c=T_RE0mmKy=(%T^ODl_nc4nQJPi^bJ57C-p7R zeAQKXx~hGFXd}?QY)4(y&qrd={ci%g%C7!1K-a$peg)8dlBVYbBy$N!1`|-q# zJ3S{f?ZrdmQ{M?Bcu8kG0O)#m44(piHHIWj>g>%ihz36o=$e4CbT1qPiJc~VU5ZOf zcqdxvKDo*+)BWwhRel5`PpDUhU#Z?^(U6KMU?vvij1Xo2p32yjpCGp&EcJN2K2q(9-5 zK$51b`Vr_}IB6W81|(Mr=(!h;^uSRdos`Bw_maJ&e_hp|K=;yNk}v62=^BCN{oevg zOF+*j1JNiAnzNdl38=q*pp690y>y;z2|>R!r9T;i?w11T_Ezp!D6m3-6$+dx1*HF^ zPrJ%D7Tsp(Di%MKdJ-S|fLV8`)OzZEiMNM$wYO%LSaV_V)>}99B-Yxo{GN=HKeoQw zrQ1(l;Y20weA&4t%3f05!ci`%dUxUFN6aTaEUJ%}s(j{6R2qDadgLTf8{jVRNXlm$ z14YjSs5Y#6s$6bnsocyD;7Zj;ykYObI|r;vQs1t1uRK6~?(fH8Nh2|7~d9@Shr$jJHp{9~pjI>EdA;m@MG9l(PGVsWg_oDNBjT`uM0fl&O_A zf{#}#suXpsKx6oW1+N}lIXZgTK)I3`xx7wE&-?z7j91>@93NdbTr7vxO~d=BS|2M8 zTsEM(uhs+vsd3>lT2QlYmI+IZr41UBq*yd6Z_kKmp0|5cpQ_v}XQ{k>q(QNu(inK^ zFJ7#yWr5QYGzQ=xO=zY3&>Q27Ww|dz%LJWao_T|(C1ss%yNP$lVNI+AYD|hVfW!Bs>o2*n_DnDoT90f$15|pdrs!|_ zuP1wHkGEg;qNPlMTnCCwrk z%T2LzT9+--=P4zZTF?CHDHS}WLZ>k;7j1XBNw#Q5OU+nr#FkC_)aF0;V@n;(;^pDx z*^60oalDt7lRx@9no6?$3&Xf2I2voR-rDFuWbKqQxFMC%aKIZGm1Vs?H{oX9k}I@+ z=ANZtcB0bDJHuX!Z+V6k}R`d5q$>+{-cCXU}V`ijyuw;bQG z^_`Wu>07HG94ub>$sf4lvI=D%|6p--bo)Bh>Ans>6CA(m?5#e01l)%|9^GEOe`HuA zyeWQo#lJ1vitM#s`H>Sz-_r8X6w9V7y{`=|t@9I=UTzh3qP~`tw`_>jn8mOE>@qn} z3YI;1+0vA2Z*aDY442+{e%JGvJbY6%^*3~s%e7F}GFScD^BLSe18y#x{DZ?cRWMFO zga7b|S#bTxU%qI$1lIGOeE3DnwvxQyt?q*NW7FPp@#cl4OwQ24?puAu>|Qau&sMX$ zmMOgc*YN|F2TI<9gG;j_Pt@;!_+``ve+m|n+si%?sgk@0_k8P;Umne=!LH9N@1cg} zS}MI4Qh@5zSaq^ery%_&YoeWxzBqg1B+DjaGL_pyKGPdW)^H)`Gi{0bM;_D+UUKYu z$rWA@!R{5a`vn>8E?u|E;@lR<4u*#n`hL|X2njzDR6a3%XZ1sa#aoU~55Kc=^gaKB zI5%YpdOvOU^+@k^*hRn3((1zl!o4fb?H)e5?V@EAJpA_A^W*NWWru>v+)ez;ef8mKvqdNu&kfB8-I{moCUT?S!Srugd)}a}nOw zEiXT9L>5$BI%W%+XeWFltYzMQIt_U24=+58TK%hhBSv|`^CbPzBK6Ww4J?|s)C`Ss zxz@^+fcH|VpL$Vi$yFCr_(llqf=*8*VYv}oHhEpvb0+S@;_@BhUd$f%9{c?An#!lb z!c!{48nfcYpAx0oh1{|%^W=FrgRNf9&<^ff{rNk=QhM;i=d9S>%V&3&Zol|~vr}8f zr}Ekp;f!vUdx1G4WYU#a5KB|zRPJ9e6kAy-PemL$WzJ>?cG*S|tZ?9*VxN^eeCXRNW&V1=x=WJXVH+g;0YCczyQ_!;+AQGH3U)WoxLdC?%w z4#aHD=%(pz``M!Iw9|chp$u{*&b>4VIXmQ{56&QV_C}M>Ud&eF+xrS~g?)0@<(TCHFBwZ^g?Z7Y27eW|9;@OHtX zte-+SDM*0(K&yIshnxE}b+*{beNbCKvOZ-_HR)`0?hN-A1Y$3!_|&P27vs3_|2Z}u(1}uj_ z#NRUvKKHHu{2BBs$GS(CHQ1df%O(F7kVIzzynXq;0z6ih3%WSHgzojFa(ayyAh+k@~OB3i}d@c-uF*O7b$oO$q#*Xq04dFF4e7{vtPMAg>Fw?q_1{8T{pD# zhhIH;kEdE<0WVLrVxMw-N7<*`sU)pf-KRpOt}w9{A2*U7mK-%Go;Cgcw4w&_G6J`b3nMSoGFP zW{EWxSlWx@LF0EV2a$(+~vJA!v}ea(CQ&wR%t!4FUEw67D~GPTpb zPjK+qCVipcmhiXr`#D_f8wKZ%-$NCBqoA&@^>?|i7<|of-+o!=TdPePyt z*-&iRJ{H_$#m z$xJ$VHgm0c@=~W*;#=o;FT+zDQJ-S1t=syfo@Ub7tm;m4NDHf-)h6{qbWbw{RxY0p z{z3_NohGdpR$FcvTUN_;A-boT!YG$d#?o<~)5ND!ZMoNx75jWy?ZRqE< z@#oJ#FFiXmF!-6*JrX>#eVawjw{EvU`nBJ2Y@8owe?{p#h@A8L^IO85_5<{T$9`Hr z$uoHDI{O9s*=OTlpx5=8?ei{hzID5cq<;%GLgd``14eIhqv84H2QFMTm7hLYj)n|6 zTGEpMdEU~j&(^!Hk3;B{R7;+Ba=TrJxOyQ!|NZ~HH_h{Exzmi&-~4I!9&CJ^C!h2B zA1`+UPj_7IgPix^KYTM!QZ5Yaw-Ihl+}gfBwVP#a>;zq|xDY2GPT#B*iuEPS2@+Q* zb^_w`&2kj$yPYkq-2IKoOEoJl{eRBy+v({fF1O=!Iym&L^NmKVruC>X;BX1K^eXVw z!gkMMYj17od)=+l5A_|t7G=X}k=*{t5B_-{(MVz5Rcko)6w6?o62#Y=zx%vYneJN~ zYq;C(`qzAoyzdDBSIcF!{^U23M#keCN3t%$btsRK(8v@|U@-mXq#19te|!1dlo;!I zk$6gbH10PtUHw@;%y$K!dw2Ul73Pe<887WBq{c;ssNFh@$!Oa-X;SR^X=~A1YZtJV z;|~%$V@_wZ@6*}O{ZSjicK%{F40u7?me#KDPp@s?x|^QSTB1f1S4(QA2b*f4^&3By zdg{7O58aKY;V3T7ECP3qol|y9SfqgGr%6L0KTY|bN~PB4|G6h=!DAys1468kVW`mw zTBB;~l3!lXK%8LQ7VZ7A8WI$J*J%7`X}uq}A6x9?a@?Q>*ieg%+i;~-`Un=M(v$0! z@GUNljJT0zRS$;Ge*Gk^iDI1*(ps_e)YBG^hi^XVx4bu?9)~F%Cz9*JmMo<U8QqP#U7wrCdF^wnFROOtJWxyGT|$xojBjD=-(p7Yes?`rRD4d@&-%r5Pg4i2ElQtCeqUeNDe! zl-AZ447O-8Yxwo3=HA+^$KNV$Qm4N`9Cew=jX56?bcLz5d$hA9)8fHvNa@q!rhgoU(ZHeI}%v#3zw#Btj6h?!T?k zJ4bsbEe)2D0w%LBTgH^VD!Yz!*MY#x&%fhKo#*(jyC}E2wVbHwC>n9i`~JA-?MhoI<}^!NgX$f^n-QVj1p55jzvLsL z!D2pF8yB)6m@5~M4yolLby^O?(2!PpwmeHKdBqt$CXkpYYPd{B>zAk0MyOWQR%qnV zol`84IwIFM1d##m8z48B^Y*C?SrK$XQ~m+FmSt)9djv~SFO4BbX6an z@hU}_(2fMc!8$G!Q7L+<|d{ub)EaIAA^G;Zy1vr zQdkexWYR9e%i;u@nrJiuV4jG^3}x2dkI+5iF&=`mo?4I2@ZC)gONJezE5oOkCaMhh zzQ`Cu$})F7S_!Yz`q;g?L)vJmJ@neR9O<|Vvegdr$YDauyJ27%3oetOPZ=XQQoyYZ z#k=pN>OBhiFyN*`?WA#J$<&SLVU*>QKU$&pd{r#~Jo2Zhs)wR?-!CC(oyM$-gt}It z=R^4SfwE&?IrHGp+B9&YWG$mIsF0Rk3Wp5W#>Ty9>&Dq3lO>P`@Y$M4UJHhjJ zaqeJFNfFG|X{d(;;E1~|mTlC=17`8FtIP85)u}7Yr?r=NI>%K)aMUg>@&^;l=W|*5 z1aX%o2gLPWy7Z9Dv5t_Me4i{M5_dU2)I1{0; z48utJjXy3Ca|2cBGhf_*sG1SeNs~KC3t?E<<4-YBXcQ%_28z2ehdd#TJdjATe5j)2 zsEkS~z5XV4Z=x_i4h0cp3kku_Ffx*AZYEHaKc`x1+JtK@U28RfI-+WncxSZkQ^3j# zNziNk?Qb=tP9t&EoTbTT`<9fLeb57m-V#b|`Lidy9QVW^MHNS6rn||0{E3Ja^YgEN zmfT2F51(gGHAcHve~{hp|huQ zCF2p^G9i#Z;K@H|(6H7#BtU5ml1wBeAv{7~@2agxT!$M zFg}N!QmQHKE}|IX?!#+rXfgn6SNL}-aChGipw2pN7{+OADPqkzf>;~Y0yH6H;3(=k zb9vVI;a^QcINeQkp2|!%h{Huv$qhX6gyOzJBz~!}m~WJE-3am4&;FGrus1`r2j>XH zaYoEVL1SnpD;nE&Qhd1_TCZr%47XAhgRr=Jls1RvB7D0*#( zG-_orkPOqSQJITWoQ)vNIz2E#Q$~Yveew@KwlEsxGo^g?`bU1_CADf{%jlKk-o=&Cto=oft*>uUjSt;B8a@}u zZ*xabdgJg-nR{MFO~FA z-#dC&eNT0KedXxZ@!XZc>ia`XXHZ!)l6j>+H9CB6^TuFqct^c>PvOSV@q0(7X!+fB zZ|A+mBgM}iS~KvFU;IC#&3f)X4Zpd1{R3gK^sbNAGi{QE?;o9PPP`+0+1@wZ@?vji zAr$h(XTK*FsQxTlQfg->Rr_8thCMS0+l}x&3v74a3$cva4o0VOHN<}jcI!R=qAE(!6p=GP z2koXcX}pLD(%9qs5$g*x1v`&vOY_>Xv5K9R-l8Eu zUYZbKv}(=lLX9Q@X(Y>~Ni|0w8EQASYC)Xi40zVu-k)`2VF_~gC&=UqLT9)~(6h^`Hc`55=mRXf1N`p{!lg@lL8 z<0&rXhI{1YgqbYMYFK9oqia9$)(tmdlW!KB4=Q!41{6$6fw6`NaMddw{?w{yJ6Yw7 zhKJ=Vtb~cs?Sg!cmN4;7JD@##2sd+}@hN#cZU>O$O(=1>D z&~8MyM6~Gg$gYBBb^E}spO>**luj2ULJIM4$|0_ zD#m=IoaT8WBYMCr`s*~z=wu4JwAT6$m2+M|V`y^Q&6SG)#C%LzXTevpCNf@WhMRcY zDhsGwd+6S9W1w{BBj+DXhy6MTSOtA3CNqPR?9WsxP(=_^#_q!!5-xd(p{nC2Qv3f|YUEV1=ugdA51|WdiJXRe8G|+qagcrVYniRKoIkq<-8AUuh&}j& z`1H~pj#LOsr0@wzuutCna3eFdeRz25_R`&N^=kE;Kar^q9x8cQ6jy`3KeeSZhutz^ z$bwU-92`q2VMs=C`rYl%gdtd0!a@$M7O9s5KKw$HA>BfSuEn+Jnkd>=tjJ_a?uBIS$5d)jPMD8ps4wrjY=RJf46TgjL%Tz!VD!e?i9DX#_#}tyDPU& zTr{}xpm%U=Br~1!Blauj)_Ic;;F-fl?PZ3-3n80sX7c_Te16Lv4ZWdlv$=`t)xK6u zqzJ^Qyk}kH{nobe+?s@P&#Y8HxPO`@T{Q2lse=*`W>)B9EQ zAHogI#(d;YABy~;4b9#DP|zHH1_`-+*ZDVQCYzrsc+;EeW7#m=SGb$DTF@6KP0oJ= zvuc}~@oj{sI-TQrUxBwV>W>0OAko3M5Ac^0 z{S?y25N7Qu$+H4+(gVYY)$mhcuz&c@qq(|HFi+NzT-6fLpVR>tcLyH!H^k^sKBxsA zofkqm6LGT{q{EyZa%p^e`>yeZJ@2tUJP%?&T7u4~xEXfw!7HbK}ZD%R@0El-`h zGJz^Rv5XBm2~by!0{2KndEST4L+%qI?+Tv|84XECn_}HAV_^DoXS@7$zh02c5qY@z z$$>E>?(yuA=7zerBVq;=i2adDQ_5qu8(*tB?s|5fo2$i91!~T~Y^`QFD*YX5Y_+ znw=J{9}+utvL2oDD1A*y?HzNsdsKF{dWfM^<%Tea!_=z|d#x=yS7IC|i*alo52iOp z^&5lI#__RDiNVKAqn;(QT`}@TgY^f@CmRVsWpxz zBdgjk5o_=+KK|iSTsgArceo4vZ8L*V=$P*speUc7ds%Z$hd*L?EXi!|FHIr_XJ?+IKidKACqA~CS(TdqJEkwO)9rMPQk^SCn} zNl##yo9g5fc|U6nvhhg2#sZo*Wm3BhRw*!qu_51FYnz9^0=82 z#~AM317bB3J zH{)JJuJXXMzj?mb7#_)Zp9mREtr~!K5mZr$>Ixpx$!H1tE{f{jTv(hcNYJCpBuu00 z#^Jz$O(C-RRG5>Uh=J;ri-(Gc!A+U`DyX6z95$KD#%S;dqjH#e()CN99Uw<;6hd3n z)P*(E_3?4Pir|Ah5ld}<$-7RGX?LCaHZ&?aV2BTXF-s}m+kJ_`>#~*rco8-jy>Eg@ zf-upPAgc-w_5~E#XGK&g)Gl&mfU3mn<;+VCFagwr=iSkiv>DJ-2nS+ETS=26e1w5R z3?qr}=#?|8nzYGhW8h`O84t;#ZVW=dECRIt>HFx$aZA*2gH^$DW;2v#R+CICgkkU- z+^K^GYiB6Bsx|W35Tom!U;mrwBa4htFbkViMM5Y8npvCipdo~zQ|6comDMEa2FcYu zu@n9S4}ftDzM>pSS!5NawL$o~&Jc>Cn3@7qg!PgP9S8e~8!g|JGdxn|?UuD$;5UMC z{3h@NWv#~DfR69ga8VeEC} z(lf1hsHKS?k3!SL2HeS2aiE=09`G)`bCpYUpQa{^(u^{46xW?YNflA;hz`t4SL?oS z{mz>@ooFN40x>B`wARY51-qaWW2EfD2Y)vBPDizE#6B4w=;)F}Ldppu?xulYWi-Jg z6-*gls#BL9=+LDk zEg^qlq%ChPLi88+2AZ&w3h}d7x+)ql#VpfNM8bzqag>;iD+Cqz&pql4B{*1BlaMDW z2Tf4(2gldp`qHGT%eOXgmojDDGj`qJgc>eRHchh3Wd>X3oSbLbFXhZmamMa7{LbIq z1&^YP$o{K}n(a_b_mob$dg=TtuQ8FKIXn70$WC=he&-u5W-}Yh|L($2VE#9HYi4+L zVdxz8yN7l}4;AI@mbcxzsX6gL!H*vD*%c>5P%hvY4?XM`Dq9OfMST6??ByF+GT0ke zC>4`k94J>Tf1tdjGWt+4>Kq#0$ME0ynHWEZIZlV%|1>U$dYt;OkJZnhk<_BhduH<8 z1*7x%l*})|FxoupV&Eh64Pc zEjUF*n1B##twc*U@ZHo;!bcN$l9gk!sdnh9)Vkq!Z8qCr+`P6?F&_0muX1hGFYe7# zRDU|O0f^X<^rggCL7v!orB$PlEih?C+WPVDlE~7W4MLXlWV5F$a91YG(%}e&pYUlb z?0IRI? zEqhr5v0o_LMe?DR)@eOilSREGZffiAZ$mi=6GPt5?;T~tNp9S|XQ_6!fRfni6~bI0 zng_=hZgkvI={%5TOawML0zj(=#037=9)8n>&(jwYyx|pR@P!1w7kqQR)u7K85*)sZ zlPQ|)2|)gMR)zSex()S**As8N>G-<9)|#YN@0Gyyvwv_--Wi|bXc~g_L*M(dRV-(d zOlq`#_|GsLlw!?|ncor$2`wWve^sO?7*d5KNV0e<275{>LnA6{0y{Outr9D-CzW)O zKYE=g!C;e=No&x$GuJ4z48>*3l{q#9-J_awC?)l{(tolm;=TJ2=p}fEwN=bp@Cj@EhII;_uO)owV#D6RD?x2s=;3CtRYNyNsf*N}N3 zi$llsrfj1rB;sk^fp0BmmPm--M;B}jHLL@*RSv3VQtKYzr}&=ya*jb~B6Wx_t$j-d zfOv#c1wW_vBgzElBX)QWu&qdNcm{1MM=12BFmXhlSsOuUEt)GG4(6()t6i~-v6sSL*QG; zWey4zQHKG_>N~(#S)u6+1GG%14RuU+-?6UXz2ReQ!s%6vslw=ZZmN*0)7T*&BQTHk zMVC~DZ8XJz2{=IWb$(dJR%R1!Eh~+%Cb3G7lr2WMWer%t*v+oT8lW@$4t7-cSN!t! z%yWO8v9FlU4bmVAQ0EjIldi5Lyv542UhhTM>ni%7sHrYgGYD z4K9=yt}TCDas`jQ;-D-aiIg3LEn`lU%>mF^TdQavk6m>>qaa*Yx1reZ)v6}D;}A$Q z04T3rI*(ZoB3ob>>0+9=rwV8rU4R%X-L`_A8hk^DD;&iK?H1W?Q?8T52F$jRS#;Wo zux%)du5?0s3{J(Jaiu#ww>Dj8X?mms={<^aSCr`l5{8FVWQ;JW@D!`{cNl#FHW)O+@EzgkcRG8Ht>-TxqX8Zr64=%aIT^0%uTYg}o4)q8bN$ zVcOCIAu-{eZd4#=w_i*DrMzrvo;X-U_Mn@KxUW@v{F0d*VxjbBQpvDLVANfAvOj5 zis-};tx$|e%Anwg5e`;E%GlN{6`})LE*WMeBWcje3~H6IGJFom{_V%SppKf00=e)K zfvHSw9NA@wCM0rz?Oq%@mbbNvS~t+`?94Xj)WJh(p0I88#ZTV6eN7Hv75Bco6 zJ{96?6{DF#Sz=zZe~1m zv=fb=C9>Ebw)t?eG2p#)-v6RE#vBhHzooACTf;Z85BnbWc(M0~9=?~|;0Fq9E6Ilv z0(6H*Qi%QIUHdCIy}Ov}{wHUmiRRO`Tjq&Mge8jM%c@%ocURc_l5dP(Fn_OqAS&V* zvB$p_m&9Y|+vnu?Q4aYPuBhm(+VaEqX4s1&>Jp_+j)%xrvR4QE%_`Ol`TS~b`VgFHn9)HJEs z1#;^w-yU6JS?lcKAAix%wkSPlFhW$K2tMk(4>%kC;K_C$|HH;MKS$%x1B%i_BO}L| z#m9z9PxL{$hJ|aE3{VK0ek!#-I{}#)1~QT3V#9{+bQb+fF`XVtgG9glxA%Y7EIUz)HH5d!_ ztlUY0D)G=XrHozlW;@>;RA=7kh`&0tJ^F|n^)+ovtm{(!UHbhOt@Z=-*vy7Q0siMX z-q0RDT*HX~FVa3Q4RX61LV9e=Qg02EpKLw>eYBNq-Ax7K`>&pYi<$VvS`;AmDB7)& zfh4v46$ATEY$B1Ts^O8h!fOplf=bDiO$-V^`5 zbnDNZ_dGe>>rcb!{=MX@1bdwBU;IXWA7MYI``wxCs8DDa;oX!aHBeg%BB6Y{c#wF8 zXl7lXCTlnWR(w`boCa1kO?Wkm)jHtw8p4iaNhz*1DYBCQ zr@MPV(&XTdKYekt?%^NPgC+z>gxXk?L@*VYTv*B2HFk)Mc~Q`L-vhSb>t!!oT-NZ2 zJ7@KoVJ(CiJT6_WS_wl6$Zg^9zs{!Ci!VF=kwcV#W)Y64=Z&a80hL8av?(d*VO7yw zC4~Y|XS#B=FxS-8)o88sP$76z#(a9UDDz9djK5LKnw1nW47#!-nyO`IVFsJ*{>nU+ zZ9E&`8e*P@?9=m}mYFmZG;qYnnD-l3v;M&sv-QOspZ;rK7)_Xl6^P9kG6F0X(4nuu zI4U!i8~FuAXlx|KY6*pmG5{P+x!>IJ&YcoyWJ?7WK(YrvcMlLV#ygR5uz3hM@HUb^x2=z;m~p56S|x0g1T!jV*IU2r4pr zK|l^DaT1u_XE~X;1?EeME1;(ytQYhf2M-xPw6QT-qnF4{@`|mWd?g%-aKkr_!+E?S z{QD~cIr+`P_&c!uyaPiKN2XzBgic21L5RALDi_w37>k^L#Vc$Ch8l?&KRL)!B@f^X zqpVIwhlx0R_@DV+E69x$NZ1g7kO8i>QHp&G3#rv2K@p_2oEglTQz5#MYvLth#$Y!Z zDeW^iS~vd>jmYzk@)2q&K+agWHC*_H&me)&K#~TmE!-jM#BJ+JZ@3#K3p_E&sV@;f z{I8gbwX6^kIqM*T0_P#Bkga5)ZuM9slU~#|U>61~oVjm^CIVU3nK=Jk^EG5Y7Y&xUjT-U=fdh$N z72b#spQfnj1J7##gkI~|cPiDwi6AE2AfrV>q$?nXT4GMsJC!5Ghj5A|7DD10sZd;>45CN=J~*z!2xo5yU)u7at+*q2Fd(JaFY`Q`j;;No96`a98c&KfI8p93TiZ2KYhk1E}y&m>MbQ(ME1nMcoT+&MAdQr8bm-=1LuJJ{5x(b z+nLk45UJ(n(3>1vS%o&*JjcwM+7b|x$ku0rh?Sx?ew)IsPzB@AK+2Q%vRTJ*$yxIn zEbnaN^NeI@$kFByJhdd!D_np#T0bK1j(@tK>Dqu)%ugtT!Wtq%%$`>Ut#`lqu2{Hu zeGE7-GE+(mI}Y=fFm(IPICbVYZ)z$IMkD}ZShl*z?RY|!Mj=y}xQGcJlrwKEdHGk5 zhQ(~{n)>e1a4framc8U{mt2zd*9SRvX;2|0HKN#L9ltu@ z;-$xQ#Cr9*sQh$=Bi5I1&`Yg{IfFV;m1jhVql{-e*~EpEm;PqXlImq_)(-jv$&igx z+ZVq5of!_w);3~4Aqt#CK9oG!F>8N%RdID`EISn3Qm-B3xGJFqd8#`?`G@)3ShT+o zmK97Otb^3)j4aNi4w~weH15tFzMMm*KqQxp^SY$rXySd^2Cjk>I&ln6wz*rL|Mt6t z4XDV;ba%RNLi{P~8k7!zQ4NIRU0_p(>=)PBY)s=qFcFuRX+GJM`)*FZoNh%Y9C!m*8Y9Ufy~z!*MM zB+DgXl@2R_gl9vRb1Q04RK(Dj!HcK^mO(>1=87;-ln}*u=GLtjz>@YK@&Y0eWKd&u zJo!4??n)fdh@h|pRsAz!6ah0uUMrX=x>3=f@nGQz-IYV8k$M+qo(>f&Mj^a}S~2qe z;=gGy0!rD3m7W#7aE-+-u;h;(b)L9PLuOEsh2`dCRHyKhHs$zAD6CdTS+fk?aossx z0w`V|w6TWMz7B7D^~bp&mLX#=>d3Q@^fWPuekpjvhYw%XYaErK8!#n?MUFbA|L^6tT-Uz?0LoxZW&*Z^MnjT@_zv-CZ7?0P;nG6@d(*|CjJ zcw?I;yvH}y5BQI-LJmt+P+F4>^VuPMQj4xBa1cj$Ku2$C+xK3T$%oGojyYOG3~-y@ zQyZEb%_j1bKXwSoU{?4!o&Rp_f1i}MRC}q)!nJ#p56)5>pI8u3#kFud%Q-;LZxoF@8NNq`KI#;y@dlRO#l>o2x2BBu z6dmv_@?i1t19lz}AIKR{N1_bxixTc<9x*!@HNkX_D~E+!pFR&WT1Kic3w2^t8?&rX z#F<&Yr!rwkMxFm`MwS|~Xi$l=TrGNUhtXK>87iGQz^*VuHzqDdj5krpee#p!QbuD7 z`3FR{1Rpj zgf&>tt>^u`N@n^XJq~80F>LHImugSB+mfZgiD&0CkK&(?Ee zqFL4p1Ev(*3Ts(xlMq^JgBmGuehhA}5dgAq>Q!PZkB(Wz2+T*5q`93-6Hic&xn9dX z_!H+!sOYDjb%NrRE1ER2fz@h0J4f+|XDB8|(|U0olFfdZ{4y)fqZ>FEb?N=u8!V~) z!JZwy3=K#CG|hOep}@AV1-CHZVKeVI>E&`WeATIZdQUya&~Gn#D8x@2IY zKOC)xgZ1&O9FL&xbEzW=YKXN8M#n_p+59#Tb2>{UyaScir#_wHk|lx<4!-mVcT5(q zj2aRxnC}GAtqeU=Ezmb%diZY8oqK~$f32(E5~syEWg1lDoW-EBy0xw+G64=x7-Y#o zb9s!3h=uEinryo=tJ{)jZMAOxJ}?kicX6Ni6r`X&RhfLN6OzP@^`r{zJ4H_wd`Q99 zR;M0l1l5$$w?JWDs300#8=WNYDWL_W@pSk@Ju$<@cE~MU=*&E7AwVGmcWwOxI#6IJ zlW*m$by5_f3|J*#WrVhnA24XAj%_5aV*H8Lp_lx+WB|kX?jJ|WJK*Gnj%W?U;(AC$nffM8k{XgZP)+JHVA~Y-XHa?G4X7K@MGG0OEI~gb z2=&x9|8+@&fBRRlzknEB!e`o}QJg*uD`Dm!Ual{%yf_$bnR_CLwgmn}Mc-;RI5Jmy zV#E00!O{$$5iw02!xqb!T19lA4opckL})bhJJEft2@Y)`%rVsFHwirRN^k`l8-00O7&32#G;4iD zovoAm~F zrNjY$N8m-aS!A^|{(!czxT`uzP>iOt%-7AK78dIk32X3L*LyyFt~z9_@vk7W-+lQ8 zw#SP%%i(^&oLO8EB8y`q0p7;YhMD0@!gFwghCYc4KeXYXw`&F$erUc_dOTOe+20ZF znqyVPGn(z_3@If9u=1 zH}MiZaH(|w8~?P3d#6u zlM7&;s=R5p1x))Jntx9AIBk<@&X>7<`{2p#m8@fi5TF81tagCiwz{X|1oUq%HgYx= zJslE901-SnirTBmb>dB9*&~NCdg0Zg3PtCZsy(p*mrh&u8Yv`K>QE-1q4Ryi&)s`Y zSMM%1A(yVmfKkN3CJPea_0lPCH{-8scbARRBlW_nhe{a@oalg-5_6E{HfqL~xyT8d zY;uCZJZYs12RbfY_NCX13Xue8Py4N*{@5tCKILKV^e5{+ix)4vgFowTAeo(h^b+{W znP^)g#RZC~sfKm>IIjj99XeznuXTLL^=6XkxwpUXkTs1zTNIJYiUQ;$fp8dFhoF5( z@q>eCGq>Vfi(^?E2d(i6GJV+qyZSovlPSdr={7)!qI^;Jp&oIOr{8Of8!OLD;g&it z_CGO})tk?O|3<=*31N^?S=_&niC0iD!Uhp*(J`hW~)7PiS_`ouu4@Xh&juN zpGvRy{mc2*D?4e{ZR{^g1D7*__%ap_(CQk(UAQUQW!M}VntW?vI86Q;(fa_ew9pYb z8Y6BwXto__Y4YJG2VasNUffSv3!_DCY|~sP(90RNU+n-6V#D4(|8zKjU}rogTL{g^ z*O;U$yS`QXp--NB7VUa}(|C34z2?IAA9RCaX3NWHQVJa!=JHMnPDXNVmiFUZ`)D!1Hj; zD~nF%fBqA?G9-GI8C7O9#X3n^Ya5xeSp_%ZdC110WwaPQuz||Hx7l6bt)}8}a1F6# zNNA73d+^b7c!~!?GO@5rpih>YAU}{V-8NVE*0Tv*zqT}YLx^V^#SxcAVS@e75Su+> z>g8&Oh-{WKd3;*A6hg8b6OgZqH6rY;wqAX+B*cb3?_b}^xo3mOQNxHz`gQc57w5Z*@K*Z8^!`h zN5vp7x{H1k7FdOm6}@P42;ek(Dd5*0OWEFY%)%_LQ7AB6}+{ zII*gJkmqWUtp^x;8^`_dhOi#OK*q8o$WM&>_3_*+n@Uj>VsAy}7qHdrt;Uc?gVTR%3njfEgwaSQ|jBq9s@kI$BhjYOB5~5c5Iz#vJ^$}QS ziUE9UI`It=aeGu(LLP<4nY*Yi$miiCPLO+4< zVgS+)fOLX=l$kGd2B5T@lrR?uTJvvHv?PXr2Jph{sT^eEROsgqMismxf`+=54}R|a&I5lm4rzHm_!$Zved%b(r)5y5b5D64BnE#;$k&uGk9H^W27o9(;w;Ko= zK@(y|by!%R$PCu^5{0ct0pB0BB^Z2LKz{K?2IK`O)v@ZHl0TEd7iVP)rnbx-^r!H? zC#no*CcGMcXhIMqVXf8?ZwwD&Td>WTK~Rx2NT`9r_8<9w*Md-^z!D>*gJ3sYt``Td z#p0E)ZVCRhQmN1*8$^}4(nMIv-~gj#=Spbq^Qbnq$Y#ThFWBIlTajc~hSM@OyB%CRz3Dq6u0bi{yODCvFrr9`eTO*&&f< zdOXXQRJr2@>`QtLx#SJ-!vU_Bg%+E;w!4bc0VSLdZ~iy9pn=*u=hQ}Csvec0&|sh)2dcO@4@K8J0dA(Z~+nUsAcyNkYM+<5o~Od zysvO`P{;~x`K)ZM+wo{Z?s%F|y%?gMrN%kjZ(t zbW!Q4=`8w(|1_>$e_)syte>nC1C6d*pX z5$h;&2@SPASzt;sQbm*bx^%{kpSrJbAc6qcOqD{Gn%?BbDyo07ekI(1BfK774;s=3 zC_l3Cy&2k?5qfjSx9M|-PW3apw8yStt`P@aE(3q;zVoCMv_#;cLuQW0XZ^vZpS5ok z%4e~te1ELYw=w0J{25+0iLmH7|Ci-DyJ5VM;T3Y7e~n)WXSh+QwI*TZcy-z~++YlwZF4nGX=o$Wu_ceDJ*uZ@4l{)VZY(|1-sGS@+0|UCli3p+ReA*qZr)D=tfIc2l{# zkvF#+>5oglMi%}c)|)oDNuf`SmW&ClB}qas7UCZe;41M%d||CEDdM@AFjlVXqxSTl z3~XQ3f41!*(sbnX$pt!cdm)fuT<0D8wnc%5T+TtQINNdf$H&`DCorb0e}8 zy?}RP&|{hyo_8<^z$Hh9kKIHIyZKTzw%WpwO#ycEr7ijdty6$;%1Ro=fEGQSQ#rGwJ2E{n#vrVn` zF`Td~N#w7QsU82J@3*?47tUdn*Rnyaz|MR+@~F0 z0T=vme95fFA<#5{Qz7Omj07EZ2evd69%RvlF@Say<+B;*!w{RA2?ZPIN)jsSc&-2Q zITba~ew)RnIS{I@|_(bkZ;4zdb_NE`C@R)p4W%`Td=F?#t; zJgKWTAYh0FkN7Q?$apzw@YJg>=qcE)!3||n5ObrhBz0p%viwFSE|$-=Uj9+;ySjFH zY29T_ICNYWDvwYGnxsO~8X!SrX8-0Hkc|4Y>9SoDZs0=MoNd=eZ6u?4Y13ZZg22W# zwS43?ju7hMOu@d!U!#i7v?bMl>|?@A!>_Q;j*RlKo)nFi0oW_ZwP6?_KMP9XvK0VMNRQ2JhNzK@vr_DNE_bn+WxeauKm>S#_7lIobUOJ zw&XkvPzdw#A_&#C8bWI$AtZC00W*~7gt_@`6{wJ}Cw1?Ev}%}0#r=GplFM!cNp`2{ zCvU%SF}|Dv3v2A88e@rnA;5aMJk43}sT(g8k0rAqqL4DVJd~e|SaLDl+Pg&EMc=5( zi#G7oS1w|InAV|A?P%eHbbe&`5v0JII37{U{3Y!LdZkxZAgp)gs5pJV5q7bvUy!Cg zg{nXF`|U~Y1Q%3KB<0=Itc^Ij5xfSa1$1lu>krV}5+K$d)G+naUGsH(Kh{E^-?k=q zxwSem4;vN1MD84*oa@>G)yattT=hzlmJDi@P+h0-xP{iG8}F!mX=`xt@aT`$-*)|b z>Yo`NslPWGUl)C{Ik66##%GhAS7cXLsxL2Gx4lw$c=+D+FCV^Y@ZQSs$oEF?x^3j< ziDRc;=mDVpEb;&~2Reo(E zAFezc!aAd!vJ<@4hvsTqOKYzG%<#4NgTa;cXky(gUpfCoE|QZ!%dgH%6}A-Dm0mgg zqv2>=^5B~6`eUOLhoVpLn{$U|YwI|NH|OszT;msB6Rtm6**aJqxqi>q`jw;WKQOvB zy7FMV(S!XPJ@4JUC7VH4qvu>Pd>21xv-QaEwFlSy%PWuP$4i*PdW|p94`b$r13SiNpynp8rFRnDqCVBZbSi4WeD*D)0Wo{$M(173wD zn|wDwxQ*8XrXTg^|KXWDUx>e{2@>s&;Fk{;)8j%VLJiPvI}^8`cw|rml>jWdW?D3@ z8k}ZeI}_iY_N^bjFk*kf_jUXmV0Vw!^5c8?He8OPPdDdZ`MUi0#)w1sjJQJx8>Kwbett2!!qnY?n{d3%Ccfjp)Npvhn|M*r+u?+B-ka+xN0juaB>wW0_&C`F`3cNdD$PJd?G=U+U{ zh;$^T(>=a{Ys-Yd9;`YH(D?bNivrz3U^pAup5j~k6>B8~PEU4rE}jHV2E||r;j!k? zW;p*7Uv=t$Y1AtOVBz!u+>P^?0@4Ef2NCGdMS>dSr}61yXy*gI4$B||dH{!FdnO`- zo0>*k!(p4h*FSuXQ2{B0Jqb~3!Zx(Yjh0BWL93X++~U&36-{1q#qE_>?Ukp31G#xpMJ5Mi@kE-^r0!kwmPc8e&`SN2{Xo% zGC`TEn~Z$23fNq{o1DcWgThMx*&~!QY6@mIJ9eUBdOWc@Bp=hlBT9qGpfmrplLFOoifMoBOOIGHRu2H2PP+2awyqlE720iht;#Hv?nDr zh*1y|dY1je zcv_Jlfu;jDQVb~)IJ70iw~76r5V~bLZ6~3VWhO-Y=oFK|td#%x=43ib?h>FKH@6ok z=9mOF9T(aOCW%-^EKBe8jRi~$jMesJlyu8XVhdST%KR6HVj}^Tw--mJy%UY-6q8}b z1l-76WHL$|?SGmETAyT8t@AMz?Y-7%Q;AdPgG#bGvFnB$h!XbOD`?P%{cYdzlDYmm z$+U4iffEeDU@VKt$YBPXkX?MR=4CBu2G~pASPQ0?(TnJXST8#x5Mo3u9J9!SzY9@d zP~er*Dw%+&V8h~uRueK2U_%=0Ab~AXK~mnXLRgis(>t0u#4znv%rrTZktq=|Ul0G( zIqDw|A}x!~Ql>d(DIdlYbs-us2}A^mf_Rd~zzQ4LW?3BG>#y@?H6NjfJeOo~;tOCj zB4#5?2XhyrdGB$FBNKw-b{&Cm6H!>$N=2=N7wQ;=(9c=|S4bdz$}~hJu|V7Mu#T^x z!+DBlrQ?^ZCcf`oe1dOJ2N!CEkliZ#IRRU0j2C7Nw`pN(6Lymm2p4fl>clpa%3=&@ z((Cp8bu!#xhm~^D4hG=J9|9T9|88?~Vu4~pvVAvnnoC(rEDF*L%tl)%^w8;EwvY%H z(eBlFt%w?i;~tqSeiLh@qoQqi4h{2UA1J(Tqv-}{ozhM$oRThMMjIjZhe$#K=>#X3 zX&ej#P56pTR#qstP7c9@wlvrh0nK?IrWmnM&i~xCbZ>sFoav-F^97vIEHb2ILFYC! zcrB8=tjJSRM^_a#iNHD0@(3}^hK2-ahh$<>No1z7RVInH{E{YZ zVzjwo(>Y!x5=RCEj3P;mHV%%^#o4E^TVu=7ev**W6v;|qR)8!N!;zrE%pBJ1nBFY{ z!H!7A3J;Yb3WL1M%1aovOlZk~9Nm=AB4NY`&6}2*(Cn*98kib}FWX?~X(#~|%{F$pdcj{550>9Z1T{p6G%~@9}e;%st@~2N}4~UNl8zsAeW>} zjAgB|8G{D9=RVnvS#hC*Lp;e<)~MTIgcPLt)~uEb;!AE$ICoLf7)N*{^$EnEg0S6XdDFdnT*_O2x4?thpy_l zwW2Y@g*qGr(t0)f@S$@br#a0Ar;8GaP;T}M&?}O(&&KT$_tq5!$Iz!1OgW9yt>t?JN$@{ntLLi4)4jXb_b}|)A0wH~- z9bRPM>I(^%_X3XdKlqmXge}pzWVVZnnp1`q{IK#?M@V2LjiCmZ2^L(i`<}=Kh!_{a zg()d7hBy82X|+~Ng(xPS^t1tq$h2&H-xY$6@V@g?FP1RmdQ`N-gW?jM1UqX5Obj6m zm>4r=N4H$sv{qFx;41<&JuC(&dr>o^l9=^0Y~w{qP!{2oA~}5Y+&R_^2$Yf#4Us=7 zshFn1EkQ_#Ko(sRYNZ#jII4n=!=O!Ns2{XKj8Mw15_PN}CaY+~{Z9W=|3zF=f1UXn z$JJ~GI41WXq!F)S#ik@#JSsI^h80#uI^Y6lY*h<_~y%}g^1!&$Ij_PnGS#4 zdwBEIhx_4$wdvV);b3`Z7v(LaZaRO@r+O2v?rl3i;q5nqk6quz;{ zOLS#wXoa!6G=Dl(ncH_4T+P`P3cmp+* znqc7qV3ZDJ5~reOu5WP3BC|N^UCW)TC2O3wb?^^0PNpfk9oc{@Z2?hN!eS<)MTb!D z!+&tj<4_j~?(#~6fW#!H4~cG~P#h;<4Gt0?y#WK0Eh!33ThtpmcZ&atT4=(w9VBPs zVO8MCYxVR0;P>;l926Szq1!y^MDTDR0#uem8HEMTCp*4zl? zp=j+fW8`W)^J2NJKtx_S%gpA=2CSsn`9Hf1Y6MyVQuEgKS=r!Nbkiy^a=gQuIIe4{}nfbU9TCE8393gncfHa6B%KfM9!MZQ(%0r|{6^ zKzE1}OjwJrwyO}{7TFX`+vD>k@(9Gt!-xni%Pi zDKHSgjnMdw#gbF&$+VXvfZa-sEffnXGF1`BLE>2w3b)uHn_;s0vbG=&?6g1#ZGRr} zpFOlnq|iL+(O))C2rPh3nU3GKXt(44V#raOshw+3|#6c)2IZSf4I ztb7CEkW3QWB@!Y8fpHoT&>z`3InD}Y>b*ThT->ycMQ1HxYaXdk-x3ZBccp02c6|57 z#+bU-BvDLdsLc){2F89L(b$?9QxL?0NytZw>P?LYW~=Pzn$nN?ve3(rJ_gNnHY zQd=;ncb3ZZv_ErFWMmjQ%+D1~k~zXCT{+0%oEz{Vcz^xF{q}2(BlaP+p9(mcLuUbL zGm3ky43%~Z-~riv@5KzZDI;x>#Jna^)S{j|eYB^7bMyb@FwvGvvNAu@`qS(M9eg(cksm7xe8vX-bhF!;O}$E6oy_oJ?3#BaS9%EIUiX6$8TprGYk6ES_X!Fy-r(dg~DVZM5ijvID%`gfaUTT4*x@_Gp$Qn`bO0 zD&y-r3UOff__vOoH;o2>L@5iyqEGVZZTC>4bE73NZxJXoMz_*1%bor?M`BaLxZq<} z*jGeZWtwOI8Z9Ds$iMkH?V5s0m!+o>NPAni*1xNYUbtu7Q{^0_9h1wcQO#!2}Rv4L6Y5xqy%d}+`Ul4g!$HKO%H5u`f!B5@JPOYL@ zDilZMhUOo6HI{>-?<@-)E6RFIMy&FV(3*6jwC%?PF3o+mS<|#0Z8u8&wvTaXK^7)v z=7#hC-xuQ(Wa+tPji|TwP29NDup@Mjp&&e^qL^ncGUAp<#46D@ZX|4h4Z&e{%O3j( z2UQlFAQY92u_9ha=kb23W3iFDYrqMG9w=a%$Ure3qhUHj)}D$?mMB1Fm(7*LL8?W)Q!{0W6+c&NU z$h(+m*(6ZksBKxsCR!R?SI6o{JVv42{43|;C19V+Lg{vTr^gShrBFhuA6MGNPx(Y;tW1(GFx<;660rO1#uquH~R` z{0MR%-jxg4QiK#e2DqcLv9C>T?byVst7k?Tjy8rO<26Rg^i<#NEq+s9(0j-Pd-b== z_khb*t33z}+B(%uN@+@~Ysm%!F+2@IPlPMFDHX4o$Tf;G-ma1QVp{17+Bt}L{73qn zvuRH$^`4r`8mRvGPv2er(lJVe-qodrER!+&vZAc4h9MzS*P(y=#mLy0iI~z8&^TR7 z3C~?l+;@CQO6#gI^B>ukbWJ&ZO`jb;OL4MBzyL+k=8qN=J(4!79oy7co9?ccn9O}f zo$v+o%*@wV(paU^L#|;lLVanlC+;`#mR3vI1jb_%_x9Lb&yLO}*?s8k7#;iA(kBqM zZ8&R{(x72IdTze@xr8>1V8@O<}W$*F* z$L^F7GRB(RJEfdhX}~fH+rY_j z-gTZ;osQC0#&LJi^j129+|}w)T4Otw6mNF$F1V{zZMW<(o>4>?9DnePA_YSNwzGSz zKP+7O>8`d$aVwI7VRew*XcRd(ArRYZAjiR4BaeuDD?kp(u8!Lyc1XZ1$$2EQtjHb7 zeSc4N_wpL(O7a~h2YrOd-kz30*%rLINfwfYM>qRE>QtsxjUnAE`-oW2hwQZ@bx?knMFBniq z?;4tcZM0CC9Y@tX>~Nx^kYh{d?-pxqbO@-5h79`rnu@J``VM`z{3V4sl_Wa8hNY=m zNniB$=nro;l<->ZVQU?T$cXk(pCwZpsvTT8%rMdbpAc7#ctGllTp$*5kO2EXX8Nv| z6x!ghiYs{t#!f#8St*B@V#zo_OmTnTJLIt zn7ks@glJ(B1K9|BBqL{tWR>K4(>=0@QYehjpa;WptQ@NmqxEW_X~E!Pz1!i?`1YYg zt1bDm7O7GLomB|)WbT8Xc3~@}^lr2cLshxnI;b`{Ya>GsYDFU@IKSMyc^iQOrGnRAL53Vgq{j?wKt#(NJ?7V@@!_lxR^0UlCC1e(yI-;U$P@ciXG<@QH zpdhcAxgH(d5e+St(tqmK$B|<&ENiA=z*Q)%HOCe!(AQW$biaH&1?B5TR~pM!NDCmw z+Hd_TRrN+%4;l-sl7waQc?6iQRW0ddn3FF5%V)*T)Ls}1MlKAr5 z-9$|*QXP((tuzt2b)@d=3^;our3ZHm!qh}dqp{Y47nKxCf)%hmca#Kq8RB(u>Ocb} zq+Z`+Dd+Dr#)Fz!$!MpSt&=A=V??0T6-ktJKh4v=V)KoFlPyOhoG`3D4asfo|7%&> zij>M;%K)}|>$RYO*JDZ1q4y@r5;Z$Q>%n;NK1%C>BulEOc{ntD7~GLe>RUj96Sadwe|E8e&t4Y zN8S96x+Cf6ci`uN+hM#UDo$irx^c}q&|$@C3`1fp%V6k9kJp%oo~-rsHN;l0CB+*Z z58ba8NIl5OOZaP@7z=%=VV11FyLkB@eaG@-lgxJ1&Pf;C-ZOt845%eGAnWEVx@xo4r!R zPU3ctImqJW58O__6KHWm<*Iiudh783I=rFJLw4wAHW-UW82NewZ@>;-LT+O6J71{* z@Adax>30E}L4{f?-#?+55I7z&NYli|Iw~`(RkN6y$JEmyQ)1qSyc72NOzxHX$gneL zhAFwLzq`WDNQ#yEu-wUb4u%3R|+fH*xIJQgAsdl;X`6< zA?U{L(I&<_F6p_IdRjMx9B_<0TU(hL0nM(C-_5WXZ2>hnAfZ)K3VPLlU-e`?}zX3@(6L0Yl_s%a7%9^+q!)TDP2&-` zaSM-NAn(jAqNqqy!B{WmuKuqtKpZ{Ed(aOGXN*+~g3%v6^omkbsL+Z%vaybuTo|{s z6LxrlJ;U(}N^XDC9X-%o^Q>Bq8h0NsH~+^m#i~@%?*lEh5~t4g^^H|5h&em&F|Yrc zRZi*}ai|sa4!w|G83c<6jJ&-f8x?zI_1Awb8_5ia9S28@@28$>PhNYDHO~@sX_Ykl z@vrNbJP4-ddDQO-Wft*V1Z>gJCP?ry5<3MJ2-#bH$XV$tl0j|Bwk+rrWRdsnHJ%ox68!cmnvdIPTcdd#Bzevi&&;DDdai3^ux6(^~ zmk8MoV;e+wdlqd;I)*HfO;>r1s)o@o!Vo)rROo_f$?)-bs(y{A7VYOuTe4TbwdBNX zs;I{U4-PaZ-9^5K&7c}|wDxFJI^jC5gj5D0FgOc+=6|7dYTi+w3NOPwrYC;~@8BtwvQSL4-mmy@=^E}93Z=hyCWe4sD7>)XPxsQ#q^%JhkW0l|2Va$=Pm&7lh*_Tq>6YBB(_mz?5%dF(lAe&^2=A_?+QEy!ipstjVv4#1xbK4bQi^JTfEu)p->Yi8nx`jYe` zDFbR|;DCW}$lkC9Bu!--y=b@>k|W+h_*qWG`(Zf~Xd4~qM<4ba@R3#6{ zWxM9^P3zT2&$qzsuJf)Pw-Y$T41{n<-M3#8rW!-JNfX%0HR$itYoo^as1oJ^`LH~zlFO0#VgLI>VUp2BkX6h*(!2KDKE#urt=YRl0D`ph*bkL2 zl8T~iKZ>yPgp<*O3Nxlm#@kq++d)e7DoY za9+PQ)L0r)LQy0Ty=k{ZUaQd})(*R!A2vH>%<-Qw1j+BPCqENHGOn1YANo)&E&f`& znFh&tAPd7rSp7v^%JQ|+H*z(DPNRx$L121}yc6~G-AikCvrp#O#=3U(u`4x&Ap_S= zC1|q`Mnu}H?;@d%MLHQ+dbrN8O3lfk^dOUL4>dWZexDds$r9-#U^=x+&s_si>{^$# zb~1e8QyGN5-@-Ua{Udeq@{-SM*E6Ehe=s{~0(dE)z6yVomZRySq+;1m(UR@y32Ec! z{%R8?qNK%>!=mV*ED~ZkWLx!5)I`|vkwy;<1xN=^i&gHcabOziPOd;yd_<)flBb=^ zy!y`9!)InxLrdktkpy+{o9fgdtwL=)YexcT6?Rfw@(R1dUc|xOt6EOeM`oe=8_ zzGzFumljDF1$;PUypMhQO||=am z-b8VyZwRgyskSuGq);o?GGE$-i+{|2@Xs>mBJ01yENh2^RjjIkuxF8bJx)Yhc-gg( zYnQ@qTqN$tdq=7Cf~S4p8{h`mwNGJTdt6Zp9>&o{t6u)pZxVfAEJpe&ekJC`WYFJ% zODH9WvqUeY zj32_WHl)@Z9j>I+-MfzJOV#4wJO#jLm?MbyIe^fBxjRX4L&5tkBK`3EogA~1$V8lbyN(K z66kceYUVuR)f<{V6(DdYS&AqGuun_a)8bX~>^CSK>6vZ@D9*>J=drou+XX|jpNzj& zTar#wXkPu`zpw3E38hWfSOs)K4W=#>l%5`FyMYBpAVO_{FT#!-+>OS^#3VGgbHEig*AUlNZ<)W&8y$_k8z^rdU_ympL{9}4M9P&=!4JU0b2wEDxJL!idT7}>Sj`rLy4kf&&i z7Ro8p{G=ySWp|x7HYn zCO@Fgv@InB-Ke?6!XQ;-WonsYOuT|F8(Js{Wf0D>PMLwb)K0_M%Lw;CYpGK1?{}zA zTD#;hqAvOM(rIf=)8(?U7>WW$ST-Nazh)7I*D?H7kp=EOPi}IS<%6zK(GoEsU&1+x z8=y7)q9#J6(Yh2dqdiLUWdhg4*!lsB&y~@P0Yka((4v+LQD{ z-UY0Ix3(c#k&TR@9aAVcM=}WLFCF4ADJBFU2(SL@=THue%m@!60#?=bE3vR9;w7V# zD9UwClRPHKE$_CF>AB>0>M4S@CcL{0Pq~3Tq-UP%T@%`DQ+a-aLryi`lHH(faGtud z#19%OY2|fe#jOh4SV^8a1mtM4tZEvWFGX&6f(|?bYv62nAN&358qt`VxK<|&ni5xDjet@xj{(9d-7OD?$cf1I0TX}tvJ;6%)~d9+ zGZBG)$E}V;uEixA*-@@BR<%h7jNg-yv5x|@ciJEXHHm`Z^y=Mz;WWmCfDFuxE|PuY zAY`%aN_vP?!;Nr`Jhk;S#rhi98&=dV6321DKvWTc0l&~+Fmj;Xj_ZA9ZZk}WaIG0T z`p@{oKO*Wt3gvs+5frwnXr*0%i+lu-_S%Nw+iiOO7`96?$ z1$upr&;1C1j0ralbe4Ptcv3nG1G`QsBX5wQYC?!f9#+pqw$LnnJC`{1G3}UV=IgWM z7XHMKGPNM$t+iK5kMC9?tD8Dd#Z*JDu;k*?wX69gZpLHhsiL9sD4dR_G6vm~@9Z4L z>cG5a_0YeVX|9lVrjZ(!Vcj8BiKMO()Y4J*jy}@c^+PCc936`RM*$a@(H;~gyTjvk z6~6l!?^}lj2qOGRFYHB$>`Ptt`z9fmO=Z|H0UJh6N-72eENE#bvw2eh(>$CtsZYTc zk=mjwx9N!gu^)Lum>q*zMu@2(mud%ew37+cCYF@zH)wYMoyv%jrHf;6Bs3iQv|{aJ zSW~;{-Nl2h&e`9kJYSY^L#KsZ+ zV5{_OjPR=eGs_0@q4;kWE zBz+JlFr)y=n&~T$82LA6dFBy{dZdGv{TV(?2Oq9!#^HGedtL_iI;w>mOv(@B=csCUjC}!ckz;!FZyk#fz9AMMLY|OA2K_52khH zufin2epEML6Ku7tD%l^}^P^*)e({a92z9l_l{T!`i+Yxj7*g7u9Kc%u0;N;1M1>?)~c@2ASwd)YgiS4wdO!bG{&=?Ug)B z3Ez6xK{8Gdi_S#A!z$0m9096P6wC~3EneqkgP>gf@@KM50MF4LV)!gI#W($SD3YXG z+L}U)I(i_Y47vxbs(BhDQBpqSO#x(@+U99n-K&3ggh055+8!Y%!lxl-RAin8JR?60 zzfTd@Xk7}F&y!KnL~}iU_~ovADtwzYT8ed)AHZb;pV@*} zLL~ao;AnNYC5#T!=U{^L0lQWL7w8Y2A`Q{W`VWPxCw?}&Z-q|i)bM~bP>@zpVRA5I zFY%U7i}(#Mi+{bT5&86QP_n#y z2q@N}*8bT+3KD?<(y$TCwVX+IM~IlC_~%G#u~sjkruW`kMGy!_@xVLeA~Fg%V|`g?U?>-qzT+OfErX$kD~7{l#{-?B z6Ul#d7~kkS*TZ!*oVYQ&7%)-8V+r)T0EX)$+gPIg+vk&~QjVRh95{atfQx z2SLW8mxzhbIfny!;piFX0i4nAiQEpUGkhfHw!hk>2NKG49~_Ck91&*gOu{abufavh zyBLS4l$ncyx(=}h$cd<}VCM|8zt4U2^>FLJmLzxbOw*M5BrZ;qit3{a<~Z0V5riSc->ZB((z(N@~LyTJOD4iP(!t2$VT-u81=LwRA5K zFo|!DfBjeApv0jOCsb`u*q1RGiQDK5?$r8&TGVGBmEgji49Hp?nsA|8%&W4n`roH5 z?MDbk4`2ekWMSgAR0)&Nd0y8aC{_GWmDOP-K8^$n)EJ`VA~7&wo2Ojf%<6x*FY6~M zJi{X3ufFCHhcb%xur)PM0>kU{f%akUh!MKl=?rzHB#?pj7K<`1?mDZtynu_oo{JFx zD@w4%pg-z}y0fkZzR(QTySW|06lM@xF7_|E1cLFac-p`F8&HEPq;rOcL`;fUA#wi> zfew1^{cALfc)dg)@Ew`(MpF+3oPZm{`A&vS9_7Xw1}vyluwfh^T1alP z8UIU#Of(vss)5!Z;#(*MDQU2pu9ATwk`w(`5d+U3m8ksBmOJ>7?x;|^IsfIa{=QwS zw8lPMC9_50NZC-GQ8weC|LX;wf+(BumL`%tVO&c6n&3!1gPTzGH_jQ~x%rcsEGh*-=#zyqr5tTULA`^j zt#p8pY~U)K(F_PNFhwb+(H$I8jMyz=jLi4o4XwY6xqSvp4H$NTrt_Z*H)=-3K#L}j ze3PxdlX(@Se8{-ccoc)ySl#^z8cP)1XAU*Q*1~{UkUD7@?4;JvjrHb=E?ZBvq|6<~ zw8&t;Hx~v70uyI-|8P`rBCIgJMhZ2e_|D8R*Q7s&T8pcBUTcBJ zwtp*+ql!a48YsizHhM)E2izr#OC_WWY#2n&Jqdz=$D?OU4}m^Aq#iR{-fi!83Dp># zb%(|T<1-j|=_^WRJT^Gz0t^{BM8gDz$zBWxo;X@%;GM%fK<$PUb94DC-)qYm9eZcx zoGeryv`Lx(wbTp2Usf}Zu!@xj{Hku|C1In(Y$JGx;YSUCs(^Cb_K!(LNLD1Q^(u~+ zQ6y?l63yFR;4;hh63wC7)Gsn?GJ*IkEv%qlMTHIRu#NZ~kNG6l75}F(c`l=Z3FRy- zWUcX_0WdN{6j^2;CAQofSidy8SVAo-!zj4}mBVTLyH0TVuKNM77?2U+Pn0|b4ctnr zfmMTmCvH?lgM)$~5D6hn+!i#|g47ILVoCW4EP)27{4KkJ1@;~}U;@>#e#VTEk?=Gy zc%wDZ$T(~D06CqrY~>2xJLr(40mBAkeDE@xYelwuoEseCY1%xb9p@2F7yTCM`h;78+1;ewvbe&vVZMj{`VHN@ay14$;+=vR2#M*SNMy(|oj6?SuU5(S z@1W6GC-Skh!MC0vC0fcLjMT-G;W0h;+`U;+yKbbY2*sev&S9KUm$7Wm{x7)tkx!QjYYzfciU4){%dBI=_4 zL9alS!YTA~FPCBJZRh}^h!PjgdG*b&PaYOKxC!bdhD|lu1Ky@yQLewS&nWxo8k`wD zZYTrO4V-^<1O(fo7PETa_wtN+h(^J~138y>$p{V3(gjRt#6W3))~PFo*=eyt07M^4 zBlm15uy!aHjmzoVzGpMxF!hcYAZ4?lQII9U6a9w6cjzhg$W}EZrW(f>Vr0l`=Qhu@ zT zQC_xp^Y?6mvDTa>-JXb$7(1bwrnLZXfEdZt!NawKB&i)7v@~{F!OI4r#rSCM0zc6V zM3#;LUXZP06BF)#59Hxgmk?Uc#!!5*6(;1HWjb55#)%7 zuHZ0QMlT^{YiD5@br+H(mnt()^A}lgW57*HU_3x+r2zZNRyQLV{6G2=*RGZH|M1i5 z56x0SSmTM}$+13C9Gue*c=2oVJ6Zqt;F1X!!?4JbWj?1Tc$Mnj%22h5`E-= zrMSY{o?2e)jlKz`TIU6OhE8cV&Te-VcEW->xUuAZ_|F|@f)4aqCx~%%!07r@2@*gx zw~+QCWkx#4lO5JH$|8G0ZTx#kC*%#2aaHJb_k`G2jyBy}hUq z`F4&rl%#T(o;xYWHG{|8E+k zhoD}Tu^x>~9D3OZ!8Xoru%7wQQ3xpEjF;)K=irf+0up3*jFVf*3JiYrN@3NtLTrlV zRN1NefABPH>z|&9y%mTszigObkDWVAIL&ll)<2Pt3+Wo};6!ycjvvbV<7qF+@Q!x} zZpi$q<2;mqz;qUj0U30b54&2C>gWAMI^#Wh z_Nl-rAHKZn>oj~tp}rq~Jhzz;4u?}?2^!dgKp4NVl`vm>pj|sLj(yg|2LrUjM~h|C zg=RG6$IEdoYT_8dqwGftkM-OmHt{1{A$JW7DNE`H6lX@oGsMxF732};H0fj$c7uZc zv7)%sFiQZ0f)?eAgdvW#$Z;9IsR6A|!}1I+yopWH6*1+6F2QXm$>QL<2{Sm*G8!6k z&iPf}DSI#d_H{4~-Rw(5f%2T%IEA!a1TL~4K!e38k{bHrv~s$r<(6j~8{G622A~N{;^(E%!C>;TBf@2qGSUb!(6IN}lXnLCHG~;K}GrF@P z#`EUGM)Q20RKYaN=(b$-!8RK47!;knI}D9URa^YO()cFlXiA3J>-YtBlb)y&X$QU8 zs*~LtmqHL#-6X1o*~jxy>}8R9wJKK?Wh6C&U{mi|GWxkTam!!>9niU~Zsu42VU97y z)03Fecl1C6i$QvXBt}I!;W0Q#C;{>W)seBnbVWQG7Se^xG#y2Dp;;(152LqS`F~K_ zwvvi8vC#_7`p#4-^=mgnKtitA>Q5$j@_dKgLnuGbP&4g=RtY_Nk4(_5?3l$T)xm^h zNj(%BJ5t8zPI{!qGXbV)Tgw1mr^jBT!%#!HfYeP@^qZw;`;!Nhr>2Y?Ycu zhJy=51bgURe8!ORN8xnB-dVK@_Wn=5!Dl*?&OxP8uG#d`Q7sil75@OILvB)KNaA!l zy2C_)>mP4n!K2Q_k&miO9|{n~ zlvAmtP`?bYyf8j+09j>F#4w|WIKge=2`g4m#rDFtua*TkqbgdiUj66Jy@BpBt0Jm0 z9!^wp)$S7fR3jVS5r;<*u;k*nGBkET!Wl%5g7nQsN}7{z;aPePGj~?==?-e5IdDe) z=EGKGOew5}F(o-9bc~NtA1V&3*vh4)bz-C_0~o_iSQ%W&$d0FpX%LO06_m|yI`rAG zw4!1WvU<{c>AT->1`m$VDqiu9opRLbT<0JV!Vf4|wze4)L zZuI0G=1}M%!+vBggi)SF4&BF@qBD2<(cy6VpZWWmJdCF4bXW3+rB$ha-jqzBe=gIp zCWoZLB=8t-Fbkycphw9qw%hHkrrj<-AKddt*LtRKUMPU9XJM{+C_Fa4yvIF~5BIp! zxhQ5rniSwT+(AmfxEGnj;Xa^k)7=;QwW;yK2|qN23;qRsc+ksk{!-J`&4*uX9`_6P z#XEQcZa``hQikHvfuPVV4v6DB1ttY(RY~nrB9Wb?OT}K<-{tR`|2~qGVO&LWwYllA zHrH&V;%+`&n7cE4C_gvpY#Cq9z7por@zF3>VUi>S;%_$6TYONfmzcKF%JkO5dA}Ls zND$UCv&eOqre`CdF!luj*Ksk{4giIARuq7w2-`r84gV)k?eb#1JQZA-{3*vdO6kJ= zW){EukxF#D8aGmvPX6(FckhMpsT1L)dgkczY|ptToMpmxi{D5a(@4i({FzF0*`I3A zy5Qj`eZwp%rNzr9m==37$5f8h#ND|Ijda%!>Rbqp76j?-Kgjgu=N8W>6|YOKIGTy* zSt-7`@|N6`Q!7&vTaP^bxI4`TFYe?sQ(N81Q*U=}z4EEAg28ezp&gl6#A4HpLVa$9 z3g&vy2~_Z@{Okpq8Kzgq$I%99!;eSJY}7~>XQPuOLD;N!3zz!znwiv?eee$%XH_#f zl+KP3CutV6OWMXMKo_Nxga495YxJoU(4d|E$?~nV?8?-`Ey)_dn(gb{g>35F*vw)h zz3O{UY@X?@09}#o1kq+961dNeA-pq-v_=yTPLWx0TM0lxHv{||vuS{B3hLo990r+U zE?^o1v1Rg#&&VYlW>*`Z+F5j~x4NZWUuxN3)V~!GC?r^h@OjAc#O76h{M^`6`CMAL z1kk0zGiAmuF3v_&pH>A*Y!d_YiAzsH(tw6kVPGA;YLPux*cRwj6hZ%JqJqEHDZZAA zseJYNrPoR4G7;^2aECy%#xHo#z*%mjD_uW@JUV-5&3Qi}_mP2&TC*3TR)}?#wPk^` zQ{>CZ99Y7>h)z=r5+%CPZIqHGEdUVQlISrU9n{lg*)3O{>T~}Q0|dUnxB$qNW}^dv z*O-oxipU89{0IP-8R*1pVUt1;MplcS4~xLLCv#Cq-=GF5${{9DsvP;@VqW#Ldq_w@M?8dzdDEvfy<>taR-1&PNvy%a#3rQET) zW<}!ngCU3=YM`;uH!`Vgx|_KvVoiFKJx@y^vU6^(32<|9(G9sLU!}zDX~s}LLrT{v z(RW5QU)3_eV|9L?R-0tTSNHws8TsRQF!*lWloQ19_Oy8-oxN1{)1Gs21*9UEMnk1L zVbm?p_QHq!x!!h0+nM$&3}?@Mh*dXeuMmpYmIBotOUq8faIwr0<6u-fE%A=kHGMUW z%hcX)OttKlu6*J9Elf@`obJASH8!Dh>~^6kM{vNFofRhK(mglC2{LtDF0(xiwPO9q z8-;1hhuClbE2+X4zW>?q%k78#FTCT~ zaDV$y_!GO|a?2f^Bk_aPXTyhYxiok6(EcBPIL~{x{NoGeS3mde8z*_&{q7s@^0xF| zoq9GrEk00dB{`S%E>Z6qNj!Vs}kJjIke@9XbIXm6^tEUQuOF#YF z=T3QrOSeAD+h{3j%705C&s3APOuoE)^~1a0Qki@?wC`{8lNMCAT}_(wo_>!OI!C%6 ztbT$ftaa$7eur!!^?Pl9s!vpsGU|6;`R$J*rE(>AFXz2Tpf4u9fUB+T^7+_5gA-G` zB|p70Po&CqyTeMTNP$HhZC@(a4I?U+@1c%wYTCaWU<^%jbAA|ymof|Ckjap zDJgq|gAcq9icj9HV%M)9dXwk(!iapW8_6~utBu8&`$vf3l|PFwyeBu6FH~}so#T7l zXgMsb0_J8t3p`19^z9MT$_-4IGX5IO|{?}*9n2p?q!2$0-BVoC=tl*2$sUyB3a z$rAo0v+sbv_!1?zS58$i2j$$W&%VL;01NAxZ2iEpoYOdd-esC@tVP6Xj^%5aJuYrt z3?at>W^c)R9k^G4UP#!7Tb5pSveN_w^9Bm^113Is@@o~7iU{(rY`tZ|O*G60@7nCp zR2(!c6tkWPCD-5wvPcoY#E-mqH-UV#8yn%3h?*S?P28JBj6gH+G-F!Fo62wuK}FPa z@#e|JTnHT)q~}uZ?wx`LY04SjsTQX4bo*nMNTC{sN~sADOG+S8t);??r`-zBKbum7 z^?cJ$9~+OCYhyO-H~724Z6d!#R6s3}Pc)isM)?OqpOT49N@l_AcAe>59vp!!h9F@F z0+FvNuM;7!mQMy%Q+lTJ`Y_C9R^q6(IeUAapqfCp>h8{ESXS+XKFFRXW9}d#A+E!y zH1iqOnG*F9`8S)76N2q#5o{(ZR9$>+cIO~rG94?X@zabPPTX`3=w+G+PY@D{2S^{~ zQ!V>mHT!9=y85x}OYWWp<9)?s_f~846)kRhIQVDtD;KM|X`eWy=T3)(@#Y*UwcUv1 z+{lDjmrgiN#|=FLNtwc%#Q$ddA3u6OWSHS9{9l|eT7^rzXW5?y3-ScTFuu6GSxBRC z5Ip6TIv@8=dQqp-zVcVkz^D-rRVn9hs@>jyal(IDs*EZ z#Rl7d0R|2!5@uxMiYky=N8Bm1LRDFXWG&dh!x^!d3+JDD`gM*UGRTWyUy!BZ)ap1m zLLI1`p>y&ADg?L@?2e~ti=hzG6Py*TJ%Nz+m4=c3CfgEWeUKAXNwEZMKI$08_u^7{ zp?&>(u47&(&W+WgloOrF?--}N8o(0`)GahOZ0Xis3iD>jZ`Ut~Jph*zRD})1Epxnz zY=+ZJ<7uKs!9R6*V4ALX<8cL&F4p$AcR&amr9{W_1U2YWv&StF1xnXh$fTBbP@O=&@M=uB zV=GRy=)6&-wwvexQ?4>R?q?2wS#Yh36w9slV1%5UJW`$C}1Ill3R8zcHRi(_>qdCMjSUFC%mx_9`&P%dgoYw{Ir37`>n@?ZUEs$dO=voaF{ z=;>nWt$-EZ=_fEiY?f_Py7V@NRMf)<2be^Mg(RmD30eXDsGClyPtWC|iMl$L#GOhQzrM)o2*#A(v>zujC?emPv&b z=~Bm=syfcDs;PePQ?GYss+DXis8kLVQYP}2rri?i13qHj1#A_isfhvVp}A5l+%6mg zbB9V+-=G?AS;{slyX4N>cV47isGazLQCxlXY)RZnr{GRrSsMcHrYmp=qZbOAt)&?n z?%)baLz!wIq!8&T)3Hc6WqJ%UavMG_#z2wa25>cR#A)pm=F`tV`0uV!Q@D&Fu|qJC zZsA41C%B>ZkpCI$3b5#kYr92`xf;6?W5rc*Gc8JX4}=sCU$lUPHIQ<-y;l43zp0n1 zx%5Ok^qSL;c-}uN)K~IRH(j`sXdboQn7`^CK7XF#3wY z!*UAE1Dg27i^u@gFh5h9i%D&(=H{9mlDKmI*mB1uJ^lDN>_Y(!-_K9^D<0-Ts0ZmO z0z|S^wnQNID4$|rtA6eB@h7qx{206Gncca$w(0I|hEY77n?9f4;aAM=1rr6po~fG( zga*@jG@#er*YuuuOFQ$~TgD5`{5UhT*e`T|PdTBtjBT9;id!++2N=3=$GB;+@y|{? zfH-7jSOmV(^vAhF3&mtvU}zKAqa1WT9++L#$;&%`x5W(eT$#UZKrsvag_zIrnEadk z>0HBap3Zg0(~sq%gDGOs3T|03Gsy>Xa^Y^tGG?3!>X2@RFn;o%vMeMcSO${u z)7sApD*OLir$Djzdn$M3r&wV|)OlaDl^rz4otf}G^%ocSe){01?gt_tEau(|3*Mo{ zCr-4Bv(rzEpQ2%JB4Z33CXR~k7vEpsk~>oEj??O&o}PrjYu|zq#HjZhYg)iLtz{rGp%mY@NjKw>qN^2Z1kR1LS0KVCCxH};63>j!u*d?l zgL8s@657K__pU!Fp;r$CLG4Fw-#)uIvyIX0H6C@(fi{5} zdEI*#OS50=j(G_&7b4GaPGcRP8y6jzGD3ZtEqSp?hBh_gS!EvjK7d`$ zXUu8tI5c)>6Zw_2OMhS_G*aqt3+k^2-qdj?+W=4>Jomc0^Qh-aZSKa2l-Hg91#K5l zW-i*FtJ8wTz?7_QkB6nupNWI7hM>rPkXfdhY?M=6sbgYW9Wb8ZwCT?7ZjsD-28 zh0ZiW{(BaJBH4`Pc^16`Fmi~lNOe6`_D+_Mw#$s)zAdZyd>7#M?!v)*3UK9yoPX}l z%F}+hw|qkm3x8;-5_uJxslP_u`+z?;4M5XG0_bBFu&4pCfDq|_xI&6u+VBUBPUm{z zT*T5?7}4Z_#$Gm1zY>7WZ~^NCBKQr~6yJf`>|}!qB$Nc!5TU|svFNAloGgp}@16!D zU2a{@=PRw*r~;yPaEb717kQd>f2O?P{KTVyGvTEe6RxJq7)FxEDO$AP=buWXYx~6Oh4XEeC_z)M{x^njuU|4 zjq}Aa!DRD9eICw?FAx}+(thJ?^E2h!zVZ4;K?=)fpbK^alnB^9?mm7y>0iv^W@_wa zfWvP>Ip?ydA5{NSwFT&zrFIFgPs?HE%$tgyL_HX&*>a z$*r>M0OsLLaPtqo={sUg2}X2%v<{h>>_bg&%Uh7>nd;wz@9gl4@dHzze3on=u+THwz;^# ztj{7JIYSN@ti#W|wBQMiA4kdXGY%l99wv;|$)(ww z7jOVKZZ3AR+K`9IFN2rPMC1uPxp;EP+kGn7o$_~m*GJyxI6XiD;-GBW030|Gfh3zx zDG(yk$GPhg70v-Hn8HNg+m@$Q55U}E-6D{m!kOcOTTb!L^rT$U&(sS%?D~93hyLTx{&wx{z=tc8!PA81(i?f7T9gL)t zZ?R>P+uNNfSBv}W0CcG2$$V<2Dz!0yq$q7JHkb3}m^ae|mI$+(8bxMUah;d5 z>yC}XVy+=uFhJ*|&-gLn`$|}1(hp*Ga^!MW zbBc5R$ytBWw8O=-elhiZXUVC8q^w@7&Nkz2G{$Hw8R$xwi4T<~ivXCE-mK)J60p|^ za*XC~B>3#O4lV}_qP#;gfT9={XT5A1qz9y`DkFIdPCXZNSf(k=;{d_knL1-(v?^8k zF(C3T6xuXH8;$^V#I<^Je9KrEH|k=;CP;-BvTe@T9`~(bxH8eqL~%SGMvDaQaiNz3 zn2O`t0keF@j|%7Whr&X{{3}AbLeulk zmuK$GO}x@}gFBYIU-b%Jy5dFg0b-HHd8{e_mm?yqfT(R-11B z-Y=Kj+UAK=U^ow#n8-Mh>5zHk#Fd%-JAgvaKNYl22F_Y>D)c#9gk(9eSt?-AEV#n# zTsl(e1{8v}SfEX8h}8%*3x~d6ee>I~Gob@_1t|TB18Sta!-Ag*aM8;Q3ACwbd=Jn? zpllUb+Z5^zl$3-P`kN(Xq+;MiBUVu6nh>D4L}%bDt~?FU=&b(s3%WOUh27NDG(%n4 zTexF>37#Z}DGZIm)9vm8FcXXzWn;MNc+qL881A4WoE98&28u~ARAkBzvI6QfxLiQu z$mPBNnHE4VaE92l$R|rl$lxbIexWXmA}0Xm#E2q)j2fsU2lze(t5}f|3u8@?zV2<3 zTnCxxukzTD3_5(wKf?_8&DE6R7Vd7%wrlALAGOK-H>Rra1{5n1;E)-dP0{boY6Z7f zu(@O>mRzP%Jc~;vG=Y6F^U^u$vte{G1s!}2Q*rzrhN^sVN(A-rQ(1uoN(>} zz;C7_M$3fe?jo?#l>f5d#j+IUPG5|7=HHgzYToX>@Nk|5J9%$m*(^uV8L#uOmpY76 zdc@O~IR4zD6#H^#woSM@UL2dL`X7H0?5Cj~aM@hbESnY2S&7lR%t(Z?&gjLZTI5ek z<)Hh+Dkh+qUEJw;TX8Oyyh8PORr{K(-ggi0##?$myssI}v|sRc`PJt` z|Hs=||Ew1sEY9tpDL>?UkB=Af{?Fvk7asC{di*SDrMUxPJh2b>_yM#1VL&po)BkvG z%-lKYOGqFY8jY0sJ-_jcXJ*ZhmTv-(>fU~8*NqQP zkKOQr8^bN9gFmQ-ADT4b=FW?iaI>CMG9J~==RsTMzFG$A+WWOey7zE2uAHV%g~iJs zEgImi?%qZ10T@`=Yi3ayL8hDsMk87-){oVNHvGY1!)c(iCRPAavci_Xfq> z!{rZnOFL`Ncwk3|K*#nl#m-jDq%cpkTv2ZZo4);}P)x+a+z$2s$#oa=x>)*FO z@W7)SGjWd9&<5`)iCab2@jmSW&b=#gDy5}dC9pqjA(iSPTg|AUP9=D$^CyBF-`AWE zAEl-5+8>y&sKRhxc`FjI%j?XpoZQ-*y>p@JPqa^MegABkB%p?OMf*rL(lh59758+I zg|)#_n5H2~YH#G9MzsNoK~E{_hp>*r7RE^~l)q&hR1LUEdx_4`r~9F$V&6=c(St_K1{q@B0z8W}2`Dx$8n%P}A@}!Il|dVo;Mz*lCz@Ay|GJ zd9Y=tv5Cb<qXdR1n+Zpe{eRoh#4e)ZA751-hQx@Vbi2Sg1pj#l~m_EpJhM4&F!l?`?#CO*W; zVC-58LEuy-OsWz%-4k_x3+lG^`TrTXHpr!Bo?OB;Tz%i4J%nEuk_tMvpPiH5F@;o? z$i`F0Yu zNUi#EeKafT`Y6fJdTnmi_0e~R%^n-c(E9cNx(uzq{;x{&`t{kQDZl>TnC7((HG5(M zYW8gS`EPG-%Z0T^L`0CT@y`ZlAs#M1cX~o87QEc#0fWYV7WWP}4c|;2DZv<^C7ve; z4A%_*J2R79?Zi)TuFY)nAN_Vx#o)E1QvPoq7vFr{BKh$X~>7tO8$b0nOO~|##ag#m~vg^9F7VxU(++;F>{o%< zF5mXOPKbpea*on;7vM5|_^f}@>k(OQZ-=od@xEi6=5d=B9I;fCB&na5gYz zxmb9BG6++vC>@0XCAZ}kGNwwt{k#bjY%PE)`0*zfy0kVn@r~gFL9Oh@71F8dnD2MJ zlT~h7ZV}e%bNhMpQ!4JE;+!Qdnj&e3xd#tsj?i0BfPjNaCN$0pkp&1Tc+nOsg$&Co z#(KwvJF>Dbc6LdJvFR#tFRq%y^`>7r&cX;#l6v#%wpSD+8#5m|OW{%! zo{s6)e7hGu^F6W1jeK|E4@6T&S~t(E|zLIfUiqDIWHdCcW^LcU=$Z*yA)=&TdKZF2Et7te+zE2e{izf`Xne(oLaZ9q0Go>4brbbDRUnwi63)@&Xe^ zD3J5m_Qeu9)!mHZr2rsm=`%ElPZ|*Z1&&jKF;up;sAgwXqdXgwbkC)aS>a@@ za0+OI>1ihNWGSS@B8hbs%m2t^V%M z;!tUc0`UR~eiaS6?*tfi(s3DN5C{r}8Uf5s#{%l10%l*)Lw2bwoNWh<8v(O|t={76 zxV**0TD(k?JV%&W*1!6je@ek2<#Qp}myXIAZy9Hz;+U=I5zYz9)InnbGD+ieTFe0m z*5gP90{f71dMV#jOUK!{gv_kSnTHc;HsVwcCQ?=BgKyzUR#NQ5gJ~KpiR4215gN;! z`e>=eFo}sF91sP3Z$+5~(p3gRvK)dm8yD>?x{$UR*5}&%=5n8N{})L*3`KP{9&5@g zUy`w1(m28MstMbb-Av9cCHIfmU__yM_C_!#Iw*qg2O`Uq>Wc(C2S}6+mee>#{GReP zPP~FTZ4{^HPOn5ON4({5f|WJfbLk~7Y83rD=T1H3@iH?BB%94|NnvZnEr4#B)81Q` zfbiy!`F1edHi!^;ydAS(m|dVj(7L9q-Pl}6MV;f-&TP}~rJiVq9|~_=Fu@^mnBTKJ z)kE)1-R(c|Xz=1GV~$UK+Fk1X9K!R_zkKx@Ou;h4(l{|*yRT`;`we2VvL`q0*XJsC z`!i<`&z_n&wLGzT;?DcFJ>>mJ6HcG0G&aNU%qeA@r5GT4ruq=^X1881gCP+T_z##^ zLdjeuJ_GsIq~2$YFBQRYw&&=D6BB|0Iuc}soLDzvdw+l5aHU~1Hrt3 zJ(B<3)cn#D_#XUu6+Aeewdx8C;}X{VnHG)KP0WWl{8|b@4un9Kw?kziWWv}4v`w}a z%o1VngvTz4u6Ohs*Rk-*+!N$>d1vyCO~u)p-dY}KA>DySYyy_&dFQ6n|Jv*RQGL znUOBSr8mricaXfX`-c~&tKb{wtGDhC8@(%2)xy50`dHaNNUBEXoeMv@|K^<5^W7I! zw|IwE?ylVM9>4Nqr^>sPLsm8|Qeh^3u{;SxQ@!U8UY8}}4&U|O?4>7j&v@?24li!Z zy>}~JkH9hxZrKz+fgdt^J2+1iyacQQb;)8$7CqT#fdVm*NadUsd{hjJfL(fkoM0j4 zGvRIW+DNk!A0Vn%#z!t6GS9o^xRIVb3&sXGbJV=EH(5@dBNMDRd*H2g`rUo9T+5VA zCHOANEC2IfcStB|M5)@nx8IP9$q3u(h9D2XND-Uj?EU?dfIQ?W?)_aYmZGgR(>eDh zwE;mE($Vx%MNl8_^n{-R!=m}*VQFpvl0s`%P$j8X{_l-9s_or_1HyEbaFj@7P9;4pkJ(?UzB>wz8(j-x2)Eqe4+asKm2CsqAY9A6?3c6=tWy zGkyb@gv@3B&`}Qu5WJaK0xeXq{gX zdjff3GzV)EQFAD2lH^j;Zk2h`D!&$ATny7&#iax%RXrrSa#IBrIa3X)FX7a-UxxjD z;BJlUfrG$2)q|&UwWvJHL&I~hPhsy_61<++Olnx=WUiJXmC~8H0ENYJYLwwd@Sf8_ z0Jl`YfrMybCZHBV4LqXF=S*q#LR|L3QaP8l47cBe6~NEQloygJbl^R0EfcM=Nd{0? znH;O~gFl_ROYk88bvAVx%<^RuHlOj9U@I8aW_KYK1+I6BFIFWMz&bEK9^tt$(i&kU zMh%X`r&%n8dryJ?iUV6NBEcsPT+`D6n=Z2kwmkWl`TV)lB&PjgG#gDmQ{5XiPH&xj zXVkcb>_kD&smA|VsZ6HMeXCr#wH-cLzIC_%WO?$-LGDxK@1N>sCa-++m+5=atz29T z_ino(SKGVmhMl$gGhX15xrIbH7x$C-HG2RRGFN@cfaeRvC07jd0b1i!KzjC0!eIca zjH2NeZ9v5eyqT!qQekzspoAL81ADa@w2NTla2Uj+vzJ2qubQ zKm^yCeFb}+uZpwGO?B+sGD(3m?SRo|fuC>euM&uv#qBm5ZwJBt8BOh3F(IEW=QquM z4FPx<;e8go$*aW9X{}RP{j+l<3dFKQ!n-Cqsi;!(FICcbX$hQ#D`2y`l?jICI98Wo zxOAtr4+2vVK;mFi##d*JBA(%*P!?K;K~Vac!+lsC2+Jw&rnVCYPGPPLUBCT(*Zv&| zV*ej5A{<4RhU*yz5Y=b@|6I;E+LG5~hkYe~<<^Ix8IIbHf^aE+YnU#%;ZjV*B9u## zlX%XER@r_8`m_=y_f6YcfMDEGiNfh!qc4rKWOFQR%SVq_l9zPAQsBY=mwstbrYhj5 zArb=@9S#^dY!lYLx45yTi^D-9^xNt$|98&rTy$Ui4ihXa&D2+dc&1$Omki9iu(UNd z<;6<`j$}J{#5&8k#fG>TSBe!oLf` zfl`E1DKfFXy%QZ~A+T11$o;M5Sx|#g4cQ(#ACp??w1S1Oq&aL_;IqbTnHdYbVZ0%T z;a>Eq*Dy2v?SFJ_$&GNpjUwlYKQYD}*#z0LiCKoUr9~6YHe>T_+qQP%vLYY{R7tlb&RwvGuvr3 zSqYknD-o*1=|T=m=n!UZfXl{W8o*9rmAr?Ly%tq56avto1iZ0GMupG|Sy_J29fn{~ zYdo=ct(X5Lt2=k(3(Z@+#=RBeo%Z6bvuAGt1U?+TAJ3z^H$LVE0p-rsv&0r(0ai$9 ze1ivhkU{6OlUB?WK1q@Kd9g+q0i&niQE?}6F#y+II_qxZ8HOoSK8(uu+3zF` z9(CS-s_Gtg@f|(qo}<^Ef!45r6Nu+h5ws_WgjZTFdLCk6Cqzv<$P#uVL!wxn6`YbL zof8x2Q^0FS@eS0mL!%#v!kweh>wre6ke~(`rb{qLA1TtU!b+Mn)DE?zfm4a*qU$zK zim_<9yWP+~8Tc=x5XddnR@rqhgFrO7bcwV81OVA`oST1RY>(m;^(oxr0wBxjp$dj3 z1+Z-AuYCPPx|Xg)3(RDO(E-{6g{c60O}J;Pqf}~EUC4t|djOn*@1#+1;SLd!@hhk* zHAydAYD0c*D3w#Kc93%Z#buNWhQ!RY=K~2E1(42SIYVCrH}Ou)9jhXA8T93ZzR)83 z30GW5r7mWOEGnc+HJI7zUvBv_mH@J{4^bw2yPw*Q^XbmCv#B5!f{+l8S}nC`KaEq% zbxOUVjXSdWeWxj(g3NX z5(5m~%4(WjdromEEdHaLbP4zq$TG}e5lK{*VVa4guHSluAG71>>;o_rww;-96dDZ- z)gId|r7s49L%+<3L)+>)v!um*g0N`W@K`^?u~N9J`}AW92h(1SgdO4S8};Un~8nqkug7H3K#yJ z@Q^|}?stIvp-G-wCd9sc5%<$lh|ST09C}a2fYz5>()_Z_D(Ni4OdX_}a!spDV_Hjr zS^ev`w`QB!@o&anrMvGF(ZtXA`6#<|3?lK`_54* z9mRcBYMN3&XHYX}d|DKf+sM}FT;#DFp8q%==t5=y=r-x9t}J?Km-6pP6e8M#y6vFT zE(byT8Nd8be{qx7<>^Y*a3a6=v{|~zJG|`q%W+|xtDVAG(N4>HOw80&fa!^*?arLg z8+~l4J`azSUHAftG5X^c1M!4Zk>y=@0H?;71sa85`0UL9DCuDW*fCgcjva4W^K(=2 z>OVYpl68HL_#i)X&4WM@O`?TvK3-Td;5EiR7@U=_jm@zM!y?|I~_a^sbvMi9bA3TQnN_0zWj@=X&8kOAnVq=s4^Y1TDfZCit z$O17I@O`)Mr4B7luuYRz*O}}l9uia*43rfLw0F_zexRAzo(G87`B88n(r?-Euo6HH zY5o>#<+j(4PsOblpWN9zw$}!@cfC2Dt}+EjsC$N#8MufH@?5#_v`=w|mg-M=Y3C_+ zXp%~cTkl+SZa$rAlZin#biR?A>5)*vOpdnT4G5i=g6!PwtR^b#U1YK&5kbp4fL=5T zZ63Hgh;R@ToCJb%d)DsqBUC~Vh95uVUxicPHr74EotcY^ZiyeX9Subiqu%_<%{aMt zgpD+&YMFTLmBuE;>=m+SK)z<4JeQJF?5Ac{Xth$M^l%PJV)C@L?8n%RGf*Ez>BTgH z)N3s@<1;FOC3^CT=NWGUp-59^12cRGff(Aqibi+}a97`T6cu-gSX&bhE0v(O_W(c; zj^UG}F7bCK=sLMvn;lwdl@Qr4^t!j91Gt%JA>Mw!ylr|U)8-hV2qkxhZh@8#7HG$wRO|{(FUAx9ZfXQ>V^3RdvoQ=?04F@A!%Uz+Gc!wwjM;iX%sj_I=I%8Wxl*g127= z8`gd%Y#3?^m!$!$4tTeA-W!TsaKYnAev%$m?K0!st$r@LmR3C>3A#(Qvb#w|1dsi7 zG&_Th1ktFCeY}VfszRGl{zuwdKR`b;E6Lk#rP2I(oI^3$ABBPFr}S~CU=?IprXGc3 zI9SmC#SFKWFCMitIndpxKwY|DwxmFPa0yW4bN$!+h|oHFJijMwjI^5@?MS;`+!L;g zw1MdG-VXxEBt9zeS203i`CO^Sd+Q>ktm59KgKWWq^7x>)E#^(z+R{l5F|ly84r>c? zM;G=gqn)Gz>`A5Z-;P5@j|?qG*mhgqQ_oEXx>zYzF&ZRMa$lWI z;g#gx4nuJSs&lr&4xT9B)EQF4{<@}yy^dx%7+bKU;oyIj#{ZPD#)9`cXPJ3tM6Ot| zi55i;Msuk)maFkT_eX$??Lf8_20wlB2z!4JWAMRYOB;~3#&Xw2thOAZ+q=H!v6AGq zx5(E`xr~S=DkLxa-9DL>V+_kl5X?h{=;Uf($(f%M@qkRwl}7C^7h5{#`Jvi#c4yz>TO3C?iXs64dih3 z#~y`lFwj9n)xduDAuq6rnxF_iBsN&bu+ax!XRb`HB!?7=l}qBRzo+Nv#IKZj{hZ4` zd0rY6q0xH2D-HS|1=Kx_w>jqMBjb&@v*ob+?sRvI|HEd~n;K)cMrHO|cXXt|K{@ue zn|$e5j2MbI0f^Wu;G7HTo8ax$n=cE(CaEp2El_uXpg)?fbEA3LNg9KrY zgFg2#{15<9zRGred^e_B5*EVR&+G|s8+-_nYoSoArE}ji7A=IRmigRIU{OIzI-HDD zrR$_D)cW$Y(1;-;K8#zqxQ;nkG7@L`5F3C3!JZ8mFuEi%ekf^S7#pC3n0$xRrhj zs5Ak5B6qTN0h>ZK0YB`%YTn7IFANkt8W zL`S8p3|D{_fq)sIm_PNKzsN>`E=C@cL@Xuq?OYGAJ@9H2U_yk5R+PXEXMH&Lfqdzh zJ|q-#0>d$7QzttQSSJ-fBQi*_lw)TS`OpWk=m-X>nH)s`gp+UmzXOhiArw|-T{3e8 z-Nmj%j45%1zC~^AD7gYVleI3*1_`nZ)(Av&#RGCJTEO}xPyuED`D%9&$NHc**?!}s zqr*|VfEQ*+*C5N%MS>W4U9n1^`|PE-Ep#?LY}8m@hBhT3Zm6MO91iM95f5onC2cad znTOQTxQ`P>dBj>aEv%0LVLpXpsxMd?Wouas#eyH$Cyzz+`{SB(Yn!=`u`h-blFef^ zJp3RSVj7T){1cXanQQ_m+Wx!$3AT*isX1pn%9Bxn4I1DWX|SJ-|C6#)6<3qF1Wvk@jiHl2{7@}MBLm?<}u zO@~8M_})^#?Xp!UhN=)h^3jwxFhCBikjA6Vwvg^Q0wI&%(g7FXc7yC0i9xDPyJ%UDu-Oet!T5BBv{H!^4;ULz~__Vd*$J0U$+#e6`4U zu+|D8H)sv5NaugJ#Wm1+GI1T!`yyUm*l`GCaQKD{zIl8xv&*S_fG#S9oMmgBvr)A? zDPwmcr??x908*>AhK}u0)W%!)zm|qz9Mz<%D7F+BB#{6E2u6XB&7bC1Z)Jlz@Qu!q zCALU0(@Ua|-BB;%&;Sw8a}BR~t9X^eR>M~M9k2P7BOMUtQtJmhFYt^3N;78nsCmjt zT`Gw$-pwv0!+p)aFPhI|>_^gL9#12d=;7!$D6T`*XHRajF?8J^%65sY*euiwC~> z*r;V;5{Q1#dcxynt4taAWk?vkG_d!-|K)o+4lAb*?1umkYm^CqLaSB2vkLv2Q~(K< zfo>iniQg;(Jz-w=xyT6T5C+B7WYn6X#i9h!!XN)SR6f^~{){FA!sr6WAB03rnAug8 zuf@N>NIZ>kwyCdW}4+e1Ex)aE>lGxb|c z1QC-L)nj_fxDIEyVva>C@ZuPU#o*4I65~iVQw0p30S6byl)=_V?9iOqhogE#I%EgO zR0MB=_gKW_Mb;4*(ZoEm6?XcatRg@l!rTJuX8RGyp5Xv~D)fshq?D4xMfSm3M~65e z8f=3^h7^wK@KeB?Otnpj1x*kkCPYkNF11teUAUZG*ukqXCO}6n;o=u-H@hqX%p%(U z5g4Pqy2M}j1^Iu+kimN8wyMzLY=i%zxkkL1I}TG^;h5`WnmF_4PkCX(SgauY(^PF= zIp@DGMD|m^nz{Pbz$h+C=q)NDB2%XdjI*af#h~t!SaMN zuP5U~%Rv*SwIn1a*QDkF~8TPNcGl}wSLa~@0Y^rw3 z2*S7c>g;jhU!6iasoty+)2BfIu7w8Doy-op=UAt+DDz@?VuB2Olr?%^W(H)XGN5rh z@4z#33kH^4RUA+7t5Lt|XI;uv8pV0T4*f_kx-x|0Pk#~U4Hz(M2u2JS9?xtQu{729 zb&=M3$3gTm+!HJV0hKR?{rI26g-C-LTSPxAt3~oh>Rekk&NF;Fa0Pw)@Fho5(7l;{Prmasy) zysL!$+mM%Pgg7!o3KkB^Pmeh$SP1s82Z`UW5zD?29HuR%wsJ)MUtkT9oV9j2rm zc1G);-nQ~cd}&VyVQ_{qlAlwvmX9s1Y~xUawMS!RH%8FX>zO2=Ee6;TOB;e#XxBLm zC9!N6EKN~7Es>}rKh$EX_tndgwSVj^Wr!yxCwIPbe$&@*tmBU@$-$04wv-M&ZuIr+ z7%JlHx^n*AU6~!@6MUa`PAz9Wax498TD!)3gkJIW^Oj$#u08cUkI)Z1oUXR1eEzhH z>$7bt=Y{2Lx6&(qvNN~Rn=`l4hnL$^-~7zszo$Eq+s(>{|EUj6novb{dFHcx?*%x& zE_^zHoJ{%t0Uyb2H+pH?KQ2S#tFJ_wtYa7*t0D9L2?+IkZ6sSLLwU`jc^M2J3L<5fwYi; z4NH=|$`T8?jGae(AbMzeA2J7rDgeX1q43LJf@>L5WQGqMhgB#oP_~Xsy5IPZN%97>BsXf;y6;*Ays}^0;d7lyZo0 z=g3h~KpOU*6jRMpGF705B1&Wa*Rw7r_E6hE8Yp(`UBGxS+a!nqPFKX2C4PcI`>OYX zO@iQ5If3Je&VfkFXdR9eCB9lh$S9rzhIfTY?IZXzeVr87{Dnede zzujn&aCEq2rf6mfnXK5@(+Z#4iW;4AIA~6-s_+^)#F!=5(u&C0NmYnX9geG^`p?l? zD2(mu3pgvKp&)_2<8%#%fetg7Ln#I=hGgxM*_pbjCQcJ5DJ_Q(B%&P4>R{v4AMHr$ zm_o2TIY&!dDW{c#o^x-fchqv)rgc9@zw#VJ$a^Q&B9=;QIW!WCQ)_qQ{AN8A>yo8Q z&=U&HiCq?vdMs*%>%uV?x*mR@C!FG;u|m|OlS_7HJtB88P8TejIMA-GedI4Lg=(l5 zwu>6foSDDEck{fR2NEjHx*aOQ@DNN(rPxJE@GmMBoiz@>pS*1LR5j2regQ!+s)3K$DoXed=^N&^e$g-{Bs*MJ4WS|NE}1}suZX#?7ao|DtJZ@HN~pk;tZ zNo5eOtth++osvvWoM@?b4!P_~X-b4T!Z6W_K|$h}3N!!iw~}2=j#;TBLLiD+)+xR_$Y6-CLpv>5rhS73R%c$$eqtWvqy!)=?7g>Yu)| zD@)ToDjYf#Wx}OG3L(4SDgyUf2qm7=DtZ!~tivHl5bBJu0>Ch#a@fD-|2fU~)d>C1 zDp3Q}FG3&^N2toQql_=C0peil2Np&_CZh;bFOpoU4$Ohxl`C#^uzH?$(<<_@HHN296z{7?R4%iW8oj{49A#FZaVLNC z=UBwGrX2yZ2Zb^;(w8siTjVRF0^VJjrCP{*Bx4Qm?=d~%=5b}#|j3SC>Nurz>$9~qO)A>QPP zZcqQo%*&9(PPMmmBL&U#cCxM6V>iiY7f$f56yxYU9Iwr>C1UJY{HP5#g~{jVgzbgMtG0e5=mvO)uc$^_)R%bUVqzJGS-+pi+nl!3(s_R3oQo*Em6F)FaFBGADXT?@J=y@))N-%5c@9b-oWq}O7Bb!o5LvD8OlF7 z|9HZ1Bdf?$_J?|SNq*qdy~e|GH52S!!tin8_!jeE0mBLYTpQJsmA~S2EGyxeq6a=eRe9r>!SjhWj7bfK<7d^1u3?7^63|9Jpv3aW z(z~>Bm=#+Ms0MYJ^(k+8PztaBrr>EXV)udonT=xkrhO8p9^QfUHbZHwB?X+b8Qskp zS4H01{>jKpL&sw8YCly;8~39tgF-1@V_u<{yDSXt!I<3*-nt@uV0^$7fU!VW(?)~6 z+A2WsIQjBHjE!TCU0}1Q04E5PNBzK#Zz=Wv{MEBN8>PCBAj<#(xXc!yT`S-LuvERc zmhRBG0R`JknLpa94)i#YuKX0*G5AJHzsvSWmPrYg8Sz$;8MN|K#j;o?Sn09e?b{hNjiK0b+GRy1YDV- zA`ByFB$Sf|Hl2!(;xhd%{Mr~upbRrocOV`U?QAA`?yp|fczH!9E+mrqM)FOq>H!cT z_rkDaK1HbvR-NjChyXx^>4hwtryVwG1yZj<6Pk@dEp%qk7S)^=?lbyDJ!o?2r(?$DWXOf;S0UX1w7wrHZ+( zfm`OZm>k#$DdTOq9QMj7OJpW^`aPH2IT2+|m!*PI@2gX?-+@8ri3||uIB$Y2!k;(M zh6+gvN^gaHv)4lW6f*{+@&pTg8GH3UTbREn6(bIb-lekJ>u~Rt?O-cJ|K=9?UKzrO zv0{E$iH-G*Y=O8a`zXG#LY7^?4A_jZY4lF`Sa!h|bXjK4EFt?mZLQFd;hCO7y=ShK z_efziR9BL_c}o^UJn;&t z9F6e8#c2{Mg1X@A=&7uXU@UOr(Gt8_c@AwufvH#(DfG0CCKiOte66_{R;MJqV3at5 zCybgG_fUwW;hX)X>B^R7@5|aU0t!BCEhpf`^GYcP&KpE( zc1AUuy_n!&@ibhe*MI#pz*wrY6)LExU!9wDZ0@RMjcty~2nsB0Goc8;#WImZDT=s} zAn%;c)v?NothIdA^=7U~sR*F>LBKI=ggM*Y{E5r)$00_rQ(E#G@FyA+hG6WhBnOnq zl_!RcM?NS+1E|_gh$3uJEP=y`l}Z3MGodU>*z+TyAOslHdNCTAMEehaUyuT%t)#qh zs2U_SB8!X!3+$)%eK_anK*QQ>+K$rHvSt^WPE=P{R(T*eT6naoUbo z3Or+lH~b1X2XJu1CK5mOiCS5NWkbt?oTUVZQ&N(NnaGZs zA||#n)(5vlgIdTK3-VzjwUv@09g(7OW1W^nFK_@jeqQymhVCPkTt&OmU<*9-u<#_i^crKC za@hAZDmsUVp$A;0jU6b(X&Oi$owp)plXjtAl0dQ}*;s-GMOyYJ(hLrAh?iiVefl4h zolW7or`m)t-W8pwUJ9XbR0P>?X#+lLm}z5ph2}tY1%@y71f#fySOcQ~^M#Znz-D&~ zlXsj#st&CW=d6YPse2jSl!GBaEK3Yk@N-d?nk$s>TA9L_pG!iBwjf9>ZxBe6M|#E^ zj1cW`Qs=2{aFA)lHpW~3+8bpVgn_C^HHS)gftvVJU5=KdVu6e~afNcXv=FKyN)Vf9 zg@z8fHUu32kT4R#Ht)!`A=;gYr0ebbziy<5x@v&0hN`lA{Z=(}aexaBL*PN^#$T}k zc%clS7|rUmoTYe5#}tFC2^#^CP)9y`-TQ(nqznhr?$8YS=T(u?HE;@wYT1y&Iw4d{ z=Ll5e&l!3cXjIxXkq}O$jDIMZydGu9b$ z8pR$VV1jI-RtA$^fH?s#nOb2F!oY0CW~?~3JH290eqzM-d=q>NDA%`0dXO+dM?*4n zY}X6*ZgUJNAjtAl4>PjkZBQ2xxI1Ui&sFT}{{8MfBWv)IYixsf}Da{9l+%i9S4dSetx;Y_I_E4WWe5R&D5-EM0~nq~%z zJDR!1wog@N(G1%6vCq0NS;8K%vSH%W9abwLSID8rB6d)i)Ls=`fOnBoU1!w)J=Zc0Ljgh(HukxduJ8Eb{?= z5X+14kFv`A3_59#DdPk8ZnmPJGPgiI+-h7}xvx$}HMZ@}b{MkAkhof<3lfYH$>D+e!`(CAjVL?srl8l^Gt7%P&rD z02O2)hDVfhkWc5w%=Rgfys+icUEC!Reb)BwLfCv$3ifRo;hZuy08BT+w&#qQ6~h1G zK_fdx12S#<7Bhu!=V)Jd%Q576O{*f*A`OB-V!YN;Ig0@_ zx)@q|EF&4tg2VydDcCT4j82b~Z5Khtzl-I0j)UjHg)*T6YP%&*;xTrv{#WRSr{G+D+3!9o+dit2&fye#LR=J6sSp(+&X!`!^G`in4|MH<@7`8 zu|K)|o5)$l|L+El0k(;oANbBaei*F&KojJz%?9=7{gcA6I_vXN#8tRT+m~LEN&oyv zm9qRmx2rCnVI?)z`n|475R{=4f7xLB_g&seD{MS&%rS>c+^)bl5ChOYoo$m5jgY+$ zXnmt3;D*tRCj2yGQQ4-(L}HQ+2(ru45`>Z1QL6 z@g4{fyIlU{pS*$N4|VhcRft5*Ox8paXK72k+>3kcr_^GrHnuzYS)EC9EbF7J!4>ol zcDxex+{H|XD3`CId18;9!a6V6tM0W3PUU(a>FYidHZfa9l0ESCv`} zcWKgely4#`G4b#{OCKTX@y{v_gGap?BTSU|3%@1YsvM0el(#pKp@A_n;^@4(hT|ZG z=<5H4k~v$UDWSspH;=Ok3Znxs!-Wk62({XW!8W{@c>=N4VDU~3QoP{>(^DStf4W^k zZI^pq_RpXxnf2*^P?X-FD!~-`ifHO##CUvzQ;7giqFUbY$jjr&2=!VKh&~V-eJc(Q)P;3-qmP(X0=)Yi*e`#uJ+#`(BjV@HtDx0q2 z00b?eMPy4#It76#g*lO*)W(PNXSnArRj4!WfBnnyxWUR_1|k_T4G!+4M*Ez_g~1uv zaAZZdAw)Tar$(_wC%RRKhG(ikHce47v{C9N;x^um)b2t(-{MObEsaWi&?a9Z*+ z9DMjW$`qGW1}01hlZzjTDKXQi##D9|a&Spu;Y)Z>hxlp5v;FKb0QE9mt;O>DgSTC( z&aTo}kX!O=gK34S%7HsZGQ2)uwW2D4hprV_K?h z@jDI(v`>A?+_!u@veSaiRZ? z|B@vNFxgG0kp9pMvPvTKfG_lFCybLQpmkBbQaou;_6kDv$Y12U;cj0E`GgKIIm%0p zr#`>03yAsc$E3HyD68<{i&cdgV)YHyVI%>jxZvaeQR5qKpkxXVEExc8-i6yiR--*V zr7Q*-74ji>JdlbAF@JJoY2sp=Y`v4i%n(;X&&1-g1lmmvZ@=m0#pv zf$KCi07w5fU$3lcnUF><)hs$RF57qrHpoX$0uW_SMU<;eUc9=fPG<{*u+m z_hkQfc9cD?mg}Zmun-9u;wZW((|>0K=o_`%E}txbe1C1?fzaCmS|ljQ_P# z#dF20@NlnmkJSfhwckBSMSXl`+O zps|Nwt&t5MMp>W|p~j=fuw^0WZ~lRn+N)-uo55F@S@mZmXO>7wVF!K(fp!rr|EI;2 zo&9kJ{&^2{)B={m{3NC#2vnE4Zr+Lq5{N#`pM2nlL}>OcP3AB%*^`3n0tpH44$gd=X zgHGCnRHV%sh@SKJOmb*ztjZ>H$AJtN{VbGA!$N$an$h;$j6sTEU3&SH0IDTwCw;){ z5T3@76rp8JupIJ$-~Fx6kk(K)sD4I6Tc>8wRnnuf$aZz|042u=FkTi1IBHZQdk=`% zxKiQqhA?6Rg&?LPL>+!WdV>8-tyu{{|6l*tV2{tFO34ff#uJhpx?K%3+SQ0)00Kjo zI0LFWbt6!E{S26xXF*SgZO2^ACa|BBJ)PM3n)V$eV7LUanA&#nv9yl~W z84*yXl@p{mg7C7%jub(Bkzi`82y%p;b;h7NAa6+OhRW&pjGx4*>ouN;P^2x~JX8C{De_=>i(72VOlN?;@o5 z*{FZ&4PqLcO9+zo2<7{84}?eY%Lhhg@?cvH*wS~v=%OP|Qfz}ZH=F34`^bE+;*C_2r$UNESC+PogTRQgHyL#Ii0kRX#dw zD1lWpm{rhV0o6%xq<>B8kvPz+L zL;p5>lRyQl>i#38FpMr{4zdH&9 zhh75(chXn>a75~o%Yq*Fd8h+x_5=-^W&U@@nQme_TbfK2*9N^ttY|t!6XuKvP&j14 zU3L@UT3f|vnw3w?Y^Q#>bM%*~BAXTwCK+3fKIsXXVAB@YMNUM>lj1$vTPn;Db1pX5Xm3D6v%=KGbZA`M z#Bpg{el#`Yl`RDHg?xOWd3j(e+$53Vb6M&3ZSpDLNxX*yIvQX@RYUC_M4DGlp~T{RU3POY zAh!$DLVNfMlJJgyi4sre`g!`wz@{Xc<=cVkHKF235tFRq&m%dYjT|H`+0d1@HpP#0 zQN{9y?ce)u#@}XML=j4sle)pFu=y`kt89B7!J`;e&ibH{m6fX`Ghl zCSATN_j$o&`PPNjh%bf*t&{KgS(a*6FeEhdr`ID$$LHd=xF7S9;RVINP#^H&^mrMy zH4mBZ;tWI{-TcXyW!(l*C_ljRhT%p(f!q^|`k#>y5Xwh+Pyvh7Tn-^F8?;h}FJAS_ zb#ZlEAX5N93+phs{;NKwpDK@j1mBdc3|juidLfVUdzsr60x+m@rJ^Hk$J;Z z)pUdQ^;Pes2c#|SVdkNQD!l*KKbJRKtAY4HVzxD5nEoGAifR*i%``EUxSnj+CN<)e zsG7#`2Gcs5MXVx>OC>RGW{V@aIcm}cIRGe;KVDea^MjIv#>_Z0TBhZ-oZf#XUW#$>r9WlC77H^l= z%2TbCJ3<`X7Ec_mti3NzH!wok;#`kAledapdj1gvuRQt0m-E~3mN#RH3eY)wR7yGg z;tlBJ(b3V}OMdhJ1PyQCreKNn_9vFYKw9)x7C|4tLjme>S(!{5Q-t&W42zDfqMJ9I&-+te%}nsSgc_lH{sC@&6#248bp8sSV_J91V`E6Ac)X^2)O=NWx6-QbY{9B57j23-N z${J`xxdO`kwvL~USYKup({iMq_V}FEXp8+ZBIoKb!1z6-F8jev=cC`dFIC_Q3uF|8 zhdz}3+N3=8!FgYcdQ}V_j0?FFcV3RWi>)YHUTc)r%_YULoy*TiJrWEkd{RTDZN@xG zQ&dL*D`gobApPFsiny5A7Yxx5!q!72tvj3YGaKTYyJ_UCc~fWw2r(jRd!7-rMfPP^ zID=3Op5!<~{}=utA-Jw6N3^WVE7;Uc`H;&|2AOJB5K=FP9-WiEO7k3+3J2N%ik(%g z3A5opU@>@foQZS%R|+Zd73%Tf^@;Z)FzH-vlC$;Nvv3Xl!cE|=ic9@BH-ep6{dA8m z^^2d?;88SNNuU5t@hCvzOEVuGEO0mn`kq??rfp`tpzx)1ebbv!i1*dN*l}>OW*Sw| zNm`@1lzGjFs}U%n6$aOYj!%Ndntvn5j2a%x{7}_t9@R80e9#ddA>4xkW{ftcV!^&Q z*RjzaiGJ=_$}WJ|v|;?$Ox8=$q%Cjl@GiZi{k33QTlVRM{<{0WNCdj34sl2=(JoqR$bT;Nzda!m*@5 zrdh2@Q{?`QNEpj1x*AN$zGf|f(=*s6GzGD_6KF9NxCW~u*b2rVf@7}CexV~1~|#fEOt;eh~7<{wb0 zn&R_A6#$8t3@m3f84rFQUEnnPg6f*hwsZ6+}YZ!p}<^;>vA@>UYF*YjE~QenQ8-2Pa$U5mC{^=-hlv0gG6gI)GB&{W{vQC2(`$$kGU;`2qZ{?kr_izkuGzzJk4>fK>2}o!)&6r`gRT>)< zrFjs}4s%vgTY|nEBKlxwX!S&F^8W zeNvAEh%cSD=vSLWW5|!RSLh{#C>!5-fJ{~nX9|Q?0G{ZTJCN@qka47x?l*BSMaZH# ztO_IkpLG8kb<5SzO)6&1v1+i^vA4>jRCXpPN2u~s9Jpg$Qq~Tn^k#4buIBjAf)A}? zbEb~2&#*r@jR|SW*^yl0s%%CN#tfKcakegG;zpRwI^gVAy?wkp;3f8kM{H%s*LwK6l4-7F7jl?n=(eQcSa z=DuMF+fyYP?P1V#oB-b& zum%W|I-RI~UNKzos}*uMda9;6^gor2hbDkQj65orAN;v8+6UehMAgMx%h4T&Uy)Sh zIzL!90@Z?z8hQOyp#m{I8tg0g2;rB0m^9UX0dXRaEJjICK3w9H{V`&N%9OB=(B4pB z60Be08g-l>@*KFK`1;7~B&rM8g8Wp4?hLP>cT+l}x5WVn&q~1-H^M33O{^^bm)c@} zI5!;yk4CQk=Fg!N);~~h)|>C0M9=3{{OBhm1Pp>dLH;S#crDG>NQ)RAl;gk;c>xQ< zi-4oOMim70=4N_UyDY@g)5S~cf%(j($=t6jtAak$3w(Hh&pbQLz|SO=BX2c+@XLN@ z%}P3*00h|x6?Erb?yLmgfXqZgIwis{55JaA&d86X`E?`MvxF=iuoXheA(IVNB$H&F zQdyPyFCiiWOC^JgfRQ%I!)x_R*4@e5kB?ox(T~uQ^g0m%lY%*$!MvbAu{$XPG@rvdNg zqwL*e(tM(h9ntk2&{;&hVC>nA?-nB!yP?i zUNhBN0HqVx0p|(qUbDBRt{Ql;lf^BjP#_F$0zh#9&h|2FkX|`Ovyz?~Jp^OU?I_fs zIPjGfl{ecu!pSduvFhljCf@rH=wDMI)BZYG3npiTwWN-s!Hf+>C65= zPI)!hUqUG97G6^UtI;TMxsX!g*~1(HNNg=0|&Fk%Oq9 zyk`$UR}(%|pF5MAJJvf4f*faKiUe6z_j~Cody;C*_yNE2pcOTFl%_ZTP8d{LBWYQS zLhTXEZVWMyR0}5aKYS}yommB<(KMKy>)=8wYefw7_6!i9$1u?#)YY@rZGyejsU^SD z)PrXJ7WY&C`|ip!x2CV2tlzqE=f?M#&rMdN8#`|L_N8NPI=5&GpX4OUvC37I+N%n0 z+EXb!G3^9jHuJf6%>aSM}zZC(e2Wt?q74otG~Bjpa(3PZjpOr*P=Cak22a z`uD$g8LBUuV|Q+RrsrN?ukY?PH@HuCW;U=_^qflU!;=T+To2=`FuXO`NEeIv8 z!b_Q>EiFb=nqwRhGh4+zZOx@Ui1gm#gxJFaBW=ptSHf4k)(l|(TwIbb?`hSU;WzQ)$hn-4leY>(_i#;;`1xI^7CGWW3hpJ82G;CH>*9bC~mXRG(asYr@Tp9|4{f}jv%+3N*B$xo; zF!+1=_XmK7g&Cq50)0XM|NNn|K+ArBIVWN3#b5WgUpC++t6B5KU;W>GX7x)i8U3xU z>ij7WzUeGgBzpnuA!=j7J^%Zwa{R-ytCGCrnyqdQc=q*@PCSl)bM7VHNAC{H0E<6z zZh`z}lQv7=!;tg4?;XIfV5Sr{OmUC>-hdV(Mhw#x!55}qzPhb14f@c-hH38oZ$y+_ zby3uCy!2Nw}kbd5zUq8Le_)OMs{+Z|utS{>B z1qAwRFXf9WNtyc(uO0NxP*jJPy3ejIOgoZXn~&9x&YN=o2L=c%B0K#*@7t>~JowcC z#k~Y`2KIwtCCJC>nP1_vVAoa(HUtdQs~}4g=usI|pc}Vu8a$_})Jq0HIep2Be=iY2aMG zVlp`I+p9D5fA!AQi82=qH-$X;Hv`z|OE85{A1?(H1ck%#z~H%)gLn)mM1~p8uJ&KAU)^Sn;o3m6#+z}4Qa&gV3bO-o@5e@-h-|QFwA0v2}!h#=s^?<^L<5fYh{bQG{ zF6|}ar>iTvfRup%Uch^UvJ6z+vavg~d~o|$2h}uWcYMq0Z$nDFzw}!Jktgdv6Woiw z9{JuuHc6JXnLCCVYW>nL4uHX%tcC(_-yq>s)2;^Ga1ai%IBUOvN*w_E`I0{V*dP$y zH@p1I#HIl}1ZxiZSABjpQoIs3Bnm(K(17wXEp9jy!h_#4$ZRhSWSI6o`9HnD;_b}I``Qr{eSzlvlIL;C~p|%672q+0ew55{0m7MIG10@i-YnE?$|*& z&!6^fz|N1OvvYhKV`t;z{J*}9vGe2T>>S_5*xC3v|F3Uj?EE-7JI70pF>iDpO783b z~h7?BBg{fKhnJetGFf=|v|vN$}|N zd<`RZzjl!NI2`i6jXUu6&CG$yFC0#MNlHpp=2r+{K6PTVR}A5V}@p z8jL{B?Z}1H>g?xDxnawIxBeSL-+7Vr0vQHs9pA>?3t;Q);gn7_V=u|5k2?80h`vfmNt~Y{F>JfYA{V6 zkbK^x{u2+Z&ZB{G(T|`G3E&HC2VV{J1*8lfXY8reTy_EPugY?ARZ4bNZ`ifh4?MiO zKsrO)&@wN4s~>;;lt*qJuo(mE?=TCwgxK9XXz`Vu9ji(H>x1Q=_CFxEFy~x2nI872 z@`G<4zzMW%hkYHx?WW&n2Vjp)vqPEBgU8-Gz>0=)Mh6cwbf5dj0RpX#nG843`v39m z=X3=>Um8X*)VAYb`$u1Jo8fS*?+br0z#=T_8?Ly1W01-$mwY0>)wn%xO8-?qJ2201 zyWUT%9dN7$);IK_f!%*HU>A@-C_mgu9N}fG5VpT61m$Lg! z11K-^emT@k^x$)YI14_!HS-R`nzvs);LsE;8mh2?q$h#JdshE?cpCid3(rIY9t=I} zzMz8;yjz350acwZ>5bntAcs&l6#BM5F=!4SP{Rcz4VE7-;Ke~%UUEHcP^A}=HW09j zykaH6V&!ib8hPZJfwS34kwdN1_kaBlSEJ{p0~CiI7Xapf5!AosKYW954mw(}{WSwN z;d&cihSc+m_=7OoqVDBZXILa1oKu-N_OL8{Y>Ds=VaaYuE~wEyA?Jr^fapE@@s)9*5xKQE^n zh|!-I$m(7ULRZ!MEdyq`K9Y~;Ces>j96whtHgoIhR~*_T50I0%=`ER&!yF)Vcrs3L zGKma>+i}w>#P<;r7+1a6IgD{(Jd^HByA0EOZpNn7f(sL3d51;UT(A*gP;f4pE<|=I zT71fdMS>#}rWvoi^?Gsf`sUr~__LqCEsv+jk=Z@fU~+ndh}Zee9PO=-q%$J{fAT4d zUvDphUoSUWOTg2RE?F;xvV)o*#H3tD?d*5uN(swg>YMp6m)c$6gs5!Bs- z^5nEUo^@qh1Me-FI5DQAfM5CMjJlmjwGj7F&a6AKJ%a`#W;F+{cAh|Q(;B`BH z>dPMlY+UI>_%Py^t$K+w0xH$4n3Z2Q#K82f7uQbyw?T?p2>y|7uG&eWyT-2N??KGRN zH}#oq&BbczmZqVU>Gh?t4RJAl>hFGS1^RFNdqp@Cwm{{DUj?#)>eH7xg6py4IWt+g zX|hMCipyq*09RRapmwMhm>VanlX&;F({&tG)Ae+BQkoG8qMk<8Jri+Ubhvj9(wRcD zgTG{=^_bg<-IJzMB?3(_A{w`sh!AOt)Ay9pxMwQyUbF0E&i+*DukJ}!NNW7 zbxYm2?#h>LI?%*B{5F?A_1ACAyW*a$e>m%=s{5**ZsPYb!3 z^sA=6fk&=aFZY@nC@x+&`8tHGG{18)ALHA$ns&>@k@?9yp+nY8z7Y3tdsU-Qjl$bodZH5R$j;2rs>8{@~8qVavu#Fx9l-S50yui^k7CSz!P2{-rw zPWW4jP!)_t!I)z(hGIhU-kZw!W*fQWK($$4h=Qj-epwn+giHosIiy09>R5XOTUBBY zs0fx6;jq2!W7j#8-h{vZ24U;+O~nBK?>Nq7^AFM0%W&2r#l-cX-U_Eo5&z|7OC}Iw zgMGh#*-qcGd=pmUD@(hxH5^k$vqU556*(SFJ+R}Tn>yFU$FX88(Z&=f{KS4)4AY|R zrtQcQWsuk=F!3pT6HgNjqCmYd(GKc)XNZBfai<(;7n=ll*mN%qE#><5KUGZ<*C$Gw z=c>eG%2fzC4d~Ow`Ut@bHWL$Xf2mHAg8Z3MTunzCidyK*rjST($+p^bc*2Eax%ReZ z(;X@H%%*~A=4J@wQtyOII3u4hO%u&ny9FP}ghYsYaXekETp8V!+D*1=?n+&`a34Vd zN_8^@lK*HLBoMO;D)W5L^Ej*fp6ts-KU&i%B6z?`(_|N2GZXfLl*kl{4yMqn@r=DE z4kiO*({g1?6PL;d)8Iye2`)yP!=PNPh*E==8!<`q)UW+B{_X2=X`~8a5m-Bt>vJFl zCs8G+n0!=Ygfo@kd|X4S1X^5=_sb#Vnkcv|%r^%uSp#W#a1%#0g;I1AL7$1K5rdOv zH6$X}rm+9{@ApL$L?FUFwCc%CLTOfGM>MIXGcnO(n;3#}K|ehHOz48N9+--+p%t#7 zT4qjYm)GjNP_%|_OPJy9B*UjwR}+7Wl>`xS<^tMr!)s79cd4R__zMy(7piGogG;34 zFiTwiY^8)EY56pxBnpmrHvp3De?MBLG!?gec~9tarA}BJh9p7E7`QZSo<>Ft9z6Zi zKajj1ag@RZ3TXuM2rbVl@=r~OYpr=H*ft~|lJB3`Aqd=~DU!ACfBJtda8W_;jE zUFzrvqp}6BnnOfi(L_EvQi6>#(Wblz2B?C`ybP1N>9$}enSDL_fN}8&{f*M!#Gp_~ z6i=CoJHRKeE1U;WAqvn0Ka0dy2o+Ki@{Fg$ls8~~5-fO+vhMg{MK@T!pkkgwNrrGJ zg4{Z{@(i$K ztPI3Jpo`|H2ZClXn1X)t)u^@X23>&nZ}@$mON~*C4B7>oqgE&H;XM$^n4q0%CKO0i zz7VAFla((Xi8%d5WEC8>GuRyRRgq317zM3@(A=hQgJ0E#d~ixbin^@LX_(rs;3Bd`M~hBm4GmNC2*?0f6WL_pc)A1u2Hv%YJy z&*jG{1yKkc2#WYY9b6P;i9nzsxWBYX70?S@Cg}hCzxTCk@ba6c0I9e(V+~kSCb(V~ z>W><1)anw3%DL8#?xwV~R4|0aoemqM&V-Z+rl89xEkez3?!|6t-W(|@HpeFSb`h_; zr?elW5WX=CHWFmB-b|Bc|7C5N$YNA&RX?C%mN1Hk_n>qJuiU(b%K;!O#Q7LX?Hn0q5Mi&HiJxL(sEjI zJ_EtI(%e$T9&XGwHyth&&0CC~Z?5d{8JP&{!6wM21~K%%QVAMY5eK3b&UOlurCNiq zY1eGS)p|R(dnq?V)IJEcooiIXKW18f>km}PLUi-@HRsGaGe@+sn-{lqW*#WqoNk$! ziW_Ehyg-z(_AP`HjJHhRT2Suzs^X1ie|>!OdwJ*{e#XdE(efW8SuA zOXJ4+#G&=%f7_QUTTJ8O;;$Cc;IYN<2Yb-RoKZOU>dAY58?A{R^Kt6DYXNGTiBPul zC2>kdL!J3@L)mzJ!IqQ zX!|Q^df3b!yV)FmtKcT5?owjlaU1pH_#mQ7ltgt^^T_oI9++K1AaP)bci3?NNtCy3 zK;PjZlx7v(l|K(s@sB5vB;Qg94i;zwacokB>O;&WEC|sj7#`G2O>Zf@#WZJ+Z9Z&( zRA8F7UD}9%LzH_&V8D3Z_`SiMMUNQ$lz$@1R4l&PV;RA~t6&#UIyBSaNPKSmDTQ#X z6mmr>QZSnFN@}VIfI&)36GBud{GX47_fY8}(76mi<8T2m009_h*k7&@6^{}Rk3JTH8Os7f!6>6v zh!nXQwyq{qJz?xxA(8tOdTKLW0LR&8`tSVPWx^0FKGiQ_TeX?;tN?{RJVo#ex>gvD zamZe%KRQZvJ}!reL5baYe{$N+;-d|xI}c?*~W^^`guHlc#-!G5@_ zze|H3i5#`^`*h@zSpt0~rBU!oa}o3cSE^CDBMc}o@i4xNU`$t`D2<0FI<$OCr?i1E zk>UP2C29H`PX%#9hJ^93G|nCf;_wCKu4>|cI`xZ^sU;o|f^h_x+5{k>qp5cyO5#xh z!M0Mg+igDPAH|yB2!R(+>>3DV4Y1s00QgviuNP{LI6mSri9RvZ*-L9+GhJ7OQ;r=| zrH%LjCinhFJ~!W~27aDwSV&w@5sZ)wJ)mM5%M*x@SKkpa9F(m8bdh2Y0uDeT$}s&! z^Jq`0izMQoD7j0{ys{q};f>OpC+5?y5rpr1;=9tH=6mh!@#ns8IS63w;dIBs-u4}} zkByBzF?Xsx`OY2oH@|6v0KQa_1l?bhp_Ju|A$|5`-C6&}Iu)yb@RTe(3ZKt=p#=@M zB7$j=L6=p(B`4c|J#9U`mE5aJJA(k>MgY)@jji+iwd6elbNribNgW0m%yGfxu=tji2J zj^Yysn<`P^>Ay_Hk6Vo1*=E~BzPilK7K0{mQa_Lay%550sIeTju@-i1k!XedM0nc< z*>(y@9TIALNExLGSAk*1gg7*bsixI%V=2ebD$>{!pSnyfPE@NG)X z19?m}#XEDD6D2|huKzaaMAxg>C1y)Koe=M>rL@9w z3UEam3sMo^5=I>~5W2b9qN{@&KO+eILuKJ*>`b{};}t3Jdz}(o2#*v_KI5B2gXm0Z z;a!@ffk0TwV!0C85`-EjBMg`On*Q;z7&TBqaUcBaQKIjaqS+=D$>sv#2y?v3pKmA{ z;V4>uK-6hqA=|V-)Wv;yhlLpFOAEVbg=H7=tws=ti5w7b(69dick~K>q-AANx`>Gf z9*K}5nM;y$uppVp&=?Z5l|{n@z-tz}6Ww}W+=5w=J8(xpXVPJ`K``NU)0g=~K_#4I zW&1ChM9FRSG-H|)`9+>omHW$=7cZg$#*C$Ti^@7hz(*;8T-bgVqH@^(qr0J&GYx=9 z0E~(#%|RsG!WVtnJwy5MUD}qlLuf@44pkfqvL(KoTL^h&Gf8Fix^h$pQLjA!ShC;1 z5tiMi`a!8$d|i~wt;k9jWy3OP5Ue&g#rTcs2huIZIhwRlcB)p%JJ_XXF%s@?mc#4J zcT(>PdQ?ot*eM432V|cDKf;J0EG;Ma1r*gGyk>(zcigT7MvL$Gv~NWLV! zkaEZBkpC34%catg)0ZlCWkFA%Ql$basNsJr>|fg^AuCUmDKMEONB~NugNRgUQ7$dB z=;rjL%8EsY!N!%DCsL*gh6K}hIhqR=!l3l@hetB|m~Whl^FzQBCcl8JM`84*#wt~k zmR+_5U&IK6{j~+4POua$LP5nk2?j7~W?gDO@toO7EXE5TiY;M!D+e*z6@K$M$82qx^F3BETp%^WT9|C1 zGf=>AY=taTSVbVV1f~oju6W)xZM>9jXfC$ziN|)?)}i#l_)Cua+>y9x$8vyFwbd}b zdHNNzPUeE;SXLnH`F}j~RG-a!!ZKazr!&O!fJFvB7I=e+Azt~<@(WW_o#~3Cj@x0a zH#OMBC@!|e46!KGzQnZo%9DS77#+o;v$UV+g!wr;S=x)5kxWMGN~k!W?z9oi+c`Tc zowvNDvzOSoi_>+xbtz5WW8wpK6wmpUOvVM>26|6KL_*Yp*#|b});$}?sHP`B5>rrd zFZXvB;$Y!W;b4mTbg)3|`Nhex$2&j7{k4_obzAn?L+HeBd3F0Mm>#S{c?|Yh8}9xs z#CwMsVz#5{OA0u+2hbRu7_~?^^U7K$Wr+YS226EPljuX9FDqhn7Vqt|1&qrULtqny zHCQ6LJKB1V(C(9-(;OJE9yaaF7jhs-jCuBwK_ps04Zu!zbEt33!1=yhoF zRqO+1cias7KlOXT9w^Xr3&0Q%8O2aEC<%h2Gt658VA(Dpl{FPD`-}XwH!+0=FfG)QvcR}4j|5>qbd(XEgL_4^I`W93{nJ(NkHl(BZEIN zA1TfrN!0J;CbM-9-CxxIaoP*CU|$qK*uU`%>q+iJ$4Xx}i#F_^y3N0?7G@|0cT9bh zN(I6qNTri87kiWLQQsxzbe(E0lJ5?X1ag@cWv0pJ`kI5$lPiyR&>R_tQLq_c@7VtG zS^CKj>1DX7#rLeuS}c=Batnxs0z4tMllpg_!9*8Wq|HV?Ps% z(gFnVN_4Pr(6kPjW&lma!S3l-s5Y?S760~qb$aTABAPoWjB;uC;7j*T%=lw0}G?mj5nM##rOcC%mR!F!~7(yer z5E4io$##=M3ClpwaO#RZ1G<=%G}D7c=bUX3t{r6+KEVRcyqkBdj=Do7r1g6KnLWo^2+9B&QViI=LL=1RtJycS1eJpNar4=p|7}Pb^P0(nTLZ`J9 z9!nbv`j7rSZ|r0|kmALyOirW@Hce0wQkGPjq_i1Dfs`Erftf|N0(XW8?~L$eDOUDIOL1Og2OhB| z!jCJ}{tj%K;k zOcah1AF3P0Pc;EMLZ%D36}ssZSW<0=MI}S9LI5ToO<+ym5}+5ZQfyUOT}S$Y37ov? zcO{HErv3&!BNE9=$VX%67FJ?Ynx+a+W z65FLpw1D8&K}V@0+s1LZx;~x<54LrwD}MZ4FPAw7%S(O~QIzPpja<7l3JT-q%uI7e zEi|qj$qIlT=M4`UYhxnIvJ5G-69B{yWx;Sz2(5Gsw0Y~?SPn@xZQd8hm^u(~yAp1< zE>e`=wel@5cc%vyxB) zfPd*yURl~<7sJIJV%9;e0=2D3nOyi)zl|ATBI8;m7itfhh9O2q%H<* z8O5=~g0pMZi*!v<>R3LvxLy)P>{=`+{0Xt-!Kljg6+wu;Cuo*Tfhzuamv0<2z8peS zy3iPAC5e>zuQAO8+xmkg(rCJwYo_ z<(FIGd{Nn_Z%9i0%a8iXhNj_PI7GHRLXlUatx{LJi7P;ux{fn1`w2!q4$RXD*=0eBfkx>Lj|!(Y zMC3zJ_Qg}@RJ>n?Se0}zb#B~5G_2K>f91mJ=dai7#rBQfBPutm~&#aCV)GqDBMDn zm`x9aTZn2KCQ*hUADX|_2wL5x>uD1gjfFy_m>)sI-(eSaSaj;7R#PI^q?7gvGTHLy1W*@k**Emo|)0$ec zglX^Q<82J!iRzXPoBd`xAF90a>PB;*j;1^1(DNya^?epiS$^J>M)?Z%0(7HW zS-V7kTrma^_FjwM=wny0HMiY-z`PA(!Iclxe;gygbnb0`CtF1Y^{{ie@Y#6jOXfEU zi|A|?MR+qA@3O(ie+E|VwSEBYv{6uxp&_!HLDu%%>`Yg7fOvu|q|bF4!ZI9$KeX`< zn!Jb7vrteg^&4D{Ki*08fodq&?y~4l%gyng_O&s$2+W*yRys&_2L-FE8bmb=UPuWz}g#c%*BhhdGiL_HiGL8 z<)$1B)~19E7sq_j4RIW|0*#CcEEof|2ZgE`u3Trq0fNwIThxv@cm+LZ@#BTg;ca_k zdvF`dARdCzvaPPrf9&!5+rOLCAJ{-l5vVqe!fTB9&jd3i=GkzqwkE=E$lpH(q z10=E3LQ6s@#e~~3Tmc5D(Aypyj*-}1M=|6*cfbY6)N(&gN2a2-QNOp{MPaxpJ{niN194~hXe?Kx z^NxM{MQ(~Ifu$o?PV%@&%BL$d99KjKojnb=N44Bw;NpR#Qtgz59|=5jJlahhgOX^}8|$D$vO+-uPVcaK=G!OgEbUB%>nS>bg=7wo(Hy}OhBV>|mlNwC33{@w9U=DSb- z^~dt>XMU!;jxx}Og_thh8!J?@z9!~w6tJUpCqR~%B zm8wVQR;qW7zh^A{9cFPd%un1A-dmc_0#1ZLB*e${uEwC-?o+hoP$x{@8`Ep__0>ev32L*kL zu7}eZ$3*bV7YJ)` z&Xti1IO&lHa04x)>ghp0Mh6@Kn7AZn#3hbNM4C1R08JUfLkFIJTDs945IUr1e`LowUpT^`@!?%Vgj5M==#p{Zto5C^{Za9qc z8*E2M=_|bwhf)sr06S)4^w3=RZ2hR%z_}?j+iEXc7CIAZ?xo&PK@R~|AxmC_Ll1V! zMFfWGK1_6nQXNOw2%|pT;#k@2CkUo?D1;PwCVc1wM!0dpel$th*d?EKvl|ND^+!vD zBh-9v?>-nr;|!k>(ma9rQwO3$FRb1JDPpK*T};JEjTXnn#MjO#uNG|!(Ny7CV5yVM zF`+JK#v0Z9VcrsNdW|pr0?Pt+g-PHh=!kKyO_#I5tXPNy<%SK0Zr(cjtRP6 z6CsKU1&vvXsvK68v)ovR3~QcieDFgua|R0?)7Ajd4EErMWT^8=L*_22h+#}J$n;Kg zU0&QvV6%okrS+7XXaC1eZ$!}OIs1AY1Ekgp1cN~6tEe25TAdZiNVbBj3ser=5nQOV zbWbsRIrIvRqo1M0vQCNTH-IW(K$~!Zrpm_xbv>Ks;1YFxcBg=hk5I`T2y)HmAr%gLVb#1&HNQweiV(U(x7KR#e3whts9XWE-JIVzzAtQol?Va0$Ad)LX`L7n$Lun zmq2CEV4qMOJ+Uj{nY~4MS)H=*i*le9ljA6K21uC)M>(}Hx;_A#OMfT zI8y#{x!{DNz~JEVi%F@}9LoqMINwbqu{?mEICRym)dG8H%Dn|b|Ji#Wx-cf;?5qwX z5LZlFY=jN_*_u?31_2gNqgr;*IyD zy=uM0{Fpqj^W9fO%$ZSwk1?W$nM>(p$ypGvnMa}zx=7;UguI6W!H|m0ro_REmA|TLCMC@)0P2 zDMDC6RcF7{sSY$z#k^zYE;A4QfnL_-^SB~<*!(>1%Zrfu39zsf%z-7&2a|dY&pXk^ z+qv3}Zm%$g1dCJaskx5v(p2>s4AiZ;_w8hlk|P)(_h9cpkUah2z8E(_NBKkS)5dIJ zE5a}l%s2z!S65`ar{@$hfB~MCaEEi>pv2MKcK}Bqb1+750=7Bk?#KFnn0u;zXT5la zzJK){EEeb9tl)y4gwBym!Fva`R=y`!x*Plaio?16dGFP1RH$MSJrO2@{j4QWaC@+i z!T$I(k}JeOL;T|?fJ)kIfT6}xSc;q|X9gIS8xaH5r z8W6c0M53?21Pfg*4(_SZBf>yfP?y3FghJvqqV4pDIAkDj$1xVQfSm3$sDlg75S@kr zPvBiyfmz%{9Ts8^p!Y1A;10;jlC$b7LbRd~AijWlwH!?*Hal1-7$XdS3#(j&Cz$Xs zwjf@Z|MV)4umU-+%fo}lG&28Wv1*WrJlT!dmXXf3LY|2T>?@)^1q~Pp5F0ZwntW#luW7oLwg}%M&eZ1sWA5ud=RI%!@K` z7IYLXf&h1uzAw-q-qtr2ms=gh#MuTm}&2V0L}8?cOV<<+3pVwW%?^Da9)n&48l97{`|ABY{xWA;(fhT>U7Nf3y{~+*v0uhLEP5jN$ z^Pi*_d6?7^&FEDOZ%GPX^}0?>(BXQ9s?Z4U+;sw@z?-jvqf zuZ3%mb%CZkgd7kRe;K_kJONK7wi*ObVdnFseD7D*Uk+COa-9~~_OCh* z(Q8Lw{5Ty+Qh&2C09}=gzhPtMGL}+3dRmt-wrwk5prR_<5CZ@+F9l1+7zuMAUL<<7 zmaA50K6qaWZW;g8qvuV>9=f`2x>*EzfuYODB?tXm*vI8TmIyH8*rAAH0gVJLgy?Xs z;bNQtABBuVkNZ$F(euS@l^Sp7t08~?Z(F6{AXnM zkJQ&49`QI0BK@f~BP7(Gg$YlBj5Q47fJgeM0HWZ6qJDIeKqbmmqAtI{7NO{~p1Zy; z7jGE}4&JnBZ>9dG_#2yU3;xB1!Fa`P_3mqGGkMvcZ?BAW+zp1j< z9VsOT?|frjCHo?G+WA{@)1Ov6<(W#@Q-2`0X13zyp$ZQ+&{=W8&J(U1Rpla zz1F>^>TN7l?skJ$7jE1X+#XK#dz)`|h4J6N)0#up?!0ULuB6+4 zt=n8Uy?s#+*}`61*1b-@i=|()nL#WjUXqRpd%@!TV+D)N9J>1kK3QBja_|Z?k{*e! zk%zKj+^5{G`k`!LPA9}L==dq7B?8fils=|v=CTsvjO&Nri#7wGDtf(EVmJU`c92MXPAUFj> z=};KOEL-4-!c9)DT3RbfRE+Cvp4kPsW*oJnLW!6u_!W8$mY+1Ga`Y zA&A##asu#L+6;x@z-UxujG^w>JF&h91QJ@cMu8C++Q+FFCNENzXisn{TTQ54t~yaw z!;CarAzo6!*H319mrFA<-{lrN(24?;k)m$cnP&#{qAyg(fKF-9au< zm_WhGg^mQ>lVZXg-gxwnjmv>!Lm}cKOI)VsQ>YSZ!d1v%PcbfU6WNKLfrXR|nQS7F|ARZ(8##zEsCm$jhg(+=V!?tcp+Hi%NtSV=(T zMhRhou$3>*=5p1C45jhvNO7lN5^I8$RAWRGk*`@&18u+^`1v&>afIJi@?$C)5Ld^H zDFE8RDH3mlMzFMk7acA_y2ke;;1vzt;kubi@Z{hf8jSk!6BB_UF`T$08RgayvO_e+ zO>&8M5t>0<;xC9?20G z=rhm)&1v;gq@Lp3jT?RB8Rx0(#ka2RL!3J1fJCXAAWe^OkYqGr%OK$)1R?Qgl(<47 z3lsLujYr$qC{jyGbfvpx!?lCMPT>F+eV)+e`fbu0@+0WUQuXO0R+WglgSuk{$3&us zw*3Rqz%QeTrjw;G@HA@|(_pqWWFr#-v(8I)vmVTv^zf5xdHu}-Cg}cK3DP)0yvM$2 z4vUDrZ-ggYne~B~#Td3%!|zYOzf$NYO5~_QJqRO+^WPlTrjC761D*LdMgyHJ9^lI9 zjR~G$Q%7&|5=`};eG2-(0{>1uI^oQ8$2}llgl#xsN63c$ujj_!Qm;LAlz;_u4mE7E zNYA6;9ra@yF@!N|4}9-B*6KkQB4PC^3Vw`j4ku!7tW%x|dMDN_t*3*`VE8mFo@LM} z8i0_1yQYix7IwsFKbYdxs7Ki~R1CK0$hFaPi1H8(XdVL#=60kB*u9?>=yQib%35fonRkW`Fi zj)>r*OfD;keF`8Ms!uVDSOL;FU~d8fkZmfk1yM6eO&f&RIf9Et?LA0&6;^#7*B%t0 zj~dmS^fIc|WMWZN5llh6F>jqX9&nTeyvmUw=sUKH!-71zhNmOw+Vg1Pj%hrBxN30o zAO!;)Gv?-@cZ+9WK4dauMBblKpDK7okx61#^Kn^wmmdrj>3p@Pz!uG;3#i^?7q>yP-^fg^ihQ)|=Ms&AP-?_vU zneOqSu+~8^0wJTOGoY_I*EREQzPO1BrTkQR*((pCZYd^0ZRQV4*JJ;}AhUjsaBfbq zeG?nM117HcU?HId^SiwsnQ~3cg%4Z+!R7)SI^+m&60i8wPu7)#X)W!=YP}jMvq7;C z+D!s5Z2*Zm2#IUyaCUxV&9{^P(A`BlQ6lEJEV;G7!6QoG5C&N-I-zaodWv(IrgR)T za2=3X35z1>j1gK%oc1nY&|=W~7_%CaBxgnr8Y2V}W(;H>8kxb+{cskwgbi^(pm>@B(`Cwc#|XJ zSXwL#q%>1Qz1-0#ok1BabKD+WLc?NaST=Q-nvGT%aztNDKTt6flOcnivn!zvlt7=l zZE;vCd%~)B0z*hulh7msqk`ED(v7n4$(qPL>R9KJW52^m5~%WV9~p>imNR3Hjffl# zap-999pTFZ9C3!g!hFD=Adpn$!h^kVK@BCDqC~Y|G9%6ujG?|u9NV(}$(%Y&tBzR4 za>Y}Z7Cvh}Xixa;)p*SoC=`gW#IayZ;-JuG8pJnm0+jPcg=x(fb-5MUJ?<<~PL!ZN zjPC@y2|A+AX!1m7#Gy=B9 z5vwsL7{JC*?#Fw{^pf&_+Pmry;q->jf-AozCdwFk@q&7pJF2q^(<--})KlpT5s)Uy{IP`=VbS$KLSg{Ws_3d~ zf0M_AmblkE%H9&hP!vz4P$O}(j+a)*nIr@})5H@l;$G#7)+^A2b~H^$<%{h|LWWhy zr~V%prtM{Gm>GLO5IgC4Y*Jf2ZUZRY`lq*z3U*<@v({?k0bM|iv``P;b*fn=mAsMN z>R+txOR)eYoJPWTHd!NT%1|k7Um!;q3H`7!^C9tt#1`VYfQvq&N`yDe8uOok8k|go zH`xo>Tij$=k|6;n1XV(=Fg{dU4bInR_!=erY=NvMijbi zmZV3IBE-~2_`l}wV%#$hJolZO*XzYZ4^lzJ9Wc2@Jd`z(=iquMpN5qLk!nLK^UJFm z5wl!oO)H}w{`Y?*u%bMLHFYIG24ZAgOi!!Dsv%8Co6NUBf9frbAAMAT#V1a=M764% z*SKdN0AijJV4#a>1B#e8UK|J!fHtNC0k{@_W0zn&DXv3*vOc9Mn!vbB9DY4a;fz-s z+gQB-cpP$kOaRA?1<^owmy;_%4n2hT_DyoW;(=fn z2xEObdiLf0Cs7|1|82iAQk#EtBjyB#xS~0RPssMo40{bp+9>~=T1o@?=YVen*FTrA zC=CrYaN%kK6Kqk<)o$A8?R@)H(R6*t4fI8)T@<*t{?opAs(*kp7LI;Xn?5kN2ZC?b ziM$@T3xW(_KXAI@*y)KoFli3ypa{&%k7P!>ZTzrFL<$c~PJjSTfT!wL)Kbg-t##+! zJ}xH!Cigft7Dr|jBMzOAEjPaD`rQ6)THDX#Ft`)fc>alv3Gp8v%_TB)4q_Y>XMXWB zIW2~!iKE1racmYC1QQ`#w+;D7YBpKMflF|0RPRCx%b*sy^oMb{6|2to5{{2#`orLn z)rBw*iS?kXg&23>rN^mlt5}0jh9@EzPAzKA@kSrr=r`W_FU_=@8sPK{od`JyeQbZl zwEQY3=!>B$iX)Gw=(ReTyb8g<1M`-i!H)6DKThbkn&LI?#oSBsG=8a0!B)Jxm#ybfi+wZMHjpcL-lgN zxYy8cq5(x5HXWmpwptBL%3W;ppcB*)gm0mwWE7c)1zLq+1AwH^IP!AF2Q*=a5aeKT zWw^5A#e!Lomt!zDV;RkMAdR|ZyAdLs6qG5^nv1+o+`@z~#wm$9+k>x?l)*0|YXr(GkrG%gM1>Mj znK>s;vbiWv*FbRG2_>I;59e@o$kEckVOb+M2aeAZ&;rqEvo^9o0*kOQKL}2fDRV!l z({A|B>tHDyEt6!?wn9JE$#C`dPpDdydnrV7K^?NdaqQ5_u74aV$_)ocq32UM1($5@ zlLN?e`zO*3V`mafa2yf5-;=8*KNPC?WK>KZAS`1!V2q`AKC+%^C|2Y~jBkM*7pE#r z4>GvBdU4N<(d!(vJEpPO3_D?oVZsDcqP2jD3`tf>5vd2m{SH$i;m_+np{Fcwm5-Eg zy&VeiHt11wVggE-n^Uf|M~+{MVBi>v9Kx}hR8YzfR>~~a(PA^+=vwrogu^FrNzzN? zGZm?SpaO1&SHJLy?h|K$4jW(Ji46+04aFlIGa|W?oB{T{?|wrKCLbm;c*r2xNBmM9f|;l-|wi(f{8&4Z4+y5YAlX>O>)Z!V{12cna0n2kKQ32gRvwr zC2m2_=z^Jn;Ft>?b3dQn1opUv?JE|0<}G8kzm3rmI3yw#D!(xligheH@v1t*-}F4V zR}C*p0wM|#c3KCQdZpn4>q@PmV#x<-PiRelDwSv&&l%;^9)_5Z?HnIu$rsTaGzg6ync0#tW?Fm>Kx7IjBr1M5?7H>Jc#1Nt9T>byOu; zAPTb2H*WY_hBgpsPmmtSB;;7qLdzWGJz7BP;459E#77#^5lR3Cik_;9EIGoR$)u%^ zKcFKnbPg` z|A1d%7suQH9#2&OJI*pJtploA=8Cz{oAkmiy+ZG+ZdFQ+35{V4DT9$h?k&G}l~W*+ zwXQrE%7#{oj4lFTuilfe%Mf_r3>?Hi`Yo>{EM#$CBUdohY!2b1!?|P7>)l;RUmc zT>$|Sx);EaX5_TzEL)_(24U*YpsPL5tu+Y+F|Cl<`{frSFz_egaAJt4Z%9d`|0%M3 z(5-ys2Fm^+1*n4{@F26(ZXvcM;cuGoVc>*{46IP-x+h`Q<|PI{1r@CPxS100M{W{?{8?0&|Juhk`vN6jRjbNs|r;I!)G+{8spYWUY zHVb~uM^fAHf>!({ya_tSsiuq2;iJBrH2Gvr<9Xh@<1$4JWg%O1R;(voq4XRhDa9Q(Hq*D6HC+C#s<)8Zs5=!JyLEcSCoY+_>Qwf=x z27qDXo4Jm9C0DQyQXbO(Y(_yeq1$rLwh2AG<+JNYm|O7;46s#_BU+Jpd=mq6Y_AR# z2JRH+LQK<)kfF^$ftd)0!kSM|?LusJ&T&kVlI)O>a~=tJ>gMS<1so5tpYhg9L~4vk zORq}rhe=S}9PtAQZBehn1LcM+5R!p(|J3S2F^o+=%x}GQyUZ*<1fcEte zB8_Z)WrJt-XQQU5o{&qGU(leCjG63r)NlO$#{kYZ8?amB^aNWihL3aj!~TA)9e!kx zua4MJKOP4A>SOhe;|LfmTIZs?`QZk)>PgW7){sVe!%2sK590 zRYdCzKMBAIIVnU^j9?jv(`JUFTADi`iEtK}^-WJQ1b~TEr9Y?!=x!N{=`o4TZ57He z+uSj%)!m70v;P9Acyy50Hi~V0|&AgihM~d+hSX zWmP90q009_P`~^n%pb@Pa@kibj^qRkM3MF@Nb3QN{xIpW^?gw2(XIv^$YIavB*iT% z!bsolWqZ(M_?~2@9LG2fkYAw;Si(eW z^DL?PSn_C0-Lyi|TDI^Ns(N!D)AN*_F+xw^4cL`1DsYD3pC})>2@Io-%}!g2%o!j$ znKNL|pZFLyQCwp`U3m~$2cL2D14h=Q!r=xw%6BmrR0Aw^!=kM9dpe#qRcE3NBoM4R z{2}jc?z<^u?R3iF0C3_sHgr!CtV3>L8(m5B^7!r8FhY|ZJlZ(2XO~6=(+*o-xM;5Y zYj*NHZBpSpignQ07WhxXvIs+MWbQjFb;4#b;dG)|)= zP4-l`TB$dACxb+K9@`gCE+vAcX*$#}jW@6HuimYPS)402e}K3J?KsfbW3-+t(T6M) z@gG1k4&@54M%kF<@|OR|bdseMX{xaRSTdU#%>vn{HKbW5H|iU+V(dXz#XLJ74_P*Zw)TRwla0P;A-Ub5pX=qVJ)PS#ej^)b%i z6uQ%?BGDIB+7dlRk{Zp09F!13#z0BspZAm^nH?l(k>yyYh`P$olEoE!3G_DnoYiU18lO63V1dID zFpeCv<1tx{4+Vt6=SYg~!1|<+xl^R7o4TjsWbXW59nlDv0!;$#toc^QqF^x>9L=D) zA_@{=8*>NW7J@P?o-sH~O=07EUugP9RTaczC>yHiUSb_s061qSIUcQw^ihK14LYqZ; z9teMRLxcjxukPk0Rn7F54s%`+Z_9^dNnH)hnXl;>U{aKQq^lO1gfgP1`K|wj7XlWJ zfW@>}k5>W~6PKkZbMerrVn=LYZLvNWAYHw~!c{E}B#MX~>X^dI*a=%9idrkMYnTCM zWI{&jI|A1u95_rwvb@pAbo4+;wmB!KI2x*oyQ(wasM8W6Gl&+7)FPtkadKi)I%r2qul7)*!iOx zi+#Af<@E*|Gm*qyiRMpWvP>&MwV*a`L4NkvD`Ui)K`ncE_OHpgUH4w^BgI*c?(=_> zua+Ac__a8x#KG9z7Cj&Db=4CJpXcOMmOQbm>nv<;u(J~FyA=X&tD6+Q5UYq#&=HC2 zvJ(=e%ztwn<5+grL5yCh>!~4aE9HD!0;eC?qWY`#B?^2=!=zLzV6kvK^Mf-MJYk^( z7(aNm`6W_boOu`KJLd3-7}E-ylHaov)FFQ>+U_{MEz|eYRdiWq3OASWz^}$T68o9I zdo{`%v*rL}f(!j(cCtqfF-GipYJQzvjpc~hY%{vNAthhXWu)pCd$+kfY%B@GKKD2L znB#S^>CjS;7HL&c1QvWD7xFG}#%bqh7oag}ia1O#uJDh*5STLz^U#w4DXtLjb0B`> zv3-(2RAg+#b;J;dX{TUhj*7B#6paXHwM!(0(SXA|ZLBBTO~$mfj>lFBUv%)NodA<0 zQB%a91P}1uw5T}7VTe?}SW*SFgRYT9Qp26WJ(-rTMSlsDP}RpZz7rr+yjT8Udc%lVOW0Wcf&7(qaLwcG9IeLg8sKsTi zYRl@!+cgR&}-J94m}m{sc@xJY@`CZ#p8H5xJYN=DTQ>W$Zk-iz5X*A{|VB znS}8ZOiNzm738#*u+zEmz|&XVAJShnKT7RZvl8{C2~6Jvq{Q+QE8IL;yf!l&?NkLU z0$H4}9%4=CNycn;u%<%M5kp{Kp1JMxnpwHDSqT%R396?_qt8Y`Gh=z5kCoq&5EzL?3T7n62;S|LoM_~dXlwAt9 z)~;-pnETAYoUhR1kE#z_le7_N81Zrwjn>EDof)Q8`7BlRhJVoB13Okq z^>dcL#Jgh-?@e=;E5Ew^v3aw@$^o>zk;)HA#bS%RY$>p!%L6ygxkj5W)-usPH~luB zEb)2rCkrQqC0;ns<4a#mX7lcO+OWu@d2=$)|Nhq&DYVM0i!zp0%mhMyVJo-1>ufGt zWg|T?#>i@4Uhp2@ar=<@dl%%d?)K7W+10gc{#zG?%jQ?Hs=M;c$D11Ns@|XfQaf8& z*t3xctahazJi5N#E?8YpeM$D4s%#B zx1H;SB_-?foCg{B#vT8r2HUkK<^JcQn@v^+d>O(nUN+?W-qyB8nliTRikB+5j8-lM zV)pr(E;dVwWnQ$KV#T&`+3WvcnN3(mu{9oB29f3!fnse{UgrV7kQ*4beLU89`R6YL zm-+8Pvikg-3%L7bkNoLvRm5jw2F}vm_Z-Mp9xedk=BsN0rAdk9ezu!pT12@x4&|Lh z>s(CW_cM81B->)=kJlE)SFkX?T;4rLxpT|a6)&-R-pQvs+bUPjf%D3<&#zVVtqkBp zFIidn^OZh7V&{8v!Lu?;P`5m7yZjbBS>0{BMcwMKSmdqC2C=s3PKCw~zqJS_(iwAR@i- zj;XWD%s(vq?B}gH;4_!D{KE@t<~(4JKhZWOTU<+=SKC}8mb_~0e`3ip%P!DHUAXLj z;Lx(`U9w;s5nG)6OJZ=20>AQz8DDOLN>_J_6;1t3+Q^%-1yZ=Tk$^BzrMfRK%;Jmz^FNT^z`=0kjm%?b&d#;%95; zz;d|($v^#_w#^@FI!a5IFYmH#7LuyF6m=9M1BkWFbY63#FORcYN?kTg*3#zHUz%3E zXJco3T=Mb*G``msRY+{~iuNo| zO6H$@{MuEZu*fU&J^e1Fn7|Xg`qu8>@)KW+kThq?hwDT60Sl%Tn!$s9`U%0^6wDT8^cHYYCt5$+r zfn?Wf6VW0>Id9e)#m-BfMIK(#6l+hg0Ec|7owT?}*PlOYO^}_RKJ%V7W5(OUum-F# z__p?LWm?nErJt__%+2H5C2!UgbYd9JI{mynbLzWm=eR5t-m)ZfEw_LU*KOOty(~6~ zvVZcv!iDX4Z0(#&T1jk0blKO71;aExGqddXmn?Wms85Rp!!%y^^peOgyFeRTdHHPV zS~hi;D)_56EEl%e;=Dh8$HMH@-?x!r+q$gSHS@Qp)?Dbe*`{qX{pGW#<%R3!zIaD1 z7JfRuZq13lloP!z4hxCnwx8YAmJoD18Y=hPA8Sj0ds4gRT*K^S_r==3dV!67ex}LA z)YBypZ=CqcB}%N|@mecaKr9tq)6rK*uuC}hOy6Fy;?|t&g?33r!M}9BN=fGplwXJk zxwL;1d%N_8%V%W{#<^IBieKa}EZQYi?4oRNEzdmEzvf3@%8%YQgV-y4=WA_^9k0RD z?Mc7-hb@Xu&yT{niXLeqW>!i1emOWdoW;E7Ev5hMo70;minY9P?#ssKetGVPD}B;z zX3Kj~%k_fG7Gf-TVz-Sy8MaURmixsDtSImEKbu#4)4aPC-ZZ}Vx@Eu7+;4&2b&d|d z-Nsvw%)(fOEjjyc3hb|J-|te*+_K@~ zY=xp~7z#QS}!Boz()x@4rr z`fT=MR|kdj&hv^RzUNi+NU6&AoYp!j${Z;=;84C%`3XbsI)*kCHg4k4 z5X@XI1cw1V5NvmwMoRurT&;LLKDh?XL+JFCJiRm!)HZ!GH&pkkl~=86%A)N-t>hoB zS1bLSdi=3^f4%S1o4oF@dUL@$ob!g_!*AVr>pGWso6t&=p?VEWvt%Q2 z^b@4LL~W*hEr~3?I+H5B#uvv^3iVY)ostTr-AQA-TH5Y8QR66F^Lf3Wnrd0wM77W> z)&nwY9o6DGE8lqaLju;G)WU2R46vQH@1?IHTrjx+a8W5HV`bT??9VUScw*yoZA350); +wire doublescan = (dsp_height>350); reg ce_pix; always @(negedge clk_sys) begin @@ -124,13 +126,13 @@ always @(posedge clk_sys) begin hsD2 <= hsD; // falling edge of HSync - if(!hsD && hsD2) begin + if(!hsD && hsD2) begin h_cnt <= 0; hs_high <= h_cnt; end // rising edge of HSync - else if(hsD && !hsD2) begin + else if(hsD && !hsD2) begin h_cnt <= 0; hs_low <= h_cnt; v_cnt <= v_cnt + 1'd1; @@ -142,13 +144,13 @@ always @(posedge clk_sys) begin vsD2 <= vsD; // falling edge of VSync - if(!vsD && vsD2) begin + if(!vsD && vsD2) begin v_cnt <= 0; vs_high <= v_cnt; end // rising edge of VSync - else if(vsD && !vsD2) begin + else if(vsD && !vsD2) begin v_cnt <= 0; vs_low <= v_cnt; end @@ -160,17 +162,30 @@ wire [9:0] h_osd_start = ((dsp_width - OSD_WIDTH)>> 1) + OSD_X_OFFSET; wire [9:0] h_osd_end = h_osd_start + OSD_WIDTH; wire [9:0] v_osd_start = ((dsp_height- (OSD_HEIGHT<> 1) + OSD_Y_OFFSET; wire [9:0] v_osd_end = v_osd_start + (OSD_HEIGHT<= h_osd_start) && (h_cnt < h_osd_end) && (VSync != vs_pol) && (v_cnt >= v_osd_start) && (v_cnt < v_osd_end); -reg [7:0] osd_byte; -always @(posedge clk_sys) if(ce_pix) osd_byte <= osd_buffer[{doublescan ? osd_vcnt[7:5] : osd_vcnt[6:4], osd_hcnt[7:0]}]; +reg [10:0] osd_buffer_addr; +wire [7:0] osd_byte = osd_buffer[osd_buffer_addr]; +reg osd_pixel; -wire osd_pixel = osd_byte[doublescan ? osd_vcnt[4:2] : osd_vcnt[3:1]]; +always @(posedge clk_sys) begin + if(ce_pix) begin + osd_buffer_addr <= rotate[0] ? {rotate[1] ? osd_hcnt_next2[7:5] : ~osd_hcnt_next2[7:5], + rotate[1] ? (doublescan ? ~osd_vcnt[7:0] : ~{osd_vcnt[6:0], 1'b0}) : + (doublescan ? osd_vcnt[7:0] : {osd_vcnt[6:0], 1'b0})} : + {doublescan ? osd_vcnt[7:5] : osd_vcnt[6:4], osd_hcnt_next2[7:0]}; + + osd_pixel <= rotate[0] ? osd_byte[rotate[1] ? osd_hcnt_next[4:2] : ~osd_hcnt_next[4:2]] : + osd_byte[doublescan ? osd_vcnt[4:2] : osd_vcnt[3:1]]; + end +end assign R_out = !osd_de ? R_in : {osd_pixel, osd_pixel, OSD_COLOR[2], R_in[5:3]}; assign G_out = !osd_de ? G_in : {osd_pixel, osd_pixel, OSD_COLOR[1], G_in[5:3]}; diff --git a/Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/rtl/quadrature_decoder.vhd b/Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/rtl/quadrature_decoder.vhd new file mode 100644 index 00000000..4e347271 --- /dev/null +++ b/Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/rtl/quadrature_decoder.vhd @@ -0,0 +1,103 @@ +-------------------------------------------------------------------------------- +-- +-- FileName: quadrature_decoder.vhd +-- Dependencies: None +-- Design Software: Quartus II 64-bit Version 13.1.0 Build 162 SJ Web Edition +-- +-- HDL CODE IS PROVIDED "AS IS." DIGI-KEY EXPRESSLY DISCLAIMS ANY +-- WARRANTY OF ANY KIND, WHETHER EXPRESS OR IMPLIED, INCLUDING BUT NOT +-- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY, FITNESS FOR A +-- PARTICULAR PURPOSE, OR NON-INFRINGEMENT. IN NO EVENT SHALL DIGI-KEY +-- BE LIABLE FOR ANY INCIDENTAL, SPECIAL, INDIRECT OR CONSEQUENTIAL +-- DAMAGES, LOST PROFITS OR LOST DATA, HARM TO YOUR EQUIPMENT, COST OF +-- PROCUREMENT OF SUBSTITUTE GOODS, TECHNOLOGY OR SERVICES, ANY CLAIMS +-- BY THIRD PARTIES (INCLUDING BUT NOT LIMITED TO ANY DEFENSE THEREOF), +-- ANY CLAIMS FOR INDEMNITY OR CONTRIBUTION, OR OTHER SIMILAR COSTS. +-- +-- Version History +-- Version 1.0 9/7/2017 Scott Larson +-- Initial Public Release +-- +-------------------------------------------------------------------------------- + +LIBRARY ieee; +USE ieee.std_logic_1164.all; + +ENTITY quadrature_decoder IS + GENERIC( + positions : INTEGER := 16; --size of the position counter (i.e. number of positions counted) + debounce_time : INTEGER := 50_000; --number of clock cycles required to register a new position = debounce_time + 2 + set_origin_debounce_time : INTEGER := 500_000); --number of clock cycles required to register a new set_origin_n value = set_origin_debounce_time + 2 + PORT( + clk : IN STD_LOGIC; --system clock + a : IN STD_LOGIC; --quadrature encoded signal a + b : IN STD_LOGIC; --quadrature encoded signal b + set_origin_n : IN STD_LOGIC; --active-low synchronous clear of position counter + direction : OUT STD_LOGIC; --direction of last change, 1 = positive, 0 = negative + position : BUFFER INTEGER RANGE 0 TO positions-1 := 0); --current position relative to index or initial value +END quadrature_decoder; + +ARCHITECTURE logic OF quadrature_decoder IS + SIGNAL a_new : STD_LOGIC_VECTOR(1 DOWNTO 0); --synchronizer/debounce registers for encoded signal a + SIGNAL b_new : STD_LOGIC_VECTOR(1 DOWNTO 0); --synchronizer/debounce registers for encoded signal b + SIGNAL a_prev : STD_LOGIC; --last previous stable value of encoded signal a + SIGNAL b_prev : STD_LOGIC; --last previous stable value of encoded signal b + SIGNAL debounce_cnt : INTEGER RANGE 0 TO debounce_time; --timer to remove glitches and validate stable values of inputs + SIGNAL set_origin_n_new : STD_LOGIC_VECTOR(1 DOWNTO 0); --synchronizer/debounce registers for the set_origin_n input + SIGNAL set_origin_n_int : STD_LOGIC; --last debounced value of set_origin_n signal + SIGNAL set_origin_cnt : INTEGER RANGE 0 TO set_origin_debounce_time; --debounce counter for set_origin_n signal +BEGIN + + PROCESS(clk) + BEGIN + IF(clk'EVENT AND clk = '1') THEN --rising edge of system clock + + --synchronize and debounce a and b inputs + a_new <= a_new(0) & a; --shift in new values of 'a' + b_new <= b_new(0) & b; --shift in new values of 'b' + IF(((a_new(0) XOR a_new(1)) OR (b_new(0) XOR b_new(1))) = '1') THEN --a input or b input is changing + debounce_cnt <= 0; --clear debounce counter + ELSIF(debounce_cnt = debounce_time) THEN --debounce time is met + a_prev <= a_new(1); --update value of a_prev + b_prev <= b_new(1); --update value of b_prev + ELSE --debounce time is not yet met + debounce_cnt <= debounce_cnt + 1; --increment debounce counter + END IF; + + --synchronize and debounce set_origin_n input + set_origin_n_new <= set_origin_n_new(0) & set_origin_n; --shift in new values of set_origin_n + IF((set_origin_n_new(0) XOR set_origin_n_new(1)) = '1') THEN --set_origin_n input is changing + set_origin_cnt <= 0; --clear debounce counter + ELSIF(set_origin_cnt = set_origin_debounce_time) THEN --debounce time is met + set_origin_n_int <= set_origin_n_new(1); --update value of set_origin_n_int + ELSE --debounce time is not yet met + set_origin_cnt <= set_origin_cnt + 1; --increment debounce counter + END IF; + + --determine direction and position + IF(set_origin_n_int = '0') THEN --inital position is being set + position <= 0; --clear position counter + ELSIF(debounce_cnt = debounce_time --debounce time for a and b is met + AND ((a_prev XOR a_new(1)) OR (b_prev XOR b_new(1))) = '1') THEN --AND the new value is different than the previous value + direction <= b_prev XOR a_new(1); --update the direction + IF((b_prev XOR a_new(1)) = '1') THEN --clockwise direction + IF(position < positions-1) THEN --not at position limit + position <= position + 1; --advance position counter + ELSE --at position limit + --position <= 0; --roll over position counter to zero + null; + END IF; + ELSE --counter-clockwise direction + IF(position > 0) THEN --not at position limit + position <= position - 1; --decrement position counter + ELSE --at position limit + --position <= positions-1; --roll over position counter maximum + null; + END IF; + END IF; + END IF; + + END IF; + END PROCESS; + +END logic; \ No newline at end of file diff --git a/Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/rtl/super_breakout_mist.sv b/Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/rtl/super_breakout_mist.sv index bb4ac46f..5c7f904c 100644 --- a/Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/rtl/super_breakout_mist.sv +++ b/Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/rtl/super_breakout_mist.sv @@ -21,11 +21,22 @@ module super_breakout_mist( localparam CONF_STR = { "S. Breakout;;", "O1,Test Mode,Off,On;", + "O2,Rotate Controls,Off,On;", "O34,Scandoubler Fx,None,HQ2x,CRT 25%,CRT 50%;", "T6,Reset;", - "V,v1.00.",`BUILD_DATE -}; + "V,v1.20.",`BUILD_DATE + }; +wire clk_24, clk_12, clk_6; +wire locked; +pll pll( + .inclk0(CLOCK_27), + .c0(clk_24),//24.192 + .c1(clk_12),//12.096 + .c2(clk_6),//6.048 + .locked(locked) + ); + wire [31:0] status; wire [1:0] buttons; wire [1:0] switches; @@ -36,40 +47,98 @@ wire scandoubler_disable; wire ypbpr; wire ps2_kbd_clk, ps2_kbd_data; wire [7:0] audio; -wire video; -//assign LED = 1'b1; -wire clk_24, clk_12, clk_6; -wire locked; -pll pll -( - .inclk0(CLOCK_27), - .c0(clk_24),//24.192 - .c1(clk_12),//12.096 - .c2(clk_6),//6.048 - .locked(locked) -); +wire hs, vs; +wire hb, vb; +wire blankn = ~(hb | vb); +wire video; +mist_io #( + .STRLEN(($size(CONF_STR)>>3))) +mist_io( + .clk_sys (clk_24 ), + .conf_str (CONF_STR ), + .SPI_SCK (SPI_SCK ), + .CONF_DATA0 (CONF_DATA0 ), + .SPI_SS2 (SPI_SS2 ), + .SPI_DO (SPI_DO ), + .SPI_DI (SPI_DI ), + .buttons (buttons ), + .switches (switches ), + .scandoubler_disable(scandoubler_disable), + .ypbpr (ypbpr ), + .ps2_kbd_clk (ps2_kbd_clk ), + .ps2_kbd_data (ps2_kbd_data ), + .joystick_0 (joystick_0 ), + .joystick_1 (joystick_1 ), + .status (status ) + ); -wire m_up = (kbjoy[3] | joystick_0[3] | joystick_1[3]); -wire m_down = (kbjoy[2] | joystick_0[2] | joystick_1[2]); -wire m_left = (kbjoy[1] | joystick_0[1] | joystick_1[1]); -wire m_right = (kbjoy[0] | joystick_0[0] | joystick_1[0]); +video_mixer #( + .LINE_LENGTH(480), + .HALF_DEPTH(0)) +video_mixer( + .clk_sys(clk_24), + .ce_pix(clk_6), + .ce_pix_actual(clk_6), + .SPI_SCK(SPI_SCK), + .SPI_SS3(SPI_SS3), + .SPI_DI(SPI_DI), + .R({6{video}}), + .G({6{video}}), + .B({6{video}}), +// .R(blankn ? {video,video,video,video,video,video} : "000000"), +// .G(blankn ? {video,video,video,video,video,video} : "000000"), +// .B(blankn ? {video,video,video,video,video,video} : "000000"), + .HSync(hs), + .VSync(vs), + .VGA_R(VGA_R), + .VGA_G(VGA_G), + .VGA_B(VGA_B), + .VGA_VS(VGA_VS), + .VGA_HS(VGA_HS), + .rotate({1'b0,status[2]}),//(left/right,on/off) + .scandoubler_disable(scandoubler_disable), + .scanlines(scandoubler_disable ? 2'b00 : {status[4:3] == 3, status[4:3] == 2}), + .hq2x(status[4:3]==1), + .ypbpr_full(1), + .line_start(0), + .mono(1) + ); +keyboard keyboard( + .clk(clk_24), + .reset(), + .ps2_kbd_clk(ps2_kbd_clk), + .ps2_kbd_data(ps2_kbd_data), + .joystick(kbjoy) + ); + +dac dac( + .CLK(clk_24), + .RESET(1'b0), + .DACin(audio), + .DACout(AUDIO_L) + ); + +assign AUDIO_R = AUDIO_L; + +//wire m_up = (kbjoy[3] | joystick_0[3] | joystick_1[3]); +//wire m_down = (kbjoy[2] | joystick_0[2] | joystick_1[2]); +wire m_left = status[2] ? (kbjoy[1] | joystick_0[1] | joystick_1[1]) : (kbjoy[3] | joystick_0[3] | joystick_1[3]); +wire m_right = status[2] ? (kbjoy[0] | joystick_0[0] | joystick_1[0]) : (kbjoy[2] | joystick_0[2] | joystick_1[2]); wire m_fire = ~(kbjoy[4] | joystick_0[4] | joystick_1[4]); wire m_start = ~(kbjoy[5] | kbjoy[6]); wire m_coin = ~(kbjoy[7]); wire [1:0] steer; -joy2quad steer1 -( +joy2quad steer1( .CLK(clk_24), .clkdiv('d22500), .right(m_right), .left(m_left), .steer(steer) -); + ); - -super_breakout super_breakout ( +super_breakout super_breakout( .clk_12(clk_12), .Reset_n(~(status[0] | status[6] | buttons[1])), .CompSync_O(), @@ -97,74 +166,4 @@ super_breakout super_breakout ( .Counter_O() ); -dac dac ( - .CLK(clk_24), - .RESET(1'b0), - .DACin(audio), - .DACout(AUDIO_L) - ); - -assign AUDIO_R = AUDIO_L; - -wire hs, vs; -wire hb, vb; -wire blankn = ~(hb | vb); -video_mixer #(.LINE_LENGTH(480), .HALF_DEPTH(0)) video_mixer -( - .clk_sys(clk_24), - .ce_pix(clk_6), - .ce_pix_actual(clk_6), - .SPI_SCK(SPI_SCK), - .SPI_SS3(SPI_SS3), - .SPI_DI(SPI_DI), - .R({video,video,video,video,video,video}), - .G({video,video,video,video,video,video}), - .B({video,video,video,video,video,video}), -// .R(blankn ? {video,video,video} : "000"), -// .G(blankn ? {video,video,video} : "000"), -// .B(blankn ? {video,video,video} : "000"), - .HSync(hs), - .VSync(vs), - .VGA_R(VGA_R), - .VGA_G(VGA_G), - .VGA_B(VGA_B), - .VGA_VS(VGA_VS), - .VGA_HS(VGA_HS), - .scandoubler_disable(scandoubler_disable), - .scanlines(scandoubler_disable ? 2'b00 : {status[4:3] == 3, status[4:3] == 2}), - .hq2x(status[4:3]==1), - .ypbpr_full(1), - .line_start(0), - .mono(1) -); - -mist_io #(.STRLEN(($size(CONF_STR)>>3))) mist_io -( - .clk_sys (clk_24 ), - .conf_str (CONF_STR ), - .SPI_SCK (SPI_SCK ), - .CONF_DATA0 (CONF_DATA0 ), - .SPI_SS2 (SPI_SS2 ), - .SPI_DO (SPI_DO ), - .SPI_DI (SPI_DI ), - .buttons (buttons ), - .switches (switches ), - .scandoubler_disable(scandoubler_disable), - .ypbpr (ypbpr ), - .ps2_kbd_clk (ps2_kbd_clk ), - .ps2_kbd_data (ps2_kbd_data ), - .joystick_0 (joystick_0 ), - .joystick_1 (joystick_1 ), - .status (status ) -); - -keyboard keyboard( - .clk(clk_24), - .reset(), - .ps2_kbd_clk(ps2_kbd_clk), - .ps2_kbd_data(ps2_kbd_data), - .joystick(kbjoy) - ); - - endmodule diff --git a/Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/rtl/video_mixer.sv b/Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/rtl/video_mixer.sv index 04cfd4ba..3dd47eec 100644 --- a/Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/rtl/video_mixer.sv +++ b/Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/rtl/video_mixer.sv @@ -60,7 +60,7 @@ module video_mixer // 0 = 16-240 range. 1 = 0-255 range. (only for YPbPr color space) input ypbpr_full, - + input [1:0] rotate, //[0] - rotate [1] - left or right // color input [DWIDTH:0] R, input [DWIDTH:0] G, @@ -182,6 +182,7 @@ osd #(OSD_X_OFFSET, OSD_Y_OFFSET, OSD_COLOR) osd .B_in(b_out), .HSync(hs), .VSync(vs), + .rotate(rotate), .R_out(red), .G_out(green),