diff --git a/Arcade_MiST/Williams 6809 rev.1 Hardware/Defender/rtl/proms/defender_unzip/DEFENDER.ROM b/Arcade_MiST/Williams 6809 rev.1 Hardware/Defender/rtl/proms/defender_unzip/DEFENDER.ROM deleted file mode 100644 index 17a95fee..00000000 Binary files a/Arcade_MiST/Williams 6809 rev.1 Hardware/Defender/rtl/proms/defender_unzip/DEFENDER.ROM and /dev/null differ diff --git a/Arcade_MiST/Williams 6809 rev.1 Hardware/Defender/rtl/proms/defender_unzip/decoder.2 b/Arcade_MiST/Williams 6809 rev.1 Hardware/Defender/rtl/proms/defender_unzip/decoder.2 deleted file mode 100644 index 4e684cfc..00000000 Binary files a/Arcade_MiST/Williams 6809 rev.1 Hardware/Defender/rtl/proms/defender_unzip/decoder.2 and /dev/null differ diff --git a/Arcade_MiST/Williams 6809 rev.1 Hardware/Defender/rtl/proms/defender_unzip/decoder.3 b/Arcade_MiST/Williams 6809 rev.1 Hardware/Defender/rtl/proms/defender_unzip/decoder.3 deleted file mode 100644 index f1bfe790..00000000 Binary files a/Arcade_MiST/Williams 6809 rev.1 Hardware/Defender/rtl/proms/defender_unzip/decoder.3 and /dev/null differ diff --git a/Arcade_MiST/Williams 6809 rev.1 Hardware/Defender/rtl/proms/defender_unzip/defend.1 b/Arcade_MiST/Williams 6809 rev.1 Hardware/Defender/rtl/proms/defender_unzip/defend.1 deleted file mode 100644 index 3ce77f74..00000000 Binary files a/Arcade_MiST/Williams 6809 rev.1 Hardware/Defender/rtl/proms/defender_unzip/defend.1 and /dev/null differ diff --git a/Arcade_MiST/Williams 6809 rev.1 Hardware/Defender/rtl/proms/defender_unzip/defend.10 b/Arcade_MiST/Williams 6809 rev.1 Hardware/Defender/rtl/proms/defender_unzip/defend.10 deleted file mode 100644 index 494fc0f5..00000000 Binary files a/Arcade_MiST/Williams 6809 rev.1 Hardware/Defender/rtl/proms/defender_unzip/defend.10 and /dev/null differ diff --git a/Arcade_MiST/Williams 6809 rev.1 Hardware/Defender/rtl/proms/defender_unzip/defend.11 b/Arcade_MiST/Williams 6809 rev.1 Hardware/Defender/rtl/proms/defender_unzip/defend.11 deleted file mode 100644 index d7372d0e..00000000 Binary files a/Arcade_MiST/Williams 6809 rev.1 Hardware/Defender/rtl/proms/defender_unzip/defend.11 and /dev/null differ diff --git a/Arcade_MiST/Williams 6809 rev.1 Hardware/Defender/rtl/proms/defender_unzip/defend.12 b/Arcade_MiST/Williams 6809 rev.1 Hardware/Defender/rtl/proms/defender_unzip/defend.12 deleted file mode 100644 index 543f9426..00000000 Binary files a/Arcade_MiST/Williams 6809 rev.1 Hardware/Defender/rtl/proms/defender_unzip/defend.12 and /dev/null differ diff --git a/Arcade_MiST/Williams 6809 rev.1 Hardware/Defender/rtl/proms/defender_unzip/defend.2 b/Arcade_MiST/Williams 6809 rev.1 Hardware/Defender/rtl/proms/defender_unzip/defend.2 deleted file mode 100644 index 2391e270..00000000 Binary files a/Arcade_MiST/Williams 6809 rev.1 Hardware/Defender/rtl/proms/defender_unzip/defend.2 and /dev/null differ diff --git a/Arcade_MiST/Williams 6809 rev.1 Hardware/Defender/rtl/proms/defender_unzip/defend.3 b/Arcade_MiST/Williams 6809 rev.1 Hardware/Defender/rtl/proms/defender_unzip/defend.3 deleted file mode 100644 index d8083609..00000000 Binary files a/Arcade_MiST/Williams 6809 rev.1 Hardware/Defender/rtl/proms/defender_unzip/defend.3 and /dev/null differ diff --git a/Arcade_MiST/Williams 6809 rev.1 Hardware/Defender/rtl/proms/defender_unzip/defend.4 b/Arcade_MiST/Williams 6809 rev.1 Hardware/Defender/rtl/proms/defender_unzip/defend.4 deleted file mode 100644 index 21ca90a3..00000000 Binary files a/Arcade_MiST/Williams 6809 rev.1 Hardware/Defender/rtl/proms/defender_unzip/defend.4 and /dev/null differ diff --git a/Arcade_MiST/Williams 6809 rev.1 Hardware/Defender/rtl/proms/defender_unzip/defend.6 b/Arcade_MiST/Williams 6809 rev.1 Hardware/Defender/rtl/proms/defender_unzip/defend.6 deleted file mode 100644 index d407e0cd..00000000 Binary files a/Arcade_MiST/Williams 6809 rev.1 Hardware/Defender/rtl/proms/defender_unzip/defend.6 and /dev/null differ diff --git a/Arcade_MiST/Williams 6809 rev.1 Hardware/Defender/rtl/proms/defender_unzip/defend.7 b/Arcade_MiST/Williams 6809 rev.1 Hardware/Defender/rtl/proms/defender_unzip/defend.7 deleted file mode 100644 index b7b3dc2b..00000000 Binary files a/Arcade_MiST/Williams 6809 rev.1 Hardware/Defender/rtl/proms/defender_unzip/defend.7 and /dev/null differ diff --git a/Arcade_MiST/Williams 6809 rev.1 Hardware/Defender/rtl/proms/defender_unzip/defend.8 b/Arcade_MiST/Williams 6809 rev.1 Hardware/Defender/rtl/proms/defender_unzip/defend.8 deleted file mode 100644 index cc85b066..00000000 Binary files a/Arcade_MiST/Williams 6809 rev.1 Hardware/Defender/rtl/proms/defender_unzip/defend.8 and /dev/null differ diff --git a/Arcade_MiST/Williams 6809 rev.1 Hardware/Defender/rtl/proms/defender_unzip/defend.9 b/Arcade_MiST/Williams 6809 rev.1 Hardware/Defender/rtl/proms/defender_unzip/defend.9 deleted file mode 100644 index cecc3455..00000000 Binary files a/Arcade_MiST/Williams 6809 rev.1 Hardware/Defender/rtl/proms/defender_unzip/defend.9 and /dev/null differ diff --git a/Arcade_MiST/Williams 6809 rev.1 Hardware/Defender/rtl/proms/defender_unzip/defend.snd b/Arcade_MiST/Williams 6809 rev.1 Hardware/Defender/rtl/proms/defender_unzip/defend.snd deleted file mode 100644 index 76a93cc4..00000000 Binary files a/Arcade_MiST/Williams 6809 rev.1 Hardware/Defender/rtl/proms/defender_unzip/defend.snd and /dev/null differ diff --git a/Arcade_MiST/Williams 6809 rev.1 Hardware/Defender/rtl/proms/defender_unzip/make_defender_proms.bat b/Arcade_MiST/Williams 6809 rev.1 Hardware/Defender/rtl/proms/defender_unzip/make_defender_proms.bat deleted file mode 100644 index d268e4f3..00000000 --- a/Arcade_MiST/Williams 6809 rev.1 Hardware/Defender/rtl/proms/defender_unzip/make_defender_proms.bat +++ /dev/null @@ -1,7 +0,0 @@ - -copy /B defend.1 + defend.4 + defend.2 + defend.3 + defend.9 + defend.12 + defend.8 + defend.11 + defend.7 + defend.10 + defend.6 defender_prog.bin - -make_vhdl_prom defender_prog.bin defender_prog.vhd -make_vhdl_prom decoder.2 defender_decoder_2.vhd -make_vhdl_prom decoder.3 defender_decoder_3.vhd -make_vhdl_prom defend.snd defender_sound.vhd \ No newline at end of file diff --git a/Arcade_MiST/Williams 6809 rev.1 Hardware/Defender/rtl/proms/defender_unzip/make_vhdl_prom.exe b/Arcade_MiST/Williams 6809 rev.1 Hardware/Defender/rtl/proms/defender_unzip/make_vhdl_prom.exe deleted file mode 100644 index 1e5618bf..00000000 Binary files a/Arcade_MiST/Williams 6809 rev.1 Hardware/Defender/rtl/proms/defender_unzip/make_vhdl_prom.exe and /dev/null differ diff --git a/Arcade_MiST/Williams 6809 rev.1 Hardware/Defender/rtl/proms/tools_prom_src/binaries/linux32/duplicate_byte b/Arcade_MiST/Williams 6809 rev.1 Hardware/Defender/rtl/proms/tools_prom_src/binaries/linux32/duplicate_byte deleted file mode 100644 index f1dff2e9..00000000 Binary files a/Arcade_MiST/Williams 6809 rev.1 Hardware/Defender/rtl/proms/tools_prom_src/binaries/linux32/duplicate_byte and /dev/null differ diff --git a/Arcade_MiST/Williams 6809 rev.1 Hardware/Defender/rtl/proms/tools_prom_src/binaries/linux32/make_vhdl_prom b/Arcade_MiST/Williams 6809 rev.1 Hardware/Defender/rtl/proms/tools_prom_src/binaries/linux32/make_vhdl_prom deleted file mode 100644 index a71da100..00000000 Binary files a/Arcade_MiST/Williams 6809 rev.1 Hardware/Defender/rtl/proms/tools_prom_src/binaries/linux32/make_vhdl_prom and /dev/null differ diff --git a/Arcade_MiST/Williams 6809 rev.1 Hardware/Defender/rtl/proms/tools_prom_src/binaries/win32/duplicate_byte.exe b/Arcade_MiST/Williams 6809 rev.1 Hardware/Defender/rtl/proms/tools_prom_src/binaries/win32/duplicate_byte.exe deleted file mode 100644 index 9e205c62..00000000 Binary files a/Arcade_MiST/Williams 6809 rev.1 Hardware/Defender/rtl/proms/tools_prom_src/binaries/win32/duplicate_byte.exe and /dev/null differ diff --git a/Arcade_MiST/Williams 6809 rev.1 Hardware/Defender/rtl/proms/tools_prom_src/binaries/win32/make_vhdl_prom.exe b/Arcade_MiST/Williams 6809 rev.1 Hardware/Defender/rtl/proms/tools_prom_src/binaries/win32/make_vhdl_prom.exe deleted file mode 100644 index 7dd3525d..00000000 Binary files a/Arcade_MiST/Williams 6809 rev.1 Hardware/Defender/rtl/proms/tools_prom_src/binaries/win32/make_vhdl_prom.exe and /dev/null differ diff --git a/Arcade_MiST/Williams 6809 rev.1 Hardware/Defender/rtl/proms/tools_prom_src/binaries/win64/duplicate_byte.exe b/Arcade_MiST/Williams 6809 rev.1 Hardware/Defender/rtl/proms/tools_prom_src/binaries/win64/duplicate_byte.exe deleted file mode 100644 index 425e9082..00000000 Binary files a/Arcade_MiST/Williams 6809 rev.1 Hardware/Defender/rtl/proms/tools_prom_src/binaries/win64/duplicate_byte.exe and /dev/null differ diff --git a/Arcade_MiST/Williams 6809 rev.1 Hardware/Defender/rtl/proms/tools_prom_src/binaries/win64/make_vhdl_prom.exe b/Arcade_MiST/Williams 6809 rev.1 Hardware/Defender/rtl/proms/tools_prom_src/binaries/win64/make_vhdl_prom.exe deleted file mode 100644 index 1e5618bf..00000000 Binary files a/Arcade_MiST/Williams 6809 rev.1 Hardware/Defender/rtl/proms/tools_prom_src/binaries/win64/make_vhdl_prom.exe and /dev/null differ diff --git a/Arcade_MiST/Williams 6809 rev.1 Hardware/Defender/rtl/proms/tools_prom_src/src/doc_compilation.txt b/Arcade_MiST/Williams 6809 rev.1 Hardware/Defender/rtl/proms/tools_prom_src/src/doc_compilation.txt deleted file mode 100644 index d12ba3bf..00000000 --- a/Arcade_MiST/Williams 6809 rev.1 Hardware/Defender/rtl/proms/tools_prom_src/src/doc_compilation.txt +++ /dev/null @@ -1,28 +0,0 @@ ------------------------------------------------------- -LINUX build command ------------------------------------------------------- -gcc duplicate_byte.c -lm -mv a.out duplicate_byte - -gcc make_vhdl_prom.c -lm -mv a.out make_vhdl_prom - ------------------------------------------------------- -Win32 build command (on linux system) ------------------------------------------------------- -i686-w64-mingw32-gcc duplicate_byte.c -lm -m32 -mv a.exe duplicate_byte.exe - -i686-w64-mingw32-gcc make_vhdl_prom.c -lm -m32 -mv a.exe make_vhdl_prom.exe - ------------------------------------------------------- -Win64 build command (on linux system) ------------------------------------------------------- -x86_64-w64-mingw32-gcc duplicate_byte.c -lm -mv a.exe duplicate_byte.exe - -x86_64-w64-mingw32-gcc make_vhdl_prom.c -lm -mv a.exe make_vhdl_prom.exe ------------------------------------------------------- ------------------------------------------------------- diff --git a/Arcade_MiST/Williams 6809 rev.1 Hardware/Defender/rtl/proms/tools_prom_src/src/duplicate_byte.c b/Arcade_MiST/Williams 6809 rev.1 Hardware/Defender/rtl/proms/tools_prom_src/src/duplicate_byte.c deleted file mode 100644 index 10bd7a02..00000000 --- a/Arcade_MiST/Williams 6809 rev.1 Hardware/Defender/rtl/proms/tools_prom_src/src/duplicate_byte.c +++ /dev/null @@ -1,37 +0,0 @@ -#include "stdio.h" -#include "stdlib.h" -main (int argc, char **argv) -{ -unsigned char byte; -FILE *fid_in,*fid_out; - -if (argc != 3) -{ - printf("Syntax : %s file_in file_out\n",argv[0]); - exit(0); -} - -fid_in = fopen(argv[1],"rb"); -if (fid_in == NULL) -{ - printf("can't open %s\n",argv[1]); - exit(0); -} - -fid_out = fopen(argv[2],"wb"); -if (fid_out == NULL) -{ - printf("can't open %s\n",argv[2]); - fclose(fid_in); - exit(0); -} - -while (fread(&byte,1,1,fid_in)==1) -{ - fwrite(&byte,1,1,fid_out); - fwrite(&byte,1,1,fid_out); -} - -fclose(fid_in); -fclose(fid_out); -} diff --git a/Arcade_MiST/Williams 6809 rev.1 Hardware/Defender/rtl/proms/tools_prom_src/src/make_vhdl_prom.c b/Arcade_MiST/Williams 6809 rev.1 Hardware/Defender/rtl/proms/tools_prom_src/src/make_vhdl_prom.c deleted file mode 100644 index d6cb87cf..00000000 --- a/Arcade_MiST/Williams 6809 rev.1 Hardware/Defender/rtl/proms/tools_prom_src/src/make_vhdl_prom.c +++ /dev/null @@ -1,83 +0,0 @@ -#include -#include -#include -#include -main (int argc, char **argv) -{ -unsigned char byte; -int data_len,nb_byte,first_byte; -char *end_file_name; -FILE *fid_in,*fid_out; - -if (argc != 3) -{ - printf("Syntax : %s file_in file_out\n",argv[0]); - exit(0); -} - -fid_in = fopen(argv[1],"rb"); -if (fid_in == NULL) -{ - printf("can't open %s\n",argv[1]); - exit(0); -} - -fid_out = fopen(argv[2],"wt"); -if (fid_out == NULL) -{ - printf("can't open %s\n",argv[2]); - fclose(fid_in); - exit(0); -} - -end_file_name = strstr(argv[2],".vhd"); -if (end_file_name!=NULL) *end_file_name='\0'; - -fseek(fid_in,0,SEEK_END); -data_len = ftell(fid_in); -fseek(fid_in,0,SEEK_SET); - -fprintf(fid_out,"library ieee;\n"); -fprintf(fid_out,"use ieee.std_logic_1164.all,ieee.numeric_std.all;\n\n"); -fprintf(fid_out,"entity %s is\n",argv[2]); -fprintf(fid_out,"port (\n"); -fprintf(fid_out,"\tclk : in std_logic;\n"); -fprintf(fid_out,"\taddr : in std_logic_vector(%d downto 0);\n",(int)ceil(log2((double)data_len))-1); -fprintf(fid_out,"\tdata : out std_logic_vector(7 downto 0)\n"); -fprintf(fid_out,");\n"); -fprintf(fid_out,"end entity;\n\n"); -fprintf(fid_out,"architecture prom of %s is\n",argv[2]); -fprintf(fid_out,"\ttype rom is array(0 to %d) of std_logic_vector(7 downto 0);\n",data_len-1); -fprintf(fid_out,"\tsignal rom_data: rom := ("); - -nb_byte = 0; -first_byte = 1; -while(fread(&byte,1,1,fid_in)==1) -{ - if (nb_byte==0) - { - if (first_byte==0) fprintf(fid_out,","); - fprintf(fid_out,"\n\t\t"); - } - else - { fprintf(fid_out,","); } - first_byte = 0; - - fprintf(fid_out,"X\"%02X\"",byte); - nb_byte++; - if (nb_byte==16) nb_byte=0; -} -fprintf(fid_out,");\n"); - -fprintf(fid_out,"begin\n"); -fprintf(fid_out,"process(clk)\n"); -fprintf(fid_out,"begin\n"); -fprintf(fid_out,"\tif rising_edge(clk) then\n"); -fprintf(fid_out,"\t\tdata <= rom_data(to_integer(unsigned(addr)));\n"); -fprintf(fid_out,"\tend if;\n"); -fprintf(fid_out,"end process;\n"); -fprintf(fid_out,"end architecture;\n"); - -fclose(fid_in); -fclose(fid_out); -}