From 906e258635e37d2c4296ebbada5fa326ab75b4ac Mon Sep 17 00:00:00 2001 From: Gehstock Date: Thu, 26 Mar 2020 02:36:45 +0100 Subject: [PATCH] Delete unused sound.vhd --- .../Capcom SonSon Hardware/rtl/pll.qip | 4 -- .../Capcom SonSon Hardware/rtl/sound.vhd | 46 ------------------- 2 files changed, 50 deletions(-) delete mode 100644 Arcade_MiST/Capcom SonSon Hardware/rtl/pll.qip delete mode 100644 Arcade_MiST/Capcom SonSon Hardware/rtl/sound.vhd diff --git a/Arcade_MiST/Capcom SonSon Hardware/rtl/pll.qip b/Arcade_MiST/Capcom SonSon Hardware/rtl/pll.qip deleted file mode 100644 index afd958be..00000000 --- a/Arcade_MiST/Capcom SonSon Hardware/rtl/pll.qip +++ /dev/null @@ -1,4 +0,0 @@ -set_global_assignment -name IP_TOOL_NAME "ALTPLL" -set_global_assignment -name IP_TOOL_VERSION "13.1" -set_global_assignment -name VERILOG_FILE [file join $::quartus(qip_path) "pll.v"] -set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "pll.ppf"] diff --git a/Arcade_MiST/Capcom SonSon Hardware/rtl/sound.vhd b/Arcade_MiST/Capcom SonSon Hardware/rtl/sound.vhd deleted file mode 100644 index 7ffaf8f4..00000000 --- a/Arcade_MiST/Capcom SonSon Hardware/rtl/sound.vhd +++ /dev/null @@ -1,46 +0,0 @@ --------------------------------------------------------------------------------- --- SubModule Sound --- Created 18/08/2005 6:39:14 PM --------------------------------------------------------------------------------- -Library IEEE; -Use IEEE.Std_Logic_1164.all; - -entity Sound is - generic - ( - CLK_MHz : natural := 0 - ); - port - ( - sysClk : in std_logic; - reset : in std_logic; - - sndif_rd : in std_logic; - sndif_wr : in std_logic; - sndif_datai : in std_logic_vector(7 downto 0); - sndif_addr : in std_logic_vector(15 downto 0); - - snd_clk : out std_logic; - snd_data_l : out std_logic_vector(7 downto 0); - snd_data_r : out std_logic_vector(7 downto 0); - sndif_datao : out std_logic_vector(7 downto 0) - ); - end entity Sound; --------------------------------------------------------------------------------- - --------------------------------------------------------------------------------- -architecture Structure of Sound is - --- Component Declarations - --- Signal Declarations - -begin - - snd_clk <= '0'; - snd_data_l <= X"00"; - snd_data_r <= X"00"; - sndif_datao <= X"00"; - -end Structure; ---------------------------------------------------------------------------------