From 960ca7474dad6424ee4977f07526aebbff0b3046 Mon Sep 17 00:00:00 2001 From: Marcel Date: Tue, 24 Sep 2019 17:43:49 +0200 Subject: [PATCH] Release Squash --- .../Bagman Hardware/Squash_MiST/README.txt | 4 +- .../Squash_MiST/Release/Squash.rbf | Bin 0 -> 301919 bytes .../Squash_MiST/Snapshot/Squash.rbf | Bin 303366 -> 0 bytes .../Bagman Hardware/Squash_MiST/Squash.qsf | 5 +- .../Squash_MiST/rtl/joy2quad.sv | 100 ------------------ .../Squash_MiST/rtl/squash.vhd | 50 ++++----- 6 files changed, 27 insertions(+), 132 deletions(-) create mode 100644 Arcade_MiST/Bagman Hardware/Squash_MiST/Release/Squash.rbf delete mode 100644 Arcade_MiST/Bagman Hardware/Squash_MiST/Snapshot/Squash.rbf delete mode 100644 Arcade_MiST/Bagman Hardware/Squash_MiST/rtl/joy2quad.sv diff --git a/Arcade_MiST/Bagman Hardware/Squash_MiST/README.txt b/Arcade_MiST/Bagman Hardware/Squash_MiST/README.txt index c0e1f7c6..b7cd2efd 100644 --- a/Arcade_MiST/Bagman Hardware/Squash_MiST/README.txt +++ b/Arcade_MiST/Bagman Hardware/Squash_MiST/README.txt @@ -1,7 +1,7 @@ Squash Port to Mist FPGA by Gehstock -Work in Progress ---Joystick to Wheel Encoder +Left, Right moving Player +Up, Down moving Racket diff --git a/Arcade_MiST/Bagman Hardware/Squash_MiST/Release/Squash.rbf b/Arcade_MiST/Bagman Hardware/Squash_MiST/Release/Squash.rbf new file mode 100644 index 0000000000000000000000000000000000000000..881d8a119cf6650f97c10853e8638db7e44e775d GIT binary patch literal 301919 zcmeFa51bs;b>~}E(~{d5?CDZ7DH<87ZkL8q^H0-KaA&gXbeCGVH4K3nu%od4#L~bL zJ#7*&-c9^SK1)?~4fI%p^~WS}oNV0F8e}DL0?6dOibXvFYY+30|}s&3ss=bn4+x##@qR?Ta#y*cgr$ArG|&&R&? zt#7^jPp^La+pqr1w_f{~Z~ybZ{_|_!{wJyV_KOp@MB-S?#)q3Qyq?asGy z;;-CG+mrKdKF(dBl#jH%?zYnN^j>1liEeJL;XNxJZm-cR}M zKE7F=v_5GkB}tR>^j`OMT5p>qyTfPnthXOOKK+)PmBq^eE|ae)3WB z3SZr2geS?{&5xW5N&1^nnkUJ;ME*2SDvzb=`(5b2LvlamX{o#`m7b1wTA#EllwQkG zbS|a&yKns~`MYza^`&x3Ws;_M%SOgb%8KsCP|BlRr}N^ij$0O}n}-^tFF`p-ZjCQc znoY_)0j24}&*N`FPeLbWN%@fagi^gst~c}SWZC0$6) zCm~4}lC+eMw7qm5r2S3XN{>=TNZ86lX?eLXyr*Mz1o9!dKLe%t(xarMl%`4kR8P|O zi9gA-b;Cr<95hipl*KTT$1JZWk_V| zK*F1pk?~H`<(^#s#RAEBN@?0+$Lmj`z0iUxoNy2xghUsFnH(%1G33VSA<3rLc=yph|6h5XQIZEA(+>-N@eq({;x&+Db zAS80=rf%6t`q!cKzR2f3D7}`W%t0aHN5)*JYw8-pi;R=BC&$l1!iwZM2a>X)2f|BA zZ>ASZjghq9P12swJV*1t^C|PczrR3omC8#*EWD+Z%Dnq1^6w_O*L~g1gPhB_FUCWf zb}uCDrM!u5{VSC6AV;}=D>~Vpbk7wzPupASn6{VR7v80BX}X9@c#yP|-ijx=e=;Ak z#-?-OWZ6jDVmpQ8TkvQ~Wz z>RX^+hUEJHh2(f6B)rH`=%bJvQ~rc!@gGw@M80yK&W%(UaxL~tj^YoAO%sy2egP!D z?w>)a|13xGrqqOsD3nb^i0?ASQ>OX?y z_~+0UAURLd&$QK`8apgx~p;`LuNGL{@U19>p({^V^^+A-Q)gBx&iIc?Bfv zxsc3Z@iRmR-i*?+A`j66(IwG+SvO>T5t8e-B3Uzq(tJ{n*s_$q0;Tp!j*_0v8#zj! zgyj5ENRF34qANneha6=t%Tervq{&gPh2&h)Qj)ZEoe_SdkK#Y~bWu7!Y0?Lvi=i}K z#_bc3qzTFTCD&rJ#E%w|^B;lcujYTd?XQfTwBJo>d%{ynX__3R9U(avo}?dt0*PLw zB-ba?(Riz3zMBO9(BNCh1>WAUR(Sb=PpC3P|A3s~($=t>dFR#Fx<$lL| z`DTs3*}au#%mN=4&1&R5etQ$$3HbFj?xa*TIIC$S^=1OY)RIA}Dk&$0?N)}utzLsr zs_tq^d*Ci3DUy~?j&;p8D9?FsoYAPHS86?h_WEtpq?z=eX6uD6ZJztR9}65^YfJM_ zt6TCHC~CMq$K1$g+KN(JGD8`1TwibaT0yVoZ-gPOYDzB3BpCwL$!Yc4<-T7sY)5x5 zt&>yJZbGfi&$QgQ$n93N&L|mL^+wleQ^S_bT=N&H$kw$$*thczHCE>tgVl!IIZh5| zG-m5eSS13JJL~0F3I|yqDcB7If%WG3{fFO``zu2$uN^DHmv)x1{$5|xHfM+DXWp_4%>DFd7w6z~QdfGOt(Xel{+0KhPJb3HbEZ2CM66X5LleEw z7Suw**te7T$gh2X%1}lv36Ld_ThPR}n>hD7-;zb+ov3=l%l0$nhie_vynOS8u9DwP z<>6QF_AktrKmO`HW4oN$@lSZ2KJ)Vb`tL3)Iplq!V_p>BR*^C%9@Wv>t9PHd#nsdB zt{#nV^QK)TJseuNxbPoWY(>`QKKN^k&WF=V#8Rx7t<=6v_xzU-`LdE_%C6Mdyt|Ca+w`v&Eq>PNKI?R!kxqA2;=taQ$Nqd- z#$~C@>7uaI{pF_TwFmm%J<)!*bYxskkDl+G(uM{eGM@tdXtFQ$6f&MdmPOpF{3#S$ zJj)d~EuMZlsW7&3q4kI4!TJi(A`(08n%^}p{7N}Ji9>qY;y$?`;$Jx(J0n+;q{j(- zvvP>`QV_WI$X~Clr+o5oo%Z!g+LCwGgR^%6H3pEDfn3xhf18Oo^kQh3niQ%y_V3mM8c3pEuxF^4>_-S+j<-RSv7HC*YCbj3#ctmFMQ zz43R}@kW#0CVz_-m+dQOH<`R~nx1Y7E6hqQ@GJOmP$25u-(PdOoqA)r6V>zBoYU>w>*eM)mtVhlyJsseG8xo2z81E8J}>&(^2;n$f?lrJ zQmLKAyO$F466q^Hm6m8|xyffTV=JexVCO65cWX~SAdl(ZakpE0SM2P5cSZ4wLBDSo zPJTysnTR=g2biwDeCOadUw!%K_MM^D@+{uHn~ApO1IfFT$;*;8r3CpdKE`W@57(T>#_#eD_L(1lbzJRop1I?9d6$yB zyjb}{vWwe2`KadKuslPHD?@lc;a$r4i^HEx_V6s<{47?2w^tTRKCLv|zEi*`PHhLT zrv3dRr^Upgg-&S|J=I;kk9_cyb}BE*$jOP{g`To|avy==f8Bdy;_0TG(pa8i<)<(H zMZod7SN`r4n>~eWXGSj))O~tdw&6StfJM44I(lPgcoxz_mSwzUE zm6U(_UG!qpI{+JN--%eaFn!kPme0?u4876onEyEG(zW+yy8VsDi5b6k)HpHW^sjQg z5B<=IZ}7bpXK%VoU~cwjmd{JQyNG>!+g??ln>f|bp}U49&R2ekS)!rkCZ7UhRfec~ zN@G5E>|dAL{?hqRfwQFxpLFMqQ{bcwT*{)_t@dxsk*0j@$4*HaY4$fg3TJ{{tOT6} zyq7P<71pw|fcG+o0R2A&5a$#tJqviF&MT&ZXZLr%8xilbFIG;wEBO(@m40x!($?}6 zP3v1_Tj6!|t(rTv`vHF1oHuZ4nNKCxiuFOJPNzv*tD7%g?N{J^K*-*t6Lrz=|7 zk}aN!>%C;*lW(k;{Hb^)8GBdZR3{J0>0O_xLn%80Pp?Odm0HPO=5M>;%>2qz@5>*X zrStDF=q=(slRs#(*zliDT2=q?GgJXr(qblbhH>~s}l(PmdrV(+Sza#3L}JMl~%O6`ob*Jo}#kh|^t zbF10K-R|COyu=%q;b|6m`I~>UY;9YzzzQ0HB#vLHCurKc<3`QC7S-J$bVY^up$GFZ|;EcXWMTCjYF=R}w3^)_Gn;CZiKyv?)ITXFgG z!i&-EAAfVk*h2O?Em6mO29LvSA56Bp*e5uSua{rm*%!AZ;M7tgJ+ZPA_&o8JrX{SE zm;E)$!zP|(@$;g{V#XZPjD%_eWJNN7rk{Cx(l3~ z`-GZbTD$!BERXw~C)fOm{~iBzq544YZigafQcQeAn6sVKT}@Jxih|T5@Af0_ntgv# zqsdZ|lsvi36R*2#v$|T*G?jAp+SksdMpJ6-Zb<5(1UKdhCXX{D94ExrywX#-#!H@W z@U_E4C}udHX;C)2i*=t%5!*;=nQs|BJ$(5ec#Q6fbxSBnMYC{y(jnzJO%9Btzp9s(pCteDrd~bnFa3gqG+qUobrD!mlXO{_c5KWton-tXC)g z$@w=!!{5=$-#Mtg`tmP(+iQQ%AF02>N-%b}|I-QNeslBQZ*aPh)pHz$@v4{ zIKF9WzI@V-)R>6$^*R@;G0Daces=^TNzn(VG7E!|lt|(L>3>R6V|QJl?dVBb+LJWn z!V%tIyW+gpJ$SlG@$MRu=%cF%F>2xzt8Q_3H>$J;e=}|E#5^apy>Mw-ZwPQs$T;_# zUp?Xago`dt=ZAs#=7bCj7wL#-+Lb@>=1*xBE4@_OSs-{R$t;zAR$@y@W~uafiHV+{ zqT{igtS^uJ)Kcv(KYfK`s^v4VREc+%vDEG?(Yk%YTG5rs(J;GDJ%XuR)M9nzT;z1# zAd6M&YCbStyK2F>b)_y+no>(~b^I-R9NW3;w!T@;lPi9`8}rflIq6%dj0!w*~M+VrxU{T((EHkD!M=iFO z=u~p5dCKFqvLj_!r38%h%9>U%YMy30QOAK{ucQwdfkDTA@_Wt;B1EWZZBy%QIn-;G zX}ClLObvQz%F%44tMa678x=e1(MpbiTtaFWDT(+HZ3G*hXIfT4k)dfKzeAgec$5t7d}GuyKRqlNDWz=PORAP+X@W+Q(cuDx zKtxnfk;H|2(tdDk;8n)YkW)icfSSn1FGDEZH8s_jr9~-B9-0Wk`3gk0CO91cpnJ?(o&ayWpu!u-FK*^GRNi`2# zm`!prQRIejCG1F^M02^IE`%l|ccl=N+)o%x63_zr8;M8}za%A^{Ky3JXFk6}_vu1% zB?CbLTiBPoG$oYqw{X7D+j$Yprvcm}P(&hZ%>Bf|7xSf(0_{N_k|e8$T}mMIM2vPs zx1{cbQ~AMaq;1X%8jaw8VOlbI7k?oeNrh4ea#vHPWwy~Dlx#lPs>m&xL7Ajf@}t>3 zNi$^OdY+Hu$N)$J(xraQ_Fw+d-weXg5Z66m-k@|9+lH7EDKSs;ox;{( zTmmJkDoo3~N`@s>0J-?$v*&#V6KR_06RcZWA&OMTRG5$gB|A>R@Gy3sDme~!dT7VA z+D_SPJ7&od#Sw!_#k3=Vp68*SvRqh-E{Iu{97QhB8!O>x3~ zrj1IPM?RKIS}W@0>>jI~E9D%YY~Dqtzt^|ys2tDWLa?E^WY|sG zi%iE4jZ#Os{(~yhR)vB1dH+|Hw!xzknk~pbBUhz&M;&KcUHU1jtxDzjOr^t~5mQzh zJoZ1I)F>Pxmv&D0(+Y^EWQbS>IU78XqgW@0;M*I;;PEXHk$=b`X>@=KPLlqL<&g&EpQoz&Qykg)UG zP3OqEFRjSPatCFvXd+6{#d(3rV6((0Ln;ihP^7IQNcu;6(g#`-c?wAesWzDkB}~Ip zm#-G-C8>08kbxAfXrt0_$IPb88m@EF4hCqU9;ry`l7E~P;Ue)RKUf4=prLW-veVN7R%*lF0ba4LGSYqae zTM0Yl$X|2UcL_ZG8w)_W9202yASVe>KKO9$l!5X^;OYB*z-HhWBkbfNG(R2Qc*7Rm zlu5-nGwxz<5@J5*@A}$t>{iplg&X9v(@e>dzmT5#0>>}4AhU3uw*AGC543`sH(=p( zvC!}zHJN7HAs=~EuV?IK?UeOD(24k%AU4i2EY5R>agcM#>{%*tspMJ(Ju+jJW#e6l z%h>z!WkZ_ni2Kj46cA@iu4omHFGR^`m}y8G%v0D9uUNte@$FrQoG4T^$dwf-|b;OEY;nkef z+k~Et#vx}S?6LJ`&dO?iL@2QqYi5-bPcw1OaFhsw)sW+aP28t>HK7L*5Zm0rrwc;I zQA%Z4UGx5@t#-|hB~I*Vcv`uPM9g6In7U%x4*k}w<)VX@6$Z{MgFWNL6Omu3R7}$g zH+b6iaB{#3`?FrJSMzc${52)Psb_5uEn4jO0sfnKfJyX2tXLpY#JMYU9K3^|88%gC zld?POg7ALF(%PF8QEpFwPw3%ts)A8+Lv`#IcC<|fgwAN88QV@XG`tDD&!eNeO)a$g zv#MfE&f@cd5rmZqz3O%P0%wY5h`>8Y-?N)e#A4~<nNe;R?VGZZ?^4-vUrt1 zM_IktUX!i1A|se`N}(~K(+@_Udn(Ci3usXa9Rn}~`S72n{2FvC^EpXg^I>w0E zoxHMFODyQU^glnqT7hyh+BQ-)WRQ%#qI{ZNtI%_;nzce)>Clm70Zqqk<`=(OW&`I; zcAMx1?bJ{-rs*`=nqi*Vy6^ehC{kKQ*1P`G`-DHrqN|*V-qGWbNr0LmHeEJBF-fQs zFWO0F^iBeIy<-zG9( zY3t3Fsji@~5aO&C9U>{5=5pJ_P}pA$4HgA3P+>gH;-^l4pg&Fv1oq$r$u{ z6c6P->O_yER&E9k298>|$+AvH{4Z2Wvqovq2r`^*W6&k9H22jnlQRZ)V#Mh$|Ibut zT|?)jCGb6)B?DiCG(mO(9k#JGa6%H7nCs*!sZeDCOupXmO*A1P>5yT&4}a^u61O1% zYldQ&IEVO!9Ac&Rg=k>j080bTtYKt z>EA-Hk|i;ipOM&qvLYv5xz--LYruuAHv-o&IJJCDyY6#F)KpUh&B3GA z#EvFQ8rViu{Dx;%O3r3aMf+G`Y~^fZMXN|qtv*+|g7ssTz&UcFDPV#y1jQ>eL3*%) zf-RKvvK=;!K(7>-uq+OQaFJ0)fw9t2y9jaxk4Q7(2(3f(^gqgzmH%#2sa}`&Gazn8z{_Uk}`C5w4AA z2R~=!)J3^MPg~tvXcGM2aIY1XIvbyw$Q_9bFC)am)`6UT*qS1`->t&-n%x`ssr9Bb}l?*;~pMJ%33CR3#C9HX! zqSfx#hNgdNaLv@!KQhAEt*)=u-=FjS!LQsmRUiKH#&%szbaL^oY1OWmU%Ou~)DEc< zthJrevn`zg7hGV@vf@&-(0DCt6-HXBKq(c|N&koyybx~A+POn&u!!V}h=8b*_*;H} zE8u645K@2zrZYW`q{EB>BMHxPAppmZ!rw;+jmFSA9d-xeQhTfomQl8lU=(Hsd;F64 zUn1En|LHv73^m$nE6nsbc1bHWa~^NyTA}BSTUl#7%eZ;FMJ%S3?X|MVH7s>-))JX1 z=7cZ(2}0B^B9>cm?^tE@u>|pmrs@sL^oOR`*coK)Y5Ad7 zj{T)Zc33M3LkNp;tR80ZSC&YwGr(_<2#Dr)JC-&9STkX0d%|3nk;8zMKwn_a(grD1 zU{E7+fLP#+Y$OtaS%87E04oSH45$0G_8l5L`MdflrwTB|xHU?~kSi0!=VW^9wy&5IHHpOVV}AvX8QwRkJ}&9-7%K%n5*Fu(&3Agvo>jM@PmO_@GCo0ji|* zz+t{H4E<){hI(VyK_C$B_B6Lg1VsD>h)Ng&8e0S4$6O^~G;GJ@?KC(2^+VwnIa zI0Z8?YR+~$I){FEOom zQ=7D%zP5g~$>L@XU&hlp)XCVM|LP^*`6$cfr5D(ac*Ch}NBmj&i*f8}{HLE?Y)_;A z#!Y|+ExUzBDvlcitvQSkOX+dyZDjc$o5*yKA8}e22-A>UFd}IZX9DYL%muUnH@N!p zV|O0E*?rR0M4F5)oIs0YdHUyj20em7i71*y98;c8J0UJ%+_y8rrEPdAKWrAw4aoM$&NDN)f? zncN?LCFl`_h5@|lkOn=OqQZN?97%je0&92=97h)exj|3><}TL;0iZlp@d&(VjYw7# zcg52Pi*&Q04PhZX)xbGId`6|cjwo8YeIG%**3_%Iw>=^T#|||GhG{xGBD>a7N;hMm z0O>QL$JMq>f@JChXp)xcr9?>77{XB7lxIG4moDI{21OSp;RN;!TlG`|l>s!!cz6BL zc@*-ZtX7hlKK~zlEKN17rfGG4IB0U%UKh`|miWJDM8?kNoqU|n)pc(D{)Jj>=KABh z^@Uu~AB%_Io4-tDK4MK4_4S+L{KWoIEXnwD=GSZQt9rQ?{O6*~-kfr;K5}1mR{3*r zZW3d#xv|e%A3L*gIA_*|&v~ni`f$a1dZEVI_{u2bUEs_&?GCA9T~)4{iNgoY$ERWO zd1-lVz4myn@85Xe(+fio)7IM2yPaz{Kh~J_8kRHpY4gU( z_2WCu`r6vRRC2?AqcR`#o^HNS9E;apP>1*0XV3RSXREuduyy~?)>><4?dglYUi&_; z+8S`k{kSz@KBSx-)1R+?RQ;ft87Phwhu%;?8HUKIh-k%3tfPKR@%NW$p7X3b#hJoj1%(+g~48{tIYJ4LDo6P$8w_ zK%s(79EA`CM{!wEw9qbl%ndYA(J%=8 z5i_}6YuADd@wdbyrH5b4dK1QOvoIEUYs;QB8R}JlufDQ3G38isL4gSO0pfuh>J=vB z1OVf1j`1Y^R%`}6Jgtmy^LV-uW(YxvQEexrea=A|0cafDtl3Hd2*1nYW-B&PBcQA8IHB4R;_x)}GL8_@WYhyIG9FRKw^S*1 zGQr(b;6K`s)9hz-B6n(2!!8=Y4i|s52Zn77A`45b1&^BS@JRq-8&uQ59l*Y6622o) zMg*4%-3fslFpP{>z*-dI@i9(`7a$?5s1yE%>7!FS848VPkw^J)n4y5DUiys$sRsH5 z@G4>HX1r=6%W8mi#%aLe4Um0Ob)c}beg&mUETY46c{Gzg>j`qB;pb4HXq%6EYQF6h zaegE0MF8?7>e`t5s|k+y!CGK?23?48V(Bh^9?Be=R4!f9nmD$ia$<&g9FWyK*#reY zHr7_AgRGdMpdR>3W-MSru+Gv$toA}oov7Bg=k?ge+8O$&FGJed5GUZ7im6L$ksz~G(!$5+v z*GYCpJ`>PC98-Qp00QoP|N9xGI2q|sw{uxl-4p7HVwyk|d$RyHSSRTQRfEXTe|iJ+j6Y~Fk-VY`8I+*Ou!^{Ggax>@@5WMTwrlum zF8>l$&A`4wH>mJ3gMk#E0S~@vW^KQb1##aV!bajk!6-Fq6}lpp)0+1JI0qsT&jn98 zk6a`Y(gK!kUyb>`3>+d-$DX{4Si#d7Pi}8_f-;Xe1jEvr_%Hfo&fue2u*Q-`FA@n# zW18qCKZYQSas<;wisFt?tv%%g$dJj0iy+ERV{?D-lavX3lG!JslYxS$82cZk>&;xY z0FO!CpgbZx3faO)LU1KbN^3Hm1*_jF*g5VQ9TBKKQIffP@3gbqLvl+0LT#@V#*<~M z&kM1V(^6ARDo=|hYXSkoxv&orYI#X*{jHd9-xkTgFe#Gn5k_y@A<#! zqM9&Uj?!bQLUp|Lj!`vw4PtIXOJLtPaeHZliiA@RNf!cXm2jBHb-L7!XwgU4#auW^ zKZ1xAS1~BQ{mR2vwJopzh^5x!a1N9wzhbHVtO*6b6%ANd5qUMs*7}Zlpj_GQOqlEk z0_o$4P}p>G%!|?poL zNIK6P5=hX|+h$qd!{o72g@;q>z^2laKNL9`Oub&Y$rz%BHV~t753B=g95U=;2O}AL zv%bE~GIxcwJJ)uU72MoputPxsL+?`aBCwZ1CkIeSbhz6z-5ER&Gv6?tYXWfS*r|4+H@gR{7&u4(v|##EB@;9d6w1F77Jwzk3Qk5UykZ)u`MaLAI=QRC@_O30KJ}xk z3i^YUo1J!X#%!1OG;4-g7&){a%nIc1#i@}}DLU$~(`ilLIlwA?qp-glB*c8Kr4QcR z47Ei52LM}T2MGWPCJa8nOpp?r-`Lt))(WA~USo1wHaQLU1Ojj-Fx@O|oUP6PxKxqxM{bxRhB*NU!3DiR zkR@Qu4(N{TLz&7A#e$#S0U9?_(0B4EBvN6FpA2t|7;fE62)*zM(7|*%e_?LE`l$K$lAFu7Tiq6QjkD)5Cad2 zuCb^L2xBNGPryX5Ab6c3V@qBjwYuitX|(GNk!PaPom|^ZwkeHK%pb(9f9e&~&wkd^ z#mjM6Va81vjbPN9Dn+%?mS;`3)po}L;~dZOqYZAh0I|S&@$eWplfB}J+F_IN*L1P} zW+IY#v2YKO*;92yx~m^4>Fs{XB1o63Ov&&A!}m=)^V;vMVt0VQUsXp{aeu{KS2j7j z6r|ZJJ$oo;8t(n<^cxrT_uO^nv5ME!OUFQcv$8b{^E4_d>gxBqfwf6w6RZo`Ta3Yo?*F;T^ltkD2g@? zhOO#SWsd>WY-i<$a?(eWZP5; zsGKJwk&Z(Mie|QN1xCxX>%IVq0IY8HP~BJB+)sbHnw_c84WcBFyb7Ru#oX%$x)L}} z0vA=2{p21GPlJwAP2fD)DON<{FhPHP0J{j4b$DQJHF*t%2!-nsDVt*D$5j4NeD%U5FVrUyPK#Cz&nGIrnz^#4a zZm~4SVZIWFoF}LvTeg%I>_C;p!2%8~g2CwP@0LbqW3sc?3wzZVVqBE5Hs)afcx1mI zGUo7?0b+)fPvwF8%9CF{bx^Ui8#FHcisvg&cnOxbuw&}8n}2cPW!!6i(e3}PdbRk6 z{}@!!H7_@>SFitP6+_b2sb`eF&Y1rXUxCTWOeJFHR|s`Y$qvP(1a}laoJ8>uQ$q8S zZOPAm^_(hmJ)!i_ z-fx*An;I|A#4+NVlwINY#$GttaX=Qu!QxRUcWh@zjVDZw-NYwt8XkOO9Fe;CQ-m1> zrjm_?wp~0o)7)0Jopm^`JSYyMP9Ar*p@y(&+K_SA!|yW#JeF$K4JY)8NZ(KidyUYl zwx%2&Y?+hv+}VM<8ZwgG*}>J@;tH?_Jckr`A&d!_W_Zvxv71?D)D5CC@usb@#*kvK z#Hcn}m^Q~SBd)G|Utzx+7HG`IoPBKTU5vR}pR)j6yi39f^I0#NwH%}xKJ7U*^EuCK zC?mXVpV!xM+`c2S!82s(L_i=NZ1To*AwUa9Bs5?r@Q*kQ8O;+#;xG?vvI&gfkk!_i zAmk^W!?m_E_Wm2~W|6|?HmpF1tc+XTJN}VnmGQ4-*L@yoI>y9^>}TO2DOD2>ZI6On zCKMj12GtFUvzQyKToRXAWeH2@H~ujpdoR0lSnNZGpwf=r)m}p$GzFpKhKiNUiSAZv znw|o}7^e2pPrc7-hDSSkZk_k2*YEG_Jne-8*7{l8<=)1to>_g=nhy5@H#irS%-b2} zosoy0L>Rw7_pFHn&K2?|nb+?*EQr8hjD=ExL%&f>E9fc9^FBt6s6wC@Gu*k;4~q9Q z&Xsn}^1Y!5t zTFuW%WDm0RIqN~o2uudX84FETwzd<3LE&Iq1TuZP4S*1SN@bg?R8Vvr*r_mD_LM^u z!Ty0_vxsz6oGFDYf z>6Y7@g(I|a3JtVF(|Z(SBo8IQ>{-v`l{kh>PzH1?hEa4vKqx}XfFUJ5VNZq=HDje$ zv&v^U$&P@T_$-W8BN+3&vAN-Efo*!Ty9H6VOI0 zjC7t66YVqV^2C=}oWMa?6BH*18Gj9I$u{uyK*HKSksfBjK2QTKA{{d}gel9RFy-QHTx(bv8JXHDS3N6CqU*}GPe*ATp=S2 z0=H3rhFeo&vgl#*oJ5B|vS?u)vA2;k8CkES4OpniDRNvK7Q}297Y0D%1A0 zQLiCrfVS58W^{!-Z!*F_kD1VH9mQfyIlTf&db;Msy`RUjlvozDFa(znQZ`mb(G4;D z1Z*}lP11=tr;r$ftD=lI2D~hjzMaPtM<)_83sGk7$xk66l%tIQwmo#|vsP72l((9t zJLD72&ApzyXo(;JYXAmx#e0x4=1Fgvyx4kaH88-NSD~7AC|t03IIHcVEUH1qQgi<> zR<$h8kllO zd_+fEuqQH2w#+^7*n5g;Rm2KVMqs25GEzfvNZ_<$^q4{1TtHLp1?gI6AX~&ifCA9 zROzY~P8y)7z;I?ZR3cqr7Gc^tN2XN3;!THy0t0w}0Yj9g4_L)wC{MkEjP0T@KAl-T z6uIAg>_3w?p7FHm5ev3~eyKpQ$JYppMwMqI_yRWn@ItAHd+5Ea0?Dv54Vd&=86~iL z-~e{$Z2#SzyjN!20^pOtsQ8|?)9%#JDU>UJ@%Nu6%ZSW>Lsg|u4s)&loDF#B0HzA~ z5ql|?g>eHrfon3!OItQQ6TFR~lbVxLaK(s4k)D%@e*ln7TV;K^p@}B?)@?u2!}3S{?BFPAHqm3f{T!f`aqD&+-buzSEh#i&@!xWU)BkxM>O`SNx?%v|08X_XWK`?{5dmT8`bYQ< zBShM)pVRBWQ*J8uGz7f5bAGcNzLpC4_C8x5@%h@EOw})3_lf(@Db%uinlD=gM~!c5 zwFmY@BjNM8u&144Lz~|^1THp}~2Z!C8auQrZ3 zvOg`u{bYL@&J|cKyG?-|Oe|NN z345y0!#i&5db*<&Inx6L&;*gK^noCEXUPZ-uHmk{3&(rbx%RrJtoI8%SYfueH}1}j zV;s0QkEzJ7@_0bnDNmpgfC>BAkQD6q!ghJ`hF0)QE7y|L4(|%mg2+c3WJO#MDB~kL ze>`@L$%|$S-4Iv}pKSV8HVNIya;&L4WzS#%%mF93-S2@jNJn=aEDNIcz|R9;YWGZG zxe6~fZ)mYdL=Ra=j15Pfjgz>~p97r&LVLFLOiRDrW1roW8NymEi^tRfMN75;N{17$ z0rBBZ(;UWxt$!GHb^x}OCO}*ARv{W)Zr8P-a|`BuD2@~X2)q9rqr{o+&P}*mW~R-bT4if=!ZOkBNMO@CbqK%n+hm~ zxVYQdh*d=iZP~nqjpI2cdlQNFVRNu&DA~(-3)$AR>wu0^b#x_2kjM6|Y%JUL&BH&= zU(^k2qiTCJzD=yDxn0rP;0s}n_C{Lwqhi%_3WifECr(7qI2I2q%?LV0vFFGdE1$?y zX@fjmV9d}cRJYB;8fG^r5cts$+j9jq@^-C57xW=_HKJWjo`OC!z0qVqFZ6j$^01{l z;|-%Y+H%(&Hl5mjc07pH1v+16>^Us08dO!xUn_D#YITO)NwBjle92W|;0 zz(9%Rn+kT*W zRL6+Vwzbx0rkzXG?be?^3fMi+HwuEB>obkrxqCu_Oi*q|&lf&rH9WS9?)3*z5!p@# z{sJ@TiBZKH4D<)p-HzT?Gci)r?UJo?0JjBpEFe*GsC19P06vT>e4>8_!menQMF~{qX;A_SV zi+Cai)}3iL!SRxPf^AnDJ{MD-K@=3vKk}t>-u!ROyy~6!;kyU!_}wQCd&j?g_pQ%v zzL;f!Z^cy}-g?qsnYreqKUK49*W3J4H7PF~Kf#MeuR~?YAH4bU!XLbueu-BJg_Y^s zlD~ZO%JHx8P2c7{Ts!_LzY}Y@$a|o?@ON`g_(L<>`2OFt`_dPBf}HrceTIYDIimTA zii<0VN>t^;BsfksXpWpgs2e0`6ayye$dbw{-?*$i{T1(xSLPh@1Tw9}nQG*pI=b*j zv!zx|t7ejtaw=1Qo)SC2Y43b1T{%ef7c$8~GQReq_Y#&}7S)XK65iBu&2gjY%$99q zGPf&qCJw6|%}Hk@Y999VqWYxyd5`x$6fc_XvnFyCYvP4)f2G-+B9N|>dEw3J0m%X( z#=TX%{$=$}0RRL9p*#V{;RVW3JZt2`1Z|FcyY3!N|B8AiCy#7FT?zu(TueVr+W#kg2gVMe`o?aOIiVh5c+) zBczg8h_@HY9#)>%8hg~Mi!aL$k8i>lO&vgMAKpT1N0S{v zlaU@1ih{HWR^HQhsK#g(d-`bEK@~jOgdN3xYs7}^BK%7QRMHrX*~AjL_e^c}MwP!4 z;0#sp*LVE#p4)!V*C!l5i!(Q&!hK+MQ?r-Uen}5=Zr^Aeo5h-h^p;f{=KDcf7={)f z6$mxrC?Yd_eA%H0Y=**V!9!~w&Er9{S4fZzo^%63sAA|S+2q*v?NtrSjx&u?jC2Y0 zikSq#LriLJ?acvkO)a}!eMFfx2ixiNKAuxEmFJyDac?egYPUG*a;5sHo2?Rd7$O?8 zhBM%pt`TlE%x&`RZ556M#)E6iE;?DMmxn_ZLu;$EAKk$n*2=efMlDqBKFeMO@W{51 zvaMX#(F^ebv%;h3;{Kua`b&p;F3CL~Dg*H3e;B=bf8&Vt7;t4@X!LJWlLHsX_N2%1 zW`D;iHXq8Hhn&FJ03yr&jE_X}Sb5m#nH1k#o-$i%qDfTs$4+U-qc%!o?{^ErPBGHg zqsC#eCs1KAH1n-azHoOqRcrW`cT+Sa8_@u0SG6|<`ZglcfkLt8YyanaWFy)JtKaY{ zA@iay8_%e+HK}$)xce7OInA5HW_fM=5eGc>g=j}p^==}&tYB!yuv46JEU(g^wW_Ye zBw}caM?<6LSruCA%j^bKDYJ!I9aiB(Uf6UT;9?|1lo6^8KUTRSzqw;R#{Mnd=6R^z zG1>7&wL?r>f(gcZaGJfX{l{+EgQtVGc+4mK5O#P~P;kO#GzE7;vw3@{>P9-)G#j5l zI4LfZXjn%Fw6@cit+6$Xbr19hNnr+MLl`&3&=EC09qYXGg~zro3=NZlwlRuhqh9*$ zRo-L*Se1B%5_D0t$T79TXg;hS@jem)J@VXrKdr@kiMa)aCYw^KCc!FA2=ogw%nfDN zTpVe$^bijsiQFaR1i*0bo^m|1VLSW1nY!55AfseEOAtk6PkZEN-cQfN9Yljz(OBE= z*sRe2Vc~wOs*Jkbqb24R18vsWp9?yzfj2tF_NLh88XTw^j2Ij8*kCoxMs(Z*HjJ6o z*sr?=Rq_nWtY}(gXW$s~;r{^mdAC$sh#v6- zVNb1h5`i*P@qC@o8V&)PLRGFY2G&;GK9&ll)q3d??86A*w;jd^iM_vetS5r8b+6&G zw7YiZ%Ad-{``J9!dLi_EAX;tvzMAIPIbEyspHpS(>qwT$LK-E6)>MB6i#fx<=yf&& zW@>xgYDV-6N!H;p*(7ISB?w>RXtk_FNJl35xUTICjJ4s*-~5OCjH4B6xhQ97>#|dm zdau%_*3~wD(%QV)SU>6)^;++kuWgUuS^(0SO^6bY-vL;tW&&oFRa1;+Z+3%=IQI#H z3AJUeve3kr(9eL`Ya2eg*bq?FUNy8&Ik$ZgEC5V`xf|xNz$kVv{;{h)z5yNDqVzy7 z8gm-hcx;j2r`<&lF;XrzomrX>-5U zl!;UI_F27S12({Ly=Z8TxyBfwXT6W&a^hWP*dfWD$tpNgjXJ6MdFm3)*6Pgj91U{= ztU&+)2&VFWuTyxQ6mq9!!UN`Uu?sSV6?Ck=TePb3+L+d-E+uO_Ss1A!CfW3o-VwvO-a z6(pU9DfZ_5|wC$9jN9Ydz*_L{aX!*WLI-aI<9L4Ld%ZLl6{)qKm? z8b(H)^&4?yK)66kwEA{`Ca-NZShg~?;T{_}Ok7tL;J}MVYiHWN`oxENqP*-u?ai6l z^H=wM*ot#%Akb+Xtq6>|YZ6{Kyv!w4QYjK;p$BZopGEOeW}AgN&~aI$&kwrrsHwW- z4OGl5FSq?b(y(H5(H`$Je&E;#a-qgMN4B#$8?g1*z22!ibPjFBEHV`!E<-7W2iyWRVt-Rj`@`Zfzi+3{)?)v0Z&=?tW!9~W+|rKC z*swnL#hTJL>*iKAV#TW2G24A(g?MW7kf9pZ&Jr)7+WKG|y@$stzCAwe`|Knf9lPnU zdimnVf{`sUdRPu{i;RO;~+c{S|#w}ka_UwvmSzRFz_ z&$`wnzBz34xlGr5zq^Cy7d5rn`O2ou=FL3!GrfX)PprPw$4910<1Sd_xN=wZ>%Lza zUsd^w_Y@ivM~SS~ZOj3Ut=qrms%?#R(F@@Xm4B-xsl$f@O-dO$P8TJmrA8u@tB!i%E^cOyS_s470c_Ok2`xljU5Z*VWy-N9)(sr$1RRr|Q6N zyQY6CLFn$`4L|_8tAAAht;TKzLU${OoL)S3$1B=>-k#=~#z1b8rT68E_e3UtPniFp z%M7;ealZG4kIe~~NZ1_)w5RQ9K2z7#o@l?dB|coap}u807e4W)b-(C1@~iQhhl-Mf+%za{F=VCaMTGOE+6~7z92WH z_B7c|_PuMKZTY-lxABP|x%E;Rr-}am!yIW{pD#RQ)kZ!l?^CL$2_&ArB^Mp5Sl88( ztv{jcy4!MN-3qsSyiPluYFl1$T?*;Sx@WfD&JEY5Y2jrnUoVxtx&W9k$vc_jkGmA8 z*MX@Rqk`@_*Vnhi(H;34frIYI|HnEYR5CC(YwU+<`!`*J5#%*l*<*&%`2yqVrGv$b z%nj<|r_M9)4m;&NQzOdh=c^`k!s*-g7hBBH))OBeDeaiLf7=%G`c`{Q-%XKr-Hx2< zsIRWIl@DSu`s5b3wr%)YSA%)!0_=MiS>v8DJaUBHUKi!-fj^v?96eGmyb#@R1lQv6 z{@AEr;g*a#dzf5jPE=};q0GQA+L^E&ufmu%N||}_Pa_EMHLKyn^e#5WtvRMHZ0B+h zdLymstQX#%W1ht5LiKM(jeElDM?k0WSYRjA3M01^1=;g@nG^<0n%56s=Nr8Vw>4lZ z;6W84WwTJji>s@+I=yjHT%fo<*vUQbt5ENEr*f_{ul(jUxh-yI$_0>Ez>nH zEx|EAiUR^tRBGyDy!IPsv|R@W_WGBqJ)aURj*VVgE)3^#f-`TcqYyc3e55sQP3O#? zy->a2jC@A?PlRwo3(0Py$4{KA#n&dI%+fi?F89*NB=X2*cqMqN-fLwZte6=v^;wYI z9J?EP*q&FYnVsDAxOm`gpnj-R0>f!Tz=Ghq8QINMDu(Vs1#H;gvp#e2PTn9cvzl$s z38W^b;`$cvdyh;6aOZUt0HEd;VgFuByH_@=?f1sF)y?pUj8@vR=nk7b?u2?x_Uc&nNPDX+TV6Wiviol8*Vhx(&F(( z=r>xd6g~=ety?`3d!>;lYr*K_wK>mwBA>a}+LF)Eg)O`_`vfk}miw+o?B2}X4lc^M zn&y{!d=NuGi~2T@zJ7r*hrQ`?;JSV*W9xID`it%1zU|S(NaC=p*>;CEdkdS0w>&rI z9-Ycr#y!rH+0uwN-q*=Z*PJGp>t15Ky_Gm$31g3S&&T^Cl4~g(6<(gl>nY-nR6~_( z$p$=mS$!DCka!4pCO8PNxTavNogPs3!5zE_TS(Bv*|>qKu{SlC9U|#@a*#U=uds~W7Ne>J* z0tzO$KGuT{Uo<1aDAn+88Ui8a&FYkktGRcKeRbM!%fMe$al_Nr*I)kR4JYkzd)0Ek z+84YOFKG~pUi!RxL~XECuQ^dOttO;>rwYg@G`Y^0E7+vaJ=5ic?0kntW`PK*ebH@hMY2 zJTmP!wr%rmww}>xpYUy?LtLzeYHDD|OBZJgyfOBmglO-*5A-SI3t`I69dX@Bw!R@# zx>bpLEpLE06cp2PM@<}hd1uTvDr`nzQ&AEa;Pu(6s6df`2?+#jqC(=gz%?_p>)Y{k z-oB)%m&f3q4IRCqB3NWs#KmQB-m=x}n~lFR_Jd?LBcXGvWw9xxU z?ys3<@zH#-sCzL1&3+uQYG!*=g$nS9Z9By&hfPYFS6BUb!fg|j06npK-8J`Z+G;3= z@D>YVhsdVk?Y%`~6IgrzIocUJqxp7(Z24q_V-3}en-0H-G3etBB0ifH)mpPx%&c|B zGkv$@#xpkK#!JUxFgxH8FNb=p!h(OqH4E%^=eliml4-B z-l2Q&OFee@N!4=p@$j&AUvB(icVB+pwuf)etD4J;9=TzCViuIUxi6=x_W_vNJ>?lX z)$Ac+w>I=K@YaEF%_cT~KFyvK9;%w3ul%7|@tzM4TjjOa$CYL{5^KZ8@V@-;Kz^&v z2ws&zC}m}Cb`HDwY2VwMpOmd@#@KbCnsV5C0MODPnzPFNd`8DDu9|z%-LxTB9E;3} z0Vf~sYdT{!-p%~@>;>kHS2g0Boe3w*oe!ctnOoz8VZ`LRqEOrH8&z z{!9M;OvUpmPTkx(b}%^LfCT-ayzjYo4Z#0~eBY)@)eVa;*4PZtar$C5CT!L(ayNrQ z5F-qKj?cxh$@4+a*_0pJSFczo-2VJchR>G3@P!&*8_HL9&AJb6%J{Ya@XDTggx#pt- zAaXTe*IMj21DmXn@LuV?n_RCqBhOQCcu0w6w=I}3<;}P=Kzd)i`hCw&@qSs&3UlD+ zY@?XW={)t{P|$L5Sh1|)KAyCPMWd`Kz;kSo-al!Sua(abVf@rArhp?>LV|vfi6|r_ z2USqO=9WB_a3dxzFWKTUm^1Fqz-0#{kSp6g)M(q`>+a8fuiHyyz(G#U)p{S#H_eOy zT6{C4%2-i*?K1rqg-xJ6Yz#oA0ciQ@U^am@uWmJ9T)J@yX9(l%!D z+tqeV=8UR&MQqlVY+aU#|1FGW*UreRzrz5~GkMQ;q9~WC3m6)Jerc)}_;ILWKi*d# zWxJcV6U|++bohD(SdmN2_U8hdAUmRyia34lNcP8ge5L5iX{j>xEa zoHT8AW@p7k2$}>%Crslf0YKPc5<8Y?J6CCMYc2)WbVEN9Y588=*0~mGxz&Ao+mNi| zN6ypS-p?73lx)lCKX(X#!0zmsbKdiw_q^}-{c-%1!j2HLvel+Q-SW~qwmC=t@w=M( z!(o4o3OaH4j9v?@MLn&DhS1(?Yvr!#5fbV^S)o8Y1)d??T7y^`2Q-vd>?8*=N1+5Y zEC(rI3N6U#spdIT=7>kE-4YnaBY{7DXw+${IVhJ-)x&t!6I;xz5m%^>wR=_(5B<{~ zn1=S#v6@pI#n=S?rm^yEPifA=UlQ;e1$K88LRDwMKy!xMh?+>efH*|N9>g}9eySV* z%z?~1h^?|2hs!oh0K)}=$7g7p|GYrSwXXlxm^suNr+s`gksTiPRIi4th%LFy-%oJc9pNUXST~B_LLE&Js zf~J~vgsy%2`)XN^m6Z+t=;&z;o-d<$$~L%+x)Ez+-1lrX!sTYsiR;>sgS=0tnnvo_ zC=jqzJ5xNg>A`1Uzs*P@`wWbWWfvOpIY-p*q|bh8uBrXeKYK^nm4Vba`-C?^#KS%3*%m@sR7pW3DIN|iY;Y0 z@*|DMg&v?~$cGVH>!fG4w0tVCwmQfy)z76^!q%(4ecWkS()%D?c7|)4fAb%zIATIw zhZoW#x{xF7n&Z)kF{}=U>TttzXPtsq z%5*o|NAk})i^Y1^-9GJlt%kSTE_j`7qi(U@@W#EkZ`9mhS+aw=R*3}c&i6(qH`u7~ z%96k9jQN_sYF9>s9cxZ7-jh$&^)aw7Y-em;BOhP?#`Rb2 znKM*~JFJ^Ve8f5iW-xWZvobR?lUBxGw1F(m4bg~c_LJygV$fx#9f>tQN?(H6lEf^5 zD#vDRxi=8dv^$CHo5VA?tgj}ZWLBIrz3d~c06*yZP24DqR2@$j`X3;Y@Igq#zoV`Ov2X0I}2E{&z6A2)|LiA92~7W7fg*!RbTC2MH*&AYIBA3we0eSS|^FnI}9dx zJ*X4+_0a6(j?LoF{`G$QefRpWxRcukWt`y9<-RKt^!mO5aCCm(>}K5vK00;AP>1$D zoE(LB_1FM;pZ4iXe)ar+P+OAIT;AT0bzRc8xEzYK8edsB%s(bbWepH!m z*Z?Fz1{=+)qwX=Q@u|VUBjyRj=VpK&YFGhDEs68-*JHY}<{mJoxU7M!$9_%ubHTps%5WeTx<;#zr`~7i?>C@)w4(wj{D< zyswY$Kl&G9g=Z{@m*!+@GJ|+*vmquPGpy>bJD&w;0z`GHXB>@CF?Z}ab24v>-MUv0 zC>ih%PSijKPZ6I0bm|hph$AXu>m?p>kBP*OKV#$od)VZX&?&GvUxq0bwB0(8Y*qh~ zxY77x?m(nhPFlL+yrj=Y4pma1WlsNF8)8j4UU^Di()VP5p-R;cNo4nc`5|FX%nfZf z#wt^DxyiYm4RACtw#<}MSbj4F;PfNx`0H!X$3`_i1#Ds2vz9NpI zZv>DZ(4)k+9Du~mkoh&BwB`z-5JcT=G@rWm;Tuc3{XUzh#y+ilu>zxoEJ^zl()QH(eHB9%uM?WmiCrD^}Jhmsjk4|Z2A4IYrO&rGKb4%MS zp6+ZeP6W>~lqa1p3AO#YFA>%I0G3003F8rAA_%Lw!c?&_QF- zDxU#MH=WIJ9dM)a=oDz;Z!ms+8ztShMV8Rn6DlY^63ej^WG@T0k8GH+H@uWnn zS-`=nVR;V*V=clwwQ)U_)t%KHgl4{{x<54a@>J1Ym~=rn-Jw3s89HvH|298Y_CK`4 z%A7l7mwiJtmTkGcD!HDaKB3+(HmSj{%n|rG^pPEI`1wx~Ks|j?0WTF{tPn=sX+9E7 zOe@tOmHu9S4k1^MNIG58E4o8yBpJ+xw^USq?vy<-owao+Gd8Hdykm7_BG?(^x>Ny0 zFcXrtI)}H~`kAxYwzhD<(rk)C*!H|PW7uK;lnznV2Sk2FADZkdpL@FBK4%;g#^=09 z8^^I?t3aVdxoPc_K5?+5!{P_gGFB%NNr6CXf}kR*x~iKSLgMJ%n5{=KF6t>udM*&Y zGlut|w`&HDtomx>B}dQS=zenld^%Lkrg}_Ia%?9p!=y%+x`6?Q zle7W^d3Ubi;ZcL}i$JB!6bWYNqq$JJ;S`it8Ihrs1KwLA2lr`J28aUJ_ye)r)C%5- zz!kv&s&o=K$*u-`34Rc>|D~fFd%pQ2=;iH`e}6b!@c+k?f3Jj>ZzcKO3^@c|B#3fV zMlh}iQ4+$~h}O>$9^L**?Tx4Xd(G{1;ZWm=pBO~RXOc+Fo8gbK41fIbMs5XB{?K^n z(b(HXKN31g^yAO`!Dt_;oNku{-Eioxp_A?^dAcj<9cIuUWP;R?<^{vHIw6>BWxsth zhc{o>x#4%6`!nAC8JXOh&z#5vUgm@uV6@0cn)#_%!IY6-c-jFkD>UTQwRB*@`tnDf zZ|*Leb3XjTd&Zo{ErEA!&reJ3_2zZcVwQhVHHo&@UoXSNa4#zEn-|6kD)w8i^}nra z|LGf}+1CYHcR|l?Ao|gmB;xm&WeqIq&s@>{VAANDESA2g-WT=RU30UMrXWl9+^{oz z&M5&11!>m?MoO2Qa5sR(qBTsE63B`{cA~Yt<54}6`cfAw!`?|Vv(O{PiCS!e!Dysu z`p$93sx0H{u50d0Wesz`R{w5nYogwYildqMv*3;)&)E{}&eT6^=Ygp*!}f^%g>UXy zG|YHwF>Tal?3R{}t}JW0uKjRVANP$(TX%lnGq4G_7_D>C`QY`QG9ugS%?Fba7KGo_ zw#TPr+dW}!P?hGLQT~{(WKsmG3ZRJqBRK3S=&_Nqj!C^$)GDd6Qw$bTARR0qzfKKK z%f)tYf7W&}^sFa;Rc-bW(rJYHF>C8cXoY7j3;&IKmcNakCi2TCIuPu^I_oIo6rCpQ zP-a3eT3aoz1>7>c%}u+2E5`QDY~&Utd`s0E@9u{pRjG?FN?4Bgm1Ee7;^DYNVRnF# z*TQ@lBV?NmpGcxQNMp0>oSmzjGs~kvy<5!vx1rA|t}X^==~r95-|3Ilku{sl>fCqq zXv(7!k*!uw8x(Z%4w24Fd`dGUhS2boyjs;eBSese(Ka{dIU#Z;r%~)$j7NT~dB@1^ zZm!s_Rqx6?AE33sMXsyB zppR^^JVbE=paugF-KsQvR*S+WsmLr(v{at;nHEG{d@!n&-zqWNgFIw6EKi@@XE+t}l+5AC!)Uts8QF0J?4A2yIuxF=D>4XE|Ex6JsO1;j=K+Uo zF?Vys=ehh}5k>0kwsTH*M{umTW!lKZSAuiqpA9XX@@fm(;EK(g2S-I19mm4_!s5Hi z>IQUC9K>Wo>|u0`@{&v>W? zIU_|XT1q$%KMlqJj!pgC6pI!GT*;+GZgHyIyH%cUG2(cK{cu+(#2{{kw3gF8_(yjD z3LPUnxg9;@5Slr!IRi2wLJ1cMo}#{eWNYM4q~-x-q+rdd+cn1+wnNq_jQmnfRBHME z$a)A>5+{2}4R3}bQe|!FG=OMvbX<>)2VXm9#UF7%Ej{>81#7Z<(lyq-cGh7o)nB^t z8-LggwXi6{$($O?2TjB%uYetKPW`U$%YHV(7c{cUgvxaG!d9Mq*5uG~1q)eEfo;nfc$GzTxNi*|dD|5+WzEgyd?vIow&0ddC z3YV=ywJMmB`#m@rG>**;)vb`SnzplSFPT81P23ZQ{lXB@bTaI$M25Lv*2cg0;GuGj z!Z1LRY$Hqqj#B{;CL|t6WMV1EbhPrxk%7=qJ?gwNHl+_90Iu&n>m0++C$O&&h_ik< z)r`oZ;ve?rxGik>PxT5xkjf#Oh;l~EHZ`|Kp+*z!D&uzchjOuBw~ zInV&(oQDh{7DE{inT@F%bDDY$e!g8?2Q+`ihQCXK6G&Vnf>zjB{oX zw}XU|d-$E5VZ&)2X{>6v>F?byp`zGoTG+0yg1&VcisNmbZSE*bknMCZRmm7(q1py zSfA>?6nk^VhES`ja#fdgbpS~XB~7#GspS+wk~Z#V;>Z=AD~E1)JS({js{-JEhmvEK zsie-@(om(aaU9@SM-l(^&&^=I(PwP`zmFeqYQGfi)Bn9SvT;FOn4CJI-)9)p8=kY( z%z-gaf9HHdZ$D>u`}@3hSHIUbH}u)YqTV++f(~tLn6e%&jvVqcd&7JDCr9;vGP-D2 zM?hBJ0HP>A=Bz>?mxnlIFdKjct6{-eVme-R)1+zwE{%jy3KNtc_L{XLg2}(fsEp|N5(D;VhwimAuNmE;O#7gD#W-L)&$uk1d;HdM zJ8R5N-D?~7+J9hI^ns`J+5!7Z1I3ZA8ugyc$k2x4)_X=by7#qEn+V#Edh>R2!-8J$3>)NqmgL?Wx9;JaB6CXgogQ|MPc3c zm)tAqUh zX{Kfm%!Z%(KL@co%?N%P)fF{q}D_N6K>&g}c}N-;At z&Ci`-`w~`KW`;T$2Z-4tt?#Q|b=59R)vNK~!?ort`X4Q#T7#Y?25=HGDt})Leh&0@ zeEp~qc?ahB3UOfbgp(dHPs%V-UO7X-xYN#20$+c{|LLKl*>@Y&*mz@LU}^AZ60|qj z>33K3`Kg6_3~<;3xX65BnCi>=A6osd=zqHHq3-0uv!ySZBty#sG^$^+we$O?2@IV) zveP%S4{rc*-I)D7v;Vo`e+>02Q`*xr8#eTAw46<6NnBq3fHm{`{VI99MKM;9F>Xf&7*=8G0zZxO!K&V#xd0|a9AghShdiodNft9Cx{CNR z@^6(Id7l#tgw&lM76+_1Y9tc9*wy397En`f>BZ&i!H&)MiQHt;rx&~Gz;XBdKKlU~ z*SPva7y$ z)}Guu*77EGNw@xGVNIOu4;fQhv#QR)(rFnDEW`Q4#2Ogrw}PKj za9Br5Is{~rag|NVI)7SN^OJ!u!%%C?0?=CXjHxyXmp?b_41Vu}pJEvAHN?SzyYDgb zGeQ5ueH5wuxgFUn;pFfLp3h&;#qOt%?aiOUTW|W`v3>|0b^i zfN$agYoNF4&~XA_LGBe6XX((DU!2|?JoL$TN(vpZHd@cxobXY7-^d4&n9{>~m@iV= zK+jcbsue$JFAv6hCn@WAugC%rZvpsx61&99O)fsXQaluXYGyjyqx15^oqkVc^|I@d zWG?+BnrCG_E7h(&<)lc;Hv*{HTmb>0I-*CoVkJ(&LO_uD+l9VbzbYY2 zyWMLNMXfCriRhF?85ryNeS7)X7Mo=Gex1H5V7=$>UJ{6`vB?^Io(5K~aDoe{9^4@D z#$btEpI0S)84!T_#6?Wd(|P^_yI0(Cit&B_k=;#jeL&*(*zlK*j3FAqV%QVyojzmP z`}dB6_u+Bl+Q7j1eY)XQUnAJ~>jV8)_>T{~SN{ev>KuI1Tj*ia?>}HK8xy*@glk!$ z1B${?J(6RYKd|O)1{f)?^KO$gFK&J{)Hj49R{v8t=4bTxsjnTgCv11KK0j&wv@gO>J*;PqS3b-k z8!(37D;A8q-FdI3ZY+gW)CX%^RAy?w?3a6m6(5N~+Rst_AnVm)n|^3SKS)~n{F@_6ibeWRhGcv|+x0g5T{nh>2{cocf)O`O&D=W! zek%Xfbr#Rf-{rCvzzB+$Ci2B0>l0-*z2@-esKfsikOwSFwB2P44XN*<3(JqlU(cF^ z17aG^qrlh9RM||$LG`XZA4Co-@`iFEl(}7AauNUV#Vf1}E>wK!C3*9bpO=?>l%l-n zugrfuqHodTxI9TwxM8tv_yt{iz-t`WH*V@{Ol87L=Ilyg(%ztk8{Oh54pueNC#hxE zUbABBYvkM+B(#Hb9`eO8H+#m4o}<1QXst8eb)JKwwl1q-PF=R7(}i}G>vC?HMh3oZt<*@T6l-=%OXGx zf60Er)(YOAI2?x&VS78`(SuLlW!)3%i|mw-L<^H~F>H0s0b_RYnq`|-g<8X)K=F1A z_9aPrGTmC#V-1S#p^5_L)YLl%-EL`~oj2#X1mi|xp*S47`Qb|E93#P!ujr`?wt7BA z%KWMU81RWog@~cpPR%UY&0;M*SDAO(!t9JZPT{9m_49Wo2+e9o<}WyDcyF2RQtYk{Dmp!u)AFE8-_* zf7r9B>f{W9_$*Dm_@^nCb2{cCO21}muf*cAtzQ7-G&iWC+MAmqtW)Gd65(aZH=sz5 z?C#4p;8^I}MHd)c;86H5v43HvBkrWM73f+>-gu`6=p^48NH?GQf+`ej^KsA7>fr&S zx3y~4#F}E=cj&CU#m@q}gR&X59UT#QVZ7)z^UKcWDdXCo|9$hIbt%-JvTL<@;SJnh z)Psdt&-n1j$X4fPL-UAPH%PQQVvo;y<9*K$s~OiYd&6qU3bvU0qwOUSOs}N(g+5cb zg@9mXk3DQhT`OL~^&%^kPR3q|#S#$dHtRQwW-HR2?trn;wqQr{tp6&j9N z&kksZ?d`68PH&G|18OT8gt}FM1I!3pTIH%3u}Wt-Pi)xmw#Tgyl$S(XoE)uh)WkA% z@I`2Rydv`74+O>J{e=}{D&s$Ithpp6zUq&Z8df$R4L!QN-!FW|6pzou9}{bC{{L;g zm*_jM*Y$u*i|M%Qe$Dm{=(rjej*lqi$3fynS&IiK4aOd75C?65Z+3LgHAbl!mWV~p ze=@Xs5yWGgZzkpXKwM4rgMU#|BOr7t za~_T)s|F?hVE~-xu zt7(-%&%JbwsMP|H^s{C}M zWr*T_D3R_B_S>~V%}PhF+0lvL+-0i{2VV4S(nLO5^CzMS@#K`~*9Oluw%_@cY|7p5 z69JlI^FBM$(#VGIGDgTolZZ&Rcr!=D1!y!y6hQASg5)*sZh?KHsG^R_08=GrhbrXf zH)MC_1cjwi`#)Q^S8XNdo%UEKQdf|xiqp8m@*DsB<7h8^no>~%xw0n4!>$rjGBybD z52jEdj36w?r@En9VKJQLj9ex>&QXM)U`fc$I6>`QrJ^ZiLI(mxlp~D@pkuzX-`-j) zO9hA^b<3$8a;PYF4mU@fO7}i+_#H~luTg%0g<9(k2@dyWx@Q4rc_KqgC~6|YCO-Lm5yfx;j~Iw+hs&rywz29tPu1A8RO$j z(uZ#R;4vl7_LD;mn5WLgxT>siysiRv3GCX6B9*q;|1_b1;FgrLRwiZ{kayO^<;N^b zUc{icZu@{Fr zc{C~TWDmT$WTn;Svdy|Fr*f0#7Bf6%P7F+5vIbR-(qOnb3I{~+M8f07VLKDEKeJ!~ z&!dJXkI4ZiR>ubg%l-~oU&wb@aYETh_D%_m+|SM8x>1Lk5i#UjSTMaLEO6{EPvJ>t0a7i?pD3AKa6SxO71NSnWGsfDh4@sWtl)>sG|EeZ*> zdbXcL13hiT7Y~{j%#0IxC32>KNJ?l3EFGMU^>d@)c4zjn2Me|PqCMg<|6F!h{3FA< z&#{Jwx~?^RRp`%)&t$AW8{O$_|93{KYb?Cxgy-DG7o5IN_-~w^&OKoqs_0_FK{xh4 z*0*u^sKF+`wspfj;d_RyBSTj8{Z>4`%`9$2h*c#n>;ecsb5LKijKOe( z2#KqaJ&TeVQc(f4v~VTD=Ny+pBXiowscwujG`}`5J{wXhiK?p=wbDa#ld-Y0C1S!r zD%;Hb^JYYQ6{)5@6Q>{_alBSdxwrBH)^8V)0+I=gT(|&&BiNb;6 z^ShoAe^xP$I+q@P*T(q72ASlKr*B@5A8CbW^~{VVk=VEVG{QQ8jhK-}RUY;-ZIWCR zcpDyRoe$3GhQwPBC8%qr7IM#72iO&tYfKOnY&2Lg<13_$z7>pRUeRd@yiMABS*%hv z^H&{!*_Q-q{>CeUQ#BHvSb4ZMZu#+)pKNDE6)=Ii-DCXZ*mMo>Rv z40*5l(e)EnyK`EvbHa&?f%Q_dCV*ovOf5Vx_oaj14X5Uxc&*=7Kkjedd35%7ult0;ngA((r9aDns?*hbi(A~i zcbkVoz@~|l_X;4gPkH!+E;v9>Ne##%cSX0-3;GfL~`^jU;*QQ z^(AAv(|+Fn>@+BktC9EqJG<^|zfs$@dCoeLJyP3byl!_n75I?7Y4wrs^khB#QfD$Q z1IC^YaD`G`lF|g1JD$Ew5U@_w1E3}VZ48N>UcU{NTzy#wW27*k$BeM|Mqqk{FIuWR z4+RLnM|M7xeOJP25HIVVM?mLp1l*S#RKV=@0djx|t_~>udDNcVQ7~$A!RDV0pY>P! z+qHv@Gexkmi4H2jk?iI`KzmHGnAW=)yU z`)x6KQcubUY>*q>5+33LRUG=mTNJ0ulHfvucgoUWOxeX{9TKqz_6q(2vRaU&_RJJ% zAr^fu%bKsd^>#1FnLBn>BH4D_{)qo`-;Jg^wQQ>WFB15?lbbqi=MGr^Uc}KQ_mVil zDKue9v|lN%im!a;ofFgdqVqGRswqoeV7E?wxVpTJ>DjP6$j?s?0Za3E4K0oR2@Fqm zaG>SEzSKeG^yY~m+mmD3bIGyQBBwrSb%=14Wm7$XOnN%jLu|N!N>^DzA_NIkgC(2r z<+JWtfAhl&+Gc74bds{IufldqMd#4!<{jmOwSVc$im{JF`QUf`4;J6;nfC&u8q>Ny zanW=E+}9L}bbtnTyiW}kC>TrfILFL@Hx@k8i3k98NCjmMVrLL9G$#%P_z7OcfVN?V z2=30RucBgH32_uMu%bmt2bKf%5e$ZWs0+v$0NEb%ca6HHgr4s;3sV>OPRm4sPIXmZnEZvkpOJ4& zVuI|Qp3dT9PY2ElYW#`~BgrEs_4+n^PlQ#hj9Bf{f+MPKv9FecedlFK4vs``TS4kj z6i_%|Tbf|nLE^qCM9T*z7TR~?tl`}1>YeSG*KN6O9^@G7wrfcJ8c?CuDER)$+^aSb zyw1>Z|MSaA^~*M_nCf2^U+wmH?J+gTKHQ;2s+mmxvw(QrNiRofPU8Jjr14AIDxtw? z?zK@gjuug<_y>B8qv53sy$A~AUID=3Xq5bz>NJ^yj+vW^w>V=xRbpxjkt`u1G8f_k z-mO5%+&$3=AC=VsyusO|ZxZUolbCrq*1Uppi)uBBZtYvI_<6!w0Az&`hYH!<$tlTOU7*-nZ_#>I zAP9nrb~dhPUQ_r3DN&A7UhufP->jvrD0Pg;W=*LNuga6=%N}(4~ z476kf6a@-?$q`;ko{xhKlA|W7#|GzE6GDM5J>?ZMeX@ai#C~|G(GmU(N}BN*@fw?O zZO8R*`cLXFf8fjB)#C@Om$%FK(?i~m)dG0pi32)^PPYpm*OMBaSB(Ud{ZSb7CWLtl z27Ny%_|F_U@%F&(ElBoe5bJs<>{}{7I!FAtwJUK;sqkH62AKi4TDCv}Jg~mXK<=%g#`o<^yT~}AG6Hm+E zyMJXX5&qNo@RNTC7i5HXsi==#(S4RF8NV_0hFlttf)Lp^9JZgmtPAJ^!Ow9}8 zFb1$?nr8Toe;F$7b=S_|leb{&3jk$w4_)|WneCv7gj~}_# zQQ4-v1B2krD}3|U_1~`jjrl4C?T9E)svAz~#Lg}d086pMNlWj4%>auSHMjIP)t1&i z!9lb2*5%p?wHKqUZVOSSf#gN1`2FzUP^~xDL$b9^anE9*XcTl)5Y@5KrFfNYx+*I4 zlKl*JsACiF!I%`QZm&4GXh-U>*-RPP?Y`L6qH?c!+9|xY+FGHw+h?b8v!q|~zzwe* zzJs!y1RXW~lVD0|f&fRXx@Pv9r;TFQ%9!qzkUH=FdyM?5P2@veM9*Nx2?F0QAlj+q z93FH|65V0sy4X;fp!ocL&nS1cmAp)C)B-tVeQo4(Y9J5#CjGe-mgCtjse?^t z*_*#7T0v(f^mc{3@2OV!v_rs4C6%v5gWr4os41E)EuQEq`=gvJ?rxpPJ*R825#&`S znP}pi78-#PFZicz*v90j+_J3^16A(2Mqab_dvnKt#k5x=I~vr8J_5f3fD+f|O36lSH>uw1Jh zcXR5oO|T?(8mA?zN|}f{{{pOz@u?e5y4Q1CSoQ?QM(kr1U7LJaXg_tf6xs{^-PEJ? zD8LTZy+6!RlH)1;gtZ**j2EUt+yiM6eKl(jJ_8QU@ygUZ7xN+1umTcQBaicS3X5b< z?6;eQEiGt7U|8*F5rEi?tvuDn{5Gs1boWkcL32MuMxi?^7HlsD`iQMC-$})p)c)u{ zZ%fayp(y2EkBv?7&JHXbv|f(2t%Sc~QnrO(&@i#{;(+-HYs69FapSbno_3E}`&;|F zfP8>0goa1+wt&*bg*iLNWD)FX9h*^P*tb1mZf8o7Hbl~Ru}Z8r8~7HZR<1ecOQ57V z3^tKHQF1<)+I5Z;3o&kUpn)HW8yo+aaJt@ds+rWPC7#u-+9_U6|6D|vd;5b*Yc{!(ntSmHy8}l1b1EGf! zYVwJNEyJU$^KAZoL@Y-t&3Q!0Dxmi)d4*97r*<_W){@usBkjef-WebS!a$J(5=%BIkQA+1ahINBQB&H0g!ont#J-aqQ;{SC*34SZf&IWwb zTgWKc@b*P#Y)Q&DUwiS>?@-D?iU+6RP~)uOL!PZM%_7N^K+dnu-9WslX2csb&l?{3 z+)5axZ68(^DcS?YwRxa?qv`i1ZSA*xC5PJ}rY)n_lTZ2RM|vh|jA_Z{aZ@y07QxC6 zxU6?~hyF5%oJs*zUG&o#$9FapSiCiUHm33QH?vyVkRN10zV+QSh__sa^hma9tiPEw z3(F^Oex>gY?jc%-;d9=ST+z_abJB(!km51YF#WvCa!m;Caa+3=&PG)C=Cp)@E-aqb zFgiiTRbGZJH}91X2^8!lW@g*M;ZjB!T3zlaeFcjJTbsGyy8TNSF(UjzEoq}o0WQ4HE<)?;Z{Pg% z-~8oWP?C*BZb@`f&JZ`yTPPr3mD2-x8S?t84n1PCN^agn1hpz>L~=m#`jXu*lKc7a zt(T8Vs9y%VP?)vA;N__R%31l6{Kl=MnFR!flRxe&$`BcR3TAfljw3&ylzsU|a+tzI z$B`7Ho6CY;Ike=Y&(TcTulbtt($yYGwx*=mz$L1)DauO;!IXMJPYz}0fvi#Q(xQBm z>UV+cNz|Y}k+jRZ^hwzy=iyef^37|%wFc>9@|twKKO;$;y&TZ(r+DLr%c$YyG9$ ze@ao#OKJLj>vH5Te|{dW_V|Tx2vUdQrrOjLQPymGNK-sWL+^IsDOg~0$wd)UK?|M~ z;b9mf9%U$DQ$&JSY1`vnqS{h?pJ>mKny=7cSr9l>MH&ftFEe=@F> z;;(k# z`1v!9c>N(C{Q5h~+J50H=|E#Cg>u`YLOMcvt1h5W9^UXASO$?4vL*=%&uM+OcI!hv zX7)e$);RUkIciOQj7*xO!S@<>Iy)8{!TmcbC;arwe(*}Iv{3I2FLnsa_Ik0Fj*k>A zJs&=7{ET=k^c&`;9WOUV*EXdpulV)bH+v<@V|6We!(dCFCGg=rKYs6p_=O8``oe-0 z|4_S?OLimU-s;1r{jKr+YjOQ%A8t2mC(WJ}4cGB+cHnzs4^Vp-God-yI<<`~t%LZW zv-aSM7>vYaaZpSN#~GRu3E%J|VOtLSV#4zFiU8S_{EdK* z@pn=4wWZESkvKIn#<57@GQyx4tzbJSa6SN1NYouI#mzGd?X9&v?A=0yCdWJ60U)=+4^2wO znq~3G7+WVBUg}7&ccV)Q07UkZPOFj ze#E%XzF*uYhJ^M&LMg{d1O%IcgfV$?GwGfrNJ0)IP@L{<TM}o9E*B(4<-DY8kcbUMTKb1VPM|W5 zMqhMS`U->MR9|)wl54KyfSC@2;)fE)K!V@?w|55;!@SYWJv?!sn(6tt}xg6tG zDxB)ewFWgIiAXzGkxak5_uBtxoHcjrFtlyMavbY*s+j$6M)3i0NnAIWxCh{I&1C{% zs^n|EUrmjf$-&J+a=Aih7@Fi#*}V(yJg>_Oib}E(LCrSByp*lyE&Dl3e>@Dcrv}@n zb$OaypGZz~pcy%798k_-e9Fgv8v&iQ!)2XMhg#tqLO*T{o)N|k7?oZf6u!J@S6+-O zlDy3idd3LB=H*u}t1Fz>^3PMwl^J%KKsb)~lYJ(R59^uJdWPN{4bPO$amov8uVmy} zIAXa6#CC={wJ?Dccpvud!M%iBM?)%f(<_~PRrtIm=U9Ucm^idjG|igTd+o2klODyw zU$UuBTj&`(SUf0Yr6J`dodU>9_#9QFSXuzgl3qFm^;YmzvlZl z?`Sjjkd;S`UN-vYIS&pN6UNx75s*Q-4Dzq}=N#GCnq)2LnzShw&R@TPt&1;^)UClW zCZTEbV|aZVDf_{6P?dR;9@>wTP_k>9*zf0G4vLOPy4}7G1Gai6SYiNGp_CgKzN`m1 zF|~ac&re^hetO`D$<}eiLL9bi>V=n<`?B_OA8ZX*BqCiY%Lnnz13g9gqn~|O6{Hbi zr4>#Ua}67+6tpd3(+$VTGiKCd?oGb<7+RVXR>>B)0z6Ay4mxcH(+g}A^?fyzhIH=& zq-$w#05eG?upmI^3#$9pJn#I=waMUXHpR~g=`Y}oIb|>Pao8SCMEcg?Y3W)p9am@K zsxlXOpZg7Ls&h)OsNS)hy`ba;)< z2Ob-2FkK6R^xe$Ip=g0D(WWNv4(J~}oTx#Q*y{$>(E-l1Ted&oE))S`iKEOe4@#zG zQskXfou+rzbc~CTrXI4?3e@A2Ar?XM;LQRV#tPWf@Fo4ohLZ%pO>d|Ilk;G}q@Dgv z!ZOt9T02#qp~Z3s#|C^S`Sev^vL!hcGZ(7U^=@@v4Hxo)VPr0Fx*zo8OuJLS$dY^s zJM)q1G<5dJ5rB(7vHcE@nQw-I#l=o!3?3Jo2gPPY207mj99GtD&aJG2*Od3L&oEeu zGBo@>&QAX(^=`6q7<+7%@%&ULyiGo$h%h#5>mxZoJQ;N5%_ZxIJAZ~n%g#g~!5Fe8 z`C@q|49L8A2|rzX?@?LMoFhS@9@rY&S7g(TL|>7DAi3n!LpCWwWGq?PL}0TWDXaz3 z1aNTCW9AcvlXAZ*<++i$yG<5z{&bNJfYmIs-sLm6OByb~1WNP9U;fjwBP~)2Q_|Bd z=y_Wp&frx#K!4LF#Fuj}*9sq#;vmN+1d$5sSvmG2a+`uBe&QG^_t17g)+!45ZU=L5oVhhe!*MZq)%W$tB> z$vhQg))F(pbTy-^J~E^_moRw{)Peyit$USbkr5>FjlS@C1o+y-8vVIQRKUgov@A=i zHCLl>8L?UAKis?WKzq0@uiM9jx>@=mb{H^N+vc}YgW*^cEsFhUi6564nv|0$Cd{H zlyU0N%K9X9yKN~{XzW_&|4Pt-q?2@`qT z)bdX`l6q1^4Ae~8o32Sr2xewVqhvKUHCQ%;Nb&i7Ye995WT960oQ3+FJ4JRYnlY_0 zXhttrMP4idRVXAv#u21qRkq#k!r(C=f!*AcA}0% z3AXqED-z>qNq8_1_<-Elk`V~`sH7o&!a+)S-Wr(hK&4(~f#b-ftN^u_y*}>1bE))z zDc_z8mHF_Ld^kf&s9V6B!2$~~&Xkxtdq24LZU99aJCBWxa(WvDWj~NZ?iP`|DjLc1 znvg3fKwLsiY$<$Pa{45fpF=|L^z+I760n8wOK&Wxc!UnO;2oHLU1xUTY9tFc?4zBT zI(1R3W9}9=|9oi$%fc>#(a<8?c5{(U*Q@n?G!?c_x?i~RQwBLq$xgU3$bgCKm&(p@ zfeD*O=71)wUvhQ92qKael$;yZRXK%HB{>G0ByMT&w47aN5^0b(h{@IJdXzyoy)q$y zZn$KNBC+c5v!rSvQKq0d+pb*D?r%S+t=kO|O`Hwz7 zlB~@W>C_6es7sAtouka!bRY$w$F_>dBwt^k+eiT>=aTM{_F6@y8hH7FluPDDVlM3P zN;n%5c1iNRmBogDxRN0fu_P%rkV0{PrE_E7ZgvX{_ZB_0a?5?i(wJmun`OrAB$pIu zY)f+4!WAc+gn%JQfl?XAc#GQ?2s>;^Rgj)Z(Iqz?C1Kp&^Z*rR)T~~61Mp}&hO03) zcuJSybA*8VQkzA*Sun61AVmB2*X3c;)I~;)(+>+_9{E>=xGJdEClD?OaVW-2O6X1s zZ`nDXCvGY)lAIH@U`+zPZH|tgr~Y?S+BJ#3wa#2{*1o{wj2Z8`IqDA27fB);Tkhi|aYM=o zq$J&v0#Zsy*3PrfQ{WgybCBROn2|%4kfzRHLr}uNz^YN?N|zvSA|K!{A%%STxq&8G=%A#?ONf&@-}S3ED(^* zNTdjpO4qFgSxZD1#{U=Y#74}iSP^WggLWjIW8f_G^eq@Ic@`}|0+_VuROCD(KGxO{ z*3yJK;$k+?KK#ozo2W_

1LM^v>%S1~}Jf!~)~vgi4E*FP670jjW%CDtQFzSJ~N9 zF$Vn)_R3dW*C;m6iOfNxAspLb=3s_(T?#8u&LP_)b}Sd zt0UJa`UR>B>sSh1AzLZWTsXh)BHBXxTLB5>&skUaUbb7$xu>>Cv(z62As6Z5CeLy@$iak#5)bI=vj76z<6^-ps zvOBiknndRoj0>(LCH;4ali)ZH`96@_EAYB^n$X!pU@}+2Q})8CZDXOWvO>67GB7&@ z?4>9#HaO8#RhsC3W1%+I6`9M@vbtZeL%!-a{NR@IDc7SU7-AAKAOoUETCKb)sSyT2 zyN-em*g%All<$c`7)7+eLcC=Vx1Sma%*R73-dHL!bs<@9a5F^pV>!{1N(+gGE~zxr z`N~({y+ppRj5;Z1sok?;$brHfu5$|jm5_~`87U*(=H)A*FlkOGp$mmwtAm*J8<55mylb?S%9+X z&UtpsHN^XD_Ym+SV7B<8d#Y$zg;U$I%Qm{*3KH&36*OTeX@mUgzv^LoM^8tliQJ&J znay}ma%~QZq!MyTLCV;8B(j?&Du7&=9xl2RD(QV_!MoWo^I^U-?doU@OW;u{(`mvX=NwbCo=D8CVj* zCdt&~kvtZAhN*<+Qf5(34Ap^Q;w|s25WR|+k~V*$)g`|RIl06jBd|hFblONL$6@{O zh;hW?1g1e~f^EmTkZmOjHRh4>P~omRD>EBPBeb+c{Tw)51w~+*Wrs92PWr@D@(4I{ z{b~Hp>p?G40F?m^k5UV7FmX~`@GX0v^oTXiZq&=+R?GSV&$o3@gVLDYQ(~_n%N5;7 zc198j80v!i?Njd{SN7-GKfZ%kq&eCd4PW*r4%u!lkZ<@}S2~Fd zK+kO)YPk$(H%O;I4cH8&1oh|;k-g%>psVsx9hMlXZl~ zW>{lz11WF`@01y1y=qD`ReABbyMiWB-b`EH(uf%D8XLv-%jmyXnF04lp&ms}By1< zt(Rb0N#DaOoy%8#3Y`kg7t}xp;sMN;PKU(haJg~y7VHdx*DnxGskp#cKsDN{{y7Jr zPQHcpSFZaeLoI7=3LZGv~cPgc_}3d3zEt!Q35t}2 z5!TU`1XV!TkR@;chGTI^$-$U3)*}1QGaom1mUwa~W$fJ56@~U;pE@km>9ZZ}6msz0r zZGPREe(V<;kACPe`8CyG8QuJn!xPe~mZBr)KjkDkeDXGCwdQ0sEif-q z1LJFY?Ra!c$|uRC{NE> zr~0rsaCXS8+?NXcEn2-3?Afq@azVA4{+L%hF z8CY$@I(F0y{Jhv9%Ke6jj1>T2z= zJH}x!Ol5*p-X1!0@D|o*SWF2v{U0y96dZuXo9u;{bA{Hg8T8-a zUosyzM85jePn?g$q-GdO^G9g5J`hv@78#h)Os8;=8jFk=+2XqU{fAgNw}vA71&2)6 z_n2Qt4Z|1jy^gD*)z{1IJr*t;cZ>5Dc6_^m%yd5bdw1^ik|~Lhr=Ws^_YJPQ@a4|I zcVnmjEBT+aPFU7R6~wE6^Ir~F){Gmos7x^rk=IzS5EN#@b#H`+xY>n&c~H zYWtQar3+ zb-XznQf-#&D4CKqj|vUhEkor$*4;DJH(YYqAyOclwY1q>-=zWA(uIO9F7~ra>*|AdsALbIfSh)Yz7WBoikM z#`@*pO#$@gt><43bO&V*D|VjUdyL3niIC(I33rnV-=3+Ceyg|ol!-%_aAFvjbG1hv z7?k2F@lF%@kl*9{WTuk(GaH4JR=#v8-s*StCPcFSx5d83E`i1*!D>jm4z;YmncTSs zCrbS3fax-dQ-cf9DI+_G5nIA(ybhM$Z2Rqn)wfquyieWBFj*NZ+X~1jxjK+lat~oD z9Ldo@b*GrqmW~1;Ntt$#%(?1M^*$Afv%i`N8aQeI?f-AB4H9vE4B!?uue<25|;E^ z?lbGmSF)kV1#N!XYR!fVT^H9f1t+jBumPD1&H?|2#OqAwA3gU{02LfnLcZvk(Pi;# z%!RSu|J#H3i2@d-g6BwEh*}4?=>=8VxFRK?*WjxzK;Q+J#L#sd;YV*wwuyZgcY1r+ z?v^=(`e=Byb;c#O2AHA;E)mT{>AW?AZ_8Dm==>C1HA0{w4!;)Gf>pQ<&|}|k+?*7+ z=;gEhUOk*L5VLPK)ZKd@K)f3&>~cpT?--#a^8sj#fr*x?c$!7#n^?qE4s zQY5jY?I`1oQ^42^LT0)OIUaa)!BWYHvL*tVL>oAU2$`!P)U2~NoH zMcp+g8kV9r*_k?cjX&OMa z`M0>+tLHL2)zrXA;0lRXiukE=HE0JN4bOA2Plb$){Gmon3QEuU2ZgG>TGe4JW2t6q4(r2&TOYl` zK5Y6w-mUimwoFQuNE{RW0a#j+>hYfh|e6?=-#ME#GHm|)|UFh5xJb~-V9xc|Q z!l?061$0_p)pE7jhmeMn98^OsF)k#-+H;zlov6p&{Q0>w*=hTsDC(kYC`(0y_&EHb z5xlWk%L&lL^-EuPDI5-WCNc>HMQ`RmTMt(8$)&dTWz=|OYat#WEDPL>cpxp^!~n=w zBGwYFCJhKfa78wWUlb~~W;zGYAm$N3f;PEqPdq_Ze0Z|PQs%QYKGmql+^vOKehR<$ zN7_&%H9dwLXzD=VRci8k#cR5e=m7en$lwY0T5^K4q|1MM3Z z*qr4p!Xtm0;F|^#qQvNZ0eALgxV29(31Oo!ayI}Y5h7v=q0B&-wgVMU$oS{@;Q9JS zh{`Zqo*M#(+G-3JSZBum9v5BCcF9iI2df+%Kq*&cV7(PJB6vnkuY5UCI_zzFLHGg! zVZ5zve9b2HEv7(dA^AkMW1N;5D+P9%E|9gYNFufcL)wwmdw`In)12$46^D`pl_?|? z{6otnhm;j0nxhs0HmxV5B>k)Q)yO*6Z*5bNS+r#1bTw^#Qf;7p>Ke_y7Px_u&y7}n* zmi$pXQoH6A4{g~-K9`r&G>N<{c2bu6LR`X4->s8t8L1)5SK*`xH6)t>9S(P^xz3d+ z5WG|=L}6y71z5G*7mZFHtRAe^SO@S8)2=sf$%^01iH%O))Aw!>I~?8qytz$PoofZO zMq*lVbeZ3}@f&#}%t^LD@;hW}7es>1ZCJn=ANC+BBN4zl%h*!2mN4DiseR|%ZCax= zgPJ|9-jGz709*a{rW>N0R2vez0&R6dw7v-|co8x$5nWbdA%y%dnHRFS`~7=hX_A@f zw1zuc_ZPGWELO8&Id6a!lAx&@&R5{r8k?}3FupoDf;dKKzQkE{J!!0gpkj-GezE79 zQcd+u$ssos?FU7g{ybN#E186lS(L19P6cw7i1Q)=`OaV7DtAH*B zi`o*$l|x~TY`9s#4*<6yThJb`7)&-sXEBacANQzO(Eb4eEU>=J2yd=b{>C*8B;5HX z2aid43f6FD-(EF_Nuu4V(6eO+R;mkh!lG@OQN3qZ~=}~iDS-tu!`EF zY{oD+aN>AMP>lmOO`WoBPh7XX+WDeGo!*S2OX3wC^uhpc`BnVpuieU($;4xp*v9Tv zo`lK6Oh)K>VTVa3tw<#iBn#2a8%aU8-Fr=6)X`67G)YeHLME*5&+ErnYs zzu*7mACtv_NCmApV29Hn5I|P3j@={_Zx9LN&42^|xai6P>~6&Xdi^;~WI(uxLDDIo zMG;J6@g-c_F*`4(B86t+=$%B7B6MheeHZngEkInL^b^;6T<*;ExxKjA_k=>0wg zmCbPv@xw@Op)gHpTYmIk`A>lHR*GFK5$c>_)QiS{L)j6>9@$|V7MhKE6f6tIxcrD} ztBoGVS;UDD>1m1nzG-MAEkFB)?wL&?`|;G*MR+)gs-hXCaHQIBwg9siiqL(l-=#@A z>Pb&=gri`~y04Qozl1P%=EUo43I7rr7>UM|i_){n%~fK^^}Xg#9fMI&f_H%LT&*rt zTv<&GLHmYYOz)U|!5^LM8lB|WkWzWVFkJr(p{aJXo1nF<4jlQ+kJXtJN`F8fh)0B{ zELfrico+6Os&sV}@ zJ=4c9f*i%I<)5PTgoe2~s*q2;!5pyYKRJjHok&*_gwMb`M*}ovD&d&+oNpWp;|LSO zP!S?LRcjySG=C@&?wo`%l2n+s9;()Hh(jIipc#88VHgj-*t46I!iyANQG9V_@(dRm zJyp!tI4=UZ1y1Ow;f7Ab=;W7vLI*Nj0>H8sx0!-TplSV>D$bWS2P?9`x>QYohK%|T z@lT{A2!%zsAfP-&4!1q`mZ;oJ|77C&a<=q8buNp=iMdF=eo?Bi_`}vzYz5D;GhG<>z;}r`!XDWFKncK?{O%Mz# zgPb-=x&nwyJf6f2RaxRU3Oz7MBK$hqYv6Uuc-&lX@`KOb$`{lVU~oT;xizgP{~QNF zSKhk}&;eJ6IO`Jb7O=HOyUmJY9F(oL%$jW%do6 zKT>_RD22}u3hyA$nRw#-EwXJ=@~=$Wdz5);z^|hT2)Qy#B1RWL1O}uVWRKLh>?CrdK>54T)vBD;=;t_b<&2`Fm^EvE zdJ9k&IuGm53xhjAc1d{5nwkHB#r_Cg)eN!uj1}=vlD0ZL!2>cGVT(}{7ec;9wg4Am z-y~-sk9(cxm@$5+DMzka*>D~as)5LYEX zjvP^^{4jB;wQB~&YzdWP^YvgxQmL^O(NFhy@en=!sAsjvizCeo+>~W=1LS57n8bA? z{-}*6Ij{}SNNN*E^6Ma)DDy_-I)$%dq$&$dk7x~IrTqjVOsP@uBAUe@UHBgCLi2?$ zff(XWP@Eu@E2p&B`wx=56UMP(B8QljRT%+0bjXuQZ3VM5PjV&b71*ARDe>bypt zK!OCV>iP|BG+(IbANv&vG%493hb~%(xrZXu`97RGaedZ}?_N1bMnWJVa1q!HBAB)= z>NS(mY4w=pz!0a$pe`g>1LBaY)^3pF5J*6ih7j$)?%{rf6r{yk`g?B6)=-UV#DUU! z$e?GUXFYm}ZPqWG`DUTy$9`OUaUAPs9)wBTe!vYbjc8NwOXF`-&zDw=u*Cc#Kg?H2 zJzG;)7@ih7WcKDwRHd^XLo$s!@J4MquXQ9#edj@($ZBqGE16F3;UEUz^USux@n8KKlk zMjX$dmgKyo3acj1ws&u@=n+UA?k0N^&Yw$JuSLOEz18Qo6!C%BZyo)&>wcEkko$fX zEl(#ot0dLc#g$cDTYJXo<~SSoO5yL-36th>EoZTe@!+ArkT*R zn~q@Cb`klE3aV-4j5)|k z0EgNTzBMtQ3H1yKPjFda2|9qTK%r&e<%8Da)+#lIjh8MXVgh0VvI#?&mtaE%vrr1eYPrbP42J3%0`E zeEdCt`U(0YQPW%HOwJiL1w>|tWHyb+x)p)GorJ}<2$r$vi}q$8U{)74HVT4_T0Y9~ zu`OtTxcTjYVd}B-bMvoUzCs!PAb0QKbDYjnoZFAr)lWiN*sCA5D;rN}EFhiwJjo|8xlcC)BjO_W3kW}B4mOT;ehxySFSe=aeQ3EjCFP2&eLb@Wg?W7cb z3#y3+rc)K2++!&R3N#0I(G}fj;ze2eE#iUkyi9wldJ*d@I60GQ@`EkbrwNl{?s;S5 zoXX+Q-W8vE!Ql%RJc_mo02NnD~GNime-)!zVy#pG4+sf@+$_uHnj z*;80=e%KamR9b@4d=&o+hG7S#OL8=i78TKHkY}}=A;l|hZZ>$mtabd@nN^L60Kwa; z#Q)IG!q^g`h-B$0{c+f0I^TApOCl$UvgF$9_Sov$QD1a;9rQvMFI7nQwPdQHLWtBA zgo>wdgXCvfs<3WoF-yF@b5c@W(rl4i&*>3Dtknx@eF(5Uk)}C~L*9aS<)!wp!Rl$PxRD&4LNY*4Q_vP?sAZt=78h>;ARrtP zQU^3IN;9>T^-nH8*7M5TV?D3Z3-Hy+H*MIY!^K)H%P*`mi1ouQ;2-U(*Ozc5eU=Ug zSpuvqiQ#6&G1HL<>jr8eP%F-fq{3t}P4N|j(0uhIV?>2*Az7f0AhaHnLir6)KqydmLKdMteh9W9;S+pUi)7-bhn{y^}L898_6FM@y>NQ4PXgnFxDy z;}}b4N;3*0&9^PFZfzwXtIBX>3wbcV!pV~tv4hw`DaqpZkw~0P!V?awP^4Z})kQV2 ztqomdK_*jDB{v|4oPoF^hlkc>>$N|1UrTeWE9UGOBsp$97yRG_laU-{^R<^F&M{>c z#4(bkM2{DU|8!$(UXhBX-RWwIl`g?M}aM^KsWa5*%V2-AVP@R0=mDE_^WSFCyE+Tq>wBtxx(N89I04H zIB;3LmgDvI9hwIEloHcOx_{vrSxCsb{w&%g*{C0Q-eGFaiYO2bS7eVGXCQAdsfps} zMdW-7zD0s)&PfTLQMwOW*M;tf6o@kynUta6TR?*5lv>axA&6L)ymgKV37;V=-Ikr! z{w!Q-JI9H40EFYT(ZHT>sSN427lid;*wx(XN)Blg|m4^;&Q>P)fQpG*18P zofd(DM!x9gImw7_j>9Sz^rn1{oai1U?csIF zh7?NSI!F}Ts7?8t3+C*h!Cw!2f*cUP4m5hJVnk=5hHr%=+bd%6wxe)v0Sg@xhluMh6)J*1|hvPZzub4M@~_ z)h9i;2Dfh?QI0+>&y0Y3vg&*{&(=c*{!g+rTAtXaU$ny&uQ{e3i-YX4%{p0sx1!rm zNXMA++(fvqOwyW0MI3Qu37_(&pDM~vH+7EHYMt~LK~!Qnxl*3kdx>%cIs^QphfBl; zNhutjDbU#gp>WoNK#1wa96QvTHcN8e9x0=wRR?AY7gVMX59<#Q5T|KV)6>(lZ+e!# zC$f?cRVl4hax{aJc)7E<+y?Z3KkGx`yvQ}ldq~sN#;cU0aOo`f>gHS((3g*hdz#u4 zArwM-ieB>m?(ZXZG`;~%t;%V(*6M??onZ+#A$Y;K>b*C|0@V9d$WCM3GdR6EAt~yz zv*3z)_=7sq8ZGn5WEu2!Q=f2%b;Q zaw8OL82a=dot_;WofG(%He8YS7t@>5ekB1sC}Lknoz8Bw?kwD?a@tyITQK52Fp_(K z>4u22SU&N<#7=q{F=1#7y&G?j_sj{bpfnFvV@j@h0^`FrLhueKOQ3l!LO5{HCMNwJ z8TO>w$jN4ff)cD3B|&5am}9;i^Jm!$p-- z)#`coyvwqU=$FZ&$PW_AIPsrEIk4ySZK358{6|P9h)^3nj?0OkC|(#UQGeTf$2?77 z{1@$=&!(?TYp-wnY*_$!q8F`dg@Yqo3m}s~XGCBR_Ks>jeEq1ORjt zC6C>8)frcGPu&R8GZKA}ul{w65;sD#JEHL{<({&RP6<0nKZ&l>=#KI8KiPV5+NGZ{ z5h;V5rC$wQYo=(Ul(*& zS5@$5!AP)Gy^qy?!D&1ShSgxVD+; zzobS)f5yf_`tivRDug=#2(gi}FLk0s1W|tyvI7lsnE}W1ItpQjre>HueyDh@6>tKi zz=F=r14m#Im*$~*TGtIx^^6kH03gws`YW76EP3X?eiTnLgZjOHV60(rFu{rBgJ$T|l)DyWsU1Wpj6uz0#Nkqk;K5X16H-_y#dl}E3iNF#VCW<1aGX|SpUTU~jp2xB}k*R!%P z%Gp3^a41Tuhi3pM_i%%C0w3d4R-E2d>$6T@zseq0S-K^!S7VMd#xMP}^khX{fJI*D z>nSzBoi`kZMqVcD96 z!exI6bL~-F!XEyp8|!k%!78jZfQZ|ppP;J1F87Si&F=1bLD*{Q9$JQ48y2!7_?cLY zq2u+>ls@Is{c*N#2ENwwo(pmhi$$59?iMUZ3bIbt;{J3N(0v2~rK`&t31s;gri>Jf z!Qjj&OkhUN0_(P%gCRT!m^iU-Zem|Z+JI%G-Q`A{3jmY|Lo}GXc+;W$VxOUX(?jX- zl{f*r&fO#Tb7f#;X6R6F8jreL-JE{1hpTh-uUVSz{p{7!T-vBWKydqkx$UYFvXPd! z+4Js5j<{%Ky!YPmdY2&$gjO7o*abk5DsQ9`&@udOt1dd|iRI@6E6*`^&zguI@Hr$r(50M)a@QR&TJzW#6j zu8^FZe1jHKgNPQXJfmo{VjF6-@*54`?^U#s)|XeCxr4q@$Jxsoh0bZJ&AU#)KR7LP$Dh7$`&KL(w5JPL0J=Al#=Y`i-blmgw z1{Dtlx3$^UNtl08v7cYfFy+VLNAG;d1s?3}_FjQoz91U`d$U3y|(%eKigpt8S9jT6259z@It^6vugn8&B zQSf{{T1NWl-61dU{%TD*^2=GJGDPZi+m44MT}XL_V&LuTwcipq%C1nJNH9bb{mL0S zzoJ{h4IOACeM7&u;fTq0GDpl7HVMyHe6%HmU;~Bf+A?j5%u4y564{2uX}W7m>82N@ zlePRV9&$DkCv~6EFU%R+*$m?Oc6n(b#v{|Ci6Y}m-!R3?>rQZ7m5Iu#NNspiIBC2=vlS@ol7bVl8;#dekXS@B zY4S>HQ|jv&$KO1W=;AU>#$Kf-6%Amr*?#4$hhE;KjuY$`-1`f;G5P65fAtRoXYCPf z(KIu6!o>mPN21aO{msW~Z);lNZJ~@BTFhL!(Ewe{Ts@|`fv>vJZ3LzSe<&niJWZI0 zMG|`Ak>95iTI5jECi%a7wu*BIt+&2$L~igG-FpuV%F8{l%!*?_SV&tQP;tk5`<*2JdT@NB0Um*!Yh%NaU9Bmw@;8eR#!uKr53r*|fgOUz882GzYD{Rn9 zeOYrtN&1Gq{K3vNrH>MOvwTG<9u#t|uPUp(JGW!JLA5NvLHHnvyhyq6tQ_2H9n_Eo z9~8=ENV?vZxcpj{fgdcA9P4E9n94+RR}SmNmV+$y-(2#hvkdfjrU|b=iX0w?QfkO& zT`}wOrw<=S-x*EbogyX^SmB#^p`3XxQWM#c?b;ED;w5!lCI(a%T7Kas<36sk*A~!_o+` zGY=LMK1R{MjGjp+?oL&rNJZRxb*2D%NHZ+5BGp#u$&PVW9LtVgLTmC??cYn{Np>DE z$;gttEV88K1HZ=~ynV0-IgtgwB&Tx(OQ30Gxe*ePplNYZF3-Lw?gyzhX&KM&Vmo0# z<%w3b#Z^Q|HQX{D_hpGoxa{DOkG;#6c8eUF<+N?_R4PBSWo^ObRsadsH;jyjPz&nb zp!Z%;(B1~+QP=8vH3iGhvU0-Vd%@L!wdIFy_?=qJ#bi;RCEhFUXx%9hoVla}m`lr? z)p$L+Xdj}`S6=M8B--$2z9%0n^G*||=pE2FBpT{{=a*Oc{(xMT?G*@CM%4P%Yq?~^ z&FLz}@dNVXA87DRAPXj6SBT>F9Y>sGydgP(xln$El1<~>9QcDcX*|&n#FWGdFD~*u z#9ANX&V^@f7Q7n)x6f>$T)bP#_;n@?7p0yK3E`S#uG;Vu{&V5XGr!bfkwvGb_f$a& zjzb=hUw9+8ULZiR3&T{gw{zP-3 z=jE7OJC!MCb;-<1o{Zi}ryYTMv1Zy)fxVjpPBd3Ggbl{Xzn=<{L3;JroC-drR5#Al zMeCz#!&QYQ4ZfvvTt3%`U&j4<`(u#XKwi9@OXsa7cr46+*>&^~hXi?m|4;KCai`=r#ekso8-*t>q`O?{Dk~S{;QqVh zi>>vFPz4~do_8O21G9v$s%29mD9~486bw63LD33%5*C*o2lVza|I2unp-^Ab=eh7u zz!6^@f%lHm^xp{QqPjUG$Ivy`Q;IYWOjEJ0a0dsLKLUq zWj2N+<{2VN{1Bd_2E1iO#mXScNObsO&knTzu^!3R(`UXIAEvu}-36p7nuQ^0R!>Yd zjMLm4VFwDRk5^P((IU!bY=XqCu1QB@MLwSW-iMgISQa7boN+ldJ*s{z=U4MtX zgUCP%s#)&K$VNSWzy6CCg^_~(F*}h~QcUCHVF)t#Si`W%MCx(?6WPpwH}XN^-_fF9 zu9^Xjjr~<-pa&>fPStRogsZozk3bEIf=*-xm6s7vJDSTI$7VvgbGJmIPh_8wFRBFNN&j+#Av zp}%Lh-CVrdV^mr^TV!Xs(nIu~UjlCRU1CpY!!kLKSX?h1 zpXJ`o2~VO5{G2RTE6x;HEeoU!$oRb+3Ri;6xiU}@E#^^YRH_nHGEVZA;@=3nBcCpd zH&=f!E_wPHB-8YA-va^VM>GSgG&C>5S6{!HRNiQOKa_IVM{1b{c%s})lSCgoN>;!Jegms9= zHFa&Bx8D!pBu zGhYY!g2&~VhSKMf#D?lECXPUVJ>vRNaR?sx8DC0&jG~I)LbxC_E zu(U)V0``}@@4vW;yrO|T=g;&*s1zjYtu-DQHCbF=lWa&YBdwrJn*8DKdaGICIy=dWZ4!zxi#sq9SQ z8B)j84u}@>CqrW0G_=b~fbRxMq=PO>4!c3dc>D@8l+p8kC`njZWq!naMS1t)u=hg?XN?H{!^#rL^Di*AgCFXy~%&H z1X8xTzR4%axXC>N?66iMp00mb!$GMp>MOA>ou7WLc$I1ms5)WmsJfF?)d~C-8v_kr zmu4snFo-KVo)~#A)VZavnVKQEJiW#0#wlHwMXT0Ju{WV0`ML-3L&lmarQce&Q*|H! zVi#7p>!4BmC;igQOk9(p>0JiS1(tCh+rhd-nf0edNZ!GGSTk`B;2K0$XnObBN8Us9 zsMEUmUvO(sft4QRB zpN3tj-@sA7exfPv%>af`i%%K_Ef7Mvwp z!w2d9gkq3RffEZ(k=%?`zpwW;z$wGtYi~lF3tkO4T7Kks?jkBON!?#jy#2BXk1pJ87UT3D*6{Zx11)v<7J(Dr~(%%$9(w5%Clt&pU$lQ%rN&p}(^=-*^V!8t6XdR94Yb z%c4jC$D{o%OBWmuRH(9w9Mx>Y-rF&Ix_T9_9*76EG5zgTxLMfoum3UT#->kup@47~ zu>GWV^qIbu2ahL$E2a!pkt2L!>Rj=n3TC2HK%n4@^6PCTy#-6w1$_1~~A#pGIAU=Q7(OKTK zzf%~0Y0JBjaHv86+yGY4O$4&;-#3^0Z8i{n!~O}>Eo}d`WOfzvsJIH6J-+Ft^3D%a z1)8ApiEm)&lKqpbi3N5M@|8VEq+BWl%#4l`RupnC*CA0o3fz2zzbxy(zSH%7fqH}@g=g0rRNzX9^=K9W@`Us4qs}%5!iJwwMeWc*rQECbL`6w z{2fWSac6HP0T6N_TY=w74k9PWGc5LjmW(=MiYa73fH*^G&aGiKo1>=KPUSklOok2l zEEQ=jeYr_Xe&W|E3A++;Xqxl+Qcu7UkSq&Y4`Ujuv_yI}Tcf!h@j#j@Dg2KF4b2D? zj^wxm-H22bKtxE|(_F!9E{qu(z_zlu-q*FtuxVT;C%^Nmh75GqB#v2d8oy0Rfek`f z;LRYq5_K~0_Rt$Z-W)m9U%-iN{doRIu=np2$iM$f)RarBEOI7Pbyhjrl9gU7Z%flM zYW;!$0Z=Lmh!*>zOEQ|_JS8BtH3)E*?uf#0#DxNrg63|JmMjroXJLyu&50Ts!+!i3)&kT?|1} zjjW(LQqWSpB@3ygM0`VJn82uQgqA+%(XJE?Wzl6Fnp?I4B)+rt{{EkG1v5S^?ktWW zsbWu3MD-}P88j|gZbKr=jEUXUtuNaKu^G+_aV{xWFRpvPK#M3t+xNLC3vT>h=PL=Z zC%~4Dj74sBtw4LlmyA4PioMd~SNjF$nqyzO;CVA*dXOy=__xq!`C-2^SNu!k5@UjX zRMEq9+qfU#dAq`auUj%0ym0(k2F-{T1$RpWpbOq>!r=-HQHQAJz?U^Z@6>X7U;Bgi z*j9$yT^uqGW?ngw2tYlN*uanlO9d1%4x(R%zo_l(Qoux(Xi~iqYv-z^yGyX71aTrNS;c7=>aq2@DOmFI z2RbMuQsLH;znxLRF@&x{t~Ar!)LzDaQ&#=j=_*bZ>t3rW zdLhh^w_*}H+-!^GQgIPgApI={Xi<0QrO$asCCjWKWntsCn;_+tYi~VlaDjCKb0b6$ zLuLXqLIsJaG*@ZqfV4poX}|H36a26Z3h@Rn8TW46ns#Q3-B&qzLRi4df|Av{zVPmyCCm55|L3Ehpg8FPv6qzpXyYl6 z*dG0oHwjh{NkH`|!JlQYt~GjV&nFW8=R>yzjyu;`J4Ssb-4jp2@v-Kjo z#$oQJH`M-$`f@8QY=$9=@oCQs$yjE+Yo;hU26pkzOf;GD#j7p(h98ys{zGn-=a&o{ zcq{T7gqBLocKz*=rN+n8Cla(RY-;X8d~2@swDspz(%M2p6WuQ(b}iY?a&aSs4lgIl zQe=9=Q1^}BKLG@42PI#dk!}Ok2-Koth_7glXX#?&n23LZfG-Z~S;6^sd|amL5#dJg z039izU4SMn)d1V}z;b?3rElLZv5xOZdag^g!2^*yy$%UkK z``LGz-CR5a;~)GN#9eD@P1*ny0Xjb(N-Gp8qIv>tWzZ2?8L?l)TD)CsMC!4wlCt191e0v8 z-c19XFYUTraxL^`L}a*_mr3XbGblklm~4LIlKo;m5q@J)E3&tbzif+h}Y$Fl-1zi8f^5O)VDDd7HG;pcAx&xMb*>{cQ@^ zO_Q1r-oquAnMgX_)n*)m)556~v(Ro?%Q7ytwi)1CVnGzP?!Lc$So2J$Ue`s}XQW1+ zMReNfz6HDVH(Gr7y7e%P8EJ@~cTDO?2tb<0QC1GIMb1!iNk&84EEtyDuT61V*t#8_r2iY7c0krI{ zj`@XnK$_Niu=`^08^pE26>8)qY0AUi20emD!FwQ z0~O}add*&Q>01r9mbrw25>|bwCFBdQ1t%<4HlwxMEXki{Xg0+83Ts=!Qj%O=k@Kh! z4vH3tsb1tbw8piMN<=9PmMYmNJQ`VvJrqYTBTQ$D2yV}NnL|TA_))G6Tq0J+&p&}V zu&v4}5(qXiy2PQ4okpVDf0a~CM%*K^HC6u6$XqsISIWkjL;ba2Ca+TeFN(u-(27Mx zE3J1dyC*-wMWaiH_r_oU8oh2Lt4*PaZVdzVTF-ANNmzVT4<48~A&d(Yq`lZu zR>^SL8(PqKG;ff@`n&~k(>m31k8b*$yn9Y|(9%Pch6X)%In1@G~N3p;wdW( zz-f|;ed4d0GHOWOax>B!B&WWCJpo~v(gOFp{g#`hK;QqrlhTk1eu!Fpx`>z#0K5D~&F+pKK3qq4tc>f{v(^hYf`@yb$_tzO;S#D-|iZs3gcn6PV-` ztsB@UibZ4zo6xmndknGk_$rMF$#XZ{9=QUQ{gJm2T?vHzuN1e+wP46#MyKcni330k zQrMQD;RHm|f{`xWC7l$`$eMc)HX{^g!blb|-9$Z`MG;DJ!49{5HRZpt)n|LFp z>Qdco-K5zVAB%3sj&etY06~#SnTzWam&hA3qcM(g!SXdGy%c~KZ4X9>rlaf(Am51N zo3h+C!#B&QdVl{9*c*PGb}ICdTg9|=Zn`nTxA_P{YHIf}BkX?jsrD)_+?FHNkxATr zOpii1mn3y>IvjZS{iEBcUBYdv(y60Zfi}Eh0z#q|Jr!d1WyEFSMCvz*2tE_A;pDXC z>*mkmYoB|s#Nup4;go|uFAk}eIBbOBl5%Yr*@kDg{AO?%+N;TYSAP6vX~>`cA>(Ic zynv(|m#>o)uaX^U)IxdNMUUzMm zF75*LkgYgElh~$Xk6hK;di-cn{{KWo>23P?_jDpDok^R%dNx91K`2^HCxC-df=$1&(+XH6F^e~$79<`@x4JC}T`6%ebBAs{Ekl3*^t2G9#xzW?liy5ppDMJrooG0WD~wj!7xyCaBCRQ{c_bbN`gzg_-A>y zbHR0tQn@3v`AmK)zPX13GMyZF_2_0Am`-O$XLy_|V6Z%<(Pg?;XENMB&YO8(vNCIv zEI8`%ugpB`43l@N$aN>^Y{04fUiynOL5_d`oW^E1?0h8{Q4H;CPrSFp;^`kgn58b6 zp&o0gLnwBm49fPh@1vkKw{2PWhJlMJhi_7~0)EV1T6OT! z1B#;0*I0p@^z+6~4)aN}a*KXCJLF$wCHP^*e#no!KhV#7@IQ3e;ZZKBs*TL5k~bW5 z9=C`5@qwma4V~P zX*X0gr>h)|I_lkR8IiKH$G1*L{mM0RMR$YwlAEMdrK;2k+VqK-zUBw6*>^Fgc09S+ z>K=Ee6GhH1A9W++p6|T36eo=R)d?iWiH>@)YnN~(hLmHKgAO~gGRM+}TRX0-jBnbd z@kmiyK9%UCi>&3oj$XjDM-<8#S}D02-V%dcA;er#dYgfYy#;!HR+5 zgmS0A&gCc+3p82|kDj;4*FS<7(KJI&ZaldY~Klg=c*!MC!_t{)qIa zseTntMP_8zMqssoT-*9v1T+gZW+pk5{I67;UZjYV`s>!=tvpZR-#*Xvk zwV(P3Q$ja@s$R9q2Sq`;6%?p-E7aU zMNYjpE$^T@&@-?#U3Py1iYKsq|NK zv)!L|X5DEs`*`7HPd#T<$Ie*j#LlOb<92zDO1bX$g2eP`$8tKAn(<@1__*4||Fzud zpjwNoSKYCivDSOz-~F;-JmWfv!J^k?7YXn-9J4c)olZULraB_8G8S-{cF)#A>`l$8 z_gz$_j(qB*y}uecd*bORNR+JA8jGgY>($d( ze)rP}r!zR~lwvm>ng!pW2;4XJZ@2C;{S8SM0adx@NsU=AJ-DiZ3j|K0xmHr`i3vL^ zevg$dcUV#6E8`9)fd&qi&CQnWXBsnPu1y!WlsASc(^nW{Ll{y?FZDhs~aM$4O zktf^^qsKvQqn!x=%m|g!xuKfKBs!}vyR(k>X!>LtWVB4zm}YpPXP45?Z%AD3x3Q#A z*7U8;ae9h57l1pZ)MlzZee$cR4lC|5D~Tur0PQjX4zUWI9bfv*+ikB>^UHh#h&t^j zcBC?e9?!-oUM}v}CLz5!tvYQpd;rhG_tnvEyU$NW!y7JGv7gDBbZ2&qv9q4XT&&KE zKquZ6AJ2UFbC&~pdXY6^N!@%Z4WwDOhe0KI%FQIUh34l6Z!_%J-LDLzl1OFD&fATl zetXbaH8kvXz2cZtCY=oJDDA{XZNN)p{h%W?hu9Bp@< zvwj>{#&m32gV#U#7e*TQveXaZln5)SjxU-;_UWQsqLqrHXw{y$%ga{H!|s92tXwVO z*{QVQeZ~qq4=CeKW#bJ;2OYyX>Q0ZNtEMD75?NVQnsN4%w(6`_6A{^~Q_fKpAA%m0)j(rP9bKjo zRDxurrj7-@-mSLhWVTmXLb$nTm#QXl0N1;ZMDGSg{qZWkVt9wYvt!7dO2ogKum(H2 zi8nR?BZi&2&?koQtdp|1gwS$lk8<;#Us<)<>WHjTGGisZ&2}M&U6X-l=Bf!NKO2_4GK0bO&B#L;$;|n z;-a7T>3TjHB#(NL>bxzkC?|DtV?~WQ5#Cmkxpm&^S|xW_uK>Df6C)96ouZ=NqJP;q z0~)MWbFpcRR0fQ@3v}BedMsa!nmVr@D;%ixeRu5Tv-hjqS5<1x`z{ug&>)V0vJLkG zuC-v)E1apG?^d6+ye%H>gg2{al(S`g`>3j2Q`XRjU29W#I=%gBJbdxtXVqD&tLM^q z*~_jwHnP^cKl{9TAX@i&@y-KQ-|u*@`|1yW?(?5W*qf{?(btPz#0)#X>W)Q2)u-%r zuTLLy53YW(|EXvDuGnMM)X@39H&fokSZ&kzSZykLym;E_8C$(E^+(oI!~WpEP`jNM zQ+K`ap{^^QbL@^<|3_0>#*Td;y>s0EdFS9K?z(b1^{=BhE%$}1zV$qZ0)8{MVJzGA z>cwLp+3gKnJ#DJa@+I=K>u8^T+Ohh+HKR^Db8FRMRXF3A>#9YskB#|Vu7TX3-nwho z_~G%9J4d=c7v#s?o$FHNOWSi753F-1UU~R*Z!qGGdW-*Mh^hSMK#HrfaM zPkt*kUY*$czB}%(O{D)Y)ipZ$Q!`fA$WQ$=#lXY*@1u4qKQ=o{`MdSN7p_+O?J+Mm z`cUujLLqV~=-9yK+TD}q)!6CY<0?Pq^u8QWBhTM?gWJbn?+iYrE~Y;d?8>gXGuSm2 zy`+A<%c>=_>Pr5so2NNl{(B+c`6~a$TX^JZ)w!ac_pj|;_fj}~?1R?h_I114{m?Nl z8a%rN4t+>*gV)HnDdIhBeCt*BxiubLruDqjRM8 z1H0#$!i+k+)_=pczx}_v$s^Gx{@pM1o=NR^W$?uKTIfp}hlRu5i`}GWR{xypJqDq-UeT!XtQzeanOv{7J$E8?UVWv1Ew@;aHj16%^<*f(ZZI7S$)K~h>Z8;bn$ewl9 zrtg`H&u$rdGYr??|FwVc?!kKtAG6MTKd`OdYgtFd%y(jRZt!esVl?%WBl*wTqyPG+ zd$-kwe|4kV{jGTT$DRE6>tEiR+BOiruG-(shnR38pE{&J;J$kZD6#1TY9Uv*N&ckJy4I^qrVCP zIPo)=KHwC((g&;~)o)!MPBEvE$zMozjZV6K&)UPO4`kPGVW2y#%J3b1>b&iLYIS#Q z_&<_|_PKSwJ9Sn~3kT$8QyuU=lN{3faQNx#~QTEqv>5|)o(xe?$Qsk&eXGZB5&?t{Mnc*-Ew^X zxBs)_SX%bFzizKdY_rn)Qbm97`&Is0PtPZxQ&+3zC%>I~9f@_F_~{RxNtNuxTI+Y# zS4X_x`KgpTFn_z+kTQ={e?aq_Luw9VgxSig;Yk=5(t^Y4l-e+?DyFfG6B(xa@$i>! z2?w7KzMKjlIdHbn?e7g4_O4e#HR3rBBjR@G*j@Zb;?d8YRo{QoqSD{_#Jn4*Yu9cQ$n(Kl6)x8-CGOlocO2`+V^0{Z{8pDs=nK_75f2k3W6e z?yla%A?4O}fn{Lp*Kq5u;n~*2S6xJ7qFa69+`sLhW3a#G_3D6qC3W5#8T!!a0`0*> zn*BGOY+vGOPQnjvRbIDmRfi`Bf0t4WYcQU1N@vkr$`ge&e8x^7@-wH96Oyu4l%H8G zHKXRKFdw9!=i;S#m0W8Ng5rP6Kc(Ckhw@L(cK=5F@U4Sg%yHQ5Ed<^DX?NGPfjdeg zTe2gQM%L;$l@eV9cHVH^yfr$!+wDXFkV0yXGJXhIkwC&BS^dyB@Zo|}8fE=Qe$i1A z+zH~HonKoWbI_b~DW6m9vCC-jZL;T)!x^@7&$)?nqP8(d=u*$1La$Cn@mroZ|G&BG zL%`td1J7Hx4E~jy+m#&Ld*I=#&hUc|B;$_<>mS^6pf^2}VrZx259hxBbj#V^(~7Iq ziCglp>c66x>gRf0(|;tml{;2~;ss)a!K6KqN=}<@-_`0Tyo%qEE|&H>j`6n#kX!G< zla4(x`dbcs<%H)aOO)4`Y0o&yM;#4Hx2;#5uU@pxXyT;memzQLt#Ze%=e?jqMH8P+ zd-0%)X7$eGy03&TW1M@~q(nYn9iB6$hQr{Qe|2luC~$};OrCl5-mTtrid&fW-Whnd zpE8E*Bs5S6&kMI^6$gV5hw7LU56(h9r5_2B)`>e*zkRtw&EF1^5!fB>u3SYcoxUpJ z!m3QcGB&BRa1p1}g%}@9{1PLR#Esm~6o_8n>vQJNIoGP3J&+%ZD(ZeG$iCGzRqB9i zIf@~TDuG>&vZ<4p&BV|By47W$#MDNIV-MRI@keA#r|jf(s-sw#*lQK7bR`izlutyy zx-d~8MO2_f!v_ug7X*n1^;2-{jS*f&wS$jZte;DmAjtz@2?$>AMu{|$7+Ws zKJWc{SJ$hf*PqTmJQn@tH~!8BM){iq{Nwf6>;Hha=3n>qKR4P({OeEhA9C02_>toC zI~RS(x!-+=c~0Eto#&r#`;-q(%vfvV@AIas)i?FKi8|^ZxAm@9%h#U_-k-jUF&uoD zG03OPFr;7lgKvvJurP4nB zxEmV1!QMD+42~-PC$E@Lf*{aa=afvRWR0rGF)~+h(8b#~T@oSuf^~Gl2QH=u$HSl7 zTlT}T&_6IRN1oB>4EMV7ukvf}_uuzp1nk=D=OdTb{t^UW-h7%DEDoT^~sk$=ubiCd}H)$7{YDe9Jpy9q!8Ao(nsk za*n4<4@QIL*$H>`;A5-fXRY&hSmUSf8eMmAESG*IP~!txl{zr{PYd3i8>XIho%0@I z{AQ1`H}u;oDx5y76!H6=WY<&YoP&;A`n0m+2MgZza`&HCPY*nBGT0QwkBwh?qTFrn zBnN!Y;m1qXS3Papp+kXI`b+;+?OFj^R{>Np0kQ0PD!bxW#mXTy%xpE&4FiKur~O` zpfB;I;al?kscyJ@$4MM5c1@agE#kJUX{X7(hfPS^Rw+3BZ99XZmRI_f-U)f{uPUF2_0 zZHwg(!nfP&g4l`e?UU(^e`S@e>F4d4Kpou*zZZ}6-J;gIce@*^SJX_f>%14Qik|uT z_qZQWJ7#jXZMF`psqb>qwVqD;836O5^nA&^%&h9SnvuZAX*T@rH&-Y%bUimqHZ@G8P&z#tq9T|=HP7e%qU&>F_ zw&c7QYEQYP?RM%)Szr_&mK$dsK%k?4>;x@IjXig zecR!!;m3>7{iIx@#Exps8jbU?t#iM) z5sgf}{Lr(mZ+ma5aBVQOwLEpa%-b$oU%cy(zZ3p{I_H<)`hSlcRjaL{{fsxe-gkC8 zbT%;@SjkH;<~FBAXME8BVlyJ56;`M8CzrF zorMiS_5P^$)6V8?cWr;!P2A~vpL*PV=zy1-2{x8DjK$&9(<8NuUib6va6Dj*59IR4 zNnvoY=;Zvq^^Ub&T`YzVUVP}DjlKz#?z7A_bDY*7_tI*5M zgd6-&G~l0e`i39MIMGvf97Cf2Icc2z!Tz8Ny4S6kVQ;#e7&vYTAvyqg_m~x?JABmz z?M%D5HF54~CQpvI3{!qsSw>EyP%f-Zd zJFQpCe#gEJ(XRNDIa`moK z{G=Iojs~3x&+qgSovuZ8R)y~Vi<_;ygL{Ni^zFd+5+un`qRNQM1bc(dj+d(APG}ez zvuqfBU4`^!DCE9Ge6*X~xGEe~<8<3m&wqw0yEV5*r0m8xdkVdt34&y&84VqC)rQ#~ zpv}1h8nk9+f{vOAYqJB5UBz)Mh z(yisr@;Sep>)@t{z?@1>%{bcw(;9Jy=G?(u`TN}qn6B~t;gR+4d2Q6}!BQH-d&=qZpS;0lcw2c zc_55utxDts-iJ0^aIJVPkZIe2vmtzbz9U`nvx(EWy_Q8PKb_i{FgCNw6Reu=IDV&f zi_y6?HEr4xiD{Ci$!Q|S z{9kk~^lf&m^d9@s4bEfsE9I2A-zp)i-DcW&w3x`OA{9fBR>AqhqyQTGomvFBZzE5U z9UCt7DM7hnB{Ru@eD(#BM3%YB+!O~L@tLp~>`IIo|Ksl@i0LzC}Mx6M4YM|fY+R@IfPG@3&6skSG^}gA4aNEOCI@|*m;ZJrxp_0=o zYZ^zbzJv%B4F7CrN5+~|{g&EaHSErlDgkn(M)y6AAK0n}@BX>(!!c7fFT)Q_cCx>cIf?*daOpEsWHS3}1pAsM_oGov&+UXR^D(Y515)u@R zTP({2dB<`gQyuVDb(((Z&4+p^vgl6s`r#|6f7R?vC3*=9H{-ikM#?n=Voz*@huEAh zLP^``u9`a&-ckD$sfNuxvEMmo$G(v>Bl40aXoerUp*pU_zL0t#Yt-ike0$m5m4IJ& ze9tWA&st&S>7YE23_D}DH+5~-KTH3b_2!zqjgoKd*~cB4soi~tS&sjox%Urm<2vtr zXNF@HaTOPHID|(qEn^N2pdm>8AjB|OUMHC|I3R}UP@( zGZ=_uL`5VmyLOY_kTm2dt=(9v(sgsYElH8F+$yytE2-Njy=%$JHocFxu@xoP-R<4n z@5oN$w(0)8cudLw2lM0nen0Q`eNXI!9_#9;Kff)1(Y+W@2-|#)LqdKj_qwe18(b0YMZ|OZoE%y48UF_*g!Cz+P+|AVO&5A9- zYy0Uje*Er@H$qEdF z?mtW4sXwtZ`|q-8ZHx1A=Ldd=HDBs?zQa#Cc*{ z+YW1yk65ukZY{gJAt8$mH){MLN-O**8SREOM$B_NaVeG_uXV%dF#DUuL4OtO2gG_yEO9;d3Cs;d)-T&E-FOfd) zWXQy04}1{heU$GHzchct-&(r)t#mHy?f1=+Yi0QT_%#X_cX%PMi0c@zK1d3z9-|&@q~H{A2XK+8h8{Cs zq3Hu&eQJpW&x8hX>=i47?~~p$&cQPlFhV1}Ems45FI{@>y`YD4%@fvJt*zqy+Hs!@ zefmSqFHel zN(T}AScsh|{}AQ&J-S4rk+^(3Xwdkcsl-#w#~0=HG|bx6*Xi|K4h;E?^9@PEZs6d# zEpvd9sL45_uzAB^E~O3@?{$_sW5d%KaIurW4WwACf8AW#**E&>+dmb$UOHRe1XX-M z+(oX|G|^2q8}$dcF<81Ym3dMNwxxi0A9YPM*Yi?859#Xj9?$rW9(biwVC?d<*xagV zy<=(TF=O$Qj#78Q02j5Btx8yFUf~StOmZ?ej9mSU(?uk=U0|~?sdtK^u}!sCK=@A` zY$Ri=&cUmGZBew{c>ZYOaKOl0dMm#Zc3a^`&A5Hblv>VUMX^?Z*#`7Kxp?vvCF>77 z@xH~K9i?CCoWadp)KGR|6_NQ|p-7gr(hwOewJ@`Ef%kZPSTnwbCrJaKpGH`YcTy>VT`u&*%FF#$SrLbC1rCqQXQfHhW7`eilGtkiNB@x$7 z!Gqm!2B+#hm27=DlWb&JQqLOXo_zAgJwKMZXssNG7L1gI%xccY{X80J*TRla@wy6) zW;_C+a?4$d*+H!t*EIMdt-BYsALMet11;}M4Ng7!gc+9$t+yOAT8zccV>+*1Y6<$_LDN=@jePGbP0-|2kXR8RtOm23u&xet$_ckmFYt#licg*cR5_9B+=0 z&;hi6z7j8;&(l3qTf{!4+Mqpq#=rYqWy5B&OJvR(XrCa-^t0=?kx$pJdc+;lyup?h zQ)Z?u?=ZXt&4Ysheyq51%$UPHwyc=&a-a$BeY4a2AeL9b-uK}4TTf~s)o;Kd_9!=- z;Gp5^Azcsr^7+xsVwUZXDRqOCrw}#NUO zv`Q2WuyD4rq0cO^d5hnJ#-4w1#2n2i%+ydWLntj*w``e$st=wTm137FaCl+l*kNuq z_z*&lUD+;rOB&oj7_h$6r;@tSp!M^fB-SIg4(}(|1Et=oLswdZ=cgk}?RiH^g)#M>K@aZ{jyv5nugK*%@oa?Hnhu?^t^HMwoKF3U{_cX`_CYfe3w?D@v_)EMy4w( zJiXW{hLB@8Q{$H@Q`Tjx`W%B7K+vcjF$%qk4a{1G5rlmMA9qNAMdh&oj@K3Zco1@% zL4&n(ukg`q5{tisir2=p;m^6!Jy^K8&a!dLiJsEnp#9ON-yfOciLwja4$|8l(V_eR zh;Iw{uwzjaLBbg(n;v53%{P1u|(tq^`^cPS@9;?G84u4uKg3J@utG-;N zN>`3l;hJhoQw_5#DMoNal~z24%bWTJigS+2Yx8C*v{Ny}0`rl#ZzjzlymqRbWAKl>L z{rtm`fiL_leh+Z}Gx+^aZYTG(e||fUz3l|R?fmqi-pah=kl6FKL*nCSrogqlrjNOKrfi9=1tnIzpHav6KwKQY2tt8@Eb!L=3u; zAvnx1DcqPcJnW~h=s2WLQq+Y>BuRWts`-j!4;!DK#36tTUU(!-Md_c^^5F;J>!uT- z3IprryLbNz&-C`|UDvwzkzG&D7v_5S7t9q;=USV*va&m_9V5+W^i}=e*KYT>tgPfA zefUPaQS;By&E`2d+4P|Huq9SH(#7w%i)NqCi*rVOtz(kI9oAZ^r^h`o+FCZZna(_E zZt?rIHJ$Jo^P($HPq3^JQ;)&3IofWfBS%fKoJns}Ix1ea!=Jl*7BZBq+5NedaTn0~ zq4dM<)!>n#-NwM-`z(=zdW}!dbu5_mtX?~E^~gncu`7L6Tye~6+`sI8t;77Z`PSOU zb)z+F@yuaM#1Riu&{&qK3}vo!yZ;wZbDqhN>~#3 zjFSFQU*Dq5jQT53@6r@*ln6en!ydOJXcy0Uyu#bg(P~X&jw)@nC{4hFvn7cdZ|h=P z$GmpuK;SVJG?9BC@N=j3u|&h^%^5X+RUhwNG81EY@=K{VOZcl-5CIuXWxc8LyeB2(!-a z&}XerP%pOePD(wy6{_!G)ceXAQZXrX#^ePVS!_pYP!Uh^zNSp9Qk z<|19CY~~VE`jWNPRHGKIjjFH22N?D5DL;WgRlcbs8kbcF|#82Jncr^r#F?a*sPC9tt+ANhjG z4PMjsX)DWY6Ia(poPL=Yv(`2pj*&#oD>06lEBdgm@M>14RqX-Hm2Haks=2CX6I|sY zb(vOth8=L{IyMVe;{0B3OgxPp*lRVVw(5G@9MYlb;|MMYymPmocekf*EB;^;i#={M zcM|@HGTB+Y0^f06pU}1W*fhQ5T``>#9kExON8(RjswmHN#fJR8=C(^${4}az#Ze!F1?^_n(1Um&FN0f%U^X&Q)A}*-Q8Us{aQfxg}sI!>yE;i-#t{x%VgGK zu@lA^&1*{DUounQVAYCa3y4IIYz02JB9yiht?+xjaFQMCHS$-zEE^1_4_lRhc%qiY zjMDjVG8-D*Dks5J(i0-A@lxon`+5@dGB^-Updf_@zgh|Z1K3i zn#-Ry)GbbmE{&4(iPpOQqYhG}8uLeaOxLH%bov~b8RdvXi7kLjuFSuqO4SUFxWrjy(&}D<+JC$r|F8ay7yN``G;8`8wpqoDKWnt8 zC*esMbXSH(u5O|v!VSUz_bMDMgRgN7VJsGQ!PH)I_|&Km;vd!Lkl%b-rXx<#muudf zTlAI10k|2(hC*hJBs72-DNb6aOb-+a`?{CiZk6&`OIYY~Vm9mTdy+`CC5Fh8ZA?iA zCya!O_zXSrrJe|(R<(lIq;uJt$4SIU`lmHtrjm|jBli3R5K8$9pubIhAPBC&?c{(k z-o;lLXqSb*S1C~kvCx?IuEX;j@T}khASvNg%rxRrAmS9#Hr<$=+(ej482$|3W04^5pW!MCRER=L?sED^Z=t34TLm8U!`(Gq@AEaqT@+{xoZRu z?yq34stU&fmv_h##;yIo{|ty(dILnu&<`7dJmLtPw`&$ne;REEX9edBqRi0(S;twT zCiR=_z?1u(^K6#w=&EvPG8oj{B_^3X;2BLtbebCNP&g0zl@a)bJ%M$US-qt?L@n1! zDWfZaMDNiV)Emc~stzpM$+!RJ&yVmy0tt(m;~bAdCC{s?Rb`(Ov8poNkOF=<_}aA%Ihis zH`gPr3YH`ul{8HfItD*~&TL&}0Wu<>rV}!qg+urSO|f-xM5$uVtNL1G267o}t?WVx zq9$ahpeW2!gVgj}#TI`-C#bq~B(BU%3_D}O4epCbjUBG^Kklh233EcHN>tS($%KA7 zCS#psNi}7XlMg$c@HyC?Gey*@rtyoMsLh?ak+{e`<0XOk$Dtsh*pGFE^ge|+ zNGHHUamerK&KM1ENiM2R?7U-1ZR$uq7~v$rl&nOvs3(^?9TgfA|5*6{qAD=0B;eTe zSF{?}nPLMG>tupO7MCr=46q5E59^W;+l*IHB+{T~TX5FR8iQV|@2DcCqCPB+b0Byu zFWVH+X;Pcas00%Xp(X)J(A4L}cpl9_s&$4A=VyeJxa=yDI9WkQbAx1{W7+qoy|<#h zH#@i^UUitv^RgK6t5DnMrnwS}s&i41W6?ET(s>xO!RGXWoKURjEoe)KUJ9|aa+3s` z38E`FB(LzqRiCR8$;&k8=a1_a2|M73<5Z*~VsoOP9l}^~B*}%QXw8x)#T)R46 z#qccrGOadEDEX!aIHR+j6JQ(Q#}9 z!rJOAYg;uQlqEC@PlyFGufYiyQ%B$winLvE(1EG3SwxShJFFRM$_r+Sn&xN__ZhKd zSF^ENx#Ry#kjD_YXNo9WGMk}?O31N@VZ(dbD(58o9#z-dNi@Qx1ot?Pl+rGQ8qpTL z#L-pr3Yw=4&QFz~XrlGX!D4mAn4TxI(50X=QAMJ>0%1oLq<;sQ9fHG7k&>$-BirY7 z_*pE+O5FIPj~>cqb@Nxu{#E^BbPsV%O>=Ylg4ulyYTEp$vx^))#=b;HwVHQbvmSVD zrLx-WtZ29M)TZ}z8*`~W2=Wn(O|aH-GoXDBV{%*CBhE(W|+yERmVY>bVJr zKIPogF@04`j6K&qM0at5HP33tSZ0y*ndx5}y2`ItjE{DFW^G`1F7?X6k9m{4ua=r0 z%LLbZL~U&Km%e>Vp3~R(1l3EF=CAT>>@JvPUUZGYV$bT`-|2Wn|AaZ6{Xl&^OYgk9 z#d03Y?IP*D^+zAl{|N*c?6$*3#s4zz|5W9gcMa?1FFUn$YkJjKOS1Wc zwbh4f+FSkqX@u`T3Pbe1G_3Ufk9B6(^)-zQ{RZsq#YewYfRTRkw{BAQ48VKrfnUD~ z=L?S{L;JF%-vJfoPzdKmVNHt-Kk#83UjUUiIetN$5`ISyXoZ6#fa$~gHn!hS=HUT| zx!+FXkHhCdExk!(iq4}<97y`*H%h1nKKy2PSMN{TH_|!X6;tz-@iJa2Cq?!q8s8>#lQRSwVYTN;Z3Qf{eBoXPt4@JqIU1x`ORM*OI$qFz(sVUzA>J^3S~1rqnqsd z2b!ZIw%9rNzRnEMwZ&{3+y(T@-dAeQC~ufhdBk(^>$DJy8ZvnekpIlclkAdNSWG}w>f3?Wc9SEFo;p9+Q z3f^}^H zS*b@rMKNylFq9 zgq1#?s@J0HL^=Mew-$t0Gz;P}N(<%Bq!#IVq*`Ka0Mxj(6k(5kcu&tNSqv*9&R-`> z&Aauzw=3&#OVPohTu)PhEozT`gl8^IX`znZNco`P7znbHJoMwBA^AVh^)b_nF6}&; zmQ;n9<0=SZK%(SA zH;tPJ03i$>u52t4dI`b+5SCoE*ml;1$N-4qpQ3NT$)=Ho0|TEjLdRXOouSP?sUct@ z^Kt{R7ruOt;{c93t=E6=aY<;_;GXS7;d}WawPkQQ`+IPsNbftDMN>hOfVKoq_^7Ao z3!Qcg3i`u5uJCkNt0isQJI&l$$IV+3&KfTXM10iCf*@TDdua*_2?L;Sy@!%|94#E; z^*GPcx^;!I&sf8v*!T>Z)xuESrAr6!ZxV9Fk zfbtuILtC(@)ybl^9KhuOp%t-7lcYn4-y`drlon4Rb;z_Qz4{j!o%DWZ`gSsSem#QL z8Ttk4hI-t03^et%ch07Q*&Fr0{<8>r^5&Bf+l6bR{=Kmi4m^8P3_h5;ySSeO+nuGM z5E#oCpI#N#5xJ@c%#p+!f3YfQ?bLXX&m$mIM{gF3on&uUiq4dZpQS4K^bKZO-ZP*7 zF!b(gNRl%|j@MB20REAf$I<%h#*kPx3eTk*Cc1Wk%^5RB;JD!(OVbsPBUxc#V=_PN z?yr%^R+dy&KH<>l1CHfgFpj{*=PuBKF*9#83d%Gu!T|e@-Y~tw1LW{1er|(26D$x>_m)VYLqQtO ztfESrX3baxb0?YYGsqBT-{k;dAKapA+%m+dm65rX9V9tv0V1llBY^F`xq=hf5Xr|N z!;0O6?#s@A*_2vjM2sKgk+yWjJ0<|+UvW>d41e4OmJeLSVPZ;s>(oPQhLJ9ml0oj0}a zzpUNS_`q!HIpW^bX>9=oZ+uP9nD*d-k^NxW3XGQ`1%!exf zOU?Wp?8QHA^4TEKOuJcAbs@o2h=Q?$lIvQs-a@aruj%N3!lwN`^Tjn_M?N`Cq zl)ytK02OwI7pzDUV$1Dem*ZW?-MO%x2;RUb?Z z`Oh)doCM!BcA0j>eu#EVSU~YxRv`6FoMu;?i@_ylzANU6tToM_BTC~!^BUvbCusT_ z9bM`3zUmAOY#m5nDE7lyd|`C-)wMrxJ#{A8sn@UTaVKpUv4I@>$|&D-`gZbMD~YUd zFTclo!c(S8{lT4#wt8}YPD7fJkY;+OER6h|4y;u>oEh`~96{{}b?H`fSrOEIWJx$j z+|MZ^-m;ab$)r|lc;7dP@eL7;)LL4daStY4T-g%DuW`0&ZFUT)r^{$1{_1dB)?Ie~ z{A*Ei8Q$FJS}pTd>ai#p61xYCZ@fEozWpC)?umD?A42p8=KK32+(* zBA-<%jBTRYWqExRf*IzW)gN;weChIHnklRk^IqN}r{|X+(oXpo9vDWG#1A?szkyzDj zCI@k%24uSji+P771RredXcbWQ!Ulx*WCRm_!ju;Q8kSk=@mQuVF8h^p*j3YsaM7}gYassKD6f+jAl0jCKu|16M zWi7L&8S{+3c)UGUj=<7-HPZ^4N1wTLxAgK8)yz)~$?C_?h}w+2GZDXV-(W80C|Ws4c>glp~8B zGLrFFFDTmx&zO6zvizIbqLa#Yd}XIYcMo`NYoyPMoicaR5r1W|IA*>uN6z^d{U*9+ zz6(2#z0oOVlo!9%uh3ZAry%0UU-Gkb+Se|0)KBQUjVPlEwcqo-UGrVo2%X=?n4Q znE;Os7aDVrA*cIcqc_M~iw@|372CMkO`kVn2af)S*+MeR|>@9 zB45op*+u0ujDG(9SG1%WC6 zR2`$v$C0@}MF+N_mtQb*(r!4fY^N(zh(NmQa8aAHMgnNlJ680nmo+N31?epk*f=hg zqiW*%SKeeahdfc?oG|X#7j#N)ccgRy?s%wKV|3NPN}y_XGC0)+gOWDLgTU@&HASSS z00Qj@xRg|-{(PDv!z}C)3C_@qERR2KY-I>HDG-GDV_~vja$!hz zr0T;Tqprd2jFfjm%H`!~PWO1i^AIsa`h32ESyPy8O+^_jP>DL{wIhi}gb-;lqFm9ql@Nf!d76xf5Re9hm1hIskhfqT; zb{;O+0IV7zrs1p@09<0Zuh77DgipG#C^ep?9wH{1-~m<4l;QKw$!qD=Y$b^~)Sl7> z^^XXpkRt~KoC=Fc#buC&s7cYq-uSC0Bp}#amC#Yg+wRElamE7egud@mLn)FW2Jd7r z8?yxlVk`@&;|7{80v;fXm=1Rkt-@R1t@6BLtH}6-;zzKkh{jZ5^(!xADG6Y=+J+<4 z4sKj#6K*eEa}E(DswR&p9c5^NUvm7iMa_e9tzevRrag7j)o;RIX(JZYi>i692Qr(4 zZ#)A3ao`QQsN;e!54t?PO7gO2LsElW^AhX~{H8$LGKH8Qts$yDO|bL;FYJcLMCEL) zs?>;u1VyS;)zSW4$i~&LkVrH_GAUnW5qk;0IU~2pMRRN^9qC_zZcYjpO&)S7%?e~4gCAPBG1YV3ul8+Vp+zJmJO|IxrtBga zEyqZ=A*T2}=aF{?;#f6(if}X^)MFKkB+moh=K^7lptK&&jF=aEyf(@Z0UIs*g^0}* z^lvEPkr-f^wc{ZTshx$%lcL#yq=zsPHlyw!G;#em#*vIB2PHlCP^dE)k9ffq5jX}E zl2>M#2rv{>5%3};9u*sL|s)0Ku@NBX5NY(UDV6g-j4))lNvL&tRl*{6JMN-^my z(&jN9@%RoCNZLcG=eU(u0^|ltfDlVnq}0Oo;Csa?vZK>NkI09&)dO-Mm zs3>W4L<1ffE(I4+`#91=I0Y2iD4X*v8UcvMO@tjLYB05}T=5o|1j}dENHj@hT+s}C z&F?ZLjAdWSAXte}4;6hR$QP;97<>5ez zP|JCPHXowbMz5|O&aD}nJJRLxwYGhJ$C*}QU1X!)Wd0de?{4i&?(Ru{u_N}6tu&ss zzB18sqPy?O{zY>a1OuJMS9$jCpt#!h#Jp~;v@uH5bWH@ zDS{avX3j&b;sjS>YW1R@?buQQ56&FU>3_B@U2dc&u*uF=mS*`1eIw4s`uDNpruZ|K zdK&%h%c&jZ-dwr(?aaQkp zSEdmty2q`p=p-ht@m0OwY^sg&{zYOBVb_sIfJ>?%KDZEukAh8G`$L%`!f_fzbd zvFXZMP0Wv-tc+IfuLS*$%eI`c#+-Zq{uegu1^=plxb?mRNa^D4KeDg3KGX{Z`{j^d z-|zNA$L^hcEF{sxRuLF`<-5Td>oC-@kfKBQ9)y`=hH~A*MR*}aNW057nfI`Oxz6jC z_)R)qD+?ZGjCmW8&(rQ~b)0%+Yo&s86;`qWtvbxo=kd=yjE4DQ&RFu+0en<#q>lg5FFaWK1|X>Q{FVJ@ z2{~^RV%Kxl-rr0Mul)2UD`&DmnIRsJ@3p@BCv?f2oT{H%qLYi?h2|RY?phn(0{Q`u(2=GJO6ksZ&ewiPrlMm^BpRHIqy(78?8Oue|;y zVA{vXw|?`$b;6H(WUZ1sZ2VerW6uE-SxIQm)ixZ^_B7qC_XP*jd&W%XgU0$PF>v191+s_>kgphuAiCl(5~hXomYdOH;4 z!&CiB+EH!&>vSnO2(5PwWLvwJ^T+7X&UIwmz_GgbW-hnBQR2mrfEJgM-XRvq^>Q=7 zB)}L0dA+uO1Elc1$@0|Tm^pau6U-PC>A)GDNg|g@JQXosD2-Jw3efapx!tjW#)0Yb z)7#lur@rUZma(=v|90=$kls%f8rj&HaNDAutL7EfRSC&oqZcGKcE;eBMc3#@zC6g# z{0FBB3c@H{$ZEM{KgWRYhNq}ecOQee84Lem7s+=iBvc!A&;GGs;yxOA=)8cju#pS zX3DR#%n=!q=*v^`Zjm_=wj(-0{&8 z;x|rlsPN+(1|Qr`&p?&yRXN#^X@bLs-{+&93F){GUzb3qXq9`QUT$bus2KHehsNcF zoi^NixakFo33{!d*hA^Iti?=Z!9hhfC_8x@*l=QxmGYO8(O|+bh7SPkJ5!AmhO#&- zxLF!ULcr{TuzH$IsXm-;Qy`R&EQlAy&+$Tx5ukciMy7arBbe3qC<{+c2Gi6Y;AHd~z z3+JnU`}1R_6$r^Djh%E*w;)yr_Mdf(K^H!^S6sxuC49NsgvXKs+e6wPU!HZ)+ZO0@ zfJKc2*bV%G_*5)K21OfFn3VvrMHrw3!TBgo7PG>ZxLd@L=t}HA31wd2SUg!0pR7EE z4zQci+C`KpYGTbATtgGMG*y}@1egEEEn_T^58b>42MBsd&k;5XZ|&tYJ8j6An~Fx7 zh(Hn2v%03#6hSRfSVXcsxQezq3mODC%fWuwpIG+cFS@^nNm1b`gK1*lpuJdxk=Uhi zgLUdblI~lqZ^-s@B#rD7WShZvLma1dvHRDIm8~L9OKuUegB-K!`sZmmm=wruFVi`)q&3N2Zuv|3YSd@TNfgt$OC*&m!Kb%*_-rUwB6y z{?imOZxiNIXZgGH%+%>SDswCp-X${sNurs5>n#5wTg}hp)c=cYHUCT4y*2YL*?c)v zn7=zg%suAcT$i>2e2G!PqP?mpU>T1!wveJ}M+GMkNiT=Q*)doYN&Ue z+z5L9?w3ICe&>Ax{rz9Rz`y_Lh4w5-Vb}Y~SKc^y7;<^DOecA4$k}1>nU{l=j$rHN zD0#(KE}#+4cEoPz8E=b6gH)wvvw3~GG;q{Bj=5rEQK9K#+4WY6a|~#H(<6q_Y#wG2 zDuQgkM}o?#c<>U|;pVg>^eHZe(h28b>x31+gh?NnH|#7M%R3+J+Mypp-2Jhk3?pka zZCoh#w!Y$w`G$RWN32y4gddq$cTS%sf|;g2b|Ba~9;9#VeCs7<$~$7)^t^&BCnTkU z1#lXGNe8gqw`QWQL$!#-jsBHfLJst*qpo>8$U7>`Z0gAXJJS@zHEo<={pEBp&Gj*# zi#~I0aV8AZ)~A#a&t5V`fA|o%8008{OL>wh#jC3fE?&0=Gi!>0%&y2l;kv?j4>XScz&M}Oe}4lCSCIf1jr>H%Ov zX#UQ~(o3$AhQ$iCUQI?;LF$tL^9gv5WP_Naqew_KuYjTXsM4yh*r-j2TA?wN zs1l}QmLvdx<1a*V;KiUlfMFx1m9k{A7Qk&V%#9Vkl6D|03Lr~#Bo=jV#f)_)l6~K8 z^utUho53`sA~LV=^cpKdUkGI|T96aS1q;uQRtQ60n!&6qC7fGX)e#Rc4{)LC&vhk0 z!H|JAF!MXfE*?l^X47Xni9M=baOYr-xfcKfK z-yvUQh4aQN25b;rkP~YTiZnx1WorjUdoVr@fty6DOocyr}Ks`cM*wqGy{hun7rw6A2GVaOUAyqYbIL zY1s!7mJMn9ugY2Ez8Lh(Hvh0b1@_i?62vQq40k@gX8~O%}xCr&ClF#AVsL6mLJuz4g1w_5^ zAOGKK1UHC>g2q6p$~c#;Fr3VesT`Io*e`*G8+RYRMtpkn->6_1A=Ge8rMOWtWU;DZ zN0tSK)!Cf1BNwV%C5fR$hR^MrN`Q1G*N+}tnCdXG>#L1z3_YxQ9-@j(X0mZSSzs3p zg%*^+ivqRKY30KTmvE2Bw6TJA7iQ!|;u17E3F8;)St;(TNEV*mR#A9Tnkq>7atT&4 zJ>)2f@)9a#7W_CVn-aF!NYTLegX;(W7-zxy)x=nla;Pjiv{PgUv2q<;B{8*)5~0LU zt-DNV8HhKKBs>vbkWBAj3ueMm(aC&Ap^32C@uF3Km4(v?ng`xSH`t(}RR!gTu8U+4 zO2)hPwObNCM9EZQBn}QJjSf0hvQZkt@G(MZ)NcwqvaXIeOd5hc4X+TeRmBlfOf?YB zirT}e7fdsUQ(!S1A~vX8m7A?jsxFzh2c_!!C{`<^`_eByjlYKXKx|a#id7{_g3d!& zPvIZjLK(FkBx^yk4qJ^D^~g(kkZ}&uM<4=6I;3SCm%t;V4A6iLJeh=Uf|i$1Xlj+z zubN6MN5{=UNRkw(Lt&H-Qky!8iEDbY0=T7w0>v+mT4o@~xK;dA(T~IWLYod-8-6XLfqLO5 zZ+y19D&twDq++(49>$6Q^S5n85OkY~8des&QKZhZfUaP$k4EZajz>*yRhz=I;HT(~ za)CR+Ac=~=jVSmSjVIwNDHpY4)p2*rn86fkhC>Td3}FP7s@L|t7k{Ke0)?(>`c#t2 z*y2R8(eUlCRFH^00HtuP)3$v{Y$EYxGlsn?ct=w|vPZ{=wa-tThr65uSSQQ= zR=Q39s_BPOxwSbqLsvV*F;?gw4%TR&Z@o{=c=IgF;Sr*Vij$x3%5a8Ea%&8h0uya! z((_DHsXCM@bTq196$3{*oIlu+XFD|~h+l449p+_=wsL2NTraLlIk%qQtMz z5t{Cz$t5Sg;rZJa2*u@SkyOBqx6otVv`tQWkK~SZB_E;j>jwM)yWrfoTkn`K9*R#` zm;DLsL6dx$mV-7p84FWN|D`}ltA&X09;`TNR$J9~nP?RT?tPcJqf5#Y<}m6oe}$1{ zf*_l%dYYNYT7tZu6h63lmQ>maZTr+VUv8@;U!vM8G#H|o`k|`@nKXA$o0e(XziMI; zxMU*ti+b;$N8+x(gsjy*b;>lCWhvjp_dJ?nWJ$M_I<3JwxF*a5}PW( znj!}x3J+5KGNTH@s!e_Za%CC+1Jo2Mf5Tr#s8X1x*@B9Vh#;1GG1-E36rSRbG= z)`utxWU7QyN47dr9o$$6t-2B%EQ3G!d1`sTBKMB;na z`;ax`inoWsKHg0FknHu^V(FI=&VGF=esanxiTWu3GGsEN)7K3wpRwijP9=cd8~ zkpU$9&`A8S_3I0ps@HQ+?2UyvJ?t~&P0LvCg=t33(Xd61rRVR+Mwa6AcZI|L-V?t0f7Z{8f5M8amQuWwnPmsu@uG~YzkUl%Pg6Y z@x@E8-z-Wch4cgqK%Yf3DT6{mof(oBf;0sok;P3HPGI{y+4&omkP}b{7h-6^E^0GC zblAF^4f8q7Bv8V=lpYK7m6LTWLi$0w>N((sX(tkITH#T5Xe_BLV1i66Q3(ht19bt` z3%g^ZkUJ*i1qAD*kd9(ur_@d*l#?}>ffNxkQw8UzNR7?lqP)jJMPFmkAd3PPX>Chd zhm1JQ62$!C>G_m_JzE;)F{6HeFY@(8 zkQf0i!5Zw%kW*Sg^{_w1BE_u^UkK?JZt`YrFKCISEI2rdnSkv?RcuosW5h5DNC1Jk z&`0W;&p|JFhAQ}(56AO%=!q?}O~xz~7GZOA2?bB^OTk-3a*lsdvFGXW+7%NRwWfs0 zT;RH_;d!7lm#t9n3F#;Sy+S9$7XXpqTa25?`y3##h_d$f*2LHenN?=NREO{ zV?siTH3y)7oCpIRYyI(pkWh2NwU4L{UOCxnF{+77Iff<4fGG$w2Wv& ztI7A|<4pnU0d9<&Sb*Ts@uL~abU7GmYaR}^rX{|$H=&I= z76GoyIQOCKKFYA@S%Z)`*yr1GkO7$X98_yne+7sEWfowWR>eX^N8UzD+KM1aM=D}{ zN#XakY#&S9d5g6M$_kQ>Z5_k<$sk*gK$(#u2xlKn2BxR}m#rIOk#OOck+DP7AVdWc zrj<4@K56*~J`9seBr06O&L6fr1XK#|?THFSWSESU65)a|W?=5YN;U%wn6*7DS`uS^r;aRNs1cN{2AP1ZK^5<#qnwF_`J*MD-L^!@MroF5t??|R*Q^UvX5*!1X64?)Acc`5eO zkN)ZZ?&?6J+yUqRxBEzX!lTJubqwN9$j&8{w3 zGrFhO$w>aHV|saD@khEac!;7;)Yt(BDKm<5q`G={@tl!3jrm_mE7Ol4_KNP%lbv0x zcXd3E<|dA#De?j>Tf0~^@Yi5dH06#_%61V0Izr}*1=jH^8en_Tv}}jx z(SX6V6jdfoMokFclFpj7Y=$<&OtYb@aV6m}?JQeiRn9%7I-2iS%pF+6mz>e4I;)#8 zOZ(cu4 zd_?#5rQk@Cdm4F4MDnmFYR7&xG>&WjWYENUI@(ltzqJ<@OppW?-<89m6Ka$Q|`ya8SeyBSOQ; zSzuT+YusTX*m4lFg%#RYkORV4a18|#KoCcyO+~=vv?3vGrQ|?7>elcTYDu`UofZ}k z(3m71bKMW^eJ}c3e#Km)mVH*A2kbHWoAu!nL6MH~xkT1HWSH|1@fMN2ma*XFM^(Uu60JKzE_sDjOH|FgZ!W=tMDE;x zXRQ@#8~$kC6nSc{qJjn9M$!vcN)_}t~Ki#)3VV)0)U_K0p+Ok=+X8i<1yNpFV&)dNQg4E&?O%SUIvn#FyLLvtq<})qOve=dsdr)HB6Vznb7Ho$h zrccl!g^Cb2fV$(99KbfjHX{l)CZvfs|6~aLPpK-VhM@(DXvvh&$KW zz+0M^B@a(L3l;^o>PiBNDRlj41QJBaUIpVf7fh^7BIrgk?TtvlOGd&(vqn)Rd{d~* zG7_x9KB@nLEf-`X8rzt_bFmfO4xEkC^QO>D{g4%`u&6#{1x0O&AZUEf2msf^D}#1< zwS9h0vVs6NW@1t>5s*xFAOTUtv`i42C?KcQW~zqeRKq)24!eU?QxYng4Em0gcyr5V zcd+GFYeqg~MzruLn-wuq8YyE5shXBn#8Bh-AVC2t&<^Bf)H=E_I-VpY{7_ww_iZ~N z3{&t}cw-?;y@$QjY$u2PR@mV!qD=A~er+36+hQ)8K$g%JUGJ%ERRfJ>Vu zLkVEXVztd%W)O`7X4%l?4kXnbuH`shRdumklU!+e*h13VK*nPnu$;HPxHvK`X(J8_ z6=2eo65vqNjvOl7@Ki|!qX}r6@0ERwhVYB*liYNg@oD>TtErQZ$bg6a?uSUi6>b?r6U2Y zF0pZ>lZ{4#|8o8oSP_y&H4MMu+3^n!m8JeUDw)U}6d4I8X!J%qgpm}y8?+M_4S^Wy z01r#?Qw_mefGkmA>%w*+0g5G|P0483PF0W8R9qd0>yaNnsw~X_wieC5hJSCo8#jX^}0O}`k3qU2VPb!iz>ryyWmicF= znm{t`QHO`)5rMxx!Bvh_2o$LPP9}RyQgX(bBwVmJ-~IT%`|fKy z+=)8!P*2FW0}74iP9kJ@9PhJ@tw^{rK1)?%CwcyeG1T ze`&1pW_FzPeOd)Q>yrc49u}RWq1gS*bhKgPA@}3;5#k^3ir?#w937aMd7+ZH?s#RC zn9-q`_90f4`kM8bnflCAYHrAxJvR*6Fp%K7-%)pmv<)uHgXdT!=(G;H_09gwIp3dg z&-q8XVY>g>>NGa6^0e7KY!Ml_Xh)S<_x4j>w24D09-4;rT*sN&lSb<*15=MBb4wBF z1uFhwZ~Aal@vr>pZ|`P?N$NcbTD(x^IKWAkcsnZs|BY27$Z_)1JKXW+BHI)@9|q!! zOLcLhauPx*hwnM{&}q8UNsZ4lpz-=IYsv2$Cd{|*I9rF`Q&0A;m3+J>SW!iqo&>5C zOmZL;V6u7wwq7DfPeri7sL=GbNio*ryni{FXX9df=ZnoHZ{~zKx8(gZjvsk;?3(wb zApQ%BKsn-|J~%YieB9ac^Md`%_8nl0`mp`Zp*x4XZCgusexQ2z1ICw|AA2!8)w|9P zNqv+KfY3E^L+;}}q4u$RTsuP#UpS?f+&d3YMALP)&o(YBf%ZC$Q($5JB>zpk-mf)D z&BB&5bF5kgE>6f>qU{rnk4AExT-!6>-cZ{7< z#FBN4Z?meu|L(}}I=)x($Rz444oNuXCbr45Ti-c0okO?_VckO zxIwx=sF)lN7u<5F4zcP7{K-?Q`xQ16Pd<`;X`_25$WZ_ocv+44vai`&z>OYnraMzk zH1)Ps{G~Web}nrLM;UAGOkFfY<5b^(8=jJHZ+j-XcC1??YwPCwI=9}XlBJT)wtE>3 z^~=#f+pZ6JBqJC*x_z9ezDCz#uQVNa$Rhc154PI*hvWA(J3-F}_XG`rZi)_HroQ)ve$lcWaO_V>T{N>?1q-af9;PD|QZ#)xp9NF6W>WSN{_&9`nH9I^Rs}D|?8~r79#MzP| zke4lYWW3p@H}ZW612Im1FTHrc&liC(%=kB>LgnN7+AeGWJV0n=+k{pey3i{rRl;@LO-;fOVb40?He%2xg7ZpaTt2^ z(q3>i;ZO&(Jj>T7n)?T1#Sz07|S^s$BRvaq%@k=K)VtTC|pT!WwU{f z)<76Rgc<8Iur=~|5PWMRT;*Z2{kw1%VuMLSaq8Xo2t<`QQenTSQ9hze@Y_-M{0H_}-;vnD2(> z8bfF-#QT_Y134?=oS$EhtZR?{vw$qdM)|?ct%;;3CO`h~SZ%u=AWpOE?p(6f)V()1 zaDpw@SgheoYHT|V608@!AA_D)I25@n)YE{Z>j3GPYyO>XawNjcxfo%>7y0y1GN)H0 zOjQQoe&~0cv1VnQ$=Z+apgj?v>Z8NCIlUH+yXs4itVz$B>|smVI`&w8a8h+n+8s-- zGj?x8stvo;FdilgY>#Iw7whj~bv(3Fqsl@zHcdY?#rZxv2P9Wxk;X{|*sTNC4f_G? zNR&c)XGDXe3#t23Vv@=`)n=^xOZE38gk&VPpC&Bgl5@ygdu9#`EXY^1;;Vo5o!zrG zCGN$=YD~CAbMh`fknOS-scNSZ1^&o}_)Zo$(~{xN=;$mhiyFk{vyMz-cu^n3Bfb1w2t)D^ciEO?NIczA4*tIA~Ru+HiT+oqu?WzZ>M?%-!$FCF>!v|Hs9DcXABi@ znysIVx|gCeR>l0%uiP5saJI~ZQ`z>_zjD?jrTud4-I(&P4f5PGC9? zdmL)!IYE0QW}*hU2ytjyQ4gdqw$-9a9d}6Uuaj$y5kdzoc$_&?$nPe+j8npIa^8V! zQuNZxM5#qrzI?6LraA4u>lLRt?xYsh$A{a`x|(J6?zbJIk^J%qC{_Lizt0%1yi=d}+eg>iMtgGbvpqhj9XQ2V3 zuy$4g0{V%>8z;IUO}(V()^UV&;`Bas)J{+fwaBX*-+$%iT9R0Mq9bm{o(K?wW&7F) zUUm^m5PewC@!AUmI+~-XZz5(!-!#l{Y{G>NjLsYw+QfLt*tXD_-yFKp?y8mjAd}I5=#ftvJ9;UGit9BEdP=pYc0^XTzR@ zZoY@5qa;O~Cmv#(gM|s6aDCq|9UL-T<)-Vy<3PkSr+xgb!UebBMsbrz7L+!vz4bq? z0UofZn4^P$!SxNcnr)$h+p6;o!KXVmbi-;`^V) z+z)az$J<@k2F3_PWMBY(h@`9Q3INSruMfe26=q!{%r5~V?s&niV`$`Egw`ha4pqYm z2Oap7j_fHKTI1EuuNFsGgLIRcUNnMX6ZRy@G7wr*k11SBM~tDEKYXR849Bf{P@l;J zgeC_#X`q8m!UMq+;2Vv2J-0TjOHvA-F>M@|;Xc9oB_@Jn}+8_QkXb(aXA-W@s za+0)?cfGwvAy=bBI1j!p;+_v_#OW8?#OeW*;;cUd+{P52zitHb%^H|#hf`^~Id-<{ ziN6dI2TV-BCi6i=ihJenKf1|;M&53#!;Qwg&;eteC`IA8?e^$)5avv?VD#wy2_KqW z`(5?}V_#9)sxdNe`{f3Fy^%~5eBymW%fGSDfL_%KO2RbPxy$nAI3!OSU^NR_g zi~WY|UGA;dnKw0}k7{q(R>z z8*fn=N?RbN$PpCB82~vyqO>DwzL4l$7#a@I=ts;zwfiTqKj;9G*41VWr#Bf9I~HUK z0|OgOt0IgG`MxRd-8*mPu%#o)3@n|MvBIF&o*Xp;-7K1VkPDBfg5F__95o3PLOmu% zuNgYJ^dFqFU~C;$X^n;aOqZnv-Iwuu0QjD>gDhd(Es%0TK2R9JsI_xinr9^hF;0HNx7WBb{a%f3OgLVjPOe#FRQHgB^Oo&uJqA2! zdI&+-(Nboqj{i*QMt5Xri>$YScq;#2yV@D^$-2ZZF{3zTvDj9`k#c}wo}sZtx6MKO z8Hxwen+T1zNJVhfj3B{5O zLWv{Y2yIB2KQh!Zuz`Uv%3iA=4k;>;*VV=Gld>DVWzR^((6_e=gl+>CZIb2&8e-;LPq z7nHNE*W6HY-`mR}8{<~kKc$?}NAgZtJ_f*6nWJc!~30 z&7L7^W5-5w1Ir54-Zv@pj->sZKmTUG<9P4MvucAm`?7UusQpqhG|>5^nRLBiMd8%Z z*#qW5$2>YbJe%mB7&3iwdL~n5!kM1KcfU#XO!L$0x=+>H4Yzl?K9F2v$6-`AFm(qm z`NSK#DRB|5o4DR4WT`t>Uv@W!pL}P|>^f)P^@6%;y77AJ(jD(n9YZWqX^P1E5o5=b z=H2y0HAaHe(pb2$z33zA2{3Jucw;1u|0Y6$-%qyb^Z*8qM=3)S?!k`*KE!hhb?z* zGx3ciC~_C9*}6{NiS$n8I(=XC2U)L7WKqlQtDkdc;FRgUbM7~P$>^@ks{zHCUj$;` z)zur?b)}mFQ%Z7|S*>3ko>6*I;c>OT%@@+uUo%GOU#dQ* za+>4BX2ThLEzN3uO@3d-T$V>#cYxxTi0VH&&9LZOXcH|N61brn9}@d~?#R4|bT9 z@h9&Er>Nfk^X*#41LlCW-;I*-!Fz|Eaiaq}x=y=$lP_E*y6nqM^WO1+u~WzRwkllf z&49BGz2Qs_5|uPFKI?WS;~($%y!#$?yG>qEd(!Pc(K~YUFE)ldqZ5Q7dL9v05BQ1* zyK%qt%ndi=Kgj5Xq>V4wZ9T2rX#7#8)IemY>foDu0fA;a7B{bR zPM&flr>57=NkYySpz~8^wY~8@;6?QSTUeiQuQ56E1i5{!U2u*BX({l~P?rQ_dV&sy zA2HT-t9y(kkdVy+qHF|A0zU#i0Ww*l`qC{nJ>BT1?}+-Z{jXoqcG-)nS|*<8&4Yw6 zacUI@<=C9xJ7)5JtJPL*zuh9Gqpg*$DMIAN2XD71MeBG)_1@`L9Z64l*j&2Pz2bD2 z7D>zMba(s$IhEN_t<-|qp;J=_VQ#}|O<&rYoG^z|M)(<>~R-h!)jDA(}ru(T~?NTkJ=Ha`PWp5AVCUnW8jIBSqi!i~vafDNkc zTNg0{lHi%4_sk^4MKkH(ge$C#k|2XN$rd>w3?rswJTWtdqHZ`6444RXmYuBDB;uf}%W zZh;PmY{aMYLeMK5kq)*-F5b)R7jNWAjp-j_Xr3}zmKfmJye^q;rDqh8kFafOE(~hS zw1+nLgBjC5MHWw!z5bAH(IjInR~#p`<#(ecZyLuVxEbu32OiTJ51r7K;N$e^=s&#t zM&kcY+q9!_l$q9;(Q8DVdTj;$Oj>5f%)9Oq0)7*je%Oba7$oMoXaNN!XH(x=uiLv9 zgGFVTBpmB-6Pi~Kdym3McSg0bPZy`vhboCOyIoWdv5Cq@5WAP_RwWstyUIGk7G*N{ zj5>AWq!B!X>Mkxt@BUERV-MS1t~=I=cB@)lDxB!V`q%6(b`}C6p5?SZ3@kU@VrqNa zTuanmz?rIV#_S`oF?)aU*N=1aNi`5wW?Z9yv*EizV%Vk|%#RF&4yS6JNzsorz)5y! z=0P))n%ia%%h_C@nHsKeKFDKHXlYV1KKZE8%GUY+$1hsz=DzbI5Xk#a-Bt$-U@vkG z+x4|b#LO3Bd&*gHsemF=yvhVyc~%jZ0#6lzB7@_O1w5q7XW|b7OoB~0@-In~bapDy zvj2QzR=$O`n_c(5>892TWD6GVYZoo}u^0C74OjBo>nk7mpK2A|QJp#-+Nfp6M!>EY zGZz-EdCyMm&U7pcygvzz&UiX1gy#r~@Kd0^-a*GLyyy)2?el}6lmmL7v0J(8a31U~ z>!3R5A25#(cjVK~o%_v6jvlgIJKa6Adxnj~01IAm5G-8XvFN%(z?iZ-Dk=g7BagD>&}^@$`%3{}pZgxK=A8vHa%+|^JHY%+ zN~=v1v}O!E`i_cmgw)MJPP5XIXX@H)xTZW+$90+0IU*20vLmv{pkjlu=)tXgl@3^W zv?bn;Rv51bH>b>LwNyP8+Im${}#b zIq1v}FKZ3FVYY%^jEo)6#7Eb=?>oIV9JOwZWAexWIod`U9z0@_C$G&z)zvIQ2HDyS z9Rr>Cu3Q}OIejwi_MBE$Ksp8E45wqYF@}MGd?TJ@I&38Pxo$u{FLPE1&-e3%=271# z605W``rh;Z&Wq!(=wTRYwZxo^M)Uw&qd09g^kB17o5tG~I@_jArB+(qQN`trlh`v8 z=HJbJSTf@%nzTQ`(m0!mgO8eXBTapSPr%H@nZ!ERU)0~GZ|K@FWWVj4-^gw1_Bpaw zPv-Vplh)jbvoASpaTkPA6+EjsUGifm@&}e?vNE=i5Awe?)MgXh;Su@lfb#15n>fC-LLa24*;8|>? znqCWY)l^6j0}4fv(Gi;{Gu>tu6%Dh?nlZGXP1AO}S{J1Rpbl1?SN-4@Bul&{lM*(L zoj`?$ zscM$0&s$x|SmN7|%rOf|5*p_EMOM6UG3+DCWrH~lbEBI-H+~b{bU;%?ifDlf>983W za%bonmj>3N(<&nfBi#gP3`5?63GqA?wl2RaiA-yTnp22oMJEv`oxYW~1w}IGF5wqU zY)$)PaANGrAlHuAJYqYxgP4`kgMnLJx4;w=+5i(tpJYVpR)X^2b2t>*a<(ye6VQ#B zxVwc%jKJuDeLPXSS}8A8x{DwF1L+~eY_0OOe#6rYVOA2qnVT9j0--Grnn*H8+y!nH zuE$5%%FRzpCA)Ff3P1+=QPkJr#KBBUMn2@9N5 zL)Qm7^JvPfg-F*1LIMEYkB9n@jD#{-BgFKo2|+Q35jcZ|Jp5D$^_pS(rZPKCR0LtPkUrE4 zZ5y*+A#<rJ!$ zY`e`!jkI-e!x~dPN0r|mO|cckt-1Bc%23-5_keOE0rWRoAb~vx`rZBJ3G1%Y>g?bl zv;CYp);?`@9kAxr)?ZEf!>IB%z1{6IW?1>SV&MHgbHCrN>XFe`2Ojs6Lqkt|b&VUw zJEljvbaRs$=}i=o?4!EFLZmkp1~9?5%xO#O84G*NuMM5Oy{2!l*hb@ZoNG5r?Yg;T zi&yRKGslN)vuoO_x~{9l^{}YR(E3;!;rDprY*6jies#|vQAlrl(rJHE`MZz0rM4{| ztJIyhc%6gR{Onkg+Uf1?yvebi(cQj>PV)R&;u@yw(Gwqi`RbD~2k@;DCCNmE69*Nq4e1i%CAdnUX#I>PqA6bRt{(0tU? z@3UWmB*0)kn94fq0ec=-v1}IeO@}WmtJ%|>kY--7$wLyvR((vl8#uj3cRCM*V`hIm zzIpKd>G)BQEhX0J-VDfd=BFEzAL%uopB<~eW&D(T zC^5-d)^-KV$=H$w_IW>VSs(j#ciie8S8V>gJv|atXBXTrH#;tkf5e(RB`MCL`Uy7} zI&lB|8`zoJkzA$`8gK!c&*Tj4;#jyV*KLlKWnr!33(5^ACg^Fr>kd5x#$m}xV?oq5 zgYnHJ-P_vUCangryQ%;6Ri!LBAiO)AcmzUUv)FG-7usDlhB5{5sP=Bvt0qXDR~iQ=9p?r4 zls!+|()W~GJ#PDrhfYCzwl~vCa0**+J58Xxj`4ON&dEV)l%{fc%c4wv?+b4NczS3v z`pW`*TX41BYmaZy>I-HJ@}{j5l4|8ORvAFXDs!g>vat(FId3;RO#Oa^fyDu10-@+s zhXrqITcnL0x~H^&3P9zsw1SbWeNd(GX~7UXR@%oYEF83E6u>1O8X6>^FZtN7umKp) z<777tn>6ngY=xH5tKcd3iFe*~f2c1E-e;4{4g9V^RAG4yTO$$CNX2lYax@x=iQb_H z9Mm*OvKXpjqz8lXAy7{lV~dgVI4Mo@Y@Zo-rkBXPMYrnqA!UV91mhVUnC4ps2MoL8 zv_nt2LzDxIU;;4$X+6zURP12h`Rc!agdB-A(rRW5=m5V0s1RaOVE=hT-W;Xklf;Xk@)c!LXWp^XLgf>}3MJW7n}W{z1h2Jf(Z7@KB2 zKm)fzRZhtxg3ygT(4x1f3DCCS9;!F;JHPem9CU!$m>8UWBUSU#>K-G#s9BwW zWJMWA_^tc2Jyy2m_dB)Fd=oQ{DbgJRi!wvBLYsucbzGL|L6bTjXb++X+I^}wwt%ri z9%_b^fdRM%XiSJ8z;A;*ahgDnRa7p~hHzaHjkj-=W;2>rC4c9(Uw$lbAX*2f`I+qN zWh7+cj{Wy>QOI{Dt?9d-1!D(GW9F9QB#bYkgi7q&w%A5bNM6Cx&pcMcdQVv{&^p>@ zIDu=>_w0V7qrKhe0N{znO=Wq%c!*zviv4sZ8>KS~%J!4Sw=E+$9>HPmpzD415G>*X zhrtOa;xE0cEsbq|#4IQJ*hU66aj`>yj2wqqIGWcufG0)KC#ZO`fO`F;{RZC%or>UjH8*!Vux{qE3=N3{#RIqU4=iFt37&=nyuYp+Z=6 z-^!|FE0vGE7EG54NnR{9Wbnur{(h!f0C#&-y2lsM_dfz zi<8F{AumQ+V_hh?`n%6?L_Cpq*%9$SWdt6JN1q*O9j5-#|GW9}*8kU8NJK`%*JbY8 zc`f~qMMCW`%DC8u4*_Y*4Dxg?RPqG`l7Q>C@*w%ZwX@Bz* zKwXFwE?K7i(N|{wUH?d|#q0`KU%dABeSf)TMj7Vk>P4MU)F9WThgvXQZx0jY z>On3Me~TuwdCb=~nK*(NMi@*4n3zUk#?sO4JB(5^;dVtk%}Tzs$DPq-qoVUKh!4UD zb-bAuyaU6o^~ET>#hz7H*`Eof<@oG7QKfw1&mdZHsz3aH+!SiXqhfWp;tvD;_DItf zyUb*2hBjdHhDAyv41qISv2}|E-bjzZln*30#|6+Ku%Qs|Rg_wob21b$ z&W}(t(7jadng!Fs;69=}^eECWHkPzxZal(M!nuA7ZvumjQmcg~{3jku=;DrJ>5B6e7{W{~?g;YW z9NY?F!Yu^5@on5G@Q9*bG{$V)93T&<%ww=Cx$E-D?mCa>C{ugs;5*vlF1;g;^@(_b z%mksW7s4I$%A_YI+s@<}sYgK!&1@KSD8O4uxfS9%g-TO3Qv!MlPM8ZoNK$d0Q2r&N zyR3v254z$NChJGM!nlvGslEHq&2g|rk#5VhbM|l?_uxtujj+q~5YUaB3Eoy%W8%Yb z1&pFWc#lF}Zy|36j&op`=ZQds545D+P=OiId&Mr3MZEYD8oAJYi%M0J^hMz$pk3bc z{3V<@qHSJ%=Rc>+l*tyiygRcjn|mQfi09PK&{33~NX6H$0&ooQLf~9bc!}J`KhFbR zz~qclknb~yX-SeSJyub_(tWQMEPH0`27=q^XW)zkO38CPj2V%=hOUfxk$*O9DCj5F zkKxH$s&so%KIJ<#fW}J3e5_><)21ufx-~+h=r;-yNGmE_9t+9odm{ThIPzuJKXBPO;Cm+M`1qfIJZe6QKnV&4c zwV+0nC&NOMTLme>Pq-0e%$eO4dT_Gu{_VH4dLWAqYv3*L z@S@=sfkgNu$lL^3mK~{kHXoYLR2k{O6BWPgHMG^#Ylht z(pnmVHS#&doD z7jUj`9BWS4u5(gIcot2t)ZRJ^1FY+!8Q^ zIZDX+a#PS1d4YYGm8mJd%Lo7$16<>C2!;^oj96^u#tP*eXY90T3M7X++4ldiRmo~y zl#<;4Z{8@Z0&yw+y4I2EMyiNL5T=` z{6$21RyqNKIc*P(15uPZv<`R=vKKOZMM?+%oiB7D5EHFhml~;aDe)*@mb@iymkR*f z{^U+#Ugel^+Al(#<^l!LI{rT~Mtl|*VhEO;O)E~~C|I4+GN+)Ha|0spK)9=D=s%(Y9APK>4j(`edQ znbUj9q{S|i$2bgSYFezjoek44*;{dJJ2%y!`x^S1$mqlifEjP`QZGfz6<_`R7tf?2 zskrT2Fu~>@;xseVQPz81Xbr{^@4ZRen=MLW9*dyDV6HX+$^fRE#uPd(ZwjV4cJ#C!4|#{|ccBf6$Rw_Mmw&Hcz8va9XUZ z%43gL_-e_!lhwj>D19k9<;Gzl&qk&JQrtE!iHs4tq;Q-@N!f8tcBOFwjqy_9*0t6)ua-0hXUm!7JA>JGPb zi5-%KtT;TbFE<-sC23^imLR&N+FS_l|IIgYwsFxgX@dEtEXU9;`{Ymow`SL~o}n$^ z?g+)o4-Nu|Ji_jFBLKIQ;0W*$oH~5zK~PwHrrtq~(1wpq{<3OJejIXs%xY^)(%88_ zy;b8p2XF6MNX0hwilKC8xi z*Jh6^9>9Kpfr7!5aJ2$8bYZ9;aTAMKHvg9pH%+Kpy`sBdHwH{6h0t$dfC}izX0RTf-2#|G^9Hq!5L87dWeF{46}^)dlQ5bPq?6r@ zn!qiHB)+bmxaSQibS+GnHc*5O*yU0?NWiW?XkT)xN``p)a*;FiO_Zm3{ZqHlb}uq6C#Js~_t z@QgfwU*Thza6ieitogDFMvIJ! z8!s%36$>c4t8V-(vnDeu=5=>(eDkFPw>1lRfN@98c0v~xDyS&L?DSI(1;k<13(>ZS zg9l*~Bni!{x+!SqNIMe?Lq7`H73WpG#>9yrA9^T2zQfA4bLrz&NdVr+?%awMLB2%#$3j}g;aLr>V86Q(SPhjJ%JCt@vgxDuvbHau+5 z#k`@EoRk7E*NuPC$iH>By( z6nQkWGM8{T;Q;n2C-Nm)z@`4!+#P8XXG%e?XvEA|=}lZTx-h2*h`^8GYYC!WJQ+tU zK^}s-+@oh%#2Inf5_pLi^tYu#q;96w&#d_SmQU8GW4bLi5Zr{`&QCQ!E|d15Rh`jjiZ4%fjhu>cn#g8 zaVSjrTs{>lW?G6j8S>Kd7le|DR@AdE^M$wI3`?1z8)F-YwW1Z)xE;RH;8jd1@?l0g zjp;U7FWA|AC1WQ;7sNWGv9ZMYZpdBe#0ROMM|efI?9n#y$!`()nKcvnzbT}ml_qKs z$uNE5XDAhBO{CqK6W-#WBDdv&pwNfXg+*FllG~nk+vG7R?WG#E?P$ zxB#?ZrZ68h$6g|T7z?RUx+fzN<>C~x?D|CqaN92i2C%x=hv3H^;>t^mWb+n3? z$V!&wd7_$fN8WMP3$Lm!ZVOKpa(ieVFOpbE5xn7&iJE3=0O4PJb=T*hPwNw3KF-?Q zdLh441zqC+Tr3;HoHz~VAdUyM5mnGE$eJVNw=RlLvRCQn&gN*Vd8E|hKpIXBE3fH_ zv>w+E*ai1lt5)QF904h$N^WF@v>53%`a{50;j*v&%J2QIrcG!WL5g*0hAY1GN;~9_ z_~B5Tg-CiLOiuKR$a=ZFK)#7IeXZ$2bosDw&E6LM^`fZ;oYj&s1n3iFB#4mbHnOS#5Eq z!w_oOqY3V9TsDF@!b+q0Iq4OXDvnneL<(qCP-xou_ieZdxggV;viewD;&(D6TPb-Z z_s|Pi4DncewgKWlQR$edgjxef)gG00Z=2Zpr)>Lu$HUW&cw+kO)SkG+x4gJC5vjl^ z<#_v!iHLixD<^f+P%aUJnzrp~_k`9*<2vF!QQXeNr~v@@W{s#8OK%q~BG*bQXh~Rb zCMtmqLx*vhB%rwXB|DyUo6P+wh&%3iWg`Zz%P1%#m&j436-jHL zrBQn2d(Q@T>PB`BmrXVRN;FR#I-{z4L z>HLFz%HwOXW#vXHWFju)l0D*mc{(ZkD2MdVk~kyR$ZwiUN6~&zqfu!tmH)OM#}n_7 zc7+{_ZY<40is39Q2yMu$!f@~o|0&cFJ0ZagOwU~?C0sEBe#1*j8Wv7`8Uiu&DZrIX zrZ zfy_L{JISbC;XueQoY2Ar7h@wPiY=>>U#x3OrTFktFQXQQDmm}uSdvJ&RbGo~O{naAnvfsqH~etp#oEQ4@t>d6CSaclf{n!%!GFe=Ui|}YZs6R(*D|+MMzfw%HkP)E}YRei&z?~t3E4`hG9A|BCEP|+K(oCTBcQ+eZ0cFSL!{C`P??f<6|?Xb(`i)ejK0`qFJVDq{Q-hS;p zOdRr#KPy-36*feclLy-dR38)2{^Y-AnMp1giXXau4_a0wr`9D_Aa(pZrD=H*#9aM^d&1LzZr770&|!z2y&%NUOVP_N2%&P5azn zq(ijA8r}r7osV4km_B4z0LE{&NXi2-24y{aM|rI;@~kXB$VCYH6`KWYy^4W%F*xJfg9#B zh=cY@-jbEh35V=XvTD$C;AQe$i!l=37geh?SsoV*fLi4Xv81)7DLlac_w|J;GPGi7 z3(wJbf>rURLOzu*TP|%+s8(eC5ppYXJLX49(89E!{gh9_+|#X77|j9uP2N4T-iPshBnOvRWUN8 zMOx9M5pu~%VztcQ&sakt6XvAuKlYF z>uEwd40rSZuiV{r%#a)}A!R7pdMUnE7cx>w>nhTbA9+>M=>G5KYGVletoBYEp=^HC zEMc8kRE-Yq$iRf%A)y6Ca@BN?)^eZ=UE_X{jeES$2!+APC!FUHB1zJ2`X~VoC~45Xb#0 zF|=Sh>%yk={_OJx-ca%K3~VfZ4gxWIo-TebrELXD4>k6JWAE)(i$g2LU;mf`SaVk% z{Yx1D8C;=1n-p6ENn5xrn{a9t_g_DZKlUz!)*vO_UL9HIt?$6p)HnrNS`VSG+gmL? zL)SG~aVr{P)V;*EO_87(S%1X0W!6&8jcY6v{n1-fQhFM-MUi%IRy6&h`)ovUqyI(7 zAf75CLQTOXqIflJLnLhAk7h)YPqo!Y#WQPtdZtBT3Z^ZsM^DiB)q-1(${2ie!)=Us zkhAbfWZm+ICX&LL#=^vuh>JYqEfY9NItAtO;1l^Kb`kWDfzLHIGp)9x z#!^7;N^&n%(C2VP5oAJ-_q14Uw?4#-FS^;g)rWul-$MSc*WT{Fwey&A%hTKTY&#Ht z-Su+Yo9W2GxeMt?el{_`$N?M|6dR6jH}9z{^R#&F4+biNup`Hf7{omw=DPPMqZ3YFU;EISDuEPUKo?jK<3}O*qWp^j+?C}TW7u6>K z1>kIzNs{8^Z)gq^Na-A9^vZWX{j{@aCUXgCt#qqfk9wiQW{0zjrju9$N1c0gcHz60gfGc-O&gVpGy$#1O4jQM zQ`4c$l|R~73!&&(liWs$)~zEhi>+2nHdDFg7R?3H=ZKz5Xag1Tc?>}&fKr#!^gOLd z=T6(nSqGY)b)HCi5l3XCb~E9GsLC3V;hZ8qrGq>v7ec6u8svY&-cKWr|lG#T=T-GRDNE^NULC8qOgOIUx|ENgp~_UIyaS|aa%OY7`4T&d0tl<6BkCqTw|Z~$}gT!?(o1&o6ih3ep<>n z4pvzA)i)j0IuANcHI<}DNp7|V2!t-1{xw93bCJ~NNfG7}-3vwF72y3`)F&SK7!qp0HRHC-xeDO0SH z*3dF-VcN?gRFaIIu*=E>&gL*P492q*V68JCz&&SMYhv^iIB9$BdH^st4uEnJ7splzK+vL z58*1rj!Y)L(Hg6V;T@!Ix_n>HeKx#vn3EFuH{3WiG)&`$?m5xU=@w({;(;z~?a9P z+3PogY?VzQuU%!)F8A5%*hx#TPTu&K%DDn&(mADk{fxTm~r!Ygf) zIUa`AR~Tor;wO|TzKL8Cyc|4g$HyFG)ZFEStZZ}AsG)&2l9Zi*x&8a2m!s)y9L{FE zcz$Aeoh>a>GRsz6i>xg6tAr56vM#>oR_%}|rP=+QcSG;7;20^EF}9Ux(}R2Ba+Ecy z{PkFwpFu!73BD9<&l*LuV)7KzC@wjup^qf@3qu2o7Lq{dwb2`u76tZbHtwV4UkkT~ z*Bi7d^eBcFy`34~&Ah*|e66U%?~_gTG>DW=^i5ETHti`r_{iP|y=tE)y4&7jJ7?q~pwm-%eg=z6^uY7zd3tU`VT8UZInAHV|d|T=_HR+xdqahE0Ef zP8Z|LAyl!3-}0NcB^=OK5s^HDGGih#?V0qY2VFR7Z|69{leFrXJ)-{}beaoMZAAp? zN}IG0E@iDQxZrdTaa;}p(sfTLY0nCi!%$~a+OvHA8_So&)Angw6ayTvS^|G5WZ5R2 z7e{`=TxPUmq4fyW$Tc+jrQ=BQ<`*Qj5VzEw*U|IlhJW?Csxnm{HA%xq}| zEKL)*L@kOPf8&L;&D7K@f8V8949;5oZ5kIZ%Pbn4jcRdep&2nbu zAy+c9AGLOv#=&`Xx^=OthQ`Mw8K`GEOtMPLaMd$sguc}fJu>z;TwzW073{M(`28mg zrqt{usXj@V`^XhhnG@4{AKX)7UUf1da>0_hiIGbVWA#*n#KWVkf!@mY%Ymd z{FB~Wc2i?gcoDsY9m=qX1LnalEMc>nY-lwT(`ZhYqUaKX+Y)J*r>x~qR+6HEo+-=5 zaLWQnl_+^X;~mH*5SU01t{Z5h#c&g(5mtU;Acq~=$PAlw!Q^#ISqb-S%V?7m?&-|Y zO7h7Kb9x3AyGUlC4OM8`dHZ2lXVBiB%wRpuCe|tJ^rw0mABIa9=l&>qbd_;zRB`;c z{d~yqTt-J;mVvvWc`dVh*&ZRc8=~au!MRP??gi%pDFLyG`8KSfd7c;YzuF>ELZt}; zN@N8INHU8^?DrhzeIwT*P>Vd6Vnt?_&McN|KC7mxWBEH$ZUafugSSIMX4#&mJ|OMIxaiuXZ0QVAbHl z%ricW^?G4E)(t`rY??!9YiB-Gj`qT{%B1p+ zXFTRtjEl>u%$e1mO1Ggf9xmXCJdFdxl)C!dFBGBB1q5-z35=Q7fQCcA-ynrr2GAL_ z?>ZY^!aE$yOc7esGDTL%)B`iEAGAl*ATn)uAd}4ZJmq2~`3r6=8uc|YA+#zNWui%u z@(*(%l?ft^luO!v%yvf19JRdism({EN_anRbK|@eWc#zw(jK zbLb`>_$ip~P=fkeFz+;bPqW2JqS?#i9zRQIc4-uaNTRd&eHovNLd_TAN(F-o)Sn>} zRmR^;9{Da6NsTf@a6Bm|O zq>@aX*GWqT70U*xty@>z0d}u8nB0#$N5oSUB~07MrMargG@y+`Y}QZ03WhA)UDJ!J zgx2sEafSy-p@a^rVd=|U&u)I z0U01ir7`;T6%{7-$`24EEH9a8_@e&J_Zm3=c<_%q;v=^-t{5PpunI;G!-RM*GBjdm zA)i-?LI1dfk>-*UL(HkVi{xQCp~rR%dD4IK^uwhpp$|7+u?QV~!F>0BcUzJc%_c$@ z2!b0yG_6GD>_vC26~pHw-N@$Oi;P%eVfiq!VtODJ(4Mf@!=o7Y5M<^wryf1-Ly=Ri zi(0207#QcUdG3!;>=SX2i$$-;t3(ly;5tzInbYK0DS)58FT{CTz5y^A}Oo7D}A(6*dG&>&R^769GG4mk5^GF3|U)Cu) z45tbTw_Nr~yYco+x^jTo1%Fmx9&E9=?1>=8z_uqZ2*0#QlYu8UkS6Pk%pk-tqE#H6 z+h%Z$D_?XHvNcj6*;tviN+BGCBM=YTOIY}TPGMfeLCaw0z8EtxwDd{bEanc91suVB z+8gplBA!GerNO>1Mj)lBhPu4YHZ@&*KIHj#!rPK{$TyYbKj%Bc|ab( zQAUIb17TW};VIPomL6;XxG%+E79k;=Ds&EHGbW*jI5t>a3>9LpTU7Xf;b#6Y?1fM` zX78X^JjYZ(L#_~(k~^1e8N3DaeeZyU$Bn_APsTdm^SCl(8pAmxVGiVy{z1unS>)xbD#U zedlF_Xl4p0(^siNoGA>mU{475f?3vdxa2igiav@_%*rUo`EmLh2V4^hBr2%j^yIYN zA>F~qdA+GAEx)qjJkUMw}FgXkKh(&w8?;tsVSBupr2DjhC&q5d}msBrSC*rVl&V| zX$6acqQo-oAzVIy!^0|h)(-JeG8J~60@4c7y8$b)=n{+OSTx^1a~o=gd58DKglE|K z5~P?eS)C7Nq@X3ljY6xLkVMFt`d=Bym4>X7)G?yh8FhxFFB8+W0+~odhB3>Tu0Pa> ziTM6-zrAF_bKO@z@MH^wXJuq2K}gE9zR|N-#W#RKDRV~P4K#|(2-Nxs|E<)-&R@WHMCBMP%e4g*Y_b$+dld3l=ukw9qeu9PWke$V`tI zvk5@tk-ZacMo_}0;cyvt5%WdZd}V?GI#A?!yT^IdKA1_dVP_L)(c#`9PEP0*RNbwg z^X}u{_DGalBG!TZ@LXn&Frcsrty#UY<{h)E@d%>oYWbl849x)mEJb2gcB?VBbf9Hi zm&T{DaixX)yz952sjPI!V#o5A8PmrxwB#SGC?2{PDK`+{;W@}9U;f5(xQq9nz1qXN z##Lwa*EN6m@L=~MKjuCB#$(wX;T*JxC*yLVRoCz1M_sjhp119V4H zw@TR_-XEGCl-~c@HQln>c-lesb>^90R_O(I|4;7Y@7wqFoc|ta@mmbScqm=;(@)#O zLo<&LA8zkvje#Hf2+P-}>EViTo3Y+Mv5PIa5#kXJe)e4)5_pyz=;_^=##Nk6TPt-r}NDt{JKMEmy4(JI# zZ)GHZ7Q-kK8cPk#W8wo(J8Hj^p95Ds=w$1I&K(M7U1iq?Ge1Pw_0RtFp}%bL&-sT( zx1T*P9hBuekygyBY+3E69LeD)k{6nvA6^`kfqY8b2JAaAH}CUS==76_#1whGWVuoL zfETP3K_6k(6wm9GKlmi;9wI2%-s$+dMGs$af8s#y?_rsZiV!czV|f&EVIcmXr2Fyg z_KM>_Q9mt1xhTxqt)4=cBA>_OpV>fuJ;td4VZlD=zPh!y@a<$j@U+gN>IDI0x-Zek zI~1M$@eC{d{IV<;W*r5Dz*H7%VwH$Y{GT)w!%xg`WX@p*5urmj5jvbT)8f{jVK?{N zUl*uZ8~)^1K>n^EK>(go(6?G5B4>k@_0TQ-NM`-J9-BTq0c}Jh(N$npB zSBDwO&kr*nMX)lIy=Yxw*X^s~^`AZ|SI1IpOkHVDuD#5pNp zCSs0f44Z|d$oi6)-9PnMCM^Xbb8<6kWT=P&6zQ6I$o~K*{FIaOQTtKS-zNG?cm13O zev0V?w}Oo&!l^%va7BHzbR+{Q5G|{C|JWX8Gg9WIc@GAzzi~y$XJX@@K9HHJ3?(K; z-TRC_qhnV#I0^!2DItb$zx5LZ&%@7sIlQk&1dntQ(g4@9Tq_Lw(@UNY@D$u?c0YxD zZHHS8x9?FeW7nTq9|hDMv{`BS+P}|XGcqbLYs#u2hM4s6AFu?T4=*k6&#bN$`6|6s zifQ@Na;x*i%nQxa!z*&9y}MV{QIz+gDt~s|DxJ(=BYdpS{l**Ew11fBnh;}BqMsJb z4NS{yV*YsfI)+ivc1ruWw`pS=lhXVHr}SOgB*jC=4Y`E|Q_|9u zJ_nMtd40`A#>0ENE={C%CK&m?;t}4gEmTrNUzg`TX&Huod@UwMC8qbFCr)zllla#2Sj#RAGq1D*N9X3 z<}b6iE_mFH<01veSa`9D8c|IL=<7QM?DgAwgLkFjtHasRF)?M0_Neb5CcPYlrc4 zPpR8lywXfzIOpHC5|=%}ST>V&6X39K#zsaitO|Uv|Ai#nRemiQS<(f76Xq(nOS_ny zaWo|5SP&ZdM4@!e40n;a4qb}FFtX{d&$j!RE!hvm2NOsT>NM??9TIZbX7qZ@G!#Qb zdVuf6qoYtQIvAB7@=#xqA`&47u|!tm_b3i^6PYa6zLhB{%dULpdpLro9O4J^#uG#{ z(dZUbUAI5>cyj0&JOBob{29aqmFK^GJO*0bdMW5MaAJ%66s!I>dKeBT{ut@O zbjYBCTOh(jsCBUm$+pya&|Nhep;bN}#w1N_m$f1!jY?-)XjD4mBITKW>%Cb6&Xr(DrWA#{pj zWrN5QD2G|QExmcrxY`(wGC}&>6)7HUWX?DAkkXoG2Snq z?dG)5*}^Y?q?E5tEtSH9AtGhacd`cZ9Fe!s0P~$$MugNTmk^<<#JK(akQ+`Rqe_%oA z=xN-NLTFb}iW~tj=kh;~SwsOcK#9HC?O`)jBt>csZKe=B-hb@Ya>Xw$KSs zVsGvboLT4u3~N{#gwVDz0ulJe2x!ztT5J|u1fuOCGBGMqV>K7CHy(PfzL ziC{k8VxMFmRT-7drOM;|U(TcZ_;!eK66{B_lXlp?_Je!U7?$jAD`yW{tfr5uTxj8u z-QM6d`rU&`)PjTV_3w)@I`EVCTd3^vshb%Y-}-`j zVMGuFt?fkL^PM8nXQj6*HlQy2r-iej%}F5e3RZz@@Wf>+G)#L@+hu9U-zbkr)tqj| ziUO6$SS6Y#!3NWmE0#&S0@Qfc{n-N?T#3HbdSMPl8J+h+kaVf$kmonJNqEzm1`7m__7r)=B&c2Kqry$c$pzOUb) ziJ)7nGNnuIty_}{F}AJS?>&vUM&`QmL?uP`pwSq=bHHkw;!(P-TzFVuPaB0#b0msc zY#)!b(aZ$VN=79OMT5Tq{h;c^TRI-EMV@G(g2dhJpTp1ukLRJ=qQYbKKOxO_LC?tJ z{f{%9EdS3o*5yfsH?B$(D$(w+{3S;oF2sr7NYtP)qXu=cnNr}d%wH8Lc{6YNKQV>1 z99EHLM;us)(cS}UolkBC^WMIT%dnt8oOGrmm`Sg5B~GLdttUn$TgQF6LbOuh*9)J0 z-+TNJ0Y(oHqlY3ip1%);Mw3DEj~K#jHWO{)3Gn}jWPL9X%N;zKcWuj(LEoPThXtRq zRO}$Yq{~y$TZfvz~YI(6ognO-MEK=IgN` z361k@v4z@6Siz>L&2=JE@y;}|)PZp=Cgi&jFt2IshEx>gz1Gg9BU3?L=WX(DVQGY7H4v?!|Wkr~)1;yip1nld<_!&3s)2FCT$ zB?<*yo4iW?3GWX_qP7VE#c@ds0oZctJ<#*W&11&bF4vdwwae*&DPYEW+p3K8a?kIGknlq z+XxLD2*waIJ|U*1(^`$!MIIz{UW=EO0oC`tb-zv8J7I++akNzdF-C8n-{fR$4&gsA zv(mv#rWRjy%zwmu3?{KRO<+?O!(lWsHy5uVQY&(z{~QlZPpc9?!YUF>u$D7mCZw*) zX5|YCaEY4)qG+3B&Fs754^8CBJ*(LVuj)ML3Y%3s<@1VM{I@LXGyc{dQWs!GSttZ8 zJ+;Ck8foCa)EuvdW&7q=5Df$8(WErW0c#{#YTRA+6WY@_+3mfX&+>2{U`mtbqs;o? z2<)diDCbl4jEh7b14Fa8FIk46P+EVQ&iq}FOZrnMP%C;Kd^XW1pF!8BYYBm;iP=qW zihA~5WgZyEQOi=aV1jP}6;Hv2#NVS1$i_55YKci-$!oun3O775C?62+OL%=GB}2N4 zY{w64lJx~c?3=muyYXydGJ;Mp9`RCwt^4*csOu zlnIRh;V)XOVt>2pf=26Mk|-4!{KPk-(`u3IP(M(Su9v3xLs4x;itIAmh6 zlad6bHOYxJE7ZQnvoidh9tP0%$lKyhBwg(~ZZs~JlR z!J^=3tzT=qm5#qsCSbLGD@UFzK3VJmKFnbRR=n*rCoWm*7V37EAU?tahO62V!3x! z0h-s`@;#D%EVpPDfyv?u>9TF((aaVw7}rDQmob>rC9&85iQVvG$>)mKH9}0~C(hpO z<^zk_gq;V@j|CWyACQ0CIKNN`J}E6H?Y_~BUf}ed{Pqr3 zALetvCqlxH%Qhlo#rk>@^8qZnK0j7b4 zbJ#F*{x&dyddpI}I%czd{y(2tGn)-pZNqL>Xpg3}K%!i9)T4YDZR!GOlgJInXgkt* z4!W9%?XuyF%L5Mh@JK92ih}jd`*91C(^FHhbxz3Uet|FNubjd$5KhA?gpx_S4wlCF z5g;b$4o-tj$r@5zty1T@8g+LwIYXeUzL`%=kT;9zI?!E0S=Om5xS|4hR2S7e`^B&E->c;pd-W;X0EZ1i1{7M+UA~w`ioP$S^An zKG<)(0{+78^%56moot)LrU@Ps8llM#bk;snt>NM6rU7Cig2;gCxifFPCAGs-0y|P( z7=n}0yx>H-nR)fG=;LwXZj*`xhS9dj_$9dpz#=GABOz5*|Cl+fU_f?(+1#2$Tg__< z>#y!T;{Z9+$~Q2Hr5s5EL`+r%V>4CNpF}?<_mvTeChV*uB$~w(&PN!Cv=JBU`pdwg zS?kXIH%t1G)WD7EYmY%2Z80eA10UPU^nahH~s30jhYUsAw;iRm$VKr;^e%wt-< zE)jzG2pp5dSfn~=s2>K4r$=bT*`tI)g{M$-x@JnMowpkPig0`QKd-QR$#Hu#nHH4V z&e5L5S_ZU$9^kuQ)k;ISzOExy*1_~ZxPl$ZrIqKRmlJ2_ug&XPL*YPA5we8AaC=#y z_=@}3>piQy>-gYO>+RLTOHtWil^kmOB)UwAXgn#Ve&RS3ROLCh*i5>tv`SunxW`Xy zvtgZ=Rt840vg|Belp*V>Wlc|O;A?vjVuj2>%3dH-!$TBaoACiTiu;0I%Cx>4!A4Opb6WFa>dIFJ8ZT+>+lu~`tdIQ>k3 z9!alI&Tb-GtumxdPfwFHrc+a{-radb)t5lo(> z%MP8}=lLD=F*980#+*qX_?RGacAE%ne5ni*(`CLQsjOinfF`}1s(5(%tldH%x!e65 zjU-~UFG!>kQR*heC~BR(Wo*dVS|&*v2=B{3iUCE6Ig}e|Z?u^QW8e@NZ5gCH6xF3H zxmzZ8kB#56!AaX>mpYUlDI8AcNJd9CIx_4`{h8}NYi!p9D{~c0ED&h{|2WtX%Pdmv zJNXA|OH_%?XA@*it~bY7n~0942MUF^lBtKxkIKb9aNVSrduCeBWC9yyxU&VB)Gt_} zidD``lKxE|+crXq%`(KMa3co=ONtgD#px&u9KKbE=8_yma;83|!mwGMRU8%$9qsdA zEHqbb#+{ps891o}kg~h3VnYTk6f3g_lVkNhVmq0Dl_h&mx2DSj+aI+`I7lz;*X9He z<40JasrVQJUXd+W%H`?l(cxnxbW;z?m_Ip~9shjxkS%VtI+`UB8LCo#qn(VA&U*W! z$~QliWSe0v@L+U^AOoT?$<~y3Ek+7m>LFnAwwZm7MgOsKU+MIm3wOA^J6$poXUHVW zv4#A^`MlW@fms45m;8^u*8>Q32uIka=|dZKd*hOcd{&c`2f&nz&FQD-Vvr|cVB^rf z4l_awK22ZcNxcOlV-S4rHy$VVrLxVLzzeP``OzqewKJK+6W4twNIm24rgENH`O9lc zlk7*q0jbGbtFjr-E+e}4JKoOmi=<$!>ME2_Dp?+dH(HXi}d`t zEX{JkXSFQyw#P`WDx<=ix&AE{-Vi*_c%H@0V1)(9*EYl9CcC%yyp`k-OM(=fCon}c zL@^)mXj09xV3Q2G`;&ZVA|LM~_GS01#=mNl@s8|W*{hU-nYZbd@{#0B(%B?*DzP*E zU%u7rj0KfOJ1Mu1(s2HJGjE$jCAD`)=stU&up`qVR7sU(^+q}QoF3s$VfGpjfl)CY z(HxaDQ7PLXkDQB~kZJDH&1zOjV zjVs8%C6Wm`Q*Bn#Gq^Ov(9v!JsI1xFyPKS!@+p(wSUHX*u{4ts0t+`!7O%T+A{s~U zP{ywQhnxiLnI@5Z!k@PFLG{3s@iGbHAhY*7W3WHRSvRh9-qEZ|N|KG!^QK6Nc^gJh zS;Jo78MXpk!m~<&Z2Ze;#_#y)18ma)aO@$lZSny}vs%*7GrfhVJ3#VQ(p~Z=xwT+P zsBsro0x6nyiy*59i_Wus>3iJ1LoR$~MK*`c1n%=@lUD}TI8o6W5%N;%2wyY-cxLg; z|M+8+jNM)yF0{k*;Clm6cFNn5&TDrC#Doxxaw&Y|^GaX}H`0KTzHA0ZSfoYDWq1f# zoU{wg&O-4bL4vIF5&2YcDmk*B)Xz+b_=jjVI7Cb(;tOv(%tCh-D9Xx$Qt(+ttP9;p z#$u=Y{Ad}GuFXi;!0AX5OHLua1Rwy6FZHQxWO&=7(`7Olj!gASq{2fCIc3*HNC*vh z8xN)7GL*B8(#k_5^R9LZ!`2)S6rw>;+85uoBKK}~P|YHtG%Po#ZT23`6!Vg$NUO|1 zA!d!NXD=0H@q|C$#~%n@$k!pT*@%%9wLJ$`?>V&E-e6xAbxdaZ8wz>6M0o;k(V+Bs zy#Fr}Cfl*Im|~2$S{I!dV0F|MhR`0{GNA?T{PHt z@C}T?gSI0rDVyF@h^|S-$eF1`eEgptDn4V@YVZoC&!F0`!)UgC(a2qfIO35}U0JtE z8F3T08MO-S)RI4#Lwj8Kxd=aL&}4E8ez5<>DSs(!;8|sa3h82-e%bt;W6W2R5YAwY z;q6e*8P{81>HwJCrs&KU9Q;D{(-Y@~8^sioGsGIWn6F@(myb8HsKm0$j zcN{jV0%TwRlTgVhPvwA8RUZ2GbsxrbK++#$(*SzMxI#7ek`-fPXawa>YfO`vpQi2a zziNNKSoZ$G@pA{AKJ~;wm!K$kks%O`|LGy~`3g(H$tTRyY}kNdVln0dmSwP6&6g+F zLp~L;uX%^r6B{cALdmgrsXGuIdh5;`*|z7op{uV0jGp3DTnZ2c4vLE~@#3Q_mV@gI zIkD1y_PSt8LAb5Pb~+Bt0v9BlEGDOuUztjN<%r(L^wWstQ-jFl1r7*Jb~8zVZ+`RX zL@EpGjAmFjXy*^PNpBpuLKf3=RJvVy!E~N<_Qd^`A$;7dB3T`jHq9g3^vGi#t5C`M zq9h!EXG}-G&-4`C4=$k3i8uH6UfMs75~@0lBIj|H&b;sT5(9i3 zeFVWQ!*+Ap0aAq3j)5(Of#h3}HR&Q#q*OKB3E~6raGzxvNNHHo zKe;i_x+z*=JGcMW({{^%;d!kf*K)Q#_gQz!M$gVz`I~%BvZVlXDsCOVGg(v!wQx-& z15g@B)p}HeehxYb?fHna!TCt~BQD!FpfTEHo=@2iW|aS)#*8R)s*F&?1c#Do2E(jg zjG#drNv=2Ey~?lm?Q`}>gP+JECGM7JGT9pEdP&~8hJ;N+?6qWQ`5J|{dvw1Xd*WF1 zX`WorJsypZSmTMwv9Uw0A_myskGTS7Ch<`E@6uB$V+1FLY(}hBe_$hq{1fw6Why%{ zfAcU!SYzd`FR>Yd;#zPjmkEpWb1u#c>c}x=6oH=|zz3~78T18zRW6n$3M5<0;4i?o zeN^^}b--S?dMv8kkySUNunMeflK0ez^1%AAijj#>8~WB1Y2`?gU{*k)hwS?4=trP_ z?9D-^{D32xc)fj|!G7jOlp<}7F|_6I(A7W3h}m;Y7QUG2qYeU@_R(TgEq}NJ0}9E= z2)yyJeweu-X$IC*cF#Q<){T#kGs0zYU6k4Qd5A$nhMn2?53{@0*{E%r$kkvzjeVRG z(W>;APB=z9*JWAJo`bUu|EkXyHLm9Neb-G=>86iuhoza-I#)@RBQ+gXFLYoOYW@WK z?~>ZxSce*Ea_Lkja>IUptV$Dg%FFIX6#-HMYd)~~Z{-DUbshwu`U6mh5mqm3uG?twKs4`n}}Wly_9 zc-vjHE@?IjsH}z)Xo?PSv2k~`OK)S0q?suOcA#g(24&VdTId6Nvafac$cv#yDmnkggeKdFxB2Ctwfb1j zxfkV88C!Rw?Z4hekL;b*(L=_q1)`8OunXZ0u}Psq9)~C6Y-$S6g0RY=eCbgHN2y?v z@$(>rfFC35N_npQLu0`lAWfQg;sI?ni^h$~w0Ux$yfy^s*QGhynIGEnuAwUmTmIqL zw)>80YmLc?FZL%M?58IsCiDjHASEPqwbQGK-oeYZhrWEAXEquNjNm_eU@(18dPC|S z*UqMtq`)YN;qz!j%}fT*EV5JgHOvy5t<@wz_TwXjE`IZkB*TS(WgjcAJN)&6gnL24 z3_NYSW0WuSuWxTzN5>6K_fKq{{)+^}rrp2r@!;>$K#(y3hKo?d)YNGC&lJXP+*u!4 z&vr%(q=Rp;`=WTS)1^Z3TZIDsywyC+c14O&Xvnf{wh|_Ao{>dQ8=Xg;#DO)!aaBS- z1MVJhAI`?uqF_Bj+U&37*(rs-{@d~9(1o-`)g0aV9z(Q7jT#VE+ebb02{87KLe zluUctNw9$^`*emB4} zZE(Z{&)*fxJ>=XhMn~FyKPW#8{mo=`~>Mu~rY5C+S4&DYI@+G9WNN@Y=`r;SsT6J@^a=?3KQ!UKd$2=Yt&<|9JmbaP3N) z&@+y|!xeTVIA`|_%or!nZgrOP{BK6I#+04G%t4dir9R?=2sEYj;2^}52F1O~I^sV^ zZD`rpk_-vY%HnX0YQL3i9yq2M86aL}u;~U&TL{hwG|oR5QjyOo;B@D&1b%|Jvm<;yeqZ5oyVT-w-BzBzt z5B%*HsdEHn=!L5iC{|FG^@-uLyf`*2NjbE|4a`uUfC0N$xZ;W{=#uLWF*5-Zv~kHn zDvA>_t)W5mM0vVMu?~A(_+{hz*Fjm_tgjPd@O8Spyi7@w60!D2&aq89d%QjSiC`k) z)r59Mm^U-#9@d`Jqn!g+1YN>h<$Ca&)K=k$b?w0B^9kzK&f5k9*W!?t|3rciBXkgX zvhLuyB<{0`q$@?u8ao4H-dKN>xgWZ^2HnW8ViY6}Q-7_u@FJ7nm4WEK{>LtH_K+rl zSh=Ij_F-v=3_G{eWe&|?7BS~*_eSRw_uX1#193Tgc`#*M%i2cOh>Gp7QwfTRXNITx zA+0;Hp`1O>jj^pah97o`jQ`JPKA)*-_hpr*QkjYotcJw~-N@L%!Rw+9jA&qyr6MN}RP9A?{-T<0wMrH zAQ(d$`ZL{DE>8R2Jmb+btSpqcVyMPrfG)*0RNu;*k!#y8{eQ29k(F82i~WbZ#o68a zTwrOyv2HZHf)T>TlM!`^G7E{4O)QI`!wWd<;mo!UxMl&1@Tr}{jG3VUBAkkmXi8p( z;bpwWxHJbIP}oq>M8VTx_T$g9r2s@vqSkk7+jHs@Eg3He6<&eB2)MTTqI!t4lMkPrujy{*b^9TCC`mEfY`RA{Bv~_V zRx-F|-ULiWgd`s*;aa6%XR?0cZrUhL2IGJWqWi_l(-TFu{F^q;7xse7j)OVpvV$Ag zOIy>&^$RD8L--y<4%30%e2p+D=GM!sm@jkq8?Z>`U`m*4SA};@eE*DbnQY_Fdilu+ zLyjlsGk8CF@6X9}R9XR|^W-4UGt@N+;YC0&Bp1sU<1ue^PkxALh{9G*y#MZKCcoy7n88d`K_(HH_(8UNt2LH|TZ#={9&F*Ix%y44T*Rz}Tw;WCC z88(33R;A53N%cj^zcm)3pDl1wGJ0GSGxFrHp53m@}yzQ3(OElQS zfg@)VLPWFsM-=Wg+vW{bksPi(S@Sr;(5}ncNN*y`C9}%7-stri(7TK? z#K3Nn5u>L?lzdNItV)PFIUvf#ZY;rUlp3b$v=24TS)Q?Goh!~G^8@>7=3S5A;wnEe znU|lO-Q65b0XA6Wa{$!#5SMBm5s9n8<@?2|P%^GyK9;8Q6}?o$e`gTVf6!Rpvwy(Tvt+nd4fM^oPn(bYpBA-NfreK){~0f;M=hQnyVyZ+)!pXX#j<) za+PvSQN|hm<9jeb_#o%2)6dAf+0HFf@U5^e+|TGNCB#ZV-yu|~sKuv&=sJQ1ZY$65 zU%na!Khc9vy*+p~(k*li0L?uDMb?ai&~B{fH1l>%iQ#IeWN3o+k-VD9himov;Uf2 zn}@8ljz}}HJZyMp3T2`S9w!8YBK(=x$)@mKbK1vlMuQ<<*S2^y-Vr8v9YMw*r7DZ1Q*FBEP4+f+4sHo`~x8?FlG!Y z>{e=ohs`6C0~sL*rA(o0DUONZPkMYZ6xLhw%8O>`918S5 zRiWdL#Dj@V$D+)cmc8CAE+njHQl-M6LnxbyF*&k`N%JCB%8OvhHvnil5!jeV5~$i1 zCgeAr0Z8BmO@IHJW4015vv$CfgVM3;)D0SHq?w1S;(cdRK%sg+q79N{){s7xTcLJ{9HWdI zG-l?43fqY_O5$0C3ktT(EFS%Y>N z>KfqdNQ79w@kfUo2r^`8(Tl%EukMLV^bkBjwz1~>d{rUTx-0E|;^P^2#B6NCeBj{> zZo>RP_)>-raPdh+HhtlA>0@lug^!-}nu=))be;LNXc!Q2s?46if~51zLNw$EAJ3LV znWh(OET}W3QIVoZo4vntS`c&{DSKG!(s-&8u{kwfnJ15ih8;Enhis!7DWcx0|HQ|M zT9>@>*Xk^i9<{07_dJ)Cfa6S?5V?=L8?S<(tVzVCY)FbDE+o3rFk1|zIv~}3SizDt zhA<7b7ul03&Di3=IRAw+?9s_5@ce7-p~tKO*j`Y16o^`2#ncW24bea$4NwZlh6x$j zjRJ`!yhtdGiffm?x9bb>o(G81DI;?HY#>e3*wh*7h+w5nfm1QEae38squg8KkBl2S zG-T%01xuarNHgt=cCh}$?JIVpg_pGM1OGI0N*Ke{5bfqPDL+VtE@a4HeKtxQ5S>OC z!g@4vh)h_#r9~VUeYkZE!lr~4zGuCoSuPTl8T_(3?2X-YuH?*GlUCLjhu_KXUXDvk=2DeJQUxD91R8;522fdoN=58;fwRe5eor~lz;jEb^3UOpiC2NYrFt0Ae2g(WQq;uBa)mYZ!~mR zAZ3gO!V>HD;O>kb<%nc(4qo}%QUoVC8!{=#&|h~R0N8ZIn0;h5`K_@%OJJi#A`gv_ zff%DWofgTzg<*By;O%cai|I-F4)45-2E=%+_vRTWC}$>hfK>v)68!Ze8t;>cPgJ-h z^dh|ww|FPM__GA(YZq?<(&Nf#(|iw^IuT=hhazBt+UdkbSFq7-86XDAB}hO7V=XlMig9&{i`GSQ-hK-x&GnVxc2765E|atmc!8uL}}1DiA*hIBfemQY!&+|!8RKV$m& zUr*tYVj6M}(`iV(R!#sgg<^}2W86Jfqq3upgWW_0WbUasVbAS4d0Y6U#9C z9kfMZ8U9iAPt1>ESy>1?L`h0wxuN^$x0K`wy_YqbSasKs&g@i46W4RynX#QIt?GC` zQ#&wi&53HRpgNxC8_a059vG%UkYQsF`g*tNLUHZlqD_97HbYqn*RFd&yDVqX7}v9a zS_}E=EUbCt3O>iA!di$&@@Gox26A8oO8+`UKPtd>v7%39+s>wmh^MUs*+~Y+$!`20 zn%@XzU$ag$PEmHRl_4ELv@~GZxA%=+gEp&FHgf~;+oeP?GwGmz_%H0vG&+e6dtZW> zOa*uwEQfk*v%!qQ#|#HlD5>12zRHs31A>hUT<1%2OGMB;nT46S%!*GEDuVje<7p12 z#uDf2MIdA(M`n#Z=X*hpqaZ}IY_S}S010OL zvH?hSA*|6@RDQl0jQI@bVK#v+Cg{j8oK^g>DccFu9LzpcFqviz zxVF;4k>a~hpP#lfn@WsZN{&18Q`;YKTw?tc;zBAYMykI!DPZ8uV3eK%=fTMzK??)x zNa{KXLzF`aHIcvq@#!!hU`MK~*3*HYBi}!h=mHNs_rbOIs7K^)T5!CCiM(V`K`Fe# zr$NF1YBmLH)V%p(hqL?+l$*W&=XwZbBB+Y6?e&EF_@3IjbYac=&qR7)wP2cB8Z8Z4 zL`e%@7$k6=5-Ug++lBC5fb2VY<$9*3cW8D4&lo;$hcGQ|S|fi`3jwJ4Y3Z8AewHfH z*pVjGCJU54Yg{D%HpM#j^>>}KJFjInp61aB{3HwygQbNx*?1sOXcc=cZDe*&GV@}U zkb`Cga1h$k5hXI8hhW(GycQ36gk7=cb=fSnsKx;`Wr%_N%R___%tlB>#8^K_Zi1_lwU5Mn)`cGV1zUh~K{j~82Df`MElc`89@t7(NHt#!TF)mFai2ON**+T1-Fz#4`Il9oSRm|VhdQlEx zSrhQOH8Gn>T-G9@t>XWWI5lFMM=hW>;Zhz2MpQjq2wIScu&7%g-{BxNMP@hcSxHtk zON$3W>usK>IdNUXIt(PU`bi|kM_!I4bd;LvRNnebDc@7dgTR(BiJq`}OA$0DXsQu) z!rT~qBC9y<@Y5MVv7pkiUm7|G=1TIP&Eyo@oe-m-t6JzIzWXnQ6JMrKqgTy0CGnwh zVw^6E_5ERH*|)#(9IKS*iIYge%obOz@Mi46AAnF2fN9!&)(A8T+)^Ur0LVIj>p9FI zJu!)*EY;GDq2i}lH zH&@6`m6^_?nG20W#NpF0(PlkZ5SH-<$RQBbl%YS{5r#>1L})(ad3f_#RPYD3Lw=SU zylY%VTt_aHo108`cn}%wMF81Y=#eg)PH80=s4TE{LI)iLxpTq%HJ5@vsU04-({_(l z`qn+M`0$3T!2L2m}`uzT+Hwc zA)EvDk&5J;vx>lTbU6Qs0_rl1 ziE^nJW6_q0`w}M(jyM&NS0WV&OQP_i?rDu#cuhYkyE3^do-E#-C5J}(k?aPugaOH# z8XMc0zL0wC0_%oZRLNSEal2>DyT|s7jURLe)6O~<6-ZSA1FKcY59M)`_aIX+@issf zMr4uWp~$B=!W4uS$|6G)B_e2m(MhtzaCqA=OAd#Jd&<{;`*d@o8-FTq+gx8vKJNFb zoe8f>+?XT4S$KV(@5-&em>Q#ukuf`FxpZ)%5L@F$i%7rd=$N(P$H$<@qE{^6Fb0`> zWBW7dw8B+|_)C%&1Za6jvpKMmW_e~Yqw3377$(J~x-_;X_iHJg;d;k}1zCrWmonI2k#bwVXV( zKed}BkKn%yx-`DHrG~O7gsY;D@$`;zp;G*~hGOLm) zo)=!cJeQAH81btZ^7%w!f8I)vLMuNUDMKHCY>phRAS$QB{QtS03>{%^F%Vd6Pr_ z`fMs6c_JBQZ74>j`>|{&ZrlUc@|`pV{I+BFR?TG zuvim?Xf7I$QY%$^amS7p9I`SNCi7V>KC#DjKV7zl-RCbFimZy|PK%SHA0@{kMte<6 z?Pm3Cp0`U7g6To%VyBma5IE>T)1NSrC}2gcONByUDAPoUVOzSSxg)MbN1;+H{_k)8 zM~Fad9n#B1=v3&&UQgP59^!=_5w2$jvRf)RkS%0KX9^$j7<+Q%>R=uRD6rIRHc zl)qG^mSVqn!6g}<%R>P4iIsJ%e43|3r3@e)D(l?^dri?S3}$_>Ar+NHSfK~Fe(}^x z#kog^;{{b0pCrE{Cs|tl@OMtj=dGMuh@8tH%4MP(`G){Yml0%n7(v|GI-EO8009z= zoT7LwC|GB~;qvX~eEfJBQ3va#89!EK_mkEb9)^68bb9>LKq*?*YoZJ!bqbesnC|A= z<9~*~`mP(?%D($D_ku<=&4c!B(+o6&a6KGL1YeX;k@#u)>i;nWS6H2ms{; z7@P+`qlew#!QiW0MnN|VlFB)x{XmcV{7;>&0wT#=Olz`%395;&qO{;h*%VD%c(Zo+ z)v5yk9MC!ZHe{@U;T{)eYsEkRi>IxM#Ul{ir5Qlx5X}Lvg!B}M$ftENI5Zx@R_PLj z!ZL!Jtf8V$Sly+TGYEUUAAHIx=1HU%pN7k5DJuQK>Uv7f#}N@lzb3s-q4b8RhX3%1 zfN6y#tM5kvL`~t~%PqqSZ+V~jDe@po0-%p3(}@xtOUb62bh|7fF+fwaz5z}u6bF1l zB@RWQ$c!$~B6=LU1h>|J-Sg7lOLGKF*g_;_dr^zE;glTothp5;0nVzjVWs93^T&rb zC&GbA0kSm_E$hzT+?sGSYl`51OOjfrGOLpPUmG49i{_}D6|KXxIA0V1h>vn9S_TM6 zRDZ~LsziHnXQgh_yo}nKV}*S|dKT+CHpM$Xm7!WiwY2wRFMG(MPY%Tr%mc zTX`(0s=Q-ci+1^{$bobsoy4-7cuzTd!A{Hi^5n#$WsBW`EEeadSxp-mo#ct#TP8Cu zCG9KZ?C8efM;O0RFLT(++_VPeCGKvqvpIW9wy>`-akUerkgpedCa$~B^)h7mo;>_B zg$stBKNw?Z#3ti-^hO^9%LQI_9`4>ZV4r;9>D;Z}=7cpg zMaF<+a$O;2ZKe0G&8&+a+jA%_2+Bi@OsKGIhGNNfCJ(6xRHZ7XWG&`kDLCat0g*n4 z2eoHzl2w**=@l3G+ZWAUx6b81okZG>fpbr8+F`xrG;3>rG!Jd$d3orx(PDqpx^`e4 zBAoJb+luH2W=Jsgn2q-wNYfD0_c^08#D{6B`bC_IKbfJ^X&WawVTc}y4^wTAdSv3C zG7lEM^+&HBMIsGEiwQaxhIu3t9nGh$ue}*kUdxE1s3}NIJd{2joebJKI;l#Jwk3nfah2g=!aulPiFGu+IbP zrET|t>w&%~OVgs6IQ>!i%*RHjY1LbfxMQ1(tB$zQ(PU~1)Sn(rylJPk>W>DZ6Hosw z*qmU@$GnN2C(y8<3%u3o=mY7~IX_wk0$?1DlKsd^X8BoM8>7XU*WUp&QIHHfA46fw z!NaY61+a4Xvy6|dbr^nOo%jNDoQM|?L=FY86?r0y04wJ$i&tq653G&Rs1dL&8C-W2vEVnJiU}gOa^tke}JoythxLK`(S>@_}(oqerV@= zp7l;OC)e|1DoUcE~<2``L}}xNzOGQ!jp$*Va9oH{UAf#XJ0R%DVezLds>1{7gq~Ei{`|Ou_o3B%^kiylpuKaxVu<4Z-? zl{{BjEROWY__3y(9@|+EBI*yLte6Xs9f!}2%qbLTzInu#U(hEHB>&aF96U467l?0t zfZM&Vi%#}ON9<&xm`p@|HfkkviK4gD&L@w$IcJBBmX)>kq{pJU*i=?5!n*`>`jV_w z-i++mn_~%A@H#W%9vkP@*c>U|9v}pp4Oi-!W1YiZB&vNSx{gx(Q0{@rGS*)4?80 zqGUX_DwZ&6@7h8HpNo~4h*&oi(BQKeQDvB#QRr#FZuI0FBZMpHN4C@vzcuk57?6dW3Ashp}5gBT1WYEtieWusN2?lMU(m9;}MLf|M9V3>O8u00dQdH_#f_ z00-}tivtlf>=Zy3zfZ?~WEV1DJs;6XgG@)%_r2dJzcoPZLrz~%3IZ-@WLUl-Rcx9Z z)MQz!BbBR9KptwxJ^`>fjx*mmQ|>Cs2+N_>xOj9>QdwgZMsCIfAeu4^^#ih1c4@6K zYN^{Vznn`aUwr!?Cb4LYtx*JS;Dsk;4P}=iN973Sx@z)#X5Ia_M5p+o~Ucm_`T%Csnhl+lSdkw3YA(tpd8tWoR#ob%U^AXg9bpHfUE zB8)1GNb$I=BtF)cutqub*PKym2)Vgoh(MS$zx0ZXqTmRC$A({m1EdHGlU-kzYNb3GUT^VO8n@-UDv8V?6BQN&^EVDK6gp|i5Nhi&_} zw~097Vn;0w`KWmt!jdPFo^#9bkO0bMQz`k-#hj=@Ex3R3^svaF<+F$&fF*p$N3nUe z)}4MQ`!&T2g_-~LdwN{MKu}j-4Nm;V`9Hk)N_{e6E#SmM9LRl8Uwp2w2Ywa3enh|q z0|9VrLn0_z$P$CpyE%K<-j?+yAXQ2bI9<#F@-huM9~1_a>qqM4Yh> zdkZyg3NY3*f=@n3Zm|t{;P3^m_I=?=FlM5RlXgV1Ch}ZY&L+U-5 zjQ5vkRhny7C*}-d(irJ*!?0CoFS6aCS2bPM1bBkUIK#Gd9E_?l7dNxO%p@y2_k-n_ zn3&HZ%?W>M2W_kJ!?N!fp?{*TdQB`LidF!}Ff>MgOFW6FRKUFsRvR68b@cq#zRF3kz%3L2hrkNH{_ZeQrqqK*sqxVtUM33v<|36Q& zRz-4{{)tsW*D8p_{|)Bq4k`myD9a$9t~kuT6x*_lW7CZ=y@~6_0yA^lA$4TXTw0uw z)4`bfn5J7kjE(4y-WR`R^Q+6WYE{CEtV%?%68Srt_^FtP^mP+dEe6AkO<+G7T6tO^ z(7O-}MmEM5==#kxU5fcNvE@_N#Ml3cA%8DThsWq;nTHuy=3!u&-Ydz4^X2(>oL!Db z;&~5k1#=HAG=3c2i`funjT-9C4c}dW&Bv)*6970BP06D;mR7nR6=$$KM~r2C*mD6{ zh{YiGWI;~Y_<3Xz!=N%&+&=l||F3AHq^{1=zO^x{YE4!fD_c^QCr=`rM$@GTGwBX)!N+)&9KHCxD|(;$=?C-Ajos`#^^*_gcaD81|Gtf{z4Rxa9>(T+ZSp6v z6fr#6>dV-jy-$BYW@qoympv%!bIJFx_qllM;P( z!pj7@Ds`$BBZ5&^O)bEMb|l`K!#B0-5oXqM$p zw=(*}p$6*@ssLS-IXBcU{kyIHrXuq`5sy~>ZJ>&%c^_+bLqel=Zx5l&of&HS27P(+K-Pb(><>UeISWkv6n-Vj)QzaB<@o2{<&%y7 za_w)>Gqnq%rpCiH&lo_BEoo5m={Hp$YUs)8)p<|M>wdMUAZj%~{`47ZOac?(ri{0{o zbTkd3L|NDdHvGfl#B!6L_?O6-;PjE7;DFETOQWHk0|H`CzR|S)Ax@zF=l~VTj~?8) zzW2;Yb+Y*osvvv9|Fly+&j4ukgLBf%TKeDi%sXGQRt=+k{#c^48SPib8H#Y`$CAml zu|)Eca$@@&(=8oCb-Sc|v~0vHR+%On3m!9}Of_28#vUdPjIru!qbzIHhV+0}DA)zP z=UP{0GrG?NZ8YQByZ-rfDc~sF)JhN&=Y0FSeH_)gE4QPJik|Zy;N$I z$}yUd4xzKQjts~ih?*HKrs`Cv^mvGidAm%-zR$YDkiWpDU;}jrq8MVLAOWH;fvxf* z7vJ`3DAgFNTvDGHBT~<`bpUkEz--{gU!XAtt!lRO?|(}L`aK{^hpO|Y32l|kL-Ym~ z6jm`X(+L7vrzhS24Br>?Q#XqnVB55PsGE@jOkvU+83QQqia}iPF(hFQ>Y~UjV+@LH zVlnJ1@c4pLRhd$r@rn_VVJmZ0 ztewo7+l=wUblZ>GG+9?>{hG|RmA{^%x4UyBzxt)BPqU2@h2{m0mwxxONl7OizPyJJ z+c_ZRy2I!?CVFhtz32@!PrE6snKHsKo~?X}3Dq4c5_SRysa*4yRtTdhume6?EzmQi znL^+H#1<8Tdtflpmg`TfmeK&H2I5v`EoN5Jla zmz#a5kfulGf!Dr@k%}necFj%?`q~Jx&lG;**Ut%INjqFa6v-Dq50PE$N)YOZ8tcn^v>9qwbDhssJ=+@ss6? z>+W)j=GAz?{0b3LyTujLOjmjlhl>od;v4kfuFu6%J&7{y211{Fsz|OD093Qd#x|Th zfGj8;%+NEgmHftQfLY21ft!ZtDSMuSqRmkQS`uC2&=ny7woE3ex|NS!gt9EPkmD}I zuZmwt38%mm+mNTD@*FLaPV6`=HgF_^UI$O( z!&4jWG4oiFD77oI1CNx^%)JXYA9AhK%zJK>p)xud*g#A+81uzgMluK*j}++#0M6vM zVM$!|hq-8zng@+KwC0UV?O2n&!X|o5T*`B%E%ktB6w; z-7DXyz7D9>h`Q>p;EXS;4;qDf20rGm)3?6iM$7~jHX2pt&OQ0FKLC@ab*A?wdSvl% z%|6dD{l|m~y`>2wCVKdpW023L(kf1< z9mqqxRWHMNGW6I$I+CIPXtWVW(HmUXwXEMiSF?x{m??r^NTLc8`C(d9{X;j9V5a(? zn!M_d`WyZ^TLMFReO^Awnpk$v)Qh?%J7yrt2&H`||I6JPdtTh5VrF%aF8eo9A0B}@ zrb{U@(j%mZ;^{poGIwyjnxYYLAaS(JDf`9He76+IKqv!8>=pdlSKuw{7gQoqtQqU# z@1Dinl=`037eW)RT!4N&{nm&X8|X4AeLKaE&}iv3HS5 z|Mpf(tVw23JH@H2IYk1RITSCrVJC2DCM4~{H=FPIPx^o76jZ$li#6v?#`@C_TUIJN zHW@uI#!1xwm#scI_k_s77_(yL3m7=LBF1+)mM_FJMcqs#lWww1KxrhOd*N+=XO;Wx z{I?Fp_N`x2*jc_iH*ia#e6pOl&~_dvY~1E#KRayiD^J;LpUSU`^sY@jTX=dbwl8r* z`ie*I%Jvu1r*CpRyJzjC<%#{-wVB9OclSSGA3K~Q{a#|-qgNN5RhOnO+(Eoa|Bm!~ zA|Fj;r}kZO$5to1_Esl$Cwa$Szj^Yiony{K^4X0~k7XjSaRoy@d0{&FLOGH3_8Ab? zUg|tC%>EpOk#~>Z;MwVm$^+RKHlDD`cH)yyjl~}D+(*U$CpVQYRLY4xQQjH`n$wP! zy^5V5S((d*V5c)pp36i=?smHRplVKBkl)S@1c`5`!!ExRZri29tPu_fD;JEO@H?nN zs-OSSg)rlUxuovVCCjQRqlslYZjO&au}QZ zHN`2>nBGlkV_F!Fe>oLNd1NpP3N>G!JyQRx*?Gwn#9zIq%#JP>be@W&BI|~Y_E7|&i*I5yDPY0z{8){i!*j9JD$*G~; zuq6zU@HBihxKvBwQu*erX~*!%>UYWW%z#jv>LB618G^cGYOTKTy00z5kz0?Kkn~hy z@_x@>b6G9E$%)^b064=V;0OM5eg~9H6M{D@V}I8QKv<1mrVkcHTA~(X4+N#8;4?}w z=O4M5x339K=lg=QsKZoZU{{1s`laYz3T>*6e^t_lN(+kudOqm<#tu}`=894p=@T6B zp~fB2a6;pVSz#|C$(KX^PWbJvAuw;&z8L5TufqsDIv8Bc?>%Xgfo?ucYV6OI(dU!UF|#zVl*djCUwr=blM$lHOa9@ zlp7?Fz;l~Vd0d1GtR*dV4f?xREl)VsEi+u~gk#8HeJ(opuR5NO$UHnMyYBPtx$pwt zp-x+Osm;?9U+QTwzBJR+>|pFR9sm)a`O@1PFV6WjJO5VW18|z~$Z>l6qvr%a=eU^X zb)EY!0P`FGD}VDzZYE2Niv^Ans-`g?1SuoWaBuWC|D!??7m{PuZlU29|5j{)A{%d2 z-<$996F)<6RXox{hc#A-5&0=2!Sol%Q6|vK0MD{guCY}FvN;k~W&K|S=ktCcNd%zU zR}y1@0zH{241QfRy(*FjOd?axoqsZKalwtoHVY#C4-a#?oL7bvrg9`i?PyFO*sf9_CZZ}h5daYU#!e5tt*lG}(GAmF1MmVVGuHz-`&Vu!DPE?CtU{+|0S;DidlD=DgagaFpFfy!q-SiRr;Bz#MtD)X{X z;eQ)oxm|@dEa3Mq56_x^1C-LJiIfdx8%i>0GImGs5*1a+!Ls&!yLnknu9`N7U%UYyTFA_H}$A97ku^tElzisr7vRRr8hOUr!mn<271-SW=L(h%F=7FG-=I2BYnE1kHc2ThHp*iAdmSeVn5A`BHg zMS7bz^jB!1Mipj?li>8NC2a))d)5&GUFrMRQqRIw)C*qqL(`Atx5B>{6rQkd|8Z6t z3!wU0!uc&8Ta$y}Z`@-^9B+|c+So((g)(Po<2BCFaw&2NiI&-z%apn^F=(0F`MTIj z%YOb)D;HU{2f%2vqehImNaYJ3vRVj6ROgUJbgF@)8ssnHk6%HpNc=GC-`UC!(+sMH zvTBegD{p9J0%KhSN9{}(f_^Y%)c~x#v(@lZqYT;lrM7DC1K&h!FL;=4Gf&jc8eXVD zXIanx$r)10xin|u2`*@~auYPj3i+N=gza#Q9N_7LE~lDz<5goj*n99>3QG?6#5mSw zyhzPd*!1@++N!AbhL~n(DX^D=-maFwG-+cL<(F<^d^A8@Ur?=aqI*W(4$rt0pn~Ke zqq=G}X^8fc3|j55b6yjJ>Y108O_Ek7NdvVt`B6`RO>Wm+s{F)f>wYcq^!8P!O)gvn z{JbQ#jAVg!NiA#Si}9;U@F>@;FSi3doht!L!tsCN;n>2Z8zrFGBeg=RcPk^Uv;ZRs zjXXrXC`nYo@cAyGw@6rrqS9P4Db#D-zW3+xM=su+t3qi`ZvRRXEwvp@xUm@j*QP6* z6xQs)s@SRCoO`A6+qL@I{WWMWYrgHNm z4Y!u`gF({z{Zy6e!)NDS(VcJnbF_uAVXU685c5q*H9~E;W4)B@Y(}V#B7!38!My(e zR_2?w4hkwYHdzHVfLX~OZ>y;4Ln+j*Sw|fazVEHG?lu2e_eStQlVUCF{I|EzuB8t7 z>Uyo7CYOSnl|MSa1zKyR=&TM8!HxPWm4A4BEi2KsQP|OgWlKp z(y94U4f-Em3?Zp}?Z)tQzHj5R;61~PMH`0i@|}_(5w7{D5_9gC^8PoT*+L-AS6lTu zr3c3oKOI8_N>Ni?AmRKb!mRi~y@!p^e{j9!oM*Gbib;ePL5K~jvu2L}TL{QJ-^Lm< zacB6>U4#^5fd*~9`6FFblQZTqxxK^V6Mxpi0%=}?iVy2(s4y(I^6eK|7!Gph*Mb6t zz}l~x)89aj*5E&Ee)&~A;IC^B>zn&ssvmRaM;#gJasOIPi?4k7>vKzKxYE$(pbL0^ zp3C8@pZI8t=}9IW8YV!%ls`8iL^bDa}briS}PFB#|n!?|9q#tO3 zoHY3n#`%TiRnl*6&nRdLL38_|`esa6h8hxSa1i@UCR^>S3-DHGLa zZF+B=>eser>*rGl37^p%m?C;!kH_v(cw4v;T|j?!GiYvD_L*Gk|= zN>BN)={i4EUI-kgn|`&G9m7Ly9-@Qx)7>MTIXR{)GNF8wj1-+iY+b{4vhv(l zT7%#26I~u{?N;0RIRI-*wXf;E=pXIs&eyxFmh4E|?yT_k!kDVsKcl0GF5k4u^WnzQm+-4oz zS&JGv?f(UfTLx^w?rC!K71U!Li04ioo!R`WP5O0_mtH~W2zw~kD0ITh?S4P8H;mJ5 z_l^0VtMQ^G0;MXRcuTA4wfO;=eJ2ELNuIK>(8?#D2~U^)9T4o&JY^vm4{z>%mxX07 z>H8HkESEiI9nncRE<1W@zK2g$BFoME_Wt=`?)-W;TbbPTnlrmavFwd@WaU!Kx`wWZ zAOD=vb+YwX(!BOUh_AX9oul!)A z%Iwb3ZDG?Ls3_%B2CQpyP}UoNr)wQ{O7~dTTG?%e(Jkf3Xs_LDW%p>T^14rV4KNW| z*K~C22Yt(v+J@70581C2hVrsbJHM=jzkGArmFvH=yb7+>D!A?qwNsj}c8%ko%6eFL z8Y%nW9bfBCS<>hqcw381R2@{+ZFMKCdg*q}?N6P$u?bxp~Qe{>69jyr7?ciQ$?YV{z} zsB6lAg~DHwAGa%qBKo^_oRUhq77JH?d#Y=V?3#nqxZQWXrn;bLac=nfcHRH=mq+Da z+|)%KWR)vlzQuWU)pUN^O*Jw3MDNSf{hc>!)*GkfxD<^4;Q=$53f^}L5`UKgW4o{a z*1POQk4GMOYu7|`F7m!pG~TM4TvI&YM^}e_Ss`Cm(0`Z;`Yf5bgr8lKYR2M^&%F0P zEdi8SuXYnM>;Av)itE)^dp~{0EKry5^Hgc2X_;H!kjl;9t^Zj&UyO!bdELXc0+xEV zgg#RRcZ}aTaeMZYy}eIwdvPND9>P<; z{EiFP)kUdnd~Igkv-uZ4%J)Ui-u#PqaI)q_r@U+M!TdYN?=0`iwo%Ap_n>K$e=mo+ zwAoSB$GR=oss%6gWErqddvLkOmV2yO4=llQn^W8}jm_zj78knRW4YzUqTTM&78q>* z_NfdQbhErxbXLk}Wns>L*C@0f%D+$g>}}3dKiPpg%PULQiBFwEnri3mlYgrxmjzL) z9-4iBxyNSrNUPt=J=Us+X5U}#vDrP+>i2Swwd$eS_g^tRw(L9qj~}un;_kMl|FXKb ze{>694siJ)2e`yr2B#Hg^Y*5{|DPXjICXnK0cmRH14B&#Uev`?P^|e2CLg%6B_7*p zupe~tYvLcZV=<8^)wf^HO<1axEaqf3LpR~Ciz!I&RNnK&#lAZCJ&0^Myk%~;EOg}* z9G5=0-2%*v!T0@edF(&WQ(Tr#Ot~ff271?uaq5+ zmL2GGcUxIbw)n+khnABqk}{Aqwo72ljjztu#TxH+_^VqYSJf^9)?w!__gJSL_R3k) z)oIVn`~32#oVRP5+~{g#e3)~jNj;0Zl-RZEEEKKfbm+?(dWQrA7x>ZD&^z4=yy;ef zC6-yu*&#eP^LyFl7?-**_NFl|-SJn5V%ys^w$Y>tTD0N4##-ABK{-?2O zRqdWMtzI&ua$cd0GQ2jP;gQO=o7;OZc*;#L^!V*RzCfR~@4oiuLMr=zexbVN+;it# zsk>0Q=dY{3+6SciO5@3oM9!2Y`O($h%BOy}vDr)c)kR})SyAs85vQ!8u1zdDZq0lz zbhDMG?v6^!in_tSkiG0mpKmBMy}qodFDvS&z*5on6!oSQJoh3r-BeMY`(zte{^Ms{ zR3~&%73;c}IXgd=nrZF1bkwr)^Stxb6Du%QTE|t@zb+dh-qcom;)}1Uf3We~kNs)9 zW~Jtb&8uAV*sP~p{ftxEJV)g-zvQIsc&Z;fp7mGK(7U zm5p7_o@KloYh#dX&H!iFVo9g)o1CDj@-NSFtyCVKkj8773IQ#}EZdq)#kiR2DZp^` z{KeB}Th?gyf?_tk$K5<>4HWjfRAH@(SXMD_t&3Wt#RJ@QY-^~Ti5*Djs(+NdoELau7)xWBi80MF(i$srcVUb)N3u)~b<7-+b*2Xm34~yaq~wH~bs`ed zc@;Vd<&R{&PJVIsOhW=p(4=I=Q8v5r0E8WFzC_8&{&sJZ2%w~koG2FUvt#9BV~TMc zZ}t*F3}ee5iSPe%|xU`5WK(#{AE}bVdK--zp#kIr|;6Mq)YQD9&(ksrH!SKrl;+t@1?xdC+UJi`aZ?C z^ZHVG()y&G6eLaV(|4WEX}xJ)!9yUOgGr!((yOG){nD%C z6}&pj2u_l>6Cb%3ko1>=G*6QG9Qo5cDLu|r-|qrn0OWm&)4B4lReCz!X?@bJK>93K z;kguAZ_oc*@^|J+>r3gB(j-mqq>YT3loj5OffPr1PUpq>u7hpp#K8xQb3hJ|S7U8R zvq_mJfizw4dEz|qG_W*FiigxEkn&|p2YD~F=x#$NJyIH_bdYy~N9R@OA!%}zbOE`a z1SDNR(o#Iq_R@Kf_BU-Sy-FDYK`RfW<>kHLo{rU1Kn;-hvp||Jy-HdNX`19u`6O*m zu4V_Mc(r;E$MQ<>k+M=>9cTg{0tAQ80%<$vx}E^icPTD%-2*sn=)_0vC0VY&2?$MH zKyZ^XGTv#ryp!j@XoK9Rkftqn-LV7?18r7VbP*f`gck(lrO-q07h1}g2*|j~v(QAY z0&>5*4Xu<`+OuttS4+^?v8eI(gY;eKEO>Oj|Br2ubiqMzl6jDh-Q7SsFa9qeyfX*O^dAXN$;Gk{#BZb?hqSjlx4@E$<$k#QH^?`(JJ{Z(y9 z)1?pZ0Imk)m5@uGg+D~L33T4KQbtDpz76R+X@9f>%5Bhq+{!DtPvN)QAkQU0uAcye z4xP|R8%h5XkiHlC+z+JBa+NtKAo$3b3v^6fQ*e=SlJ?~KVL(ukJXZixR`@`0N#UjV z;#^}S?RSE-C(y#E^}ChQ`u+QDkf)ShLSn%!g_P!3ipM z3!^hQ3EqN73cuC{c@`XnM`a#Kdvbjg5ZvTG1xXW`l)~m^KxijzrSLI8rsl^ za@S{oLxAw7yqEPUO_TJ;0I5@GJkW-%z{VvYX(`An!9hUq5Pd-Kl;z`rHpsdo>r4vr zF1?rQ|7ye1G{HmQy>002fVBP2tKct?rU@Q04-(|~B|O_XFFNPxa`)o=cpi}PPeJhM zKx5h4huR=`NCrV)>XBr@PiWuS-b!&waY)6y&^6UTI%TZLRe{UeAn)W^)~X){dfM=- zfIR;tAlF*~!9}hDZwKU>;wLzZ{+Qw+^p*Q`ZluJJXOUlW6@5r#nt;spD*@4UKM$n( zvs^`=J{K&N@m~fcEyW|fN_(A<;+EnibpI_t@}%^V^+)I+AonTAv(TmUy7WHfE1^RQ zG6zyUP5LfvrFh6Yd2VTG8#V}y`T$9jHCW`EfQ+k%kZS>XCuzS7h~BatkoTgi2`wc} zbhLD?Nj^#633TElZ41bJm8-mytJE(bv=NYd(H-R~?fga?3dYRns2i^?GySD<8maduC0kWP8$Q%|u zLwMk&AT2BO5IzuI65f|}L)I4oc|IS=nkkUxlX^s!rSM50l~;0=^mN|HRr(|#_tyY& z{XRf=ML_V7tITD&iky%%xyrME+)G*tl9sMBf{*l3^yjV)NXI8ldM)q_FCUxQ<_riN=`*M}`1ms?1nDCd#R*^LVGVlKfAZaPc^Z7t%)Cn?YWp1V*?JWo2 z0%Y!Wz7t(SV7W9&|6&{Del^fp-}?PJ1=cCBPJxwDK;)mu(?$8i^Sbgov*$Y>xPRL=fAZ`wy&(vxpIB*b+0FR#bzZ!}A$s@__3o76CvnQ#0G6*-0q1$`&)Qe(A+7^n`(n+0&W zqcO*TnY9p1-fWWJQaH@kpn@}GLa^>UzyJPs<^9^g+H=R+;HB0PTP-2iDfTasVl82? z+Madh-(R|-^YNnYFY%S>qWX5G@cK+TLyMK&ty64ebUKp@iaFUcj~8{9pFjDji>hEz z;q|dxM2;0aK(YD?vaKjf^@YE9*NQT(DAOg4+4zdOu!2n8pZRT>N>*s7oW>}@%s_kI z9M8r{VaGtHc59KTinYTvr3SJit(mv#0`ouj;T52>!Ype&&(@3u@A~AcSJ;K~XS>uD z1}xSpjG=@tRGTftjJ=q|$A06rR0cDu#6T89uFZ)Tn>hd9zafjp%Te{_7o5+OAN8j# z>rDf%FKYRHeR<@?yKAq{m*4T?J)`^Fxv|^4=^pD%fADXvExF`Y^|2bs`2JueK{T53nR1on^(+OuAVgKC~+xLEOD$K|C1}$ zgkh7)=0|>Hb^Ai+pjMKc`@0WuT|3?ST*|n5>~C7y`b#0R!go3Kt|UBih1t)O4W?N$ zh2a%t!oM8)E2^;!*F~!C%N9qUmpQoXyNgWR_}WC>PV{MCYtg`aA4fBN)OQ~r`=IxU z9_t-1j_G~wXWsL>sN3X4_kJ$Xu8T{rx}}6)qQ$i-xGz$-TMv)CJ0b3QrrHW$fAQfn zuI|>p%_Ua=-xcU5-!a^L=Vd&7^t$BO~FMTH-icDDeT`rlzPR9;U+$s2WDr{ul{D#1 zr^LSC?zIa-{x#FbE_Daacb49*)_P{F**LH3-505he@E)w)v3qVz1?f*?an{*dzW3T z^j;{BtXOL=#X{W|ceAc5td@T36#M_1Vr!+Ut-HHdJCbUxV_4Tr=}~JfuP+gP< zkj_8!YhCMsCK8puHjv+c<*V0hbze)pTeXkEtW7u9de?4ku%ecA#8yknR}9709aO7h zjZ0ZmUNaH9eyDPFOd+|~4^@iHTr(DYCE4&785F&2@%2NM>xU}W4^^&KjK5;kzSR=u zocY%&c23fq^_^m=S}%e{F2E<2;gPt0Xwf53$6!tU(yjK zvT7`=IA2`i`iaV!;#z(`u;YZz#eV@@-S$6Uy;hOk>Av7HQse@X&eqm~3#g@C>d!Y$y*~{t+AH77GEVuu4^7+h{{XC_*q(9nyp**s*+`6;-;`CSbo#^bY zzVLzm_2ZOZTRImm`1z6-mKWT!MFrP#eOc>o94tcgq8`>2qScb`l|Zp|rF*qpap_tE z>q_^k$5NE;YIgY$lkO~=pv$V`(FGQH;j3R+HHPObu-4LPt+vn9D-_7iRhBs0#ePmasCskY#=+#z?DU|f^LKXkM!zM0 z-I7c4hj!*({4hlmg*K_8rIr`_UTo><-+`6XhUJfSK95>{oh`ko4WEktZ0)An@&`1N zcpuBR9pTIaTmzw(>>p-u*@T=as zhTdUtyv!PUM_hSZm`2M9RcB@1?D>zrbL~WH>ln^**jzx`vYN0Mv1fr8p67vi%R5hw zRlHsnvsIMu%z7!SDV=y;-2FPmR!hHiimg*@849$-#mnPq3t!mz@0Mxu0+ZGm_*{gu zmcB?6KKA}|{0Y9!1%8F=x|+Z8&z81x_VY?ByX!VXRNu2<`P4=E&R(4#`lXlSq(zI0 zu@Yj*PpT^5RbB-=HnwOCTGdg01sz?cl^@#O)tTCDFH6MEES>ks=yafe{9TKSOE0?@ zCv_HIa=&yOe((K@GIa9Tq8BT9nE##sx{_=!k*A}ZcfEh{XF-xKUKsuP%0=+|og3S& zYPyG3Otr-*=jXro`Nb(0^R&E(WFRi2vv+-U5#wGAUdzRcM%C5vZb$n^_hrsJF)QPw ze;3k6sb+rYE6zDaFP-scT07re^@We!v0R>Hb9K2FFP-+UZtp~m9tuV={2Oz>`UzJ3 z%>5l>O8E%NNmcw`5N|Jy(c-s{y~`Y5oFW1wA)8dWO2{4Zx+u2zV`q`tJUJWhkY>j0 ztQFZR&gs0TsyeeNqc+F0w06P4sKf9eo9V_DFPz><5*RIE{o(aP}U)*YUmKCh^ABKqdFPyPTsJ`&JyB8O^0KQ(RVBY`J5Kc%z zIFUcQmq2o#zBu32f#HGNHGguxoXflymRknqf9a;qRFSORMl!uxzdB#O)O{Pb`EP&z zOs-ikl2t68wfW5gF=5CWxjeMXw=YYOeZ!BpV~GRBGK45>k&UNS0Ct08%75i#p83M% zt&&NFQ540pWYjPO>lHvKBxTZut!mZLrJoJklaN#DqTlD}x|lQNmQ52H)EF9$ z(R$>CdgyP@#zZPjAh|h{H%;amx`JAQ90-QQm8I}}sr4p#PEcBy9t-uRd6&659i@4F z*O5g*-jGeuq1uH?&#ptLCZo`XPUO`5fB$%zzHn_TF6SWMLbhdY=Ktb*&MeR^jVkKS zqLqMM3&Hb_vmo06`QvY2Y_oK{J9nSYP`@)fD(=Vsd?5k6I(itXh|%Ex@{o?X(;nu9 zT=)FI!fncONk^ro^Y-;j(e~Z^AMRR6OG|^K+`h#4E)-dM^9z4_CPl^p45?6{$xiolLqrTX*0lA%@k{ceXAeg?0EpU+J_`&^@Y=>L38eF24}zf>aT zl?-&uxISmtZh~g|%F3?5UKlsdXG!e; z_ib?w9-@u5G}1Fjp+lYn3MuMoU($SU_@grcx2-DEH_7$fH7?3_=3)LrA37t8R)=F+ z$pWsegsO3MDSg$tGE(VaLH<=w(U1M7MY1h5KU%e(i&JSiOli0n8#O=pON&#)M35ha zUxxoX#+e0+bDaO_i(l@NG7Q&(LD2owq(aGyle!Pu7-GA}FG`<({`yxhX(MXe7fc1| z?Rjfws7nhSBXDFcmXrGQYm)iqi=zgYt=)&dz8?4takfA{Kji(%y! z!y=};0BfQ8@VhdL>bRJP#UW6#LT>gFzCb69k@zc9O&3JHpptv+pI@%8Ov{=xX|jx^ z9;*b)^Y?$T$awR%tkRBG&#G~y(|;({=LR2=WCG>$58$#VP&HNahvc_H!FTd`Hy`J7 zfx)Z&Z~gA7m)l!=(~!E-t&PSbug+hqGdJ5&ExYM`@zA~ArdQ3z(Y)o4TtU9)YR}d) zhjY4k!&CQH=X7m8&P|$!YXdJ=zN6L?Gb*-DNV9)*r^?40Zy!2T|3$qyy2iTp&bV-8 z;7=F*59e;u@!_}K9YpsHZ#NG4L)lHIb8+9eKWA?|S$pdO{N^bq3-?>GvK>TTQV$+SCG5ADl|(+nIb!n&12J_tD9;lX8ge^H;QqEJ zk+Tb6E4wNa*unCW#I#B3q6#g)Qt*`H%A>s9a=;rCAufZwO}kY2!I+` zf*)128!SbJwv=b{q6smQa-o(il6}7KJyLHA1`-sdJ}2VV5$ro4K`DKul59gg2%U19*3>4HNGPLeGEBIKT1`?5 z8pclt4F%+TA0bByQPL?ZkkV}6I*~C8%Q;4iC|XeEjZ`By9s|dGFkvvbjmaV{B%P39 z3CZT1`sp8RF;_C-D5KcY+>RRo|w0vMgC@%HmmY!?j-Krq_ur29@pO|(D zHMznC^(fN4y{_Baa1EL+drDhYnKE{zZ0V-!G!i6*p!%-hwS|@pqJe5YgT%KY-@cLA z*ptsX%)theG29zP{3zJE&Dq+7tK>hGwD`*_AxJxaw5pWJ9!^`WpCrH9NP)xdkGxC8 z&76GxCCs_CXjd?pDK;|K_^{%KjFIsz`KbHBT)Zc;9Dm%X+M7mmS1;O@Yic}Tp6Z|0 zc2jp#gXxJ8epxuZ^`Fmn3pT%pVBKW5dA>bnii=H84_ z6DCr!{J|?PS0KaklT!s_@YaAGHCs%uOfy&5IWFe7n61ahxjz(%e=~umR!vi%A%G`+Q8kU*~MT*}Y??x$MwL0XnJRl(gk4s#ZaWGBiq zFwf;aNouvoT(2kXNn27A9NyohO1-oY8O>fgt(>VX$}SjQS=5JwDWvTDlLs7^>5@Rw zn!RJ3mYX?o0_+%vlS7(^ok^rc01o!RH|dwm&ywj(Q-BsC!vPD`+(_qa)$bam`cy8Q z7Mz3-dG8IMzO2<$@_Q_sEUbkoA+aOfnrD{DPda@lb*3ecO2X-0OZC%8*+wgA(hZuT z0)|(*MP?@vsOtFpX)pywja$Y{@RwT%$#_Yll0{GkN4muW<>^u~m0)dM8crniy8DN-uykLg6EoU&-B?AO` z!^*YkG8)ukmNzxO)XhPAn-ZJ8f{1&drlP=}n2VjcJdPJN;b&PA>?qDxSO~1cHQJTZ zyh_k-qnK%>I(`-MbQu)`u?IZ#1IjMMwl#C+CtQ@D!N_F5>c3dD^G0|22B8!Q!t8U z3UVVV7m5feiN*&e%+%0qZ#mIODAiL76AT6p>qr>1Q7OdS6X~VquO3KhR(8;x34QuA z=-r$56XY{-Y$Z42em1Vei)kTE35f2d!Uk%LEvi-`&B}I}8^=m|t-tv9^f2M~K=oX^ zb4Xd6ZEw5b=*W#~#n$GVcDkf`%PtwL{b8h$IbmdICaPtj^|)eQ;>8ZT=!Q#^)QDhW z#j5M5PG%tog~|2Ux3JG_$DA;`hl=PepQ`(wuGjKtFQb0AYbeyC^=d|W-PyzWrWI8^ zD#4s|M1_lz-q0Td`gHtBgTLp$odLOXy0iGlnP6qCE6r)qIx8Ku7FNhH(>K$$hem}puVhq2lAiD{6Rt+cnpQwztCSncVB&RW9oH|`-7TJFM*)4UdJzK% zs|b%+B|{4*GPZlrAtlApE~5t7QB@cOCzH$!Xl_`-5somGp8wV@EN`K~%s{o3u|vzW zGGQ)DO=`O^2)#%wW~o%_r%73wfRHNaiy^wRhIN@9WMDQzRshtynV|`f z_*H3Fu;3rnCzD69d&6{!HNOChhToQZYQi+=W#b8zCKw27G`SWX#|APml@+&a7m%CTn6M(1G+oJ`pTF&f(9rQ_d$SkA=@ynOtyZ7PM|RnBHhS2g z_9XLG*X$tU(c***mcww{=s9$iDP8ZKa_NQ40+|prZh2NRK)MN5a0B*7=wd*ajscD| z4T;>-Z+SabwZT|-qZBJ2Z;kQ>%s_d_w9H|9&UxHpTv5#s9$aJ`WoQr>^o~kGcoSWp z-rx>E5dbUtD+8HO)S;tt9~RB$-}PO>Z+#e}<7PDjt!8bFb11OUGh!JVfo@MX%(f{a z{b}={O;?0%sTvcEMiU}aF9X=RrBzG)_%F~aP3IFA01eoi^Kmd+R1NKW6oMb<19X6y zWwaP;F%e}2@PyCEab^g4Xw}brC4+`D=`iny-u%(;qR-NDm@67P;_h2xP>YnBBp;3+ z7aka(vhJ)-mJX>2rlcMyM59fYH7zt8@`VjoC`$`Yq{WOBo_81_YIlmKCU16_cvKZ0 zD+bmH|Gw+(c+%XKMbydU!XmbV!$lc{sFKiG>SAN#jXMN3!7NS=hC;hes}F z6eHDVk4L_YOv9AL36dkpX0La&2@lYBhJ$fpLJ3_QIKZW01dxlX$e}xkA$S7{*;bh* zo35;$6)5aXQ`uwbDIOanc{F7eH0G=hr*{nxyfE_T%EOG;bF6~p zM)}&l`|~~5=SQNw{&jXi?EHIb+ny?FjOp2{3MY^GUb#{jt?S8SMKt(<-Y&;~(7n#q z1*y@7w2;8Eog;{E=qfUxOF6yzh*j|fLu5o5=~R!jp+P3Z=r>tC4Ek5lb^e)FnX1GQ zrb}+-&}f$xo~&=N!_j&a-rfiXV|VA!_G#;$DDwE^%-Hy}HDEwFD}2OreV)WK=U2)M z3mICrd{Ywj8MA(=NiT(xg6%v=2q~YWO(R#~zB5^(NBvWu`E(N>{-MSZ%<_BccC+^_ zmDd$((JfC6Om7_fKyZKYnp<`Rm`sNzjPb%PPlwa4@0U(hD%*|zN4)#;;U_B=zJP<3 za9UsM&gg4KRWmX8$FZ+4=CQsDERuDjX|)XgrrV>*_FhcyX)T_CU&pw6#GB5=VzW9& zG-5>)^UFk;-de1c?yD(X*b3L12YjL!5%Y&V&kP2F#BCl@jK6Enyhp3yh|@oO#T5s&PL z@DB7I^aeA9Xy+4p$M|fq-<&TNJqr_j$J5O%L7+WBCm!2SdahB+iggMm*o^&0Fln9X zt;*p>Y)Dmz46o3DY`qDdU=?amrwH>DkqgFC z{{!)tpid|IkuZ!OgH@;t0a*qM#_;Fvc#SFv-nfhqcm@B(V)3wjxY$qmX*h^Z7Ysic zX;~zixiied#1sV3Paz*fVN+%r&jI^zFf+D$axgQolSqiMp=j4Q4uese!=J!hVI80es9xy8wV@qjQ;{WkIw#Hs z)DhSd9C*XsrWyEjR_r@|YQv|$@-uia8GmI@4g_P`AIZdXZ@QyS?>akzT!T?pB4jsx0ts3d=Z5Z%VNSm#dHqa9 z;h&KQ$-&wn?hRyVydYY2H4BBiX>O?jDjKm`jFsRgi!FGmHOboH!fK1IUUN zFqveh1864|)%>o1CC@}xR5OZw0efO^G^t%{+ zp*XQz7>Nc*nt?9Jd-P&(_iziyWKPUGO~S7EpZM2cbDiC1duHTBwfz0|+@u-o81j19 znqdoNyZI^8?+&u|pjXTK(S#s~bWCBW*ev zxCNbm8vconxDKlZ&ZfwKD9?QPwe~EEq7mzGi#HK{CN@xg?-k}?%WJdUQ#2;97i{as zsG2=oWB}QE({-bQJ#LLdV|pV*RHZ4`!u4f45u1_htKsp%=$&GZ3lkG9rQR@T-_gBb zWNN3^#1^6hUU~lQUr08B;f2_$PQt{KM$_#cH@Y9l%gS#H1-F};A(BTcjnWV{QLKuQ z&{KHXmR>R7B#Twe2;k$f;8zBdA-CtB`$|ExQS|Hj?*F1g<_af2~uQiMAJZ2}ZbO>Yx3Y4WZA9Buk$(pGX&e z;jR!{7rQfwH*oNdFozOWA)l~e0#LN$2|I#DL!m%Lh_L?+Sz4LQ4G||LR^{eAL*p1~ zPhCWXQUf&_k{aSBGKDG8Rvp@v(-Rp*M2s}<$Xo%0c4Q?xSm2S<_0v0FRCW4Ks#Mm9 z*sJrTBQ$oO*!LJ_P#2=mLC1t>OMzxs5+&6^*O3dXk@N#uQ#EdW7_Z%ZHj^V}u1N%Q z!yM=;M|)}(%>44*TO0Y9`PrNS0ou5BB>)^9nRA|O0&{1;w_gg)e1=cq4*8uw};v3 ziak$rbWr$H!C1&(q%%x0?>>d_i1ySY>W|nrtz{AIR5~lhwp0ZP8%Bf^0&0i|*2!=s zEs58TC#+m12hE6kD50TnIQ7XRmkK|({mtdd+7k(30h|}re3powUgVl21pIi7M8$<~ zs8SMJ&?>?Lk~~tQj60klq?EEa)ZYG$R#U7uM(79G*g+04RNX-)P}L1^^Z<%)GWMXG za0vy$Q6TAMf<6m_Ou0lr3IePb(ws2Gr>|*l;>K&#DhNzwyB$|bF2Xj7a@kosjvQ=h zGxlcl5@*zal#}oxC7Eb$s~u`d04AVI)SoP<;;jpfGRRdDW+M^yw4Gw(tDpRW(zvsf zo|SDe_SbPTg!dJ<%PC}A1=b6c9S{<(It?O3wavV(fX3A5>z5nt8oC+BM$c0f%YUm)L&sw)xc}O~_tb12$M*KE*V;&> z6ZMB}(8uP4xJTTC%iHZIjyd5qTp!ej(**fr+9Gd+XEb*jA?)ZQF3fXPJ(_m$LNtrF z{UfVbV`H{4;FZ0eif8qBb9Pi|>>9e-D-%#LVGU*C=wK0Jv^ZL`Chqh4g3L&L+MPIJ zP1cRrYT%N=8w1-xNJcziByk{#pa&dW>W=GztyL~X_$*;qHe+0tjRK2)gKih=pONs4D5L;{NC*Gd_h_SDL|(o-Th#g?M4E7Q?ZY?f(bXlfu0=Ms(=~Vqqk- zVP`Nnv&F)xwDHMzJmD2?3BvbyPvupK$c`EMWFYy-rZnLSP3(IyRWW3lqHLfiKM*o9 zSTdTJ!k!q};#(lHLU&htgc8Dr-K&m;OEYFbE-e!cUF!ML8w>YM%Cc;dR4 zYhe;&x9JRwHXJdFubBI!w{oA9^f5R8@J3|gc?H9<(7$QMs<{RM@~??}!$ zi6cly5X9OM^zRyn8au{uND=8V67C8@b30{lZ6qNrLWQO)3q%t9k+humjoBPv`I_n$7YdoMB8=d{Mqfmthk8Sh*dz zg9h3B&rp0%UEu*0+@*uG1gW_ZinoTNHRbuuY$88%n3jbTXcsZxCF-p+6_mbwIxDLD ziFz$t$`Lr|XZttWI6CkN5uS1+7fToM@DOzhez3@K_FfB+k@Z1DXeTQQ1`hK?u!6es zTE;-uLm@m%IQqxJn4kLl{|&W-g%-a=T#cX)(bz>A*49DXNEBrr62BF8g}cV_X^7Ud zDdtxa-f@DVV~pt)zr}UIX$cvFkt_?2gg4Pk=7!X3VdpdKnDRkq z#Z4I)JLH{<{}jH3#-LULHC$1)eN@j7+fIQro@5BlQohR_f?F-DU$Py zGoT3O<4!i#B=~BSp?5A^;FS z$RACsG|J2)a6-z;bWLwW9Rm>;1Jh-@R@73_xve4Z`M>#Y6$wt(M4gFF_~>|K681gr zy4L;72s`!&O7`qY(@ti*bPvrH_eZj&Z!ot-uLY&DRdSj5GE?LS&oZT%#j-n!$>R?iw3t=;5$s$qs~nq?xX9>b-KJgtPp zi?D$t7QAbI3ZyBEJAkes7mQFx=zY?@+8s{0w9UvkE-JD|x5LjLlFG2Cnl9tRymiTn z!iv6%5@$fk3j4VDHYSV-NWq4eP#!BCC72%JUm|)yR2HboW|p`|#F-+a!vNFEN?!)k zU5qPdOHS)uAZpG3?P#}WmQ~|EFZ>9>$o5#qXNc^PoadtUX7!Er%|(5atKps<@gASx z8?4q49g%St)z#8vFJw1eEXMLZHHL&c_R8t4LG$dm$(UAXJ~VbaQ&weLj1r9a=_=Ic z*qqr5%c-F+{>T-!-JsS!k=oVl0X(@?+s;^%$MOW6Sd4boV|)K5H|on0Ix@jZfJ3#mjMolnUnHoEvI_d6_z5 z77I;bN1tqM!sR>4);)8_X- zr5f49i=^pGcSgtFVO#5ldyFi0UuHt$%%$E**B}SY(Tr%J)W^(`kV|G1t+3A`Yqzv- zIxd8xap@K`p_6PsM5n*8i+mGZ)oh;!gVOD?9q9?AEkT%NyYE5fOZ9$xyUt~hyIq_f z5#ozPVDPC%7>YKfF@DimkqU@aaj=PvEOVK@8}yJd1A!=Vi(@B$EGru$lwj5)17jMq zbR5OiteUH4NZsQT%(t25C~ZJ9^3u>jqMxZh{WNDwJFm(nL;$ zUGqP30Fu`0G7BQ2*t2oNw0FdzuF*`M8R>v(m0&AxLNqC4CmoQ9j&DtBL=q@2G6R_n zGVjEL1<)JP$w-$Ch@R*v-uzDn^Z^Pc(G5!bp`W21E#v|J2_q7&V)-axsY@*m0xEP- zRuf2SZrvtr(=e140!s#YQopj>F(O)xASq1=mI$_pw_UCEErxv3mT17>DDA>l#P`rY z2Pum5Xc#m^*CVNr9)?`CLYDIc3m4KeI6>q!m?R?)x6~tWO_#MKG0Ktl+gpvx#TcC-egxrxZ2Qy3yzBMsq7K?0ybIeH;- z*{C_Ega7Fq!w_#gZco;R?yNUP>v(y(z31xp+KqcF1NYk7CXcv{jre~o>v8v!dA&F4 zso2qt^-1f2O3#0_M{ycArd%7R1#tneWrQE1?7@gcX%*1_byvwn*xMCdCLRb2749Q~ z5RXGy7!g)vBEzUqn`KaYg=yE5z!6q2ToTr(_cr&yUpn3~TbGe*cDb+O*$sA3Mh84= z@`xodA!egoIp8{0@je`V)>urW;Ena8*CwHO+p9)D=#o^y0>$gdl|HOZV1UxHR>^=yF7 zE0hEY^KZwMoVjj{9^HBBhZ_#I9b5XnW8<=Eey{Giw-OD`Y`xYk-dAHczy9$3{!=sT ze(M9h1>$QDMI1Lch!wSS0@upbnB;}MZtZCK*RX06&&sd61>Qm=cGYz$#O?? zOqXI!U$ax`(j$0OS`HJ7kP$)?rZ{o3wSS{qi%hSC-z9#ed^rYq`F@-xtm8>+NmGY2 zcRYX372+2xM4fC8g;%Er9 zG{_S^8ckptt1NGD?65|QXM)L^I4;0rsw|H*-;Z3ES`@wT<3ZtIr3K2QTQb}sZ(d8iop=s(n!W;=L@Z-=2G#Z?1Q@Np`{r?TED~7|p z9peOMjS>0qWVptc*`3!d;L5W44HGx+#OoZj5-4 zvP#IW@+R5NIf!S)9P?N)@xGw4& zxgqk12W1pW;6WKTMar^;<>1YMn#7Z!8zuoK)8cw*Iam_$%M40MbvG7B`>c(qZ)&La z#oMyWe2C*$zLWms^zS^$pP_q+KYsnuW&eWqFW%-&_nh??w7r=(F8!0!A28~Srts{_ zL3AVmkqb`Zfj)jeRTfBc1A732A5uA7|1fzvVV|mF8U3s z8nVW7dQlUdM&|pssU9!VF2=qQ#{+0BR~NV6YZuJzzMa26Kk-5yRBrd}0BbL^BObe> zuI}TA;tXQ`UPhH61{rP?Lsw8{N>kQjfB$OE_eEgo!U{sUsJ;;k zv%wA_qO3vXs*!`}lV!U)zBl6Rtz)8mW8NX|prlB4IgA7m(9%(7P0bLZ+oIGL z<`Bn9SWm)N>E{fEKawAlXS`-u{(z4`i)vS&q@IfZg%Vh$}3 z2cKvh7Ot?#jRc$66P&QYRMC(d0=NeL3gV#QgGvR>NO~Y-sH6R&X;-k=@QFBGI4@cr zF?@*1-YtiH>{4d;RWo~WXJ~uW`{SR3gdQJtob@UWTLstvPfxoTKy|l_!jGCj;1T1I z%M#cyXM5tR!+xjk2u5U}p)yZMPlyX8utOjVA=qf!++5WgHB8z0#cf3pKsZ$^J2AVI z*K3PN0Atj_3c!)aJUU)^jrc=Y5G}&`jH-tw?{>wp81TXruoena=rRFti-Cluar~c% zbw)ryR#5DdE*wFeSb|cm^pNEfv(L$hyjS+o+gX1?vti7FC>57s@+=vwjEXo-NlrFR zaCM?zIkIV#Ki3}In7UEv$2U6^dj9ggbaFVYoe1onsb?LT<6 z7@Lt4RxvXNJsg{z6)t3;G(wU&H9=i1V-aDJ)C}*VC@FR)=&y|{Qk}@rWm=Yc)DS=D zQ8gW!yOaLE`TG$nae@#Pay-EB=^$E$rhAbT5hB1rA*-9 zq|g}$rVG_n%~CZduG8OXKb*;{Fs^4%v`-y6NE;KmEN2eo{KpeQj|hbX&l#tOac50X zkBk|6>4cmmi7jsw2MG`h2tpxV-C)k(t}~Oq!tSat<5yHoF?AhqA_MbX&ANrtTj!*g zh!`r37=Aws-WoGpPRcPl-LX_-*{uKK9E0{{=7129<9s6en5bA!j3zEv_!sJP_zSTl zXTy+72x$acuf99Fj6|6_jrfhxsrSCfAeD6`mXDED@l^{W;GwbgK%HHjz=J91JcB6S zL_v|eibLlhsPK%mN|qMvrfYZ$wG3W}^ffK+yqb10hko@{I02CJDE(9kSH!bI4`*X0 z61HVLkh7BrF{hD`hH|tka)>U9Nad0|xSEATz;60Ov{KYvs#a_6qEaLh7(Vm|uMHDM z(lBDI8m8Ts#Z`H%NDX0^(<+6TPKb z^l`6`<4l~DGQ%S-4JLKV450;h7PLfq31y}FERip7c%YYVQdgDciiQ74X2(%49$}!JEwYO6;=mIdh@FQ5u$~av8TC@|yh#LMfS_ zUC31=bwk&u2ZoJiZC8IBnT7b{wS7?+YelozU%W>jaSJnUX~r6E9NAUV`a1uMKW5m` zw(-67m{6hzDma#yjCa<1#&bVtHy-ikeh@9O{&x+E`9nyj7+)ATEn?i&6d-Y8%|qxZP-8+v&#(4uA$-S>r2Hx zJ}^?B?ir50@7Hz>Py3}QUl)qSBl&%9{q&#iB?_$L2vEe9@M0%U`v8!*A0#X$H{9w5!Y{b0i_}Q4;Gnhleye z`b4Qd>N}quzt2_&w%&_VXQHcQCI@%0@e-HLgB(C0bfr#c0Y+di?wVeY2$v}r8}K>T zEk0u1V_;VE{SR?y9LN>?tX*)~x~LV7lym#Ol#k7QpC}e<-ZcYiUtc*Mx^Bj{hxYv! z4h_8xnr|i4;e9{A*%A%`85(@E_))~4Gl`9R(04OPu$JU zfCz+0v50hMF~Lh>^CoV9#GfHvg2YwC;i4m3<&cR)8{jvoi%m(-#NEIe zPFxd-)TT-`R`gVFcQ4aT~bwXm|m3-KV)Hk@Ze;0xvWyoP8(VnHKqA&_{c z5Tb}PT!RR?;f$*7lkplh6dl1=v`?@tM1+jgUFg-!<%hpI@-ZUk>TJ*H$eG%L!|Q5$ zEH)41o{&R1c)kz-8>0}WQ_;bz28*91tWvxLcGPV7R)}j64~=*@gwf1938BGDGjqdj-)HbWmaA>Y8p<9;d`C|{ zs5w-u<%Ci^EndyzfuGekSljuz*Kr`ey~`_&ACKwAr@PuC{ z!~=CyGa^Yu4o@+Y4l)&u{4nDf8C2iwv+R+qkZf;MgCN7Fzx*>$gSD^(|3`VaJ>r~U z_L`bR3s25X(gJNTbPYrEB^BnDN$?E%;d(kFrkne2FgB&O+^85G+jjFH?p-f4n8M51o+_UL$=L!R6ac@xU< z&y%PUTFy+-7>9)tDLpb?M>gx2Q9+1f&Q~LhB4qWZK8?2s=Mif$#({^jk0CMZSFEtF zFL53#~^?SJ&iwqIr^*ZBF$@AxO{FWB+^%A@{h{gD4+>X-Rw?e+O> z&+h*EFD=9rx+&l4{jI zeH_i>YOinHPzk7A!E@LGK(&To$#^92MQV%gK~=7`+P3x2*fG z-}}(d8yfk-q`_9l9Kpj9e2V2Lj2^aOSs1=x65rC3ovk>HG835Es8$YyVBds@RXR_& zoWph(GE5YFd<5KRj61X|Hq1iXFBsSkvfkvrMdrc9Em5!ACosUNOvw?v|ZWjBV6vzBMt7o{PqZ zXR6){l}tWwQo9pOz_C){^9Qa%DuM`8&>mHvzOu;9A~um~^zt2tXrnC4N{NwMW*vmA z=+Lo++#hjs4`RG2KQyfh)pvn_8xf=J5i=i~q2XxuPoV{ln97Oh*!5+boV&vq-Feg- zve*H{2nx;j+kU|ez!b+$RL|HSe8+3C&qko(fEn`nipYv4w^kmvvfJeNM-<_9a*RrZ z1gT}WO`6qAU-s%^sCBgEjZGJGi(N-zj2RN;TiffLu#Q}FL@ckeW0p~fSo|~#H3#l9 z!R8_-xi<6J7|x^57Gdi>frYrzLk~S+9MML1Y(&KHfJHQi$Gw7tWv{o3(55Kv8K+4Q zw$KM*S|ZW}^-&PVF+(ar`D*Fni@|ct|^KG`8Z3 zcO9+WqK1d}-mp2augf#Mez`(4#+@S!seaCnN3kF<^|s&xa!zteRP}jvkK%1K z-&5SnQo!Meh8~SJdwe!Vf||T)R&zLykQjSp3t#E!h4=%wjc~g%9heq98P12Z?KHwy zL*$c;4Ff-g7Bd~gT}<|*8r>`oYhBdG@;x)0gwG$l!{f^yy_g7CPr6*4VL=_c*YZBL z>2f|LAQX4R^Ur|~tbYud5DUJ+1Yt16*6Yq^?LMz7G~hp^4C+%fCP_gEP(?z9}z5#W)EHMQ)QI(Bls=jD%AU)=*Oe{BBaIz?T$EqSSYU4N# zD2`TT6(+s}fE~@#R4#-0iObmGBouqeiS-$aGJ@*85v79n?;1Ig55 za)Ld0BbMaK0z2vt7&RS;(*%;p&vY^D>NbDqhiC$KE@y@?ZMDP45LtmEBAwWp=iV_C zv<*2_(w(uo^A93~`idGiAI2rbPy@_E8bItoWL$beUBRL&9OD+3T5U+zK=eJMjxnl+ z-D0Lzvud^f^)^+vHNG^cha$d*mJTzTA^;eXI@TxH2YkIcW}@{K%_^iqQ&%G*gg^@V zV}5g+c!uBtZVMTEVA>Ce=?c1M(7_I0?+qu80~pnK<|bSsQix9rsraxx>7s2XUmTL- zVEf3pU{H}lAd9pM`%$^HJ^#~xh=Xau-CKuGs@W&1)I=VJ6+Ta{ z*fxi~*@Pi1XA7c;0Sv{ipkAlp8jc~{A-+_s5|$*ThVUDPZWkF@dhSMQisK%_pYFeg zUNJ`0?4umAJ4c-06y4&jo^m0v)t8MTLbW6Nu(+&wu^r!z5QFCAAgvPmftSQd!jZJ* z$Y>cg-oww^%|KbZhK7VGGo$LwKhEDjU>e;A*12rxXCrh@Yuxqm;Z0Bxd=!aze z@lA;{%`tmomW;kkSj!0c9Z>F`L6}|3V4-H?bQ412 z=Eg_OctOlAIv61u86z!eA&aqr9}iv*9H>opU+vyr_lp@miM91HPBvLy4{?D^gYslo zBliFYjX7n#wbIz?l^f3yvcPVI>_mB$Z51Y~*74-Y`dq~ib9Xih$MSr2b%bxNFp>J? z5Wjm2wcq1Q3-B&;1GS-n(N=HhUbZE~XBGA~j-V-pG5$AeTf8OM2DM#7eZ?;YQ`YF{&(7pe%w7H={jfLXZo9M5KnK&0S3KE4ac*xEMx*k? zC+$%piVDXn<#qHAal6*-pW=;9kmo5|ZFNAf>g#EWoUUiZcC zywNU9=VycdB9TaXM8}P};D^3pE2Ouh-8Wl1L%4t_}A<#^J-YjN~S@-5TKR7{IS#W#o&sg=sm_(~8I0$Ye@v`KFe%Aw|>&S)850 z&B5I+hfy>q#P0Oe@J#te|0e3uezULiNd7ay%;=l{$E)$2yvGwF<4}v_?2ykE5uskE za53ejGxo6&KJ(5m?!BVm zqW6O2?r}%_5{D2+AQ$uCOj}T|nUIigz2KHSkaGxWD&%%QHJFKm>x!PBR=opugH!a? zc~$01D0}Sr^FYc%3}nG^fusKIjn~RXDrKvkZ7u;56vw^F&Q6IF5{Cf`P3v4=!PpniG4S>^pa5xk?W$O(jg-Aj<%C&g(p)Kt4KbfB>t=JTZ8KEr>`qkY(IcN{vb=i zQ39Vr&|%W<2nLJOnl}3>$KWwsEMTC7gA@kC1v;8w$>}>aDE@47@O?~6@V?Q9#c-2l zjt4IU!SLzY`8=a*Emj<2;0{?FcpzMCF*{ zK3wlpbc6P8gj8Sp^9}N~M534#P9ZqTiw}<$YFTZ?2V-205iThHJQq>B_T;Xo@^}TN z39w=kS;x@_PWrjH?q5|jgCIF|ra1k8+wYnh$`m0-FpoaQBo_@f%#^FF8^hDP#4E*G z7#^E*_rNBsi)>9-;)oCZqIq(xY!5+DqY>Z2soCRZ9MZJp@U{)ziVJKk zpQ+yePHhF-wVsO^mpzeUHDF_io}i!-gQeTvFZ7wF_{eMpX12O2?qZq|z-2hGJNE=z z_sIXA@tAeuZSHORSMaAx_bu{nJ=t7l!Oxaf(%<&8ec~(^*-z(hOuTg`Z+Lh9*$@2QlP7DfGiEngSO4bo z-j{+$iqH8kez^9w;?0S}j+9f|OUc|+*cGVM0-{NVZiKelg7li`X6>}a7x9JVgX{BX zI;wRq&-vgVzxq8+Rz%P;5G|9okR-Cc1<9Q#)&h48yz070utPI-aO6aB6mLf&psLzE zqNHMZG~9a$baw}k`?v-;Cz0`OOCq@mC5N4AF&dw9AAbTB>j*xB6$KDzsBWec>x_fv z6wh1*cWl!-VY&71LmS#tV;QLBzEE_`33GD=_YAxHd-;j{2d<)7s;~ruND&Vu4))k8 z`S3cQs?dB4zsO{c>R?)DK42Rlbv9#&V^5&|qHAL=H^t~uqqa4qcj38mW{Pa#;-A|) z0IzRzr;GT7Jio?KqRcagGPxN!mc>|AjVOvWG{Hik8qUwK#ECl0UI+0yMeIg&eoPtc z5F_yT(qv0VN86?oT1X(@pQ(xNE+vQdL{5E%KoKzzQ7Xy(!B4$Pb>CU{xs8;sI7~wW zyh%b|ur6Y2(u`1`IirQANQ@1rdf4-WYDSG^Lf+vwKb&`ZT|cwO2SIHxzcC}Qx%rj> zvsuY0J9Ad%bkvs#)E>V(|C9dlA2VH?D}JV7L2lXV{{wk!O z({*jaH{?-w6|L>|*i*&?pP1ma=?2R=EgN`9t_HJ=?Zwu_DM#h-tTRpbbqfqAPAVy{i)GBGSB z%)<$inrD>N4VX^&3ZkCurwII^a?IB;Gb)VM5VhqZgH$Vy4$vu90$YMbjS9hHd+Rup znl2wCdH}E1O)k>9$LsxE+?)GZ z0zAu3nkVDO12{t=mdW@rlDszQDsfAB-Et8bnA9%r-Wz|BayCKs41g6ZIaMCyy#~=G zN}D*uo_t}@=(8|qU$t%v>3GlPb03;*K>01g4L+zGMtkMcaM}KF0rd=Fif>&J$97K<-iFSi(>>ee6}#+Uc}5IPDukAtBA`#j^b z2yb&^5jxnj`ODDfFfHT84vVD3C%~}tF8<~TLGoVX(q4E^L&I8>*o9dBOW z)N%4@D#ch3Oq`HWNAlFA`#x2y0O+&@%jNti~QjA<}jV}*HzpEw?V`NJtse*g=f(g}I$1i>8nV&$v+LG(pMD)0s% z;-Na|^Q#9TK~URx&q+k=@0#(7|;n_rxeQ35%P}@p&ttq4y~&X z1xOK@K7}dGr_3)h=Z36L>0uL0xJwIT;?B#Sr_}`EC?iC4Z)$BM4D_KOh|Ydi&x^TK-(clAO57MNgh^3 zV<6uPKk|4(iU8_5pT^u@s|u`bSI>>C9ALBpOn=9EIpVgu^`(u!sBWHCotav>pY(Lf z2daRH#~2`zu4hyLv2s2;qBwWbk+fZ5auyaPdw&NLsJiKDF~k zmmVG}t}x)_v?V_m2HY7ja{gn6Oy0obrs|~6k00#*g-5(MN5l8|)zN7GM;?t{@r&uL zB-}*qc`bcZI-1^3N ztxVkCjamB?=hl0{k7oz4HBb6a4F0(P!LBDfzxea5Uwyc^y>{W6hkSFThy0giAJX$f z4@uoq-LT)k=Ocl?pGUm@pYN#Hd;Kbags(a?#`=oUJ@z`WRxd;liVb5DS=2iQDY{4+ z@wnPclt{sR09!oe<-dAY@gv7ZqYr#!@QG^YE7j=i^`TQ z`$_*_#MRNxYz$e)qi62WuE~&_t87pqifFX8Xo^Xb%)daB|@>K?n1%$8;yi+4c}wX&#vqC zroDmLbrfLs`KOEF1OC?PP;OTgws5$jR%KVKg<>cEw@7~4-dI@@KHWV&(XjDCwE7pb zE1~DQ=S^pckaSObKYP3$5*p7Qb|+4{mx(^lW(TIp@DVSPW90h@TM&*w;?grLCW$`1 zuAAVxzOB7kEN(1DIDP4{^p4t?yPHw9cxY>JEC>NylvWJ0#nwh{C<~r^067M84n{Z* zLid|r_%aV@rigD(`-e*OMBhy9=d@Rv^79K2al7N#jGHC7`byiQ`Dp1VBJi`TH8#9k z&z{7&s0p9CbdxDI$GgW$%b@SP_w+(~~+qJ-=DG3yi9?I48d z=BItaJuI{K_OlQkJ5fSb{-$=X9ukNo7F^p&^stxZxJKq|r-G!ErqG%rs&m54x zm8XOi$mX%R5j;}~i0hgh$z%7Wu1qB$mb$muH{Bmh6;!y<$57j7@t#&ur$ z04eHzm+C3U`Ebx=wPcgWlH%wF6v>FCeHMno0_OhiS;p1Rpa5<}>NGS%**&SD#LOd7Vv#BJImehAXLF06w}1Ux5# zDQI3ogh4D&twagr^7ibz$ga0q`M5+%NlogMj0cTvT3JFVOhFWjDDfm^U|)13&CAc7 z*)w^@?I9ir)m}vpU$x-@nudqZGEFFzLwrmrxNT?~4o+E@er~Ql=XO5sUSQL|BMqOL zokqcieS^NyYVZCkPl`kZ9Fy=tLc6IxB8~Sn-wUQOHK8@;bBU+>35) z&P70S$#=$&OYpUg2#serkuDXmRk@&V>xec{gAo|X!&;NcqqxCqzi?YLl$$5^a~v=r zzm$CSgN?=7gVCUfW4GuNwqS!AO#Gk^WcrjHO90HYsS%=aiFKO z800_4!Nx{78z?X$RX-#$piOb^m7n=^<+0qB9!_K#ckg&JMe!1x-RD0TNxv|ZU6Tm( z;jw5JUn170`au^WW6C2cFG=GIp0QQgH9WsPlvHGv@pOUKjm_Be;+XMA_jPx;PtHlQ#Xc!`b@ph#3B zkr@uujTi!G32uioz>BUf?v>5Iw>R7xhLwk706W>7_I1>Gm{k+CAY_pLh&p8N*?G8m zWX_%B!H6`@BR0(w`3pM`-)WdrMiPJ#mI;7Y6J!dByssG*n3R+@1f>{EGOCQ>iFoWv z|2HfWb6F$+&07V824rEsS|#b10BesK5#%&>!i8p-J!%b%*!dYxGy%YvYG*>mlgDz@ z=P>4Ol8&;Lr@RD%j39xNwD{P=jW%AHR)gzjm=?(e&RF2oD}T^Em5m4@vtq8q@_z`R zEE6PnKzNVP&B^(UR}*fa0s%Rc!nfBw#PIN^A?AAfJn$BL{Udh`?Vr=5C+I|dut6+~ zst@irTHRLMT9xdNqz?5aNGYo#D|8sG$BE3qp^a;ZEd_tZXLilMm^)%<2K2Ei5o;)J ziYmA#*iH^JQgm|*cl(@x4P2mn_Hx2<6Vdh>x}8TqkM*!xBJem^VAc?ILnE4$-zXK0T#9mt z0yM>*`EQCRmM94 zmIpv32(Bci#jM=11Ec-m+gjic0~pzQqgDUp_ne1UKM@KX;vi>{07<& z_#=|m3{+sLg$YpBOB@m9oD$76W2i40R6`drfb3#24&*gf7nV8HU#pUgz0 zn(HjoDwhQnil!`o#1>H44L~r-P?!o#5|993)IDOE*e~ucaf3Vo4}fM#l*!K4+_A18 zO0Xm(*%H3U@r0*=0u}F`7hd^4{)F}nWO7fqF*Rb$pfOueW}^>A8hRy?NNK}m&sivD zzN-kegs;b^Av|VD#ih=Lejnhqz)pZ>=#^TVU|x&o*nW?ELj%}gxm^nzc`#5+T~b&~ zZqT;CP0jPr%#*+RURb6Zua1HnCQ)K|;LSMcolwNyP@=`^ecDH~mHFpW%=BE*Ry!$t za6yJXVT_td!-wb!frl&Df|?U(oB;vyY&^4Z6tEU#VPA0sIKEfp(-B5x|Lkx4SiXAh(4C^D}sT zC+J|v0>8p^0onCT@!4XVrChhCXOU{AvlA-b!Y##2^KdYveMCG0f)0Q)#uD(L!RQ3F zgxw6~j6IIHIe{Gh6=G&b><@Wh#JAtPYI*8`YrO}rG8Y~CR}C5H^NjM+ggw#IAdg}Ir{1T{q+ z3%BM^8J3XC!;MLsn~*#a0UKEGrHMq`f8<>+g<;VnuGQ~;80|G+ce7Jk7B@PmKqZgK zj=}<#2{qOO8wu#LoMG|2En5pR88lR)6T#Z<$+qB?>B+jSL|Kcxjci$XIuYgZi5YZt z1~n^X&FHQL$YHnqnLoI#JJrPu&SL)zOlSK#@c8JvQ@2B-Z=zWHu?XiZS|$)8s|8_& zK&1gWQ^a4u6eq+8C!v!7!IGo*b)zCd1fm;3Y_2eHiLEEUl-ZVx9IRiQ3~X^K5@{vo zrzkgUgJePO4r9UyC>Ss)Jsc^4EbtSM;6*XbIRV4~0~3xG?=)vL>H@NEPZ^3O{tkAj zAq4St2o_qD$b-Hh5vNN`Q+CIOFZ|`5E{h4ehR@P1iL+Q*XXjPZA^|^0+EXfN_wf8Y zE<6dfyx-t)v&@iFjO2lJDz%8HcGqwQnAA~?OJHKbMHw`d5A9;wiCmI{K|J!6^iaAJ zKj?5Ud7SDhQh|j1P9tqc78TL3$OM2mc;z&_Mpan?FW99J$VeS*77P&sk`&}Y-~bC4 z*OMM6paVr?o`?qox$Df%=UH_PFhsh*g9ESExBy4u%WTs#=YEFvU~Y_p?RvdI>j z!*smzrDmj}Q6rfss;BBzzBgileE>|8$b-gAcJ1c`3gu#EN`!#F7`q)c#}-0HFTD`a@QT_ZfL4$pV7f;GbmKaPD~lNNa{rt zqr~3gfq-OzkYZ;I-`79EC^=fKH~uU`dDU3iDAl8wSt+u?2!rZl#m9<7=!gUv%gzu^ zJ0wB>57`_HKw&WJ0Y5Q!jmr?9$-Odp+0E(HHuX$9V&H3y7oZ5|u=8LOpfCvuRLGD6 z=u|RoXW;zice`$vSsA5e$hzw++BwTmal_^*Ej}vtRD1H8{hZE)Jt4~4R z9DF;1r>3Lx1Q?anNi1xAYxLga45tEi;i}D5bpZL&zkc`jaNnF90tCP~acAG1B9IxB z;2IHyBrw`~_qZYm##zD%M0IjNl~8dg(Xo4YZs@|~`C)kgs1L)|nl*1A*nXbWfxtpI zBLl$*#O-gSDHPbca^?y85gj8voKm3E!BJ!hEYO(JJUdyM0=39EX;Fq^L`NM|ce*`B zCu4$;Jg8&H@nQSW+!+YZ!SZWkfUji!K6&nTJX&Pp`T*ec(FT_F>{Q4<8#Xx%m0yo1ge3wtPTAChc(> z*jAQ!5K?1;@N|2Alc%AmnG%9YgBK@=rZLJiEMsaVAig?LuH`l`wV5S6&Hc=wFSfTZ zlO^=uc~?{;gpMHYm|BNX!~CkRL>H^0 zRlpz#3JJC-B29)dU4YMY7m#RZ7;lssq#qs!VP{4nj$1q!h=P8-Xrca1hg3(Z} zb?KoW?1<(kVYuhrW5LU`Pn^oqc#954avli!ZPkeWae%@@z5y2zL_qM%*|O+1tSLZ| zi6_t|qRm91E%;MObmC8bZO8EX+@QzO^TzBtP~b6(4(n7gs*NgGI^UWfs?}=ede-OG z_q-$(X!PKb_<;L(K=1>oL4q^>Dg0&=HI&|+Ka)_Ip&nyW#LdQRf0@HA42*FZ7eN^&6r$UbY@%_T zPQ(g0GjFs`o8}!XHe~}IcVGX)?Pq?F>-mO=>qsn>cwxLBZHhleGc}>JZO^r#L@b4n z_qi8)UJ6u=YvtL^bfh5SPh^|PByTum z0W}N}7GC_{3By7@vJj5C7CVR0S4xLnMgHZj*-JgSZA|oFJf=wdVNCg$GIt76h(;J& zWHlrGZ27`CwkjEkernM1?Rb9e+tE@&x;}{3KF!C23uwGV%t$iIGg<-=jm6N~;#AA` zzw#cK?Tn_#JRb#}Y(Q3mGCY({MJ7dJXNu&nKhgsvwuDR)L9_h>(^*W1IJd~s%K&iooVpNGu%^xez^7EhX zcrmwi?hM3WX8Jhp2k{lc0A9xwGAc0_Z%B9Kj-flyY5eqc-O~m5sFyPOHYr53d3Xos zd10LRCz3B>;upoJYKhy$@XD%Cn(%10yMR$dp@lGdOK>W=OB~o35wg#&3gTtR*8STZ zwXJiKwMbiW_rV5h`^xydF($Qd(v_sfZpfbp&?$&=laEHTfY2G_&{ksJZGw1*5lW9G z>2)kG&2yzGe&^foT@bUFB>_^1W&bn%J`4R}YrFRqRKhC8NO)G@LupC^A!kA2MUm{S z5kTM&8z_U7otVE@RqHaE=Y%sq)-xV^bX?@nu~=&WAv_s#>@ZV$sM(A*fAJ~ys&{9-$vnjhFGWjao8ne zWhma5SQoUrgpaC9Fk&EAr0m)*U$qSc)iF(lw{2vkT(lK+ru#;eKJ&`_PLo`{;s#KH z8n!BGH|<*{th<_78Uu(n_OkQ9Wx?aoGGte)ht_5pTp0g0FK1lVw;WXj&x&k$`QLoX zC`>3ed1Dq3@NLNQF%hI2iktWP& zlP}lKgGA&i+QTi2UNO$F?}+@;^LIfo-pC+0*gVo`+p&lpSQ3s#Xx%ac&rlnZkvJs| zC&RT@Cf1k!&3ho$13gN-$}7?Dueod>foDRXZ!H4WOjJ&Z=+*OVRP5?VVeQD2s+;iP zdeNx@s4EidTm8TANRK#DzNyKIft2GU7;xxJ$y#6IV)fgr#0lm%CSDmKYZM8d3Eeu@ zD!SH4wjx!0;)%4i2R2Jt7ZNwhQp%N2YF1Wd?Lgpi^HGl? z(^;j$O*m;au(=s_6#8&M42iC2?0jp#(Hk-Wj6KKnsPSQ!EYgdXLEmcTXL!(+W;7H^ zr7N@zWv`01*Y1CS1cEzAZgC)!T+!0`lP41d9yS#Ecz|Ucn;N`0#z#B7+F`m2J{FS{ z50=Qzh(d3f!J1I01nSCC=0Gd`jZiL=0$E9XXM$7#QS3Z^wgl0HUzWSz!RDKl^VUTF8tQFt>Q^FoY-&JHbF;?HiJt6>KBHKuig?z}W4HX1U`B1;g zd{yiV8ZE^=Rwt!yYLX_S()O)ZBGkF{i-HE@=(Esi8CE2Mpqx9Fj>`8}r7YT%$`rw* zrihiCgj{c}v`G>SD@hyo$Zut^Zq5sj7se&?c~KRI!$5aV;?s#KmbgYYFgV)^!-QN> z7}Vw}>0F|Lb>5OlLtKK2EH}c4%Ov?Uod&Se@1ucofu; zzCfyGOIVRcTCEB^YYr?EGsQzz@{b(U4MfgcUzuTsM&uxMq&J!!b?OP!Nt-dQ$-z~a zV<8HF1-SaS``Ve&xEw+sev#(5A`tfS% zX@2RAe!8N!Q!uxJP)(%u2!&nku(RaH!rNa_#+E<7KD7{9mcja#BDPQah1Yhlhe3N#-$aJ#};iTNY-d9ZP) z(AOx;c=X{e)KQz8jEuDg^aW;ziO?iF~>tfvhD_a`Uq-f1>A3VHja7s{R;`%{YiD z5HrZ*Whxc0iUB9#O7JSnZ-6&)j!gO0gvp9=z<)w|e(Tetr29xHB*x&F;_T0tDFb7f zEj^QDC#}vJ{H%#UVG1dDT8uYcp~Q7AC$H4W(-M- z1s7w|D`H7AHkM((u?+jEa1Ydw?N*tFZEXbSDR9EEqIh;cIGDL=0xLX7qG@7g-IQPM zjtLMdOS!tpdm@|>%b55GE0!LUERvJ33O-mfV#Rhz;2mfChK5srZi(SUh)=n+0=Dmf zPw5M(v_yrhE_O~-=xYj?D6x(-CjW9v!+i4Au$;`XqFoV}jr2|OLvl%D>Z!>87N{Xf zqF$@9?^LcpJP6a!_-d?FN!G%xCX&5oal{~#6c`9Jajz98_~LIX9`usR)?_(-2ixg+ z%n{oDxwz~KxUV5DV5|sebsdCCGXGZkqvFR2McakL8!+Qb-%FuXzF}7DFw5`2j+n_&BytCv zV1kT09oo@wQ*yaiJ5J>@kvc?(5Il%hk|&hC-#RNu;Qoc!esY+Ye#MS+yPA6u`4QW#5y4GpwjHk zYIQ;DBBCp+rN(xlS0a-TyejczW!SBW=Kcug?N4sJY7#-v4}#%7oGqW~JtXi|e|%+k z&Cn#A3x;L#C3S|UFy{Z8o9~f>roO}wv&v^MJP4f;l&bqUTy6eaS>Ke2)T_2c5=uH% z5f>%P7H7Mn8}LubzW?y2iZLarGvRjZ`Cyu6Dv0!Y!zAAq&GH)!nF%AQ%v;`RlDfZD zCcV;_&jvtMVV%{-S}wnG!U$)roZ!7;YNFf-c_qht(#J4l(*MmQCPKx zYu8e6t6{R3QzfWW6DKQ0Ry2Q4?t7VbkO|kKL1q@{!P(nl%bvOQ&dMzv@ z09O`I?b@1ku&i*Zirr+Du3`8a(f%4MsEshjs=z#iep!;>uV^BcpZtY)op~&`hsqO$ z7fk+%zDoq-{RRm{g^(7+(uxB$9-AvQo6K<F%Q0bOWL_fqfiP8T`B*OWx^1 zaAM_aZ;Cw?xD-0gdIs#g70K3p<3HVH@R^hlomaR>xi*%~WogW-aQrIg*&eHzqY<|S z>87<^oM9#OrJB0<6{qRG{kQKWd&-If<|sB**nxSL z={Te=TWj#CCCkW3DR$3KExS?BVb#{g8YM0cr!g2OZMe6JO$L&s@D=cB~AiHOnPMO=xig4QnZ; zOjZfum5qnX!#^df_l#0(QaErtiPUwJ<~RfD?I)-yfU=05mD$!1GV>H#2YB12T5e&! zE1Fqr)~qt`C7lSr``ChJs9N&765G0eMPyVpkL{W=v%qq*%W^!=16(Q#Th(B-%mbe5 zmU=W3mE6E;UiC_twHB4L1CW*{nMtZe2l7x+;1%ck>o#@-#*&y7lc^DR`<@m@=Nnb& z)zx@xrnJm>Y{n<=_E?4`(X_h6+)bd=q>h?EQvfwc6&c@PqW6E{y~c`=-zK}z6hP1; z!!rw)70+Fzac7o&XmOqJYHS{R4a}ZI%em6Lxl1w)euAm1F4>s7xQGz6#EG`S)}Oi& z?SA8r9_e{3_uWZZ5J|Zpth$-@sHTS)k&;ysZ;~NK_!@u4q%K%&aErYnx^HKW>vERTfIlB}Gbz6IXl5;eQucnXM%}s|$K1(a?2m|68fHJj}hiYuC)4 zi4-wN)Q=kl8vfrOT%Dy7QPMHUlEPGhB3HTa^1oMuO94so6q&vHS-_ZNma8-+*SxgX zaHYuyTPqXm8y`(PZ;)WfRZCQkmEDqh7T3wmOX{pQiGFC2Sk;Zp1Go?r6 zg0g*wEg_@u%z8SZai!V0pK;Ue;yGgy9KrGEFSF4f?YYb3t>ta6PT83-5s7`_5F!OY zETvm51>6iP5@06=Mtog)@0I8H(tBSD4;am%QKBTVUMBqMb;Rc>(jb3wH50fpCa6%B zL956KN=~!%vE6TJ)_ZkmeDb&NFsrX*#me;zgh=+7oME3<`o8d|d;gol~ z8tGd}THVA9uR-MvgsS`1zkN6Ks#TGCDXF4d#jI})#3`THw9?%RA^4TXnba>x=s>I8 zYJaO@t5q6;HzjqmieLfC4YJ$pE~8Pr=OSc&IVF6!Gg%D`>VRLrS?Uq z+)4V*DiK8=C3!V!Qrv60MDacC-{|jy?MGXrdP72vMqlV=?Z={)b_Au2^h-FW)#7Vs za*i9l`QQY5Qgn^KhIMs=3v(*c`)xGp_He7iI-vkv&>8>7`4 z9l`1G6j^g|<-+{sT#kWF9Fwhlu%R}D%_h4*a~N>ZdxO6>Mnxv}!`~fxx&E-b+!8MdLv5ttw!bo_!YgSQWBMuME?P{HI zP1xq_nO(JQIrebFXHu*BQdx{b@0}Uy_SEZ~W=0H;$1`5K$2-n?7>X5i+Kh9?L3Ds? zW`wN@wuNHGV>~$)Trf^PX0YbBi3V%5K$IzRFPDeD^=J2Qa-&mj3sLs5@%YfXzJl+? z@ibW-1(D>~)`lVYp*;~DX>v5IQga+5*qg@KRa;RMgBsT{#5s}wu5&}*rJYiLu8AUd z$7j`kl5vn8&=Oj!&OOzc8o_tEan`ODLtTXG?tOCYr` z2CU^Rm6UPyg%m;I<3-cDGnd=LNoJr! zbdQI03$*VofMY*7#4qMp%DM|w$obk%gyR!Gb_c!pDzC@;;`Qt|2y{y&Jq?)Is+(db zg&KH0^Gc0AK3nIuicfg257_nux}H&86+w!>uJRZBiCkbz=FahiLK#L-0xQ-onPaIO zW2um?$hW`IF9|x1dK`j&jt@R~7kkuxE;pW7JwsYx6*veuVT3c4z{~QyUGsef!lYP2Wpk1~y(9KIZb=$+D#!E*_5xz7j3iOsU(N~0O`0JAphuZ?JePar{>f9(&uelOgNfsWtQ5)NM(r?ja*CNs+}tN|&Wt|)zu(avO3Rzv`&(|{QaIc|q_F3U zglQk$oHgQH8r%<$)$aAw;<`0?v2nWGS0H)lmT^b5?J`>uiazFtcDIHgk%(~$-LyV5 zjsGCFM3We4ovb55MkeKE2~d}Cv(?@rM9Qr@)#;AE`St$2Vb|Yde2F+IVOsZep*v=y z_l94X!Q~@a$|yBZ(_Q!6neR@X?CH;ik{Hy(JsW(jT$p7iikP!4qEc#mEQSWEx@w-n zgEoP7ot13E9)MaTD(f&Ks!CurL%%*~bwl3rv^_KCdz?;MsN7?`a_Oe*XRPOTfKu%eN#+3?qoUNVPFq9ddZ1f2q>%B_6(l0EFfdan z0cyiM3!_aiy_~ExQ(qh@)N*8F%2}Udfp*@T@!1iZA^HP!k(T^5-H3DP*4!A~ROjZ- zxO-Y`KXf9953!#+{o5%AK+Gt8BW`6fxhHZDWJiwmsO5LL#3qx-oc3YZ*|4;@VHxsN zgF~?y$M~Cf{{f9r^C|lO7xGOSI7`6Ps()RrA{d7tG){6eo!S$LV-m^Ogwa$mFChds z=up36N7GPb5L>NKQvYIU_T+n{3o|fKUaFj1xISjroZ%}E{}Ov?I<0Qnj*FSfez#4~ z8kr7jSRoVc$rhEHQq%?ihkLkzd?#rEfq8GAmrrSSFHxG)JY_$?<_!q0s7HI)V z7uYi(SWU^tX*3VEm;+#O-9zh?qFgU0!N%?6XC8+f~62#`>(bo!*t zpQ-JcS39`%JxU2AFXfBGhJDSL7wEP|ju#VIfmq?_-X}er)ReFY>v^}(Q7<$-fQ8i{ zn+gz03I@n>(U&S%4JHyW693h!%#Qc^eP!dh9nm?O+|Y)xi?-ggxa0#`^#jcNs%DGl zbciKYlZbe%CkOYPa3}hM3EXf()XKD|^fOQc^Acz_VwzT<(Yf5bB!S87Xze^gOk}aH zY=#6gFjf>0r|?e98j)}U-YNBaTd)$fofTq|A$MI-{ie3*nY{d!-`Y{@`kd@6<;V^; z4uTi+No%VpK$Hy%fX!VZrBTA#Y`(Uwwi>mUhQ+l!RFj+A)t=INgK@eb58!p_eL%8 zx4G4XD6p;p3J-JvAL3&g9PgRmmU}5YnZtN%&x|KiJ&foqB=RcOb8`0yP1uB=%&J+O5!^)rqPk0CQNKRptPW&H)`+Z zG=zYYPE}w098y&RAU@3!bC{wEE{^pJISHxg=ZuO2tCp?RgoI~5}DGOfqu?%2MiOW%Z9r`h9u9E zlX#T2GC?UO^pNY>U7N!&J>2unx!gC88CsZg`z}%wP^vcz2ms%YdiPg*r8Z0-TFJYy z@18y8YLL@-&y&a+lQU`-I)FX2jQ%h<=fvR*Vub+<#_}+Ijeu_jJHFN^1w4@;?bBbp z?WIsHS5vfN-za)hPZ|3A|06mLq|Y>DZ9>wb z&pZ8G|0Z)d7d88}+hsg)+&u&jd#QoA&|$Ij73VWl9SE9s_!;A*`6$gZX#!S&PL*T> z^L@VAVxosFEqZ!B)H9fs15##(6Cigz*3)KpYoQ~fP7AV%j+>-F+v=w zG@ivv&bx=$!z)Kqakg=9s`(gC_tC7^DNQCy2Xd)V12an7dU@=UMh6za-s;98y_ccS zIU)fP-Fo+bIM`U0B-7iZ9hsDQ0|D{B1|4HmK;tqjc)|JCN;>1=nn%9z!h42(kjsVK zx;5G1yY2uR&zKI-4Y|k?UwU6n#-BmA?3t84BN7#;WC3nqE0u!H9!Y+qVPl`7|6;t9 z1^?-_nEk*CZTTw^d~LE*XN!4TS_QH=m$m=~2|qM;S>P%@*ggLC_IC~LYz?sy5WR?l zUK$g3AYMwQ(KYc0v;EGHyJuMb->%w=xff}WE6r_0HiDOif2OfM77#oa^v)OQ`7VyD z4cBT2-g7-&`{x$?#rs}wcsQs9>Ku%t1ye2Iw?sm;)gd$niOs;42JjLZhz)I3U_>F- z&|4qrOCl45HkjxS#U=i6h6JYz6sVVmM*W}(PQY~@-IUcI8h*0Lk3lJH6RdE8_OCIo z{zHXBrK>gL{|N93kI8~t9oUmR>yh1eMG?fqq@E8PwnRdO6P0T3U)7E#CIlnph0{8( z_U6N{H>yt;Fy}U-E>yeDLxCaG4TXgxCv&`v3(Qjvwa1M=q4yGgG$I(>BV!FymT($@ zH@5=T3noZgk&B~@1OYquAH3brIf6s(QQa>zrad-hHeDV?;)(+;bPR@C)b>Ih=tM4< zc#c++&u(^TQq0vzXD*2>Yi_Q+i=e0Q!x0pNEcWDmEvzM)C7F>WOu2m+$~N=BWJ)wU zlRxZ!;>Fv>B0NX4&>3?5HYi8lm+}Q0PS;|rHd|upsex}|C5)jd*y@U2`MtwzI8-9w zLTn121UgCbESF}_&B>*(R>;5pULg-hlJj@+=N6{Rb8-owg8za1Bu=v8xIHI8GUy!w(n;=2g&$ zA0~z%Hed_jL)jzo*M7}(F@H%LL-XDYVI1<=H4xL!?{1?|`iR#ltG$Q6gQ@Zd4 zv~NEY^jRgv%k-0<%@TjX13<})JX^GZa3o9QOs?m< zPp+7hTH7y`8>r{DEddK!&ji{cuxbL+Yh8^x%KyKt_|K# zm*Z}`uY)UCOZh}Gp;5SLv48e?8dsi2u_iBN29;-@_DGx3T_vD2h>rNaW;(=7bj?9kYC7*`QLKQT*;+7xphcH_mzvWL{a9PJ$51Mpf{X^*Nz zFYdD`tt)GN6j?jxDTHoku7<_C$?f_OJ4!mQHcq=5YP+D6;um&YG5f`J5~V$}i+3~u zQ;16dVp3=GHJ8#8^k%a6g0OBxvxHpR%)FYatQ!c+gm$3|3=FpH{nRU`azqBvBkUJ? zI+!fbj1D^ji_WiZNx-We|BUhmTz?b3HfLm`NxZi<2JDN5C~gRaA>u9S)Lvcl_*w)U zw+N)PekIzNm`twRSiKt{+o)~m7rX8hyF^G2{3i?ugF-b=zi{oRZ&8NHOH5Dwb`;#Nz(4zISQ z=eLD2;MXQ_Bx%g1Q7EyUf4`$f@J)<~Zcx#uXS0+NDZu4~D+E5`ptbfyP$QB6${Bw+ zn2d3Q(5_UUBQh$4Om{>C7|fK%9&`84g+wU5hG@sUQPm5e2GU?$PnA0&z(NN~1u_qX z2sjLkB=0cE4n>{BD@c_XV^9JUUNO;5#Zj;}zTAU5*`KqTC*6|UbOKB#bb=27U|J8_ z7AzIVVaVirMhK0PY3hE~#b|G6V?boL;6og$H5c7k`{6C1Z)3a>`A>(5#~~cu0(Z#MC-_P~mpzzSrZ? zxFv6ez3WgBT$NwyY`^G!E4$s0n@COqFWF3)XB1Ls>|_iYhoGZ8k@;-~CJK!2|GnFJ zI(s5jpXOvJi6uFUU~ZUo?McFH2SMsuSVxazZU|-FUn?J%9wAdEI~%7DF!}~< z8kRx$rW?8yBDnN?_u{eX$2E12#qx+Ny|FOJE8 zIH1oo4Tf|t2HV!qgb|u#d?rr1Z~FiK5f_?PTq%4wo~(|0RKg(G!6<5=O=OI7UXi)l zx!k#sS>iC8Z5|-3M#DF~1+XS`^6(x(e?DScH6wnR@hs`l#AC(4Tq25vav|AFli?+@ zj3FEN8mu?X4j?inRO0d0e|gKYx>K*l4>ihc%t_j#gD^6|PXZ4dX_&!`l3ipdm=N74 zUn3zbxkPRRhLB&@CbrsLawij&t;Rx0#hEO4rPDpX!dsa@W$7Lj;9aUqfgneTO(8M*t0v6J^2(wIx!RGP1au?#x9>zbb2E z7z1em6Xvhdn~__K;K^$o3t-X(8DxXPEFb)tI~?Fc$)xt~$}83F8>`9qWnp5sCl3MY z$YO;-8Hl(LpcvXUUVN8x3(G2MNst6S;E2(m{7=qvbQ71}q)Z~TvUZpC=s8aLU$@&H>(mk7H!107SIu(_}q zy-3}-UuVo#F-demJhV`7J<*J(H)Dm)2)tAxDXgnmWTLn-jy;tC8IoHeI0C{Fz^Y~h z`j|9$UrK{*lp0=%+4#t;M`jW)y^!7>?u@o+_cTI%>jQVH;MXzbVXoe`87(z_%_Pnk zrv`u|D`B1u3T&x}pW#6%eaWVqMi0ev=;D*P*U+=rE%H$)4?0x39wrR+5SCOLv`x8- z#BLP1md@V#XG~ zOF+T(I+ljf24+?suDQVc@UL1{KxQFLF!uacZrd7)4dxRoq))5D9cVBBu%_Rh~ z1h%FY4^l*OA&X=ZB-(Cm<3sE4Hb1z$E!GV&CssIrZol{*2Es1HmQOsumPEl^S}Yb@ z7Jg9DFyhjZfJoY9cZNvt2JLE=K;<-U71OX0B}R=SRm>3IQ5e2|*QFdveK1bZDPYE7i4KWB_OM)Bv9P=nfn_OFg;NoV zj8E*u@s0y;`{#`-!d8J~m~gRh4^4E*7K1EQ*(?V=@vSOp2_qncZ43jMRBIlhuF!Ek z-VHxxC@@WXLe_=OI9LSzPMP>1>9rf+7yf_*Y0x0K!ar>Jn;POO*!akNk_ocV_EvXe zy5Ld_kKh2w%Vyb^s57Wg*;mR?V7N3Y>9-?mZG@wqS=K~q<5T;pZp@O z!`5&lrBxV>24M@wF}s{=rNZK}ksnS)%HR=x&0cj9>^96|2+=U*nlOwRl6VM6f$MSe z-+uHFoRKgerzpJ1ck~q~jw3Wp{|Skj8)!SVLXv(IGs7qKMj{f|G)R%5pLhWLc^$*= z?MH#-&=a}3d`${ff?MQcI_#^f@vTpPx*JCb1sZxWC?n0MfLpgbxV$QS!Q_R z;sx-%!_XVM^64>AUnl|~lEc%4DYDi#;9%2WM1oqo2aADqv7oUw23dfge;wZV&HrS) zl5R1F)0c8Zdu9#f!V>!BtuFO)qDPTNW#?sd!S`z)Ttan{hRkv~{KA0Ge%;s%#S}3F z%LjDYT2>C-inJ${hh2z#mH;&TVIc9&0`J$i3V1Cq<<#R@pEc_&r|^@;C@XNTF#BkL z=)N)mV`!+eJs8E1UxPU^y* z$Pt~L_0b~^4CAGs$fQ<2DUY~LJVvg(sX5Fm2?x{Y-`W|*z@Hz>bMxWg{_nj9cO;vx zr~IIVP=i&-d!t6$AB&7cjw`0}h(pWVR;*4J|HZF}skcGHvu)UUonJ2BS1_5iQ8G$=miUmYN?tpDdG@l#I`D-4L+*mV}wszLEe6#00dF3$2)q|z0A_|buQU0h%J z+PJJlg_r7z-mt@H+yFKvhrFV$!4+T!a4A8J6v^?UBKmq`JRE%DC+{#7dMqyNrU11t zV3rQRmcs~Z>K7w5!(1bAa=BiMLTNb*X!rQ%`!hzS=Mk7?vd`-%euLkW&Z{e#xJmd} zTRMn1joGPKIL9p+=l}GqVInt=DM_6+A%I!@Pc5KWd5~I3!dh%51QLKp0?Hpnu5*`V zCFbGaU%gitZ^fxRnkN9?Y^dZD+_{mf1!<}$CpX4aW2pW+p?6PE=wReJ zBfVwxi0AM(|2@NnP!j}z_*NPzIy0gMr2N9VaP7^;_`U>~-Ld=!oSlI6VTA2XJsT8w znO^d4p#PI&*`Z>|{*hwh#BmuNp!8$v##8Mb$F36RauAi;Ay8nR!#H6lNbDv!9%0)a ztk`k!PdTE?M*g<{)m^HN30Y>>3Zt~k-v4j=-?_y`-)lX46VTfT(B)b%(5bYv> z{p&E{wEmh=WFjWPKZ^89|ydd&2{7%&-xCF-X=6uPa0g$Yw0#?aylyz5!r8f+73fE0rm^hTon6AbsS3L0*uqKjJyNt}P`c$Cs~383&mLN?3qF}cL%QjG^K zDe$Qo;sU;J;UEtOPfomhdq|D;h!<1VI71`4DVCkah1gbeyA{()#?7GW4Y`B@fT2X2 zw-W6*htnM*PI?~_vnHR&E;s64xT4{b3{$*A?BLe3`tI?sZ@W`gKuhIYG$k4+Naxt7 zNXEIM6(Ao$r2w!H#-MbnkxMu0&CIOILHOSGyNrec{q#bscewQ!qlv_4J5zVv=n56$ zhXD7ISG(74S7oIhxXoKyYQS2p$1?ur}g z2zW-FqFR=K*{tZwaH8v2lLj?`)0r#UYF*KpsifUnsy7n_)?{o)(%7h#hylcVAz1ze z^X7h>ZHVy)U)oYR%@S2N6IEE`YjO8 zTQV?K=0nLKSg`6s8Dj|blZ$nE-sXSYI{EDDzi~TDtlC|n>anSYG;6RB-&Q4}2NZo6 zX?4Twy2j}*QIE0q5et0V$vPyy-PMZKc=7}mf@(Z{43yevb;QYOnG$Qb!p`(q#A2k% z3C@xkAujP#xOap~hbm%RsM;zmbT>p(F`RrWWIx>ht9W+sk%n^1p9`mS`~MD=|Q$DwCv zWn9!S$+dKv;2Jn$#W>nq z4Ud+i;QssWu(-H04NbXL9MTw#VwuYDQy%xB8EkDh*wrT%l1>#C7WJ)1e2b1Ip< z-EA`fkxvj?6#(wpPRj~fZY5oTP4o8^W6ox3AzND4Y^b_!Pvt7P%&8no_0k{x+a1*r zFRQMoiic6M?L@3vj{5_vwYO1r>(CsXz+&rY&gWa#jcD@b1TE%t$_?R`&LZr+Hx$St zKdcmw=TN~Zq7bKwL1YCXRORH60knq0CJt$97Vc^Vo#`Nqe%}3P{O04oKjqY`8GmoX zcQ(0JrJQRuJ)-ILREyODlQx|rV`sQrcCAxITGla!Lyp@*;0BJhu0u4-_Qm`x9;Nkd zSlPl7&;FuY+Q=|0D_?Tz*<9vr!6x3la9_tAd#g>c;VyNZotIadF7 zL|qvy03M$^nhWYqFqjVZ#+!;3S|P4`c-{@04p?coGUIKqJD;w)eRDpN=oG66A>R*% zexu}jWzSvjZ>nyX+2US0{newvCc6E&O>eZ>LdEQjn{%$y>S9r8S7vX#m(i8$uf=wL z#r@a&6WJg&Iqh`~XL@PtHr|M*oo52ib{%$!>FCP%U96<1MP1(kcitV@*>d|* ztj4uE&muhP#DT*t#lHS68(nvO(GLfY7rSnE-TX1Y14s2uZwV%Gt$nabYBFqfeyP}f zvDow>+;Xyn|PX}Rz) z-Fs2kc`tRyX%g&??PltzJ7MG5;A%EgO_m)a$+{nMbIbY!FHafiBnFGb122SxSWx-hak{d&{Tu8&G6c2MNK~=gbUoe?9%e^ni7X8t%bN?VE5F=Se zIoW`E=yhKVpd){RLL_O>Vr!!4Z7DjZoTEVtmJ2p9_D#9oVk+1>=oH=IOQZjeE`vT` zW+AMV@8>KY2<=l+hggKe>#4YM0p$$(CWs-0=s3bgi59}Gnm5ci7M$Z2nE?v5s7-V==-9bI#*#b4DxWKpPeTV#L0P@ zEm~d0R3%DVFBdVw`MUG5oE>rcrN<^fqz%o*&@Ym=k%ozkDVDO292f8 zmYs)fJJS)9s-zh%b|8?o&^1a;l^_)KGhDZ&$n=@3^i3i5(1QT1Zoe^@q5CvUr+`k12d2n;?0WS;(_f-dH=*sEs3nSKu_=BLnyV2}G&W~KI zdj3NNXM-c_!pT9)?mdoMIObb}pLOPQePPjQ#nYbq&}g`!pViuh=9|Am);HLQ`a(U` zA8N++eQIaF+z%9~&I_<(IPpx{?P5Dw_%-=An5rdzbnS|Qz5}G}0yWvKb`8E0$wNzUzhBKnED zzxQKzxUH$pt^Ce$cWT{{#yYQh(*4+Y-^{ur-oDl|r9XDFPy6MUCU%b3p59EqxD$5f#+Gw@n-=g`*bde)Ou%{%B9pY?w?JH`6*_f5@EqnW3JXm;q|`eT{W&!i10nKhs{deYU24fHDJx)TMISn(|xuXUiX}G0EiNssy3^r`8n<&J7}8H2N7z^Eutj+21Hz zd5Y!tSt42uT1p(xS$tG1*u}g5*qQ0y?-f#~e3IxEJH!+}SxlkPcVd9@A1|N!=-+Mo z{}7hzfMaZRpLA2!kvM#s66oyM_)KX%koBh-M>fOlQ9Dx@?Q~Mx9RX>83`HAQGBTIN zV2p;50!b?v=%~lxQxFGZD`;$dk?ONK$?L}8tv=Z64eTu5&CQE_S0@9Y%J@KLBTUm(EebR+rXTW{+)#Qdt9FbC)*S}ZLq+tYYI-${Z8@vNl8GIW zwPQbp>rPf028{;Pu0=1xiZA%9IdLeOf6H!ylGiMm-MhzVbxsaS*PP= zzt{*_q2`?*b&>2l{S7mv78vVJ{qo<9eHy}cQLJSv*NxYD+C5r*HBJTPRxJ}uU^KTF zPQ9bf)8lH!vNp6BU=0(%YK8T6xpX-U1D8=k)EinU%kQ3RVG7j0(@Je<*jO0&w_&cE zh;G9^S`Bc_PqjvDbU0wQzW&;sU6}wI=T%U+uNmjcEntv6G1`YKv^PH7Vw$nVDMl@9 zbdCPVl{=*sj*b$4?*m`~CLhu}!-Of?vHVJm`+z$5)bC=U01*;NjWC0u#uS)i+39Sh z>bED?qAtQIr|T{*9R7LljZ&uTl@C8Ra+Oe)5g-b0NQ5`hBr+*=>D>KiFF)yz+&? z|Hf|e|6Dtr-~PmgWIBJbbUMMmEB3t>Uiq!+N0Zs`|IZvwTTnWV1%?#A%>kjAgRDp` zOB16IFF!;O5bo4}l3&RwOMg0UafiRd-_+$g_onW)KhVYhdYw<&cUN=ObUpmP-+IxU z9qK2W*7;&-P5(t+x@dK!ioL}Wku3)| z+0Wb6f{kHMyT^a-zxm@oWp%RjGgS$kOjsiJwKqQGbOz07&+$i6h+QgNVy~LPl&fQ4 z*~}+jDnGzh3wDj>>S2%{t&UW4^SM(w%_a=|XL2^Y8PqoyF@o)8BYc{OT~NUht`eh& zp{Be0ouU)Ko2A}NxWVgpI!CMF{y+g-_f#=_@&u#b(TM4DEs=x+PskBF3EvSp(ED&~ z?G3HT#?F@S*TcKyzLqLv2DAC>!wu|g>%La%<>8%y^D?06Y~<$D~f zU}wX?DHNIo`yS_4?V~;}ja#Tj4Z?9dt4@8;*`=t^UW83NSN!Ok$7|L;ccgi&=O~+a z%zemlCc^PiI`0Jp3@sPZic=9QXByAC{=PDRjA^Z!OjJ zv{MOEPWW=G-|xzOC>U~|a^i!7BkW6*yYFeQ=EJh?h)EW>1bV&f4~j%GdhL6U7hidQ z(;wV7ca-=iS_0-Q-`j`_`@(!Y=jTuR<<87Q)p0wY#T|3YBj>ArAJ4^5tCKvnS$|GiZk!nmdPEfOL+C zaq)Dno`R%d+j=&gM*~%=`+a{)D~R@2GHgCYV5gqS+jM456&>plKV8lP3`m(+euyuP zBaU*1h3l{U@||LR%O@KKx7l<^by9h+VYhyg2N9%8h577VgkZzG=f$NW)*ImvJng#e zx590~M!c{JVP@LF=Ck`fob@SW35Wc5Y_MYf7=GNKO_5#9i1vDfQ%@0T>+nCZ^WD$T zi7mCaN&~Nyl@HS`aB|hhxhgd+|z0~i1Lx_xIIMhkh^vk@zgQdFk#%I zxxSNhlC_BK>de8^ln074U6@Gs4)PQMpP=0_zw>qsBj2`)#qbi@3d_px9K}pzL_z&H z0U6H`v>oqMc&YKBDdaYiv}xnPr@PX1te44-dPg`{0kjC0;Hct{$eK(uj)6iY-EBvq zLIPyoYIfxI?)Pgr@Aqn$#fYJa3X1XXB4h8-Nz;>gGY=wAf)M~xiPX3?06`9| zIw?}Tzo`{s1Cf)5ZNh?`5+iv!ALA!~QX19AzI}-74X5QR) z|Nidh_x=5@HPU8xzQQCMLVF{qEYxqyt$GR~O-IYe^`xn5ZEZ(i`Q;DLHEZ2~Jlm8O z=%knEtvHp^-ChX%iB0`;ufFNltYAd&hqVoJLkqH-HV0VR!KS3*bR4l01bkUOV<9D3 zidBJ@2jI}j;it5h#B()%#t(n$caSGh$EHJ?d3}MK84I6ryUk>;L#q^$LobMd&2T7s z7<~;&1oL(%fN{rykUiO^ky6U?E5?sna5ONO4wZIRRhp4TA4qFEP&km<&h^3nykp4V z6LPIa%pB%ek0^R_Eyb;P#YCjWw+91zhvYxu3{(88th?d*HUSx z=Fw6ElComawHjLI$la;(W!;($EkzgYr~2QGRCL(K8ffEq@WOoLRQ>er z)Aa{$Ja;&6$62Y<7hO(H3Kov}Z;h7OVPN)$Z}@R1u2jb>94M7dwnV*XG#7GwO8tW zxNl49^nudlVtZ2S{f88eq5kNzvc0ad2Z}Sy&reuO*~0RHdNaz0jmm-X*Ia#6Th)S^ z)qGl5=zJr`e(t#Y29{CwO?+~5-%ghM_E*QmA8u8G zv2AxOj%DA6s}Hp?J5Raz1CmRl>$0I6SZ3MO#aLE4oe1m4_2J^4qHg@G zx)hb&dzBwBb*XdyIjxrU0EN%C%ZCb&M~_y*M=O5ma`c*e1=jxQ=!>cpUh!?v+=^d$ z)t}LiscWH34cdqNtpj`Xr?2T7uAWwvN7SbdG=B2vZMp8CR3_J2(d(`r8g&!S$U6g~ z`{CDbHz0FyjJ=xeTjYzIn0=X>%(hRtVf7HHMsMLki@jb@B8NIo#hEw+ErxtK<{d6_ zSeN@`yHG!@2b6eAli<+8y6(Tl1=&LGP`|@P-ZAs!3N}!)I8f*s`4yRqzU`maGq+e_ za~7`nkm`q8snC2eyXPHP=s)&vKac~mfD_QDd#i%b$o^~MG7&)g{M_W(PHOMtC*s%t zlke;20EVSkD#`0#)^R=jvL@wu=#ydF^-+V0;zbe6O*J&u+uqQ-)L0iMz18;c_q@Ka zRDrC8i|a$*yxRN}c%=b83X3zQ157n zYw99XDz)y-jm|r-Pc=$y!BLdo@EX=!AH_uDe$I#u8R~O4y`+}#!BTw znnq|6U?!lGG&-iX_pnOU&Qr-*2iEz-j1Hc7^4Yba+6G7ZIfe^$!pR4#xscQScKE4~ zjn#5aCGY*Ge_^%p{Xq(^du(=^^GRtPf)?g%(@y|U6K{-cXt;EqD*oNAyq-+B9e@w1 z{pX(XAz+P?^R&NVY`ccJeUb9MvFAw$Rrc974e#3%dVrP7r<8in4wVDCjvRhamA>a@ z`!}>6nS4-MOS0CWI#a{8|8u^~35P254cmLt{PfZA;djLc4GJUVI_l0voEb|J*4JO~ zb8_k;0N|lWPs|4dD3Mh)ENO}wZU#`kbHU>mfoGTuh|Ub{PB zo6=8vqu2|uOf@mDSS9wzCxCGduq~K)V&ry6b!3IUX9|Q#0_vM+7))&7pRD=xxvzcp z1IB=54a%XcA^?LnHF@Kyhrj}3S3`p@Ll}DobH<#t+-fq64Wu;X7{1a7Q-MK$&i`u|k)sUjGEEZ!^nIjnN}=z6B6*M~;1Y`8t)g$zC8sI$U+v%&u(bl`_m<0-fx z!;zk3OEQvX4;p1DLy+Ss zFn1jOufWTDJE!J;DfnV`PjCx??g@V5cRw+>4{z#kJpPHn($%f+|8jlTEo#X3eV$>T zkMHt}-}g2Q3_aR8m3$`nYB=+Q%VYtn&+DI=iOp3?SK}*?)bqZ%O7v0x5ra~LcN;hT zoy$ZIU-{PUZTkDpgWhbV8}vL3PV=Mr%489y8*all>J)w*M7X*0S3ljxL5az@GfWvj zm$cpQ?9j&sU+K&2PFs_D!f!koxep%p8#4{h{r#JF-m<*SZp4_{Km44X-*#4&J+NoLb*lJ$PS0ZlqO(+R&6WuJ<&?q}si?>?DrB)Qk&I&YmLp8zKOuPbF2VMhxA;DQ=>P0n%1dMd z=<`r?dDew?d)o~cXWDG&R$LAWTVNB3lQyK(QMWhNo{53@UOqkzugk|~Q$E`c(UR01 zP)Q{ic_9eB)&+MH+UT)SDkjT519lHYjm<8#&jgEmmNO zj`qj4v#n0te%+T}@!yAC7c2=jfoUUh>#n_lfQV>91p~tYj6`U9Y<$;&kv~XZ6EE^QZ~p{ zP~s>M81NG>)A+W#8eV_$^?X~s=FP@&%x>9=y*WahkH$%3{3;&%y3*kbRgT7Kora-} zwUtQq$tPmj=-3zI&Klaa_O(!lHm3?(dQqJpRE1e}B1G??XY!S7qpBv_GyTqhv;TnC zf-OGKIj2yB=G!-E7k0I^1F%jb0V>&0R>ig$S6CN;>h69#lF7~8TT3tkZB49i2A5Qq z?+tFtjiPRINaWOt9H{vH(H$o;R{vAs0wRIV(BPaqk3k=|VcClZ+>4S4kdC^?FS1c% zdp%w$dIM34K{OE!&V*xZg4hdCp|gxO6PYc<$QhBrP?HDT+={TWYF)a0v#223Tgo6~ z+vIPj{*M>zhyD)jbyCsGlI$dsnY#8VG9`zoJbQ@@5$ zjv114ma7Zf!RyV@7~H(5#Ud55$KL{i@`0P^ed)DH_T31cBn#rRtQ?OLzL}FB^T*@K zsQ=mH(iv22-@MHOIN0yac)iF%a?uU#-skqoGAhUiQ{FC_596-B=t7wzJ}|Z6a6!J) z?&68sdUU<_fjm|1$-u8QrgW#hmCL%n*S8RFp=}HoWAVJ)?S0re$+pjft#g#lOg&() zd{SjU?4ryK-$c(b8$P1OX4;R6aWU70c%$}aPbfppp%a4zDWflkVFvN?l zKdqO18Rm^tDSei`dP`K)nB$IXnd-IkHXXsvx8t_#W*cuo(N*N$eEmCoqEqzd+e%g3 z1@>ZSL7`{V3d=Q?PXUe^&KE%Bp_WFM#q`!H<2)FTqYa8H52eznx{Z>ExgK3ioVW81 zSQg&LxHF^LD3)-7$At{kk2ba~PM|L_36Lu`c=|+RO@bMa&8403Xgjbt?qt!v5T;u8 zGd!iUik^PIKL;?F1LyDW~k zvqQ`Jy2EstCruF(iZvBk`^#Usar@h`W7V7*MBKVpd|Kf14Zm)8j=RCkcFhs#2JE7N z5%LHUFL*4@Q)E$yEKu8NYSgRIMjD*v^FbrTj) z4lJBbnI0K>GfT*7-;R__z3Ly6DLEFUe40}@azv&Yx<9qwAG3?TqRLIoo@I%=EvP6e zpfbAG|MwrnU6aR?ri0*#o}AlFltrvM&Y<%XYRd;#Mag#6N(Xv{Gg1L-tgbX`UMqSS zeF?B=X_k_ZzS449w7>bQpCyf&v{lduGBIZNKCDAeAk(9((m=e>=3lDvOTYd;*Ye?x zrr&tWF7ueXu{4-&+x=d{@xwyjHk^@^1Le#gi~6itX7mr2RGMDp%k{A``oec zqQ)>V1_u*b+0R8<(?zjD8cgr}(JIUZ&uq!n@&j$5;9PV$fN3`$rTAH-f>-WvwRR1qr)T1LNN0cixS zu5dcq^y@Z|Q(HbAZqQ*MvMkSVeS>Ui7t0-_gvOo92dkFqYT0Z;sdxSb1CI4)kA9Gb z$5h8%rJV~x+mO!eU35)u&0A~DhB31<1ZzVVxWb|r{+e2)oh9$=o{Xj0cnTY2bLBm63 zDUAP*Hy+@lRa@AtnCXy4&f5=7(gu0^)d00NUQSC)5L^^F2z3!lqlS%n8WlxC^KuWZ zw(4MWF<%nhN~N|zOtCj3^)``R-f z%nVic3(n!&ukUYP)(C=%#V5j-w))1m+cKO-wP)|dvxRRFyF2%u46t~<5&!avxrBcU$h0BLgyWKkg2c2k%#On)~{b{(tIi4>V55 zYX4JFaPQ2eNM(Mdy0CKKq~rjtN)d&lg@IJs@`LI=SF(W|-#(DnN3p9bIXzq7F9)tf z7qq^A7LWZ{bU13<=ild|y{uCO17*#L(JOqed_)}`^8G`%{Zv8kRNtign2g()IZJ{_ zfqV3_+9&#GxeH~jb)SycS>Wjv$0vX0M)8S!_#J^?O66veIsSaryQ6O=j@j7ey7$T* zQ29_C?>4)~e+xuJz4!+p=id!SHq?psH_+9U0h&4095u?7TmOte5t*GibgRW~ZxWno zq>SvQi#Zj3ySSd&!&VZgsQ{Fa9wTNvt)QPz?tC3NC%~V4Wku{$;j)5Uir(}3){i^C zn-6L#Xr>(eYV+o={EpSLrZ1gEr3c45<@MhjDxWOB{%NgFRp&-ulTe-qo1#<!hX~rUhCc50Gl+Br@a$4 zFQSRtr|#7iDRO?FSXwPu6ywRRO)1Tym{ju zxt@7MG~9{Ckv}erVH*KmS@f(?6%kJzn-F^VwWKNbZJ^9TB)BHd>@~W!k48f`|HB!p z=S%v!m+N0IJlBryG(>BUlRUrcC3z|*Ue(bgDKo^}q$sYHPpqwKL~y=Co%+XuH;{=o z=VPdg5nWjl!1ogJGmx4ame$LU7?tM@SHfFS%Bp%c0k4jn*GCmMBiDuhh|&3}dn5CP zgMVC5!$0r3?-f*aj~g<{9Qk8WHU!AsZJ_4_*sRAM*4%^|j_n`^FIykVg%$agfjg|- zj3b{+eIFOY%Sg#4=6fYVy}J0+t`6|{WuRvxJ*OAr7OU~0ZV z7@Q;Q2Y=_H)xJmS%k#Ff4t^_hG!A`y zY(si(l>XG^endJGx__-aH#yJ29IN{6kPUq6@%h+5pB(`yFlYkq$u&FR-W=UJX@?*C z)POVb1QJlyd{}FZhyP@cZkbBo%qd0x__&ZK;<-J}#95Vl%?ob}{QhMhU0t6;IZFr&n~hh! zn|*z-zKf0AjcRp{!>dCSjt2jlx&sJO>1y0w)!D0)qAH2CH+?La`W{&F;3`gOa?od-ygvm9*1!1W(zWU!+te&gL>L>Mejz~Qi zp9`9cp*J`6op5+fh|uauSqDWNee##B$3mJnV@f+QPrha&twpNJY$560$U89~f{~OJ zLL$HA+XL&mCf6%|eogp(VMm~9r=MLD6s>l+$b}x7QG3x33tLb0m|LXDO)+;+tU1o! zLayv=M*TPb{!jBaPVeH4x#L2NPDa*|$0vuy)RCUs<|cpq$ZgrlUvno0QGj|Q8<-kF zZ8W)o)lBuYD3@iD)eX1pN3{2-+gMdNGg6nHXnqQjlHY~h3C5mPX&m2ax+iwvpuj)b z^Q*+!jfD0@NPZ!D152eT8|x}R4?C^(0xpXGI94-KSmn)4LdyLoe^pO>?sLB_?IV{T zO!=QX64kE!UtZUUi{Kjb9_-(u1I=~2fYeoK6poPC!d8MeWD>|Ua#uiemAv$*M1LBi3);$`N~lrU5DJGiafBitc6--l!>SnlW{Xv7mX(mA zjC*UQci<~j_AdV;e=GI>iAqoW5S5;P>IY3>ZwdQBUF<$pn?w=VNn%K~xz>&k*U zb4PO;Z2SPXM!WpSw(6?8W~WExF_~%pwt<@AkzYS7J}Hh&iT=As4vPCSnK0bpoS_^G ziMnpM3EMZUR66yz+5F6eZ2Q?|!9uZKF?6tWp)BS*rh1sMCw7FD`7NZ`Ja)dAA7EzJ zzhrD!i_yoXi`|lCwl=pOw+#NBKVc`E$6rLK$*n)-ciwaBXTEa5x9)Gv>u@Rv4vENn z_;9j_fNmxpxEw3-on$iEAM{;`#huy9u`}Ko^2h4#3vO;UY83n4ZHs^GFMB7#bWpBh z*mCd=aZ3xGHqeB#4db?OzT~L%FUo7{TmGC=h}#8@+ucHPIM7y6drbw0>v0_6!L}b! zbBEE+4944p8Cq4giQX>dA|r^My{gt;SAF`L-}e9H&AanD935Q=vR!_%HTOiUM?4~g zyac8AoL(sph(Oq5C?o9RMHg%r5_Ou-j5g4t;2tP}%q-^QR@|knK}Xk|S_2B#)N*iL zYF*isGTR32t}4CTA6G-VCslt*_4u%4YSOa?PUA>gQp6(QiL_bu^=S0)%}=~y=?vRU z6r(LY6SY)qFMG*_p&-BA8z=JcNx7(0XW4Hg`Ka;fD9~}kGhw`oyr11Go@1Q%r96ZvcfUAXf*E;@EpNkYwz7U4Jr#E9w~sCB#*(OVD(*IH zu%YGH1@~u;l@GXic{y>4ZS+j}Er>$F0g_8Xzo120!rqDX)cWD$=iUb#>yx8zpe}Ej z7tge-4GIth``u)pH*p5b`|-Fhx>$~_Ic1A*EKFTACzl54Al?=&p%fKv@Jt{Mot0zu zq}S^U{`M^{$}bap(?Zwn6Ty?6qZITRH6Dd*)+>>IO!m#P{2uaS@XI z)3Te+CC7=DxV(k9%Ikm2E6Y>rkn3NN3p2Buna$oWEeA(lDBc^6%{If*vJbj%#D~W( zk0JPRPq|wUwQKfxf&I%PvhHxiZ%Rb?YpjTDi-SNCW#bZsnt%8gz1HtE27d{upMm~*wa_J7n8C`K zhtM1J)1U?hG8}$D-hAet^KL}~g?#9q_Z+{G9(7Bz%UQ%>F))Id3PmW% z(m29HkHy~HiuHE1B^!+i-^CW>846(mS9z)yn~nJ%B%srm1p&z zed5RRkYm5Z(=i|=nHX&WgTk6Ovwm@6bQSwxOlxrlRFEWiIbf6^ts*TD6yvC@Dx1dK z%R4o@k*8DYJSiUNuGXsJ6}pcEGSU{kZM)A-&%jY=I6jmFqkwitPX5x#_b2Es3#x5z z(jqi$ZS+8pj~ol|OrG5=pbse~)yDjYMvSgad$p($mcP8*VAzCy7-;&jRdc!L$ZtD% zJY=w6kcVMK8F4(-3=V5;EALgoOm5x}E$R|~Cf^4P8f=HrsPm| z2#na8P)_S8EODA;0*f0gHkv;7&+d}cI7O(XP?Dko=zx=FW2<(1%K>XAr2Qz4&fDn} zB=c5v@=;+;IhR6###x-9KZV4(VG8JwwLdf^YoRgEn@Tdb4XndN<*UV0UvqyfL9cID z$Y$ZBNje~CXg)uAoQxkA315))nUJ^P@8VutPB0zfFW`+XkqcH!2%BHyV}hf_~-djGdpA6p`y%HN6pDy5}zXILfhF;Bd2P9K=Dtcp?@xBAYZL7HK1) z1=_{_><-5G2n^RH#fVJNuiDz_rHn0YQzI=~o5D19vYb#{^ATPz?*IW%TFcUWAg}$sC?Q->Z!}Ez>YX7HvMELW{Yq@q~(~DAf=l+7WsOIS#FG$;T_frjnRzyN+AW zKz^5wLn&}7Y5YLSw1&>(c5&|Rf#%VSOSp=oMt6GDi4jUPS|rQ}6wXI&Q$|K?+%jGb zw`J#FQh^z1DMm##$Sf;9r`2_s!CwpgoqC3-+kN~LDrXblCB|rl68AZ-rm~z(MM0vU zx$%v65wYs<_oN>ox~ z+s)Ah^q%#j?Zu;=4m5x9e1Lb38K7%S%S5%+mU2HCE+4EuT4wO<6PXJto2qXgd`zt1 zObExDZrG20Crj|qY~3WgT z^r~3nh}Q+xL7?za@y);cLDq_B^!p{L4?Tmn>R?|smCT7Dy;Uj?7JowDqbAn|!>QbT z!M)KcB)=YKKJ3?_r~1OSzj!soxBFqQel$}|y5zX7m>7&Ih_YA~eM9Aa;?NnF*aLHIk3_HnQz^e zv-L^+9P!M@gV8Ci5#60CI6>@}CW8lq^Oe6f|6Bh^=gz&*d}aJ>nN!Nof-;FS9=YqJ z`sS9Mp1L@)QFyLXulgqZ`|;!_C)d@W^3Tf+!^4JOJ7eE7`3iEnd*u1*OCm9#hc!NI z>>3(o`?Xe{I6VB0nsIqslna~9-1#&1S!k4bIn0pP!__W}_GF{yYUZUO^i5TZHyjI( zsdJV0@e$=myq?R=vqo}vzSRR&^H4GLw3l`u^@XhM)Zso(b#+wa7+B05?pz&W+FISA246rls5Y}1& zQ?8W}n01kW>52O@T_X)xZnNhTh5;TqY><{X=5L64Q`{|A#gVHL@fvB%;j)D`cb3sz z@V%ZJO6ipOzf14) zUT;8|x9&Du)M4s^HiZ5`1bgCjv8jMjBdJ>B-OP?OQ0DBB+a?ZkOSK#Q{jjPYwJwfO zo2x>QwBFXbwpL*Ol`{;*#pDsjkw96&k{qSEURiDQ{z>`xDxh-V%Df$zE-^WeKJX2r z@qMGdF5)MSYO1zpt&oJP6m?{S;Zg2e)kuIxHij0PZ-%+%{OiBygEFaJ!rj(MDZW0` z2z%^3?y3fFm7KRlr%4RA*kDmcu;`9M)OJ%wE=KoWEqj!xc39jac<(Api`8RK2#~UQ z&NPr|xLCBm8s3Q~#02_cc6Y6tQ0%tdawZN36AAl)Z=<0|kEb>hYa~lIjJyq9 zGlo;iIZTLzHS+BTQ|Zs5E#6G1qOgG&V1$0vpg4~=!i+QF<}mvw#AwN(ZZ0*u@y55p zDZ$!}nSm+5W@qUr1+qTLC)c|9`+IlaN8ZEu6j*a+E$xg8#Gw$baph>x@kyd`ivsmUKhM< zX%h0J@0W~P^UrbUUzL3Rcj1^HRbM;)enwf$KO?!!$HvMu+a-3n7J3j2 zLGu(QH~)+sY#xdZDO!#SZKMl-{p0#)cB5)ru61{oQF6xTfZOT2(s$I$?unUXYw+@hGaaL*^Gb2} zZoExGzuET4sIBX&+&3Ox^tURFPE3A33Kz1uVGA%GZ&zx4q@}yzdv#^!m5M9Sr+fz= zbfEY6Sd==mrmTx~TPNdP21~B0-Y`~JpJ~-`$kEo@jv+E>=lH`n%k8|~+hy%X2@>nT zY^Q3eMeG2h3d)yJH$eSKptgXx4RKaHHaS{_iWof(!gi*_Gx_*}oJas4p1&;PyozP_ z!n$12UT>zGFypw(str29qD-|YlNI!Z%-L2i0z1c=7J2)SBM1EVcKsiDExHM+Z(*bF z&NXUNiPh8Xef_d;Hx|$B6Al`*w$?E_T_jRn4KbHQjd!>0Y)Vgudr(Iydy{6VrAo0# zX)BD!%WeS|5Bd)_Kpo|97A_&Y`+Kp)^RuCAX*Rfd(G6nQa;L=}6fl-``DG*n>4j}sTT=_dsRm-?Ew9V%%ycFowseoh zZ7~P7jl;%z(o`Z zh*sOm%5GKYqlnk-ukF55?57F5)?{3JVPJQ#C`N-VL=GM2lsXY+d%Jh`P*t_qHQgpD zhW)C?o=f({;T^^KEuXC^dsH_M5H->tB@>&plvaEz0X0W|>9~vC9*I1WPz91WG9*5B zzG7UDNE|(D(gPMP$yNWmf7GyLLI$q>(aCsUE`Dr%c_2N-TU15u4rFHAJ)stVK4{0H zB7#G2$!#IC1f~<0u z#xTy84sI%II^$(JGwc8xQXoYQmxJSx{eg)$^(qFMUAl7yIO-$FD>!tN6XC z|LDFQw$Hh+#N?~R(Qo?%jAo-$x_eQMYJEB04$AR@?R#GKa#X0e*`OY1Tw;Jhl)}&ygXWnr0GMHSEq2WGpjeM6 zfsg%SG?B5>vcK@LJ64I=B>dZ;i*%KU^%=!fQ((ei9BeS($W$dxr^pamd$TvM$`!XK zpcir29&VC(8^tp)Af2Lvb8qa`@~EA9XRr@e8SALCBAeA>?V6%@T`e8@t_T`TWyb z|ErJ2OrG&vnWa%BmLlvzr&F6jLTWPJ@w`moSiDZ2=u(^ZnMth_86wt(hUk`jgjPZhjWi!X(E?d3{cP z1z1l5)KV=6TBW)M?QeeXeP_6&vy@5SA--%UXg<*>S`%^FUOPZ4JwXINV#GHP6|)fK zalRVm+fKC_Ze!YqHO{PaEeeL&mlk~I&(5mUH5#)~OPEzcI+ua#+$$X;f9@6AQa&mu zh4EVBQ^~v7XBX^7Osc(j&10hQSQeS0^QxJQuLOPP(lCxz?b4 zAeiHoc8PGF*t~_Q%hGv=)yGhu+d{60zY2E6i$o2%?oN|3%NvN+m~I;9dYGC9k?;45 zsfN`USN8SS=gm?a49>&^X|q&Mbe1yOHTbP&^Gq!qHQ3qR?5!aeG~0?Xr(~DH1SOqK z{CaCm(HAK!UCEL)o7*>In4A#860%hmR$oEUd39?Y4WD@(R6~p7dmgD1VYsQ%-8f*n zEs2UnOc73jw7L}9iy1o!p^e5JK-Bo}FOrA5-!9kcxh;}@ZLrg@$dclH(vw&;+V;)jRtrf8 z>%`MduFgAaT=LcfbCZjN=667yp~aT@%Seb&VQ0vs742dEWPSGw@3;I~capSdww5i^ zFID-y9;Z81JPGJ%RQ(?q~d_( zD{fIrD z>dU^-Q`y&Z9WuD0LQ9ObStFPQ(&v07d^^JzJm_?2ZdDkOZRV)xWvj;08U%d>r_wjW zX$(#^-AHCaO`4>uQenUK;`$@hdfTrhYqE0hjOX3|{YRXa^|HQOi+#Q67u5-weL$tB z+VI;`s{CQ`fXW>6#D{ya)K$+LvNK!Q=MnjHMRzS;5XEPLrq@?!*TrXhs{Y;ev1`rB zL6;moLZ%O>Y4%ZAAR$hhW?dfise24&Aqn3o3-peC%Zz)qtn@CKyCzw_bl0jpR4!M> z#9SGMS=U|D_1>$^_H2~7DwhxBA|E~Nni`LfvE0rc`_k?6{dbncROKEKz2F_ZEM_}# zZ6-!_6@J_^4Dfj|2kv9?%T6(ws^<9zuA!cEdtrxRe6+J1BA57rUAPMRn)CHUIehLlKD!tN-#pLu0P0a-)8}^0GM6>=~|{F=Vb#7Y$N%x+7m# z!#V^RO*TfJ5W|xTI=iOa)k1dGeO2BL?|JQv*fLs4(Q~fa4xwB-v`v$;a7dWslDWsZ znera_w_LIJsCVR`%AMO0JPt!6!&ie9mrXp1MCD-UY=i1AjOGx(osyNYko&<)cOcF*QN~5?@hU*549@Dg;rpdRxQ70YG=#GuP-wXRu}>9lO#KS#DFkv(KQZ$3KcuIGLC5xLA)Xsu z8v+T8&7ow2hfu!f1Hd0-)bbTQ2~!3WPBaSs+p;zpf!TaWi}<8s;WU zAo(#r`5{vz|L8R&cB|z*uJs>w?G)ZVIHZy}!z>Y>WxD|;y*;s*>J?P%t%A?pVSvib zs<#jdH94O@UiGFLB>po1$s0j-J<%i>xaXMKDfK?10DE1bfHb^u6X9eFg9MahS9eG( zS?+8^dQB8fKJyxnL&(( z6-Zd3l+XVYbR5m_5fg+z!Q!x5XoRJ8)nK@dG;A{h{WS_vvxnigPLBYy!o;i{?%wXA z8?eGUBZg`>;hGc7h~PL*+=OJZ?tmvrOmg!(zh}xeadsk8>%K?gyj8X6ypFo5PwDsD z{zmy}FNX-2bOG9-;o}6n$!b?qcunbk4M^^43kKBB!?On*qmxwNTOMaO1kXS(_pl zP~RiB4Vm@(PeZfh`5nEUugbM6598}+7Ect50bv}*)OAoi-v>c#Bx;L5`iH_JBWrx^ zdEH2u51a8cA@(p2xVb_YGTbl84eDtXlGwYhjlY`SizyJ>mL}jleYjC_&{=LUlqlh5 z5_;UfH8Ry`mEC^lo-d}7mq|j$ZdIW6X2O@X*gkV#oeX?UYy1U(nnp^$lLk7?x;!Fg z;vU>U&fx(<#@YRk&uicv@ree22i3aRkN}LA1b(F0-W!pBO;x?!2~J*-n~iZe*tiq1 zx(@fUTrlRB>YfGR9H;B#NnO#>p>yw`Imn{FL$r8*TZ>VwA>5gRiB0hMTT@7+VkC~N z^=>b(Xmx>0Sc~kXPwAR#cxz)s*cbU%Bm0I~uYwvsFW0dQHWSva7(EtIE*)?o z+is(mVvGFAd8{>5j|8*b|8UoygO>ATOckGg}nza~2dK~wad6F7H`&9BVMXhH(|%ul8x@vX&P$NZ3I z;~h3g6y%PJk)9D!T3Y=2ga1aN_x64$&rakoK3e?VVt0teZ~ly>@|PdRE;WzV&I>GS z{?@PNZI<;@r(Nx3ft;SL`@fH;-jBaL6DIe@Uw)0e-(GSbdv-?^RwRGI?j&jCvWVSY zC+Wl;JNtr{{loUM+U@qv)H~Y(oKp!7ttoo>OOoAl*1tTe`+fvmB@S z4nb?Sx1YEpwst1zY{n6JW^^wNNy_M%%(T#?*u5&)s^pbY9dZHfjUIdV_O?7johLy& zcQ{^@-cxas-wHa;!Vo*orD|c@1U)s-=e4#VT%#b1B=!!8FrMk0R?Tpqz@yKG%+xgh zSg$bMQD3qZBp9*^lEgsuf=xw3AOe7HWEJ>NEeS6pZQ$8Vn708K6)i96n~nQdgO7j6lBXM?F(kBjxjR>)~U6 zc2|#%9Q}FQikW);ks^D0*qt36Wfw&JaCTX|$mu;w?$4#C;-$d_*l*s+Z;2SwMD)om z;kC{ODpKSH!1dl6LxS3qvJ`e#$mbY4FKPrxl^Coo-%wUHrzO#kQLv(0L(D(j_e7Vy zAw*Ak)z<6kRW+mZsxMK?u*Lq$!Uv&_*WxIM7NS3t96UmJZg*)pC@#yzOhD%Qa2{Bc zCkdk+OAgzJn?WrK?~J94<2-5Dh-!{$DU&;cQrQd6!*xL*U8zY(_rjH!{a!wUI8KZ7 z8zM(GuahdcJNCXVoQc3_Tabr%_pVDl$hKQP?RMwSNhj|Fo!jyUp8CnZ{egXmQob|< z$DQByPGwrBs~H6EZ+MGa!HadzE|y7jzov*q?65%XuVhDG5F2l9BjtGpw~k$W!vmkj ztRI;&y(_0X(TsXqpmqe|Jsgu1lz8XNN^W7xbEj9dE&wR)ys(Q4YPrh3>^hdAr=b%3gIq-5$tIP67Gt;Jx3B(Q={)yE{I!O!|iOy!gNPXx?M7Jyt*ZF?Q`gLW}C@r`G(i*02I~dw^;MsERv9AkBpp^ z-f&q4l~=vl3{Ws$_W0xgg`>yD2@h1PNE-Hr8!TKE*V;3JA-f+|Nt9QY*O%$8!Bg*5 zVlUYPq}HDVd&J>PX0Yi!5RDQ<2BPOjA)vY^kkdSI1uZj2lTL*Xm}l*y`$t)R{TBRTV&jeLYB`Ayr+WewHo4#-kmrK4BydH>83hGXlK>ui)RJJ{$@9q?*Z|g! zt%NxhCRWpig;z_0DDg(nmStpTwKkSqP)Y^;H-F+C=KqQnGx8yH1jQp_ba_AE&5lKF z!ND{_Jf`gB9RQK%Tm+^c$Oh6@HvBD!4|Dp{up_{U3~Y=DFdhbp&f9U-J7Xbc4_u}g zoCAr%K$?!S4lbqZdp}jXlj9r`_GlA~pm;GH*b?M;$Wv%OK0S{?TcfAI4tpFvJ0!83 z;ho&1%f@|rsfF_QM^9b@s+bpnoddW2Qj!9kbmKhs}&cf^YtOU^+p_v2(h)p;|Up6S4w;6h$xpLRp z>2_j)7PH+-v5=cT*S^BfZ3ZqmWLgiBjq+Qu^U zXfiw$V5QpVa)1ufEX6S_b3kqi0X1*g1EFpChkdR|?aIB8_4~hYM}ZRq#T~T3wB(SV zxfyL0!pJm<;uBmN*G`OKLA1DO-&);BlUxq`q z7$+_4-(_r*IxyYVZjseByG4XEVsTA}`O2ZYl7uy{MRnKkAE8b(tPWa~$#i?S5qfd!@&*$xB3gL=$ zJA$Nn{SJL(a6{emwf8NW4s75Xy2wrZSc+O?h+~P+^%s~3cpp`wymZ6}GX$O+Ta(4m z?%T=b0kh`jwbOrAQI!b+h#I&z%Sk`<5u=EtO*FMYO^|Gqn__XaF8$s2Kihu4#loQd zmQ#C1k{)go2c45}K9NP!@{t?XVkmTMmtE0kK;v@EAAyO88-)GpfN zvx>+O-V%fjA|G-o_iLU*1Gi#Ew6O}8f>y-~rnNN?J6r(jnlQOgE=HU}#K&6`-AOHq@hf-Zg&?y7gOGNxmdYV>h0rv5?OEvK5=mF=dp`31y#x*f z#W$+Ve|v)56%P%@qMFHcwyK$^(2iHUm(()Zy!(#HWl@uVw4%E*r_j>*ej zxv+d_%PV|Oi$khX^)lrE=PP|D!%RNS>yLY9{7fv%NF)2UrQ9vWhi57!@@UHOPMM^W zC$`#e@HT<;aoLZ4`PGG9K>|)(s48<_BCQqpT zbAntNZtu|!E;2c1B#~=7@)N#*N_&YIKX_W5G`Seq4aRm3KxFabP`@{g@7h)n)zF=J7uyJs_wQ;?`sDB)`sQzCbh_-&+db9e3)1gbfvNZ;(UDm zdO?v6^OeFng!tuL`zl?cCw%LyeYZ$X$?HN)de zb;OibCt~E4@5F}BA!KdNA6%n8`c10Kog1^uD7t&Z)LEdDn7fna+)$+2gi%syFW*^n zR&jo;ay#YK@=0;v$EBXAdOu9$Ze2u~&8CtCNMAbl%qICH-Wzkp9Qop{JZx`H*P)gH4glNxK%=RCSH? z#-^~f$+q>}8W?<%nn8<~Kkj`TR|~atdI%CZU_bvymGinQM!6&2%Cy7LAzhvLP=PmZ zs@S^(o<`E?_gCb^@{yN?DJTwCvPaOf$sVh{LE(6-jnR*^f2_SDXKf;4@j6Ga+9uwOkLb675gXu%cIluO-vZ8T5|d`&fAq0orr-AQ^S{LJx(;8%+x$+ zH{NCG)Fu&ilmqK;gcP?G{%iIGlNq3SjtWO#U6~+b2LtW_=9GPm^iKFfRFYF?)x`XL zzpT>ag{V!59??yr33?nkLKzSc$rO>_mg%XRNj{p4TAU`RTN{n9{F2!R!aKLU6Y*+c zp*||g-n1O9d&@^rbr5A2pez>NmqoB%MuCaK%Bu+#_9`O4F|0P{Fyv-ppAo_BVYb`W zD)f6G1qPCxt;N20%^D;Z)QPsHs_$PX(LF}Si4I~*J8&-;o&}TyE!yYZOygpFpqH4k(=tfAHV${ z`aeQrfpk#W|3Rk&JvaGRL(p%C#qT2ByoS^IhldUICZE~K*ZGAVx_jWzcSirz9Px8^ zhxZc?e?$C8!cOdm9|?&6;dNGSa2<1K(aIP8{~cOH^tmC-e|}WZhX1wfqp?%-SR=dH zxb-_SKIm*>z+3{$_Rq%g1daxhDo+p@wcP*ye&^n`35^q=tZSscn$WzgUpDE?Le*sW z{&Z6dcS5J_ez>GPp^;eivo}!egWS{5JdxBK3l$Ffh#KK!#n>i))($!R$b05k3A0^f zXLsvC-nk$C_?N!3nHc@ypYq1IZkaDX`j8(vaC?P4=yW?irQ~>hrVVI;SrR=@I`iIZ z048}#E*3a=rq}l3o~IO6SaYi5^opnAg*ZMcJ6B1bhB}8Kai170z*Fo@yB)^`j`zIA zUV4w7bKhd;+S^&_trQo?Y&5gHcvNJ5-cuR{VDDAET>kt@aHjH5yFg;K>sZHe?%GR1)>)>Y{SEONUjzWbeS=s~i-TI(*}Rao&}Ce}r5^>MHR34`Sp zhvYOCoh*UQ&P0q_=_1=HhAEJ^J-b}8*{V?ugZ5G%dzaSHc77XH(8KhwoBfi59HcthB&ku;)dGHS!K%u z;K1vVc%5b9O0mZ&MixHlg8E|i^N`bN(Tba%ypPcwYiXh=@m$kXM^z(fENqiYT_v%c zzvAJpk%4Xx1=z)zqbr^0Mg34ruB)s!qd3%{u3|Qv`vv|0w$e}|gnc?YtFjwv-1)-y zn9d{{{?Wib5t*RWEXQpK)uGi!=eeozijfH}`zj1V|7mD_(VO(jOND7s>>d;|BsnD> z)%SWQmFH_eeOBFztS>{pffboP+|HMgF(w`7(TsOW*8aW3(T}exH62$U4P>HPZ8@)0 z*n^P4$rG@@0z-{;tO{$=dsWXQb2tCahfqS4J#Y7cMr}bf=GBbgh$x$^%H!e#V|GG7 zjNMmO^)R-dcTVXZzs>~PA*#HKw5sJ+v=wCS>?^V-;4Fb&!C5xqFb&y>Rj8@>F+5iD z>MHmH;ZQcETzYl|>22J8ZBXrOLuT9gx<|)dh(*IwWdE3MTPDHxKlnGTnUHh><^PAZ z_koV%y3RbS3N5>B%T8CJ02UyK?)T7a6+nt+Hz}r#IB{2_T5L*CgoZ!|cH}VtQ;wYD ziABZQXwFQI`*l}~4HFy@6d6+)%^CnH4V`sjk+L&#;)wwYO6ZBaHf1?cc4m%E**5!1ibQL3&jg_9&$z9o8oodQ_x@G&p?g=q?zno5yx>7l3wvar;wTZn z4~Dt9DBr1@KU0)3Pl$3SPu>!-yBGAaz5_E!e#$Th9WRi^bab8UoNnW?(M!YL&~6t> z=%T9_fOFCCdU14ax3@5_!LAq1g*awffHD&6lb1#bUuXtpT9ypE`cwXMA(OSheF z|AVUbT)42JzD${)Ae{6^F8EuF*GG1X$~mQ;arcu(V6jQx`_ZV~XNp?C6k97sj{1 zD*eg*n(Ki);G-~57V0Kyd6?O(U3J!5U7L#9fMD3kmB0UXM(J|_b|+3UAV_C27fTCv ztH*D9-yW34j}@p$-+XwGF0wOt?v`ZRe^sao6;+HvXWiP1UlkXxla2yEHGNuu6T@KGsLcIY(_);^1+)S-z<&&!25XbX z`5%o}u9w?pxor35G5JDJ1FtW=rYEENe*3TKj#Dg;QR zT{4P*6?1V8LUaQLX_*FC4avd}#>m-IiwCc*|JJN?px@^Y?EA~kiK~vzW0@;?~HjE$gUf{vFh95Ra zn=xtnFDc9v8j&+=;?_*w*S7ddTdPM72KQ00u8^vRIJ1&h{Dk0$Ro z+{z*LRV;WG*021{Y>k5QeySn2q{CtnlFev^R7XPMvk?jG0*;6u#t=xX?Hhadwa3Om8l&%WPOXQX*rx$H@OW7=7bHM-p zcivXcMSIx9=9Y{ysrE)15d0C7u}l3snD+*qMW;R2%o-gj+!w`uqxYKt8j`G*gsQ$l zr`R{}5OlaTP6A(KbR{D3tsb|N1{%zb%d%yTblX0(FrpyyS?+M{LBB- z?p?`Ize^-U;_tPOsNz8HoV&Qbt^d#Wl?$1RZX^xYmJ$TG96Vboe6F#L5>8JflczLNf zvUTJU?Y@)XB;xH!20yVBvPJyxhsZOtm8`Qi1=K6he z_w-*4f@kk0e;_r9;lEOa#yuMHf|vWVjRT)2!kyYh-tX2s9YHr?4qo;BzEA7H9}I2X z=AR3vo~wS$Js0+nAv3@k165o(wEu@-a}U`AtGjO>IQc{M_*f6&-mKGis)t)#qxv0h z3OH`;2c_WT{rQ7XUZ2xrF9#mT@Ogd4tpXvg0D}Ks;SRqG+D%KC{QX1I{DhczrBHYn zB@y^?YU2hyIH!JPdbtilbqYc%g_st0J}wPy10{oe#aDvTSJ(Mbc<0x0^(T~sIP<8+ zI&9j3JQ^XrHYCx2GUV}~JKdoaQQdt~qVoH`pjLaodfCXRHkHuABQXj+wZ7gM=-p* zLb0d~sFCx{XYVF#?5+hX_{K@F!1{q@H|cw?D}}z{>0ZYBrF;$#q>uD|6&mH()sL)9 z=I-&dJCQPNDyi2yl*Ojo2JLkL(I$oAYkkYq-Rz}U2#4xf-?iI!$8VS3qL`I&@Aa+( z^tS-8t_z%e@=b$1Ke`0z^P65(E6;k4k6+j2LBiY?~^ zK4QHSW$VvXbN0r8ZN`R_$wGiOM@f%Zuq6HX9wHZrDV9y01Wv z%)U#V9+G`d+&~@L_ybc^L4fZJ)sS?J!}@*-o@?Og`t+5*`{m8rKKs_IDQUS)2H5}? z`q}G2V^JS;4+`jE!`v5w-8H?LiRxdwBoGB2>${}cIETO;{l>E7IUSy4v^0B=xdl=W=T;wXTKdjs-iAK2pkgXV zj&vl=OW`s=#<#32xS}@*Dw@k}GZjZo766DdD>?+<)fKM}ZhP{TVdd?x$(LI>2RsOZ zZ2+5d@1PF*F!2R1;DqkZt&|$##V}jCD;FWk=}IGv7iV*@obdT%l}Ir|{M;Cj2>CNlQ)wZ~#>( zPZdX0L}T5NRC}ta?hBs3Kj`V75;VN>J`K5e+i6WsT)YF~<;zzo!>a2G+viJNCi&=H z;qNbQ*Zk2-(%dt*3_stg%=FzC&UE@9kPDjIp1CyIbiQ`$6XY_m1QP|%pCaQx(_Ype zefOKtN7-d2eksJ;IaRUZ*gBd-)|x6N8B_6kjl%PSCopMnxG(85Y9act7nmE9A&P&p z14yt2Ss0qI%vZe0{?LmBz&$n(ZhukfuSKVFVJw?YRIXkf-iWOY;CLK9#ASUr*Bh)W z9XuD{y9Up}ICIli{?jLBp@`$qGCr!N2X4)Y3IjeMARoL1>=!7gRqtm$ilcC^KnRUh zue?4_R3n7sFw2aHmj@Qu3%{1Ex@I`?+fi+4ALiN@&T?ZeT3_}yqEO&5uF}vj#D?o+ z67&3#ypGJO*F}F>g%L}9OS03o~LQJot!+%h@4f5}q z?~h)~F8V~}wwKo5)=c8aYD;pbbTvqqYpJ-5!e?PVxDnJO8=6V|1dN#sEGL4~YA$50 zJ>ItU5SzzpC?+$WaZ_AGxZgD?t_p1LPfYuLsq%aGTPIHD+}Z#Lg&{cJM08DPY!;Bg ze8i{CS3mN`vW>$mca-7QJy0+?NT9NP0{uVwF@Qz2Z3o^1Y1BV77q+7w>X3vOgOjI*4 zde?K>^S%XZI-heiyB%4Os)El70;@af`ZNBs&Eo-24Cpco)ss|c12A2P16&&nOWBrc zI)8t)oGK?hh7!60Ay{Cn33Ez6#Lb9s!l3W0mnp!lTuGEvW`jdKnhUIfIX1O00Z^Jh z!$w`@6XQ+})@0>(RsWkQhLY0*bME9|ahp91S{|*8ANMd)NfhlOjBtKM=PYV00LPP` zl0BTYuw|My{Qgw_mEZaL+Mc$b_WBpVe#lu0JivM2$0mT(({^j8wn5qpKI^$hBXAOn zY^S}fpY!)N`_jPeVPYkMK+PK=77ckFFKy!43Mo}kk6?dqEunvQI65kZd41YLTrG`E z7hFB(wWf$!UUGK^3zd0y(K%x>9j#YAo%MoGTs>4IJsS9mUp^Hi2{1)}2bXi5oS|xf)Y(=P={}=VS)frE#bFYaA?`-iphPu^6AX#SC?vB=HQGG&x=VviXva`^b-BYv#HnD2ObSW3 zus*QxOc=h?o^2|f3^rTrP^iGH=x=`Vjd11G`)fh%6g{&I6gs*$T4V%x3uJmNk}s+k z$a$bO*91?A-YNmB&>MfX66|N5!OQC*v=UdozGFG`HarbObN!PY)FwbLj2C!wsUWyYR3lP$k0#jZP<&cLF{S;#_8;R=d8PGDA?#VzAVl`$El$HO83JHIpbX4YajpgDfI| zo_7}B1{No&PRd?Mcyb^k-UidZZuB=WMmZ>E->_|;~X~huoD`x$X3s) z1)X~PFI)%kHd#yXFW0TcoN3LOMCfzkg-GT_l91aPdk*&0g`bEt&pVm|Z-BfKQBKwD zf}|>ns3WVa@&+x5gE&b1ti!{zY2@f#1T4Bv?3>O(Vi+I(+mS$)MJrX3*U9)wBWs20!PUS-cWX(3S z^UWYT%pO3Zq1ZN9ER^l9e%zLa%cOZu9tX=J(_+IW%$i4SV5Tff8O>{$-Zig(#q-u& zz0)nPix!dTh<$EquaTo+__$hx_xaeN@Pn1?d?g~JDRU8Ui~V;G=+A1PXj#Y7S=;)+ zQ)KG_>;|w*2f&q_#9=tevs(PM;ACyg4}WoBfP0;sb-Gzs*8uv^hZ4jbzsvlCY#FXa8-b!H8hLp8bw`a?0qQx|b(1!~8_}TYdkHFBMOezZr0=|2)CWf0`Nq!*< zSb$O|Xu0tO4`jJPrzPc%=CKujX6M~D52BZ{m6{LQo3ImZ8ji;R{_7qhFQk_p ze`WX=vxHR=Kau8ATq2PXDcQV&HRcpxVw2!`FuQP5V=b$!omJXyc%3QsuZRe++_ETz zTIyvp{$RPthO*Y}6wv}%uj9;CvV!_bb{qCxEF)}=lEnh#vp2rc!^X zZOhsy4{vZZ)(7OfJwUD#JJXSnC8kQ-x`Qfhkbg68*v~jlMYJGV^K~?dK%{#cHg=dt zr1J>lxo_k8@ew51ih|n3p$(5v1a>m{!C1su!3;tD_p<8)eW!w~SL;OS;67F;m{x_E z^Y-4Wg8n~JhYH~L1MWL__f4rSD_cly{xIn|4&zY!?SdVbb_1!m+>o=$z|*@M5662_9*>7H zqwb|ZJrx|sKh2+VRlei>xyrB;UJUym@c#L`=31@Fo*jMOkXt*e4z*u+XudfyKYml? zYe_R2+LKGF_co0-L_#fKF#=sAs;3wp3r(mO-LQw)m~iky_^Iuwt)%un6!@vcL_`gw zkt;Uey!u4~@gTjRs1;TS4k(Ew>JOj~1T(z?j5gTZQ}s|du8%JXO?3~@WUF2z;d_u1 zn(CfBFz3wsH7B%Ri1oaA{C9G?N`BE*Z{xd7p2U9$jxDfi(ybI9<^xgzNljnRQ0e_u zg00qW=R9IzQ3!?L>Q~0tpZQux@F%Z*F^Ip`sV``s;R;$=OON2Sqz4Zz&QK&7>|q(G z@&*u!_Do&3U+map_Xjf_Er~xXXCZeEe)5FgepdpRQM|JQ1u%pU3-=Cak57#~71W2v zR<+69u)EY3yyRXs<1d4TUI5+P;*C7v<{);?=$*-E&*Q6F-|lWpOMXUgvIEbNt&|lC zD_vHHYTEsFcN#RlOKp zEvjECFkHGbEH!QeQdLtCydTcmfLlRWw-k-n{N|_ zFPTg^vDKUQ+BHzb3g8EIdTgPc=6+EXchryct$?WJ4Z!g&=M;F#{k@kucOAUv4BixZ zRyl83hm(b$2PXn?EfAmGd`Z=BMW9mL9-c3;0A#MDW=eLoK&$kahyz+?JB^%aqq48O z@tF5kpmy7H@WRVtt2422@rT>9tR}fUoB!GSp;7I$BrbiOc>Kd!~85ea&s}b%} zXr|$KT1R&CW;s22SH~*xIPhROp^ynzcL#k_P=e}80cnky9?_}kydo#*-zovFqv{!5 zhCEw8`1ohA?_^^5>bk8bpNsj!B6lkNkFUz)ZIRv-ja_xKS4U3cv(vo9Scr$Sx(XuC zQx0Za=7`<+ImrQFI8hB2wlksf_yrz*_iGsyfEpz_2nS=&h|^}q;;e75Lr8_5!TQBJ za(a@&MaA5A;njLp`z%pNGZRcHT@?fDL_qwvpc3w8wT(jl@gGwr8fTKE4>k>lLSQqO z^x5Fay8$f0tI7FLNk3T{$7MzTdPqoH6!n(;sGYDh7{S#7H*JEiJ0y_p$r=`~!;@~G zaiT56Zn>c(0A)8ec=q~Hr(Mf2P|$e-K!fb6?hX(V;WkOs*Cx{7c5I%G%06?=PSECK6_7T)j!&27y|eV&mp(vS*LG*j0!AjjOaagUvOA?HlK_qXTD z?|bh9c5dRuey4hy-+cZ%5%_7CiBkrO1n$7GO4fG6wME=))YG!={QO&f2REe!X@f0S zfIqf=jR+;Xo-_n4f~sNR+%xx3el z^Z~Mr3&titf2w5qzuLB0GW}P7Dn38?=%+sy{Y1(1AHE)+|2k6lGpOMc|Ck_uVV5>v zma0gfkLH`3DFa@AqF2Iypa?ty{A}@a&vtVK`~!5*Zx&Nc8Asxe{lpRUvfJO)C*v;I zc$xf{{=zl}J_msLh z*yr68-4Xl`UbyXh6}R$#>R_ioJRfaWafy|E7#DRujfZB6jDaU*b;BYmY%$8hlKa`e zzOFG<+aCoft3P-%i-R!2BVMaTR+7VDDQCaKLII1qwB`XpN;OTdC25%bZvfU_H3(xX#NSt3&P&5u+FY414eYUItpq zoXMOD8cR6?B$as8IpYRC-kzJRzl*GscmTuo<5-R^T$X6YflH2juR}v!n6FwBVmAE$C*^VcBySI>UB0D2-790>D-j-{p;KiSu63| z-4<|@-Ksnn+#KAY_p!hN=uS+-qk1|{HjA?}tj=`9$rh+jbmxcOYCY%DqUoSo!d<^n zI6ADWF;6szqDkoAK-3}L-O3Ub9Rj1`@rS0@4#^$MR5)u`Obz!?@g+z?7BRZF1aT0Y zX5!u`Y#q`U=|0q5(|sRVDlrwZ(m4gY2?Eh6A`{ILE4eU_M{E{fzUeQH`Jfbi@pLp1 zTqX?nz%AG9}5@ z(6r{i^0llbC5{FL?^5{7G8e;-OSDx{C+a)r59Z6r#DV8d@f2y1k4K~X8{&! z*4Z~4T6~(#nk;`P>Dp?UVWrNg6*as1jI;3knPRZt z4gSU8ddd8Ee#u`vXFcPpA3A2sVC_)MIXjJFXaZO-ZREPOp6m@mj* zd{^&rIzkIBvrL%;Rq0&4eUaIH&F5(a03p2v)(0?6NSf(oRpO`Bs@#icEy1QmrV4AL zupNRHtq_bmp`G!{qdAlC?{>8^Zo_qS_NKvAjj?q=;WLM|IV=8kvNt58IRRlnA;X&J z5Sa+B{<9wlsKA|Po4fpmopo!$L1S3bX9?^mFep+>#zb)@=x{wRWL+=4qG@ly`kwYW zYAt;9oQHRiaAL>zZ$pe7ez8dxB#joVjI%$;b^lBvDzg_q9Chu#4>wtn10+ zZ?zi4!e?sGo0DWiIBFJF0R+N$nmRdRZ7BF{(<+is(xi+HKLA(4%fAVi)v{)l8)j3P zS}nonW&&7K`?*9pg%1aOMhGYEw5>-SD+|QlXgUq&${!q;S;N3Zv$!7v$+ob5+`uwK z&GPUD2Na!!UdT=6T13RZKHr8#4I7wcYq?HLs4qz~<4g4p4#{7cg*H^m` zo&a|u>p>=*uTMYbgoSNR<{^8r*V#&KPiGB~l9VM@oxw~p7vZ7N@izVo&&w|#|EHuC zIcTheZL*lK7@nt#GjexaZPuS)gEU5PI6xI}j_gv&&8&C?BbMi=(ll4eLU`Lr-S4tr zIpn6WR&n{w<=Z}iH);yGyCYU)hATi~!gVY&N9(qo0Iq^DT5osXYFXKOO{C2u^0-1c zBznNkYHR~}huu>l7OCVd+8Ut|sSPMZ+mD4VX&j3=*L6K6k43@}EPt|jvsY#_0_xco zvzy4K)LJglU#1ENPL7uxM)Uis5MVe)5!jJ#M>%cc=@0P-izGD>K) z4eCY+Q3t>$Z5c)g7Yy+3LBn*s5G}H`Lt0kdqXaGW_G_F$D@TeJX0aT6Vqjusb&Pr< zqe=WvzF#@;8x6Z0*HYz!qNHGkSZ|vdQUp!I_#*l{Yjmq=^9oQIykmn>k}e>9%e+2l z5d&e28NuD|Pv}vfE=6V*cDf6&$&g^KR1QkES!!z5y!(H9BZ#OMm1{yNk#>mcb2NI$ zy=!<@`74o1UP8UZ*=D9s7HpH*1JVLS>9wQCFOn==8M}Nv)J={)p|Og%j?rgsN%WLi z5>J^t1djEkQ*UX;qDS3>yCDw1yFrJf$WEhxWIIh##Q-hya19b2W4EwNgdaG&)U4W* zJHy7#I-)=(AX$NbJKlTez%9IP2NHqGX{PdTmu;ktW+J<(Hq!vuBY> z(R-+ylACWHV%3=J<&RBC8^$L~tyyL*e0t$iqU|KiCTb+7GY;JI*~l`JZ07Z` zGA3yD-P{@`jmP7mt%v{6X~tiUHiBoOiQ2spU?8$9cNFkhf3K1BrjEe9)J{$P}!X+G=~26hI0?;6=+_ST+eB2K8l zST|PdE@sw_(?3uMA7Lu%8MVX3)_gN*zx=`zS$8^_C=BhxsQ2Hyo?!GC?ZC?Rf-+`~ zhMFci*HrAgwT3ktH#D^2e$P<$>_Ulp>h8smHP7C#HU8yr=RnyUA0RL3qf>!tzZ_7a zorKAv& zDu`H~Xl|)h56rhbR$B?bwR&2%)(fh)(>%2O=e8!*v|xt0=*60w%;7&Wop-4qU3`#V zWx2&y%cf#b(3ops(l!I-gAQ}aCblO4A4@w>L%$n0zwN0mwep@vcJB>B&f>k%xfL^T zT$qn89LbqeH|FFscHo_1H5s|li=8^W%I-}A1lEnPH$awhe)l#E%AL6XH>hvE@8`Pw z!Qd-FB(an+(b-T|%oJ!suJWY!w*p^D5PLFl)@2!fq(B^bml6nb4v?c%>Pv5Rw}!l^ zA66=N-g^KXku$!!VDCIL);n{m(bM-%qHT{37H;y6=<56GW2bk2W9x?i8t?jd@9>(H z2Pbl?(b2(sZe7v;z1Kdc2w+$BlMn#+scjk%^iJ4)TYB>|8*VWlsEX;?;uW_J-uhv0 z`&|NP>92O`kM2yy1YdhHaI(*LfYiS9i$5nRA_oDRCp(}YmyNxQYYht01(!hSFgl~f z(8>}&25I_mlggJs175PMGeRr_m7qo#E`CrIZY0-1n*hw}d*(*~c23@XaM-K8 zc%XSG295^5*)v z<^1q!A>Q^WU5cv@oe#bp)erE^no+Q$--`e+o{X#RDIGT4Au{+2t}j8JL`Y*j z+2%OpyzjoRJPo3M$Ok5ntvz5ynhRRRe8Q%i@B*1imfc?}@jM`+2vri_0-V{ThTvR? zn*`97`Uf9jrsB$xMVgxxa*Af_PqPT?TPHhCu4(63Bh}qr4`!(nJaw{@hkGfZ{ouTO zU#ve$Ab65p)$Br?cB;#uM67myVZKUsKbp1_ChS2jz_MBrTD-Llvzp+ zv|nnH9d)uZusYm^k_R_%XmvM%T|-UqLyMa(>vwz`=eL@|@c`xnqtAl!@x~fGOvNv@ zY-poke^drCRHU~@&d7bOexS2umz~-O6Toh3g?4Sx_1pvhmc$g*7xtxc-~GU?v+7?2 zr@iXb!4W-m=AI`sl#A-))Iqv_l#HY3G_d>7aZ z5Eli&JoC}f$8+DjdVN#0CNc8e%GAhGNn8xNoweyWgAI_Ks(|0SC-4o?_B*QV+*6s( z$BJ;K>G^6c^|(`>*=fadRwgwG$Oi3%#r&(+&9dyg@3&b9{nSxLLB$8_9^L!n246e4 z3G3@u|N5z)xy`?>{KY?62B^B5K)jf^5!xA}8Yz}tB+3l3b8gTo;PQB-*1Q*hX=(UW zvcCkh5_sah_9tE~th^GaJP9X8l|$Og_ENZOwB>yJ!jSrW{3f$?hsp0L=3f{c_6DD( z9?ie;@-w5yNIrPeux?d`((B=3Q=y4gi(HCuw!Fm)9{2}jj>~wGYCY6e<;O>^iy8g! z2__N-TX#5uV-U#IP|t_j{f?<@07DVyw+knl+>Q3)@TEi<%C$6)g@M4DhH4n$t}ysB zFANrX*tz!A%{$!eg?AAx^O5z@_DiO6Jj{Kd85P5Aj#tW$60wLC1INk$a1N4VbHQdE zA<4s7C4z%k=Hu^qo0mD!*RbOx%g6)*S)XO?ORufFIb6@YO-BFNBYEF~ZYn2X(PWh; z(zC6sSG(-l8eFqn>rES@7Ag{AjM7d)6lACeQI495mM(+fuy#?*KsCE;hy1dG~Z z^`I|o+5zaI#wuUeaN;luvx%E{Y4`y7;QB^^Cu~(l*z~s>O9Us5SK{A#Uhg^gf?6nUgFHg^Y}2n&ai+HLNPxYml2(LZXzv-11#&C8NUBqy z+b`P@Oy&MJ=NBKz?XTQgd)t|C@!QSy;Ix)HWt#GaAv8rTv z*)7$yVWPUp5k)bg3eNf?VX5KW+wx{tzx2g70{#R+DoGU68^jk7C-96V;C9MjiS;Tc zE8BoAspmzFB(FGI;YAH!8P}b)b;F6u`mSIj>!y$8mQ^-N!G{a8vK0faT71_tAU@hybKE1&+$G7DmGADg8xBc34$M(2&!0Z^V(<=75bw}%OQC>YA5i81A5 z$u*0+_e-lFy~^9}vR5qEOmUB4x5WdGgTmD7JrxeLY4Yvxj%7a$Sc5o5trapUET#t2s-Iq{V%DTZu z#1<2|Ive4#-Dd1)oK!k7N1m_g8o`Q9N*5TKD z%g@JlhIEVmF}73uepvWKLfF2XjCLR;gmg?{ooP6xD~Wa1F;M85C=5#yFi_88Et(bY z1H{!=9|%*_<<4B+#sq+cw(U&JZc2Ti9uahVy)|Tmu%I?eMBc{rTKW1o__DMqq)t2l zcPcDjA0^y3No0;AEF`vswaNAoCt1s?VP{XPtk#d;}@?f-zQN#mUjVQ+aK$uPR0{iyF;$x!)`Wg*hn%TB}kkj&GSQ35ST|$%v~kTc)zpku@2)?gp7A zEaIJr9Z*@15uCM|CgYeMWk=K^oWM;tK-;<`R$7nAz4QIG2xSPSPqe3Js?h;|0|Vxt zOqKnVTeXJ{xk;qR#}Zegb=M#28O&WrXm`U-*jQ%btoZ?(n?vR_nr!?gr?n zT2;%qXoJn;08?8-c!S`0&AFzwN(((LgPcASIX4fy``p)Q-B{{N^{2K(N8R=Rxo;Tj<-u3~kSv=V)SfzB1wDcf4dAKvO)l0QYne zvNU}0ur+7aNcN2y2cLoacjfp0b$OajY`^x+l%tuuU2B^Evj5OW%<~XSBFTJtM+AkS zDmiH)Lalila^U8-GLW5~#J`A7^rqt1t~Vql)nT{vT?eK|@VfE)&UjvgA04sEn~S1Q zPY@`L3(a<<0E$2HZCUD1fCIBQBi!+`PrbQ1eUgM~2OeEb1s(FW;}W&mD0Oynj0U zD%JKnKtW_Kyd2rT5wRi*?kmhSj}8I!tat}LmW$U*S(aShuf+SNwpWO2U+w)}sTO{= z_lT5MJ|YExj&y$?2A3q57s$8_O!IA+gJ<>j50a+ytlqVlOoX6Q0z8-;!IMA!qqkNG z1)iM9xYRSh&S0UW9J4h^e|CwP0(#tsD|bf>CMT71KoP2`twD7gaqQ;V2Xbe6C#xTr z()S0omz5eLB=Hgv`PFIj>z^w{u_}g9-=V@>3%m*FMHeTlzfu`9?jfbl`|ezYQoqkG zo-Z-x6E2zSdG`9j(;kS7puWH(LDJAu9n%n?42D*D=Il1-$NSz|r3{H%n?67ikON|+ zYkZeB*J&%EoB|$ExrX28JjxwaZ&5lv@SWZjYUdFUeMmhH^jF_9RUE8OOEH_n#wU+w z=j0{OyV(Ebxg&)xjOk2Mp<024vVRc{ItRWHlk!edJpN`d^JqA>9su##)>indNS|Wf z!RRLSZwYKsnTB)3O|sk)a}rg&tH1Dz*0d$wwH*04jaB%7jPv5Cz`z z{wlhHmih_$lJHr;LCZVbGC;S>#Yw<$idD5cjsfEm zXo=lh>)r2uV|Cg`@#5HyVYB0C(!z`l=tOcX<&+g!G%p>Ls5&!zuf;F(pME!!Ugx*lp?ZE^wRrn5)6=Yf%;fhdxW)uyg}y*(-H<~ z11f8pshM6AmM4TIL|As-{YMBW?z@g@)nr`y)#{7v$v&FK2V0o-)!kWiBg4QLt<1z| zV7!wOPu1H=h4x*q*^DUd1HshfS0oGV%h4S5tjJ@2o*3J|bqk}wvx^ic+6~3epn%(^?U$=I^t_oi6iQ1XXeW>aBT zv0LfKwT`v{>|_#I7eXStYYhc3$Swe&;S-Wt2XU~T!^=qfclvMoSvKMLH8$b@uL3)p z1in|O`SUt~uN(FI>tJXnKQQv&u(tS50db^}WQIz*i7LBDoaB`hDj~!~X~_>IKV=sb z{HcKIzofMIYnb3?UWM~sPb~R(?#CaF@rP=Zv1H8vWFhK}mr39QvBz%2D9l9li0EVS znf)jeUB~;IM?yR*goOwU7{;6oi&)->7%7m)68hj6y&TGGfytFCFaKUiewPJQ_dsF( zs|W6ImNs+`bM2=%zVd73p|%-YwJ>6&NW+m zg1A4?m7D|nY0-X8%{7a~wGsrfY*QK2gCA||58Lj(k0B+4A6#XL)UcWJSyLr6CTp7= z%xU2oEbNq=T(*y}J)y(MN^q$bn_jNTZfxWSJi^30DR|;gRSq^uz14rwe?h7Q>G{a) zr&vnExH)HC^u1+&nKDJ7h#un~;Hp782vEt|SH5t+-ye&3W6F*|a*9kQz0b&U)y}al z9&afYm%a~r5zBft5ZDA_)DwY_Z;CfuUoTE^*s2ST9b%Vj14^pmkx&C+r*@)*w(y z%_+^FRDa<#JZdYsGG538ELhB}TLt4&&UEbi{S|OK-}hLEvS9YDfqJb!XP0vhf}4{ez}_%I8TnQ!r8>Jm2%k`?jB@5pztghm}J@FxRng(bU5{tT5l2 zs09%lNh>> zZp^$`(>?r-!VtqO$_sGTU0K^0DPYs40*M-Qc0rl~v;m>fv$S~@VoPE;vm`fa%rh<< zcKGqz-YNq+(}nT4Xx0|ACs^wv!q-oMb>NLAmS~CuA%hw;oG5EIMCG`A=Ecy?#v~3z zy}L_lX3e}#R+U`GUyxvUb%vN$1>Avu)$p$V=u7gXa{eSu0kbI^gB;8Du6{-a2#CBY z7PM@4f5Di@sNmvEcUjo`To{P0e1W!bm0S)>LmoEb1GTj+okJKvU?2aNx7rFnl*^St zQiRL&2CgXsl27v3@)TT+*BB>dbV2$iw4!nf8wU0hNedR`@u;5OeGDq_C~RdW zW!4C=#eGrS{?^J>@88NbyN6hnf7B8F_6#=^516OsiFxErQOi}keb?3N?ma{XiL_FV z*Uu6x~`fn~d?8y+>~5YLG%!xNAz@>fS2Ko|tFv~llDjQs?Mj|ZxFz;q z-n23!yl0hle7=&>y8EUmIIYCsW#oea4b5$jv(8Vx$q?oEGx&dlvv;v(L7Iz0or_9E+6rBCf!|DI1Hc4b~Y%w@7J*Pa*a>@vp34K z(i4rkq3!e?dNUr-Gf1(l_=$kKFY`HhCcZ?&|G`(UDF*M$xiVIgE0>=a-9k1|MoJ%) z)7j${t|9yKyipeJQ0CCU3O9NE4O#PM&LYlPKG9u@y!HVZblFHw&+S;Mpf9R>C7#Fn z=FgMal2N(B+*yXY;NYs?%p+UW)9ecD+PfH>p;gVzUw^Y^TV;_ppXFUr>~Xo*-=(RE z^p(uFyt$p5ALF_RZKB&z&_*cT_1PohkBGN!q0KTtum`)^@|cWgPA*5GLjoLLw_Y6s z^779LxM7#O0|r9owR1D%TDHFS#2ffz)R&T8kbd~-gGc|bAJVW_kEf^}Pmntng5g3> zhr7g#DwPAm_v7ozbWFOTF#lGV2Y}!pcshzV=cb0?6ItEeA>a{X25on-%i}j3t+iZ8 z$?I|Io1c}~|5|MGStQsELUsjV=IGk$S(9V}mPFkby5HqDzle!CUW-U(mVu!K7A7{;V@mO5hm-Xe8~3(v zH_t$gM2$AzI>wTbl5jFAXXR5V24E(=p9zTCl(MnQr!1@cpXKhfKl>!Oxdk0r{k2Oim=QJ+lZr8IFs~QKjyC>oeBRNu^rYuwmI1x0WwX)kA zJY8U2qVcQH)R|5q`FP6Y?S#+SA&izAYDbTfyl3-1-J69Uop_tvP2kXyFtJMPRJK-N zAF$!ibvPPI8QU8)!>h$$j5C8l+@dg?cs{-W8#$g%l6G91*=WDGcxmFYxr9(3HkS!i z4vA}4r{%%GTt@i>*J7K9wm|q!x@|fY9&WaptHe$-2Dv3?(Z0>wa(4LknK#ic+$h4D zAma7%h`sDk(=su69Lp~AD$A~ARV;eC$Vc>kU73yvb86qcQ4Tf&uX>6)Ilkoa~c|RY6)Z?qL}s>ETt{$`Rj@y$=HaLyb+?ET`<^*ey0O7nITl0QRinEvDrvYBR8 z)9q<+zH0i2+y6bI4h6(sk%Q`pS1Jy+hFjWnFM~GAESn_eng-2u4mXdW#SQKu@c^iz zh^BkIeXEq0f?P4E)NoU`PVXM<3GtEW(9ONL*g=|wgL|U||&C*|D0FnfXFv7uYM+<1vYsZ}r zyeX@UQg(KAI2>ImHn1DZTH4+{g`EoFl`aocqn%71qlVq!(F?gq=h+zqB-wbDRuAuadTr@zP zFqr}hhe%sWISga$i+**$cTFM<$&zPQ!&I*tMGtP`UoMv`PwB{NobyGJ{5Pw^qo>tiYt6P}8 zfGDR$Hq%UfraUp!aZfOwGNDH$x?y{a$H1C6x-6a@V36E-9okR37K)O|>KBZ?Pn*V{D>)cU-h>b9=prL5p~mmx}}GBws@qGYy3 zHAsJg!6khHSDlUxn*s4g91#IV4FT9mf~zo__bls1b6(Dg*{0^mz&Z)r7x^foK_((= zqWgB)m&-`o_(9Vi{=pkWa9+4v9MejlF6t+XMH;uA=gHqsq zu--6hbmgG59(-W4b<(Ykt6zLEg!8~(m%Vugg# z7{KP~!r*E2X*XjR;h}gkziK8VWJfeQ2t?wwApAkES^)!NwnjwVQ@VPGSR&d;mDyy0 z6g46~>4EHpTz&(1;2rAMCF*9Mjb@OT!dkJ^=O>Ga6O(v zgL#lG;<4uHZluobx%=kWRBD^&O-X-8`^_Q$bE5vJ7@f_ebh@_)3dk{D%#C|~Y6DDY zGGV4ja9T4Z(hU}ImXw3T*ENw`X5v{?x{5c3zsE+ZL(-nhEE$^kd+OpT>YgK;YH# z?G$OSGEay0Xb+YIm2pH0+=>>VI3!YQvHzEreL1G<%@)hsV#LCCf5jH(fP_&^c)PHS zG%AS?wyJLy^B`h!m)(x}Qr-EMsWK8%(sVhECf->U zF<6b3rkdv9N)b@Ct3+!$?tX;lhHPf3GpF$vl`fwTnni{rNMQy)BGn;4H&FFJ#( z!zD%pYc0$Y;E$*k)|3z`!`2_YD88Y?x;YPd19Q+7lyyx`R|aqDiisJ8X?LZVUFk&| zc49@w#b=)zYH#3jC9QJ-CHERDk_fKBKkg>FG(Ay8J^)~b z^btHR%|gdVD<8`pd~L|3$}$p|t-mlOs5mLd;7W#iXAy&=Mx7_Mm&|LRS;fGlUbxJI zxH#BxxCvq_Nk}#-y~a61n~SIkiZZYTyAY+jt})UP0mOzj0l$>RVL+nTZoWt34%g0L z+UY0G`u>OC1T`6Y${6E_R7Xt6%YawnAiYD&yuy%k5;KvTv|KCUx>@Ko0Ats!xF#f#04u;3@q8G-%*f&ZQ`0lI zuYxXZ+9sL$Qu2j)x;V#bie|2e$t*Idsp9aG9wA+nGs=W?@L(>-fFUwk#vMjs*hZDc z3{LuKx?9Xgh>7vj>yi_Ikl_-E04m`s^E_w`q1;;LH2j6yOj3pD$6R+oUPWSjQgdV- zFII&uKnCqfbn>NZ1 zYLrTO%)i~e-4!~Aw{Y29ZmkyaBZAQu3pS>brs&qI79Evf{eDCni~*(&MHZ2Drwy$6 z=8TL#hIWO&T4>mU!k$*)7=GrtVxhRbfHNabLyY?)GRtvkRuJSZqJ^!~1>E*A#D{wq zhEarqksuLJ;S^qdG8olx?-#F@Sj;;CPl9h|wL40rTf?rWkP~lV3KKUS?=Se{=X}vP%F5xRDon$ejc~F> zAdv1vm}KBSFVYlj=sFypjyZzgT|f<(r1a^oW@!wjqN2H9xj74Sj{ua>9=ud6rV%w_)4JGoXet!}7s~M&CUs?u&9uU~!VkhEaz`MS zphl`~DGa>A!WyG-xW)X#>b^%D9)~{eu8hPQNW-FtS$)w#9Ei_CG)${qsiD^h?tOiN zAaabMa=i>emSwDk8_u@wAf>*qLOrMB0KPUufTzMwEIM$R7N-;b$en+_- zw9OnT9B#Fr4x}s5;KAV@(eu}&RZYp*qyG^W^Sdms!f#cck1=M~THF;@cT&9X(Kn0x z&;WH9TOoSqG^@s8g}gMgBAx?JP)_iFq+g1o!+6$X7=C<*W>ZWJmTachzPpCu^kf{g zE_H}C-1~I+NGagN5<0`pWwsKTbcdm+97UljudRk~LwHDTB%O!A{=+(eNWQ}&i^sB|U<>+q*mAn>G6{hD;7Fi-De<{pRk0u6ay?4J z3=pt1&1wih%8;4fZ&97oCLUnIoB+!;9h_< z%-B{4c~X|!X>g$n3zDgbQW=jnRTGJklT15V$BHNGPCFA^@G_!CR3&-TR^28oKa|!p zO*XNUZoHG-iKtSo@~@@B;*8DYGC1QY3Ki zIq!Kt{_n?m4}w;=#THC4AgHB4B+{IhvrN8-~_W#Ta3aJ=96F^ zn6Baz2Pg$}8<89cijstR&KD>=6xDd6h!z8|kAER)LJ~BUgO&1Ey-g6!1EqS9MGsCT zE-(>@<_-ZqaBw#BBY@mvvdS1v0t)?CLOSg{%@*kHOQ506$jN}mg3Td$F`$pk#qfOi zMULNjmcQNBAc#|8Oi*d>{A-+TRP6u}ikZ##KBb5kCLiUBDyx9!MK8#O>3k-eIVaVZ zX8{3&LWB$#&e>gv*+Oy_`8mHAW#5OMaBxQG1)Vc7eObU>+7S)T~Opu#$Ebsp0y13u>kRiS#} z)F_HBE@F}F?&q9C{11>$Ba6q3Esg5?nco`eX^Myb$3B8T@nt~D5djnPx zd*szO&1@TDX%QKay|@7s23BLC>GeeM%FG~D70l2?+Z-<6HTk6T%EHxf@02vw$?yk5 zMd!Hs$jawdl-CnkxRUX5SUJT}6@dpyU@Kq+sW0=_G6ehT-^Zw+9OW`jY#Meqfj6Ot zXIU=S2u7$iuP3Y_!l+@Aq&j~qY93R#p_3!O?7ZT?AXA8O4#T4pCx(F9GiWJzDQStE zTto9_@@iO*H z+kZiKZED3d0N@u8OyiyL01C1x!W-2eW(mY-n&Pk=N&v>j@YLx-kg5`D6!SPm>Fz@z z+x(SYdbROPal)P7jJv`fAV@62#B75G0K4!zBdJmg0EHy_Qt#1Y>%Svq3#?HmPsqk_ zz}5;4VT4^p{l|jc+1**RX-}RI4G}!W7?Kv8HyY6I8X~EJdm`rK38d>o6USytf*EMG z`8>X#*AR6O2(HC=Xm}6_vFKj-$s-F=_sE$)c_oNP&xZn)uO(eS1LzG9PXVQr2su4BhP;#GK(i^x| z!E=$PW^4&M@`tB+KeQ`3;9as)TDJNX8Q<8Hh}dXIdpG_9m|-R_HUjO=Y_>7y1{I}P z2+NNqka;EE;OogJhp=|@dCm_W=H13z7)FY{RhS`)Lm$MEa!EwBkqoLU&MIb3tN5PJ zQrkii7ht$pFM0Q#F1d<+-(T2M+4td(R306Bi07d`mO%Olx$oHoUU#IGI8)$>!i#~4 zZB@q>@xbU$?r^Sz3!oxfaI!InszmfR;Y-4@{jU%zCrBAc7ah2KF@CbBIAuv_Dtw(w zzRa8AK&jlG_@O}L7k&y=pu8z$CecOqZJ3@$9-gCUlO3j$~) zn~fL5IHlb!>*sn@SpsCnKP~p;Utp29y8FPU_X}z5s66_~{zpFisGj|Ok2J5oJG+M< zMLi)!C-XCxM-CYR*S``RiNRO3_rRr#TG`9r>g5=(-jO&Z({sI8Jf6qH&!hK6#E~O~ z9~PRBbZqhB{!2|V9~h|~nAQ|tnmST^X$lrhO3CEF{7ragm|2_{Ke3%l-`q{|72GVA zMVjXGTXBQ!d))iIt=<*I5XSdZj2Rs8e+OV+JUkR60y?0G@c+3NnllM2<>Ul$6Gjqi)sXJtOSQK?!X=0kR% zp{O6HJL`W^)ej&lE6c>WP*6Ik9vERxFX9=|Nk(nCzRVVGG6{op&CfL|ED@Azt}N@a zA`Q&Y7o9p4vx zWZW>^DxP~Wc!96x66KRK9G6Z<8q)dXBlp2}t)Y!gPm1S)>UV<|MqbPIej8{Vv8(7L z@;uQ%HMqNT)dFEW+v9+3Ft)ajvt z@kUXoFUS2XZ)D%fTskqESsdaEMJv~60H>?V`mdeWmR|gxI`%j)n{6VX-|;@-UAI{p zaGvC-U$V1K8@w1WHmNUSFhCZ94+DyxZnlR76&Re+IbwjtDpew4HKha=7mkKUL&79! z8nh?z)QvVcU)WJuCP1f!IZ-^?kY85Ebc4T8xGTK1@}m)*J->JxC|WuyIh=1yd_oIj z%cz1~nM`NRw#R2SsA6C)fj#CU(V1mo`i+umG0nmvGq`A`vb(qXq%-w6(dzEnS-RK| zM8!xm?`lg;b()71oA?VaB{?G<_~NqOl;6HGDa-olzagx{WhuP@MQ!f6?$JA>Pkh#Q z#k(?oCAjk8WtG-a(GnLXvXgiZR(YTyr3Qi{D^H6@#pzlTK}!=X!0~M|+4jeQ4@X0X zb6W5h>>+N8>%YP@omlK8a;^KOj(Y$G=`-nymGQ4kMT@d@Wt*%G?N5X#2Iflyc1zc7 z8*Rp1#ACY)AM5WV{PD6#LAzLI!VmM?2EzO%7Uso(|yr8Tt9Gm)vWp9V1r7!62XTC2ETH-G)X+qKi3bt&Pym}R-&mY^sqcMp>P zk?{_a4=pJLAkISkwM1IU%L5&AG6Jo@%veA!0TGF1WwENlq#9nDsVvr7w3J;(#(J{& zB<+@ypjye_%V@{twQl3A`gNMdP=%69kc}XeKl};XSQpt9VZHlVjMh@D*cJiyDoH`* zoZ0_X5}b7*tms zkR>hOTD>+My!2DQ0Tv;807d{1vCj`9>Am3npk(xx%*uFYzJjZmi-d&kaYTS|y0+oO zE8-)=-x^=6Dw&QZzdP$==cgBnr;5kP76W9J=Ldd)OjcOG)7nsJ@+5YjARInReHNP*`3HwOtiuWDymtnH4~S94uEiA-ESGX?TQN!~~u|JE1l zi5zYx2-utW4q_i0l~r@;gfw^fJLpAGSLubof~KQ746klr;IeE+MuQAkw zS$^dXe|sXQfD`W7yc|nhP_h;<+R98O4=|TS@XO=9V~ablf?urem!@Wko^PfkCqeY4 zA`Q^`K&OddTuu}xB>tK=(^;cj+Jxu%?oEJypokQi2Uy&^)%^sF_JYv@g3Q$?Iw0m3 zImyRIk@qBf5^%GeD^Oo+R4`Rdph{2~@c&>h#~lLX8&vKLI(5ah*GnoO8>|9y zZFa}{ujn>hb0U%+AkKzlxHPPjyBAf>%B#@!4qO;L!Ld{50 z#0G2we~0-DPY%5yeW8VU18y^NG$E)-NC$nx)LXY`QwA^p?@5N_CQQ`3L{{CtC$D8O z2FGA$U`=OCAU>naM177VgE=>kBfJ`D27=4@X3S*KC%;Hu8z-F*r6LnX3$_N;*(H0j z$UH*gsS3UnrJY;Kplv~{$G&kpCIin3f>Izp{91_+09p+t9ZzH&2Y9`Peld7Fi@@vz z*$~Dp$t&50$r&oa&qjl`SO#k&4gh41V4diOtMY9sRzrehLJmbRX$zxu3~3mrOim1% za{%VL0Y-cFC+}n~q3gPvNOa5sn9*qRmGNOvY7&(W4rcWUSl=gx=~CkbuK<6CdW;QR zVHHMJ!_Y7dIhjFqDuKxN6KDaKlYoNt;W1Nrbi;LNdSryTVJ@lY4Vi1{Z)<2Vh>NHI z{W0YOkKJA6tX6>JG-6u2gX*p{NcG0;xgx2ZAh!S|5Eh;dTfLv+bBQK87$5N#c5VV zX5GegNbB zLouA;wiOQTG^N;amI^?6ZtQDz|5sCmqja_Ive_OoGh#l31>=Q!Bo3 zD+ph18?y>7em8inH@j!ynd~#9&1W;m2ao4ZHt>z&C&e!vKHS-o?gNX4EEbTO`L(!p z9eyi*@slY58(;x(Xi`_R+qQap1#-v&zbK5TL($8n9Q?EX8z7#&!NV+_OKM3ghc%lomCQ=6n_aJPiQ!1CUF-m|c!NJpRlu!J zt`#^md0CUG-cWTEzy9^NlT}pr3KTSj%CC9YDI5sgh!mX~XuG=1;H zUPcKEJm^D4K$Y?W2@>0dD)9^n+;>{|j+zA3c(f5(Ir&I3C(Gtxox1<}U5YMnWRR&2 zpQj%AHP(!fwdxYh9Ag09vR3gdo{n_J(4>y zDAf3o=En*;I)K>N<<-`*P4gE|{u00qHA(bN{`I^-AIoRVvQ*0v?~{cNn&0F=e0o43 zX!Ciqc<{DS3^8t45j=(EiD+G}zPQNBX?l)|t0-a`Iyu3fA@*~`3wSGL8qvryuzKt_DRhSxD(-=ORWj;4y?LI z1=%fFq@<9X-9-W^I~J-$y0&m2)|ZRV4zVl4RR|engfpqU%NyBiwdbe1CGREzL276v z^16;GFTbh?5x#qvM3E0VMS_p+3m%Zl1Rza#Xro!7Qs@dZM@qK*^{(`&^7~Wn(AeE-%0gr@7W2?%L3-gF?v}f!@kAOHWplZ_t!}33K|l9 z8=lY4Y?E>%`JfQDnUK-`o#gBB?1S_|LxZ?fZ#Y9mAg_||D|cFqf5fEi4ZcO{lvBnA zE)*v)xTYlTrqH^)b#S44k2O)gc0GUPdv}lxSK%*dZh!-3c57aEP_BABkxzE?)K@Y> zXfz6QLC0(*KpF(XS2?$VFD{dohu8dt=mb^!-Zk+HCXbwjO!^!9Qs6?t4w)^kFJG!{ z<(t$~#Qq(3>$C)hgHd+aXmU?pzLa?Eispsl>#Q2^q_(3}2J7rPWqpJ{xYa>|p37Ep zY#R5L$(R*-FiR(#YmEjQkOfYt0*^&)1wnRp3&m!~aBT4jayN3*t;gR zIZ?1ZAFPNl&jX5AF6{K#XbPxh#sq3SCPat>oW6=Lg6&3IX zzT**oP(?)(s3R~Pqr-cA<+qszoHj5qw~a!SZTKI^Oi`@1oQyCqLF(;Wy;2(Aa@bYs z9GlM{o0s3FtxzVeDF2mtHEmRJp6$rUz*aXMY#rc49{u>zqT=@_1RaH*Ozsjp{Qkc z^AOII;`>5BCPLZKt>S{2$kkpohDUgdCplzeU)tPs?gzFTxfoX|;4>OzcM?p0JpTaU znjZ<7lfXbUsn7%2txs7s?GxI>vVQ%s9A)ViqEgZx7=u^wi%pRg=z#?J^$kPg_#W~g z%-X@2`C)Vj6XwS4b5xZKak4mcyYNh}3KChoFAx1_*c{(uQWZdW{md_k{arzZ?d$iz zn2LdMjEQAx6$XBw3V@ak7OLo6nbC}w`K)?R}4>CKV8(7N*NeaX-E^$EiOu*KNUk5HF%=v`ipTeW8 zyhoFVZJwFxI^kQ8$^>eBD^@KJ8`lc=G5_VFD5_bVUu~;EC*X5MGW$2xgJE)j8>Fl3B{=0D$wt`XZ3$47|1<=MS*e$&PMvr;2ce~HNb9_c^(UdYlHPMbkkxn?d zIkpX$j6F3NW8x8O{4*n%G1XM@c=A`@1cah&RuM{@fn67Tdcpfd$*e~lhwdC(S9INE zZv>1f-`q?zf_#JP@owrI2Qa71<}z2ThIogNn z#_?QHStt~E!^1sWMrUoSQop{iPpd*{3Y^PMIa7dUa8oQUDop7l{*R;B*sBww*&3m0 zObA&>e@OgSg;gSXgG3&HxI~&FdLK`h*!@RYg6oBOkFuFnIg-wEQU2z*$Fz}_1}VE6 zqzH=Nn|nJz9sd?(3+Bd7ZgoxCL&X9HC}}>+G3aG+QD#jrP)NTAKLRUD_aD=fh3wY~ z-@pa6I3I;u_(b+Ay__ig^x*IXcDZN+Em(vkyGkUN>D_rw#=DhktD+RJ(TX?Kwm#NZ zcPVo#v<|c3LT^K2Y`#{(+V#@k%-^z&iVv#7R-1@uL*-JArmXskLPH5CiF0vm@sIo+ z3*T@vLzD}6)A@v&Nc1S`BIjdSn|GQG36GPFr$7mK>*|!GQo)ukYavRBon+@zAEZx; z>tZx)w#A}o@C*ghkJzy{b;SGQpks~zVn3K=QNlceqpas3nRPiIEb(f8XKdQ20(`?> zNsx9u9b`}D3AUS}JxFFIEv(H7l<7DjVIPO>)~JFa`zmfwDDaM-pf&{xQ!W6y8%{XG zD(5g6&^!>9kP6-ux}ZHS6!V+vfS417?Xb2RQqE0VEQJVGtYKU(Oz`f-5tZ9~JM^E< zP+xz7ytIzbVU;`;oVRp(#|Xp;id16Frd=K;4!LZd+JkoI|BIXqsmw;6ozQYK@?_UZ z8=54C3hp`=*qShTUCT41RPF>T462Ib9W5U(wm6q#z4lYRY~wrjFO`oXXuX+mpN*#= zUE6wlhf;f-3S&1_@{r7_>_HhkahDjZ4YfV{?mLkjHOL^zI-7U4dejdJxHXLqnxI2U zLsmphMHI0coIx9~hi@B&^MmR_f)Bc)+$C)K)Vc2F@{A`%x?J9C{R$QU4O zDO-g=1(KkRCiVP>SR-3Y#Lk>zQM1vM1Z)U?tH85E{!_Dg!4#>gAw&-iZ_E~gO~Puc zV8K{4X~IOY-?uya2dABha8ND4oZ~K=QUJZV#+u@`PIEz3gK z7wTXf3nz{8DdMZb1kA`l1AN)0E7YNgKsg7ZU-E+a;5K%@Vt;@J7`-(-qzouJE)d)q z*~|Yv8crv?>23J2?l6g07Rp_FWWs?KoQ z&k)s3u$lHnHNCc2xphzm$bmALuqeqa0KXEo`4TX0t>RfU#s_Wv;^JPQXyz3*)tddI zV5-f^Q=x)xYBs_;_@NZv<4~!hd}I6b`dig2Br%hxMbaiyrJ}G1X%?+bf9D!p2t)}k z(E=|y0GkNPu2rB5%WfOa!GpaALmgHAw0)eOZ-5YN&`qq0Aoa;ciS8K!ZL(@BYO{S= zhX2Av;*i)*NrG9|DoYJCKha6Nl@?-$4c;ss zns%hYM=j0KrmL*2U${f^#${(p08Nild%-dCDMre-n)L9pSF^gZ22MXG1w7;pl`t!$ zt#G|OWA&D2Lzc^|2m2uZh~lpu;W1a;Xs=QFq`0G=z@Sb+$BiWZXk$WwPVKc*oNMJy z?Mqes?e|lj&4wFoZh&@kc?pQBY-5Zj{_1F29;bYe95H)Ch$H~LdCq{I2iDHaL~9g( zqSBVK``!fvBX62E{lag#rtX*cFd7mN# z_|zWl(}wdV{Ur>IM%KJKhiqb>Kt3DAt#nPL9}_Xhe4}l(R0yq|E94+3)$833;CzW) z%hwuIDVVjo_2tsONLAng1&VU_mQ{M&yox9P&rMi80Gq5{xJjNH1?<8BajF#=S)b6C zP(7}_*h+y@y1vaU*Ea1%N0G`|ZjrH?HHdoT;&)Yqp{?7%Pq^MY+2H&VzWjGe{GhErN{nIOaBVDGe6>?-oLdd19kv*md;SD>SOsWktrf_e%bz=*3d zo$JKw9l!Jz(y+9E5z6Ud*TB$LHs}ar=S?P-x~@)JsFb&(-;cIkkl>eq@nQDaD%s!6 z+cih16;u4cq*f?eGmU?a;RrRZ#cO7kE#9i|#zH2Yi0(jhC?PfjFcovO*rSabUk)f) zqa~2G4%5z$58wvLA^L%|y>VD^hc?ji@E5?86}M5SQujk#C!t-D%l88@$hlK&uwAmc)B@MdTU`k8x{%0~=49kESWkbB4QVC6f zyd`1#{1NyVTNwl-*iq|d=#nQIk`WCdS4X&4tTa+d(fcA?q*Vr8X?kenO+RmTg439S z+_IjV9hLl``4oHt1%#6aE^%eLp9?@BG^(p>&|Vev6vM+DMfcs?pTKTsJ* zq2>7>d=d0N;ywC^8K1a^JTo(y$s@D0Da4uP%yO$_QLNaXPPgo;btd1P0O^$TYDuvEbRM}(G83gazE<>S)QoN`U zlJ)YRy#e<&5KB>j6HaXgIB#FI@S3tBuIYp_3QthuGV<@c%B4<;gowfH)OZAde`_O*v73#DTMd>9%6iC z+=;hBI<;otMUCGK72&@iSfu;VeuO7-&fEcywGu9{n2gCq)N<&7Fp7(P8niu{7CRWLjedDy2 zsyxd3(>5PS(cK2lKo`=&0!#=r#F#Y5TzRCG`VSj|`U^CUB8__4p+`|ozT|Uk0b#8N zk_I--dA@0sui-AT+Ey5dEAi6Q+fsYkia7-o=(k4$3ki+snDnK8&53~`DG3QjNzSX@ zq5Kwai`PHy-FKn?fx-j*{SWD3dJ?0p(Q4AQ+sGE`?fRfmI&ter+ELbM0W1A{XwXHqS*yE$T%pA#`w`jf;{B9x$t~1e*Hh(L4z)CEc1nj zz6pm&dlE+g%gxa}1Vf63ax;)+E&E^($GNfO$}c`!h;PS!;81OwLb|RM`{UipIU2Uf zVS2V;x;fJRkRh7~>mEfkjR6DG9W04_uj&$Fn6iHT=l+*EiGK30C6z1<4L@6Y%68Sy zSzqqMUX`}Q)qOns`zmUGhFxHg8Y7}jLu`eL#h6bq%5gd{T9GL!FHQ5q5uNXH#IsI$tZoeVq%uPsGiWTnA=Ap-?Zd1aP3SuFS; zGy6yE?(Xg+A}r%0N@|Z34GpLcC?BrCgaMDTcI1#&90^9~lSOK93OQ+bYVKtU8%1Qa zwGjd-0n;PvxuUIj8v`TiiqJr32}_pY(cerf|5)WVkbq+i$tfaM1R_veN@X(fz9}uB zSEM9F#sJ#XHHiEVaedh91sIpw56gp)4W!})yd<^aVA zZ2WP+IN6J1nxV-sJLw1IYP#zCmjiX1<_6p0qBNUs7JS+7AkaAs&lPDj2oc%IrZnxG z>FpBJ@xXN0%=xL6j%2@73@2b%xKddsZ5LcMN;)l}e!ja`?Hd$3z?&e5K(cYG`!gC@ zoCK7zqH$;}e2hy5a7aAaFlsW6^lQx-LzjOs7^{h->TwK3Ievo`T1V1mfSB=0co&cg z6)#9~2r>vW#l4MMf?dD<*b$Oiluj!V+yjChW`nkE-#4Crz)Rb6-a9=jouqpriWIGb zuMSJHM^04C5rY6j&|WlJs5-rbQ}<7mpZ3sps~K`d%V6VD<&+g0XG{7p*rUEpfzP?< z(lyxUzPITnIkE#7dN_J3UI*ckJQn8T@uEUKp7h(p(?E0v53Ure9#wkJ7%i9T2Kx<{Gp_^Oqo$2Wq9E0~`p zaly~`cmo#z3P+ID3MUkZRx=qI#l!m0Y7i%I8@rV-7%gI@v(DHw{dL2u3&MfYcB_Dl zhSh(j(4P(XO6i0Gz!cpR;jd{fl0+S2+sbDOI2U}CQ))A2l6hYb-lXF0&=t9`RVC*E z;#)b~!T}FW%LtQJU(!YS%w)P#xrEVnl#6h510{rp za^L&;xX#0-(GKFXneT4izE%N*kB#>|qGwY{5qgkLx4~#)5To&y9T#N?Owo->2o~x3 z8yy$pt%@dK9^OgAomVRo?!9~LQ#@~jnyNRTF0f$DW@y`#p7UwYJ~ zh}}LL0eQlEq|!H5>FYMa0=bx#F&w;LN*aw=ILOOd zdy5NCn4)0`9{RKf6HT{Fh*AO*!1`LIw zYHdW11GNAJO%&87*-}@X860q-z)-d7>6Wy~h*=-}S%86bt{&rPjBzh-LidIKkBnCz zIb40DzglVaH{&koD^V07cblZWP(Zmw3(35VyiGr zwzrE5iB^)Vx`qK@LZun*Y{_2AI{2H6QxDdhFk~NYRdBfPp|Rl6v2j^>jZMsnWb)(% z>~0h;e^^ZKpRVqoLX0ND1X}}6m}CM6VU)~h7w}l}N=_+9uc1va3m}TWiZw6d>tU|(q&Rww_K!oH z3gVRpaCAy?dPHDj8;v+KT61oKnDm&f&7tyjJbC`CJ?;apzmX@1Mc2j657tA5LcmMg zV&}IF$3Y~M-ZVMrzB!@+NY|q%D_DcW)^T4k;zTN7s^P|bX$WOZ0}Ip(t_9t7Q=C@N zn>r8Jdx0qCX###muToCU-eaEOtYk*v);0CR@GX9tgcd9uVxuqvWZQ4J`NjJBzvKL2 z`O)w=krm2Rn>#Fy$Y1mrJn(YgI6bf9!BY^ZZu1tPphVv+n*ZXqTgU|ru4CdRYX@pF z=4kliYhijTG|(I((*?N1pWmZ(7uM%q7(L!yrLJf&v2W$;CsBCNBZ~m z!xu6b9L}SMIAgnMxZlJK!l(k-pfw^Z7U#P_v$5bCr(vRR2zUv1(H&2^xE1`^Xi$q6 z5gP}m?yNZ(&4RaA=abNO;7mO*#P2!Kr3kN5D5ePhD95)byMUMErIZ$u{(PUr4lag@ihWul>hTo7$S~%4m?Z0cZE2l zrV&K#EabBwMletk9WGR+QXZwV46Rm(ZGht!|Ex>rXb?oGyEvHiS|VI)b~Ie!ha+A? zFs*OwA*nrmUWXfG3JDWuGO_5neCx;^d|ecjm39tHUvxgx`wjMkXVrV2KHRh31I{qv zXFWH^Dfc*7-b!y91#H02MU9?^?6iC+q9iCl?V?n5A`G&M-0%23a3zsLvWwDz9x=SF z-XV93*Il{h>8sJyCUCaAX`yr8?om&bZ{!=ZCOv?i^WYFrgr$fIp!ZOC>=|dy8#;ai zY9GL=5RT><7|)NwHe6}Ndf;*P6}=*F3(pU}kbSm3_6NE((p0Qd7y@sT1ZB@6mA5GA zBUly{I25So0$dz^v^$7H23fNiU*`!?!!kf!K%Two@N^vFv9Vg9?8x^+|JZ3ZsDCrV zz}1}=Euu45^c)7_%ha@Q@tL~V3%&PWUI1)3)Jf4=(FB_DGIaGAK8sld}D;I@88sbBcTIU`Ks}0y+ ziDOi_IW-!1bAZ%rhRUekIGFyY6MzP+nx1G*DlS#Q7B|mjPz-v1Y;=?mfMMvbM%>6& zMj&ay2p*250-DgDyBj2AJ_ZQ>+Mm}c;AWRK?pA&#&)5mR*iV7)k9eet#)V3t(ggGU=Nznj+<%kPPKz`|@!j*W=gJna*v^;K;Z!`3gcKOnSBrx2N2IR?sJi!fF%GYd?EwBaWRz2Vq^Ce?2Wi~yDbPzyb zK-8>j(_WkL3evcS#;O^w%6)^*>9Na`!Hbs$F`C;UGA8KD#ktb)%?>4)YTSY?mlLT^ zAm;|4h`_N{T+rdKP+5YDRs=wdP&>C$Rbe7+W)A4|lV7_Hcbg_mxZ0b%`>uN7p#4sd zBi!ysZ}olBGuFPZ+vX5M+!_oPCKHNL=59jd)M_g7YfAM;aA>+0v-b2Lm5dLt$T2%p zv%MU;7dsy*Km~5k;S>Ud2bd|rMkqdA(f)1i1i=A>=R8k>h#Z=+ig9YFjuxcR**1 z49{Z46u*j9os<`|vT$GFSw6+jPG>?p9 zM8+mFuJ`=kI3aqJE0vf?1o6fWS4m%BgmFETt>Q}ZY?@?}^QbSHxHG|s^Y93KoN#PY zNPw!n4#IB&hZPMv=RM3<%dx1!00@g1kLyN}!ZNHB4-VKuE3!h;Kzb~F@FC7}%4uWe z5ZOuA;+>`ZQ)nRfUJO=|G^&6N(1uBY%y?qgs8~U9vOg|CFFsGZI*iE?>xOXoNY#P+ zc7iBvkQw8p)30&wVuc^Cj3H#ae4vZwq0)>mo;(P(A{S740!^WpCL^r0jK)`r) zo{q`t$v3n`Dh3GSG>9)$DqtLg#ZLd0?&Cq+G2>sa1nOxNo;Xm z4tc?vHgQ-}{b;=61=&1s%cS zD{4XVE=b84`f0DB6HR=EG3-JSbx+|1 zxJMf@OBkk>S&BuIxPbVv$Z^bdEEUU(AQ;({w580j#{R8=;xfjZx4_q*=J9?mc>O}+ z*NBSj@l0M&zMJF>6Qk;J!a2rwGg8bjS%z&TnkSg0y@mnjcH%)1pU$9+^tkIC^Vs5( zpKx9HWhLvO)7N5>`4lpmTv1F+JRlxGG&Bs4@BKe-#ki~5)`cwyb>+#|%GvOm$C|R> zM!C^W)~tAfh1R$bKkZUwq06hnEDyH2iSBK)!?cdZj{_J7j{VkH*-XBG(12u3(W8gT1LGV zn&G6iiXQ7&t=FJ-x+dcp6 z+hq@h^#>XpOa`ugoB=DRsbbG7@+OzZ4-^4`28B@Grzsc4WroBQ+c(G0q#!Tx-Aj6o ze7ANeoIXT26)VuQhRSj2Z%e-ta#yguYJxmcUg0st{fI+)&=8!eO;>9i&tl~EiC`L1fFpu0##-WsB5T8#*A?YNVlOpX zi_)nR!r3;nK${&Yo?XQ$ZF6XH%tc9mR%h+Ju8j}a2oF<@leuQ+)QWzPRNLIP#=?F+~4x#8HGa`aJ(C2W2$fcpEqGMFm!m`tUB9+u4_~N);!!ImS@nQLtLfd@M;K_Z(LDs{rP`FbTP6G&kY+I zV|;LkVUYX1fmMQPVm%f_GIc{2c{+%X{ahZ7SmQ*pGlvpYjn^kmjwK;X-`drucaXjF@NJlh9&Qiv8gx4-sYf+ zEv#UMXa-LT!=Qg&2$`+rQL8+~0J}L09f&I*D)8LU}}(_IK}=q)>}<_ z)=9TF8cBsARX@5?UffPn_ix!ANYfa%PFGNjEM*&}ld!~y0GYUT&B7B|N5RD`sxTyS zwU)Y}(nZ+hLCO;7@Om}81q$PZB2le4?*{z&!S}ySVI)V8kz*81=VP7a67On2WwkK_ zF=T+FFb8oJo|_5#8tbcMwImLis-1;tA#pe3y#(G`Bj9dNswqK;S z1Wjvm>Vq@1gkFU!w1ENQ-s3%wVpuI*+Dc0Se9s&@7N4Twk&|n3Gb2XHI^kE!!0OFf2J|dnMK$?qS?*Op7pmi+?HRlcMMRbeXa-x73{baueZ`Eij>r^@z{ZN& z078qU<+H;?Adqes8TdLvXZ%P(+?yRQ+#v8{d3AMnURDR~Y}`M9FClprd~Hwxs12@? zJID$P8@sTU#_$BZ%nV^)sLZiYLg=f=Y(-SqMA`-d8w+3FJR^fNa}DZ|2Y8XFkX{cx#HcqGU~P-YP#gE++O7n&tA|8k(Q_q@nxW#V#wGCNkCh=4bXt`aBXQX2teuvai@ZG=W^z|J`WRM@}aCQmEj zDUpC5%NW2gE!9jM0KEZk+ya)91w;n%0&WPRmwx{@>n_8nhmu2w<&FaYLPp|h{z357 z>RB~6GAIP$uqN}$N38Maq%|VYGE&IUZ^_*NdBz6CGntJRBexswzxZ>8jOi8_o6EE0 zaoK_V<#^C2k7d;n3nJVklLCrhw4BsVvBU~b8=u)g4f~j@YMPse8sZ9pIRM9vt>9c_ z04VBK%~m(jAcWHq2voR6<7wc@^<*XoO$(Zs%(8>TIaVNDS%#Yq7$UPmZ6LbU!K@pu zI?lfK1ED%hefCDWXq=zV$PYAiKaxIGrL3bhs)H>S2CG0|nw%J%*MiVi6rw)ZuO6cB=)3JzQbu_3ZKe;X8FM0#S{|9LILe(ZLwc)u?A<4UKVGR?^^#y5S8_2mT4EuwnLq zx0D8^8=%xu8dr(M-U5DBhLaFM5v>7VY@ju)$NnUV|4i=AHR*z3g0L_{?7PAdvW9c; z#Tw3FJ;X{7T54G-CL++3|Ezc_M1D-N;VgnEZFdXUj)Ox`O%1i6Yq9e>*#Esp-%MDm zo(I;E7gXy>nd?~ku6T@onZCvds_KD48uWM%p5q*$|9nI7X%EqZ#36B5V4c8*qMORy zn6EVr8QRr=kUmD+vWUUqQ?-WLCV9mV?t44X2nw{K?5~xCDPoahl#NwZ#Eex^hjtVH zLR9(lYD^frfH<61y6Y4)Ro+{u*#u$3JYU45)R1&TlqOkg#D42)eYVy&sb9}O$=(0} zMv-IECi2n?O;@U=+SbL3h~L5N#EO*C5p{{qwfGn21`%sk>4HF7=qJU9@Yf%N1RlL{@2OR~sq=RpY zupWjk$9QEnRw9S(EXyw0U#ut4O;n@lu$zfli56W(?U)q|`wl3))wCK-Hwrmp(By76 zt1xOa@e7rdG{m{G&7>HNlR8bqM}E>5ThehbHsD8OOQ*o17AUl9sf2YpZ0TdKpcC{| z?w0yf5`1w%t_G+9BVg?#b-B0p{*%d}R);J(O`DeaHexS!O|foc;%425j&FQ%60h9* zmCgrk;saVk=|WnH!CHJE=WaCzlQ!u2OKq`IBm#BIH)KpLX-&is!fusMy+$LLJkpFw zN8--TZKkk*h)YXEp)I^%MW-B_k_nl&EtvY1eDjS#1alTk#LpFZTsP|Cd;Y=O8HX~z zc*h1=s>qMgnwJiN04$-2err*N=e7;cm4?x}{HHl|#%*EUgd=2-8T_p{PDEUY1W?y! zG3XWi)9&s4)>APs1|{lQQZyDwzY;wJSuC@#k{@hb2N#=`%T$!+J#z%anlV#B>?jZi zb3kt#r<;a9AU0EbBYmTqv=&FV5BiH0jy1+6&rrfoZJz*q^H^h3*t$+t;Dy7^CSN@~ zAD8T++*)*wT~rwkI7EK6)WyvQ!X7!)HL9Bze(JoK{xq>Vuo$Qtpkt@V|!>jVgZV}pgzF! zw-gLV1o1(D70ViL9Xr?Vq^$#x#v{0<h#Sf~6=_)rbhW#8dPVFI6&Msr^Z;OOXJ`rS8?hY1GgWtmf?KVTAVN}(2OGm$x>MWH#K zfGD7RJq0juopQcY8zLI#NMRGRV@%rUxG|cL4}~?<*w=4>Yw@{4tfsha9!7r%Hv#kD zvDL~%SZ|cWx;fE;;iD$`;RkHUEWN?WbL+;B>Q?w!_3zZ!ZRk5Jh5rda0_%jE9DIEa z>_!<9+K4sE+LD)gLhOnWZ=zFj@~hDkwNI3DI+rx+lccQ70&wt%DVJusc(#JMyB1g8xejnIJ^JH{4Vi!v%}8nXPcBGKqv8j`R9nr~*)0;xo&RQ{Icp%!D0wS*6qjhOMfn4Z&@&f8?sDo@;6Pf3b*IQf+g(r1kzA4MWkLcZ%@{hK;EbV!) zE)a`yWG^^z6SY9cdcj%>Krn~4GA7A}X*Y&vVP<1)(VPiPMdXYvDVj&zB~+(H{V9>- zTNP`w50uLaxyMIgZ4eYFe*4doL@d#-dUq-J36zrpfclbDB-iF=D1pM;#=mU}1)phK z3A(P)%83#|O#rQgiv3X(6Sv60Q0n-@J#N@T`?Eh3AYi+7f`WVb5u76&t5;3JSmIM(3{tsh`E<2>Vhd5C?0v7X(A-xz=3#@1SpU#&&ptqTQ|(*_fG`A^3sA zbcP%C>wohBXO(Wwq+_*wv_urdJ!8UahV;#@ig7(}DA5-Y?XodQWuc2QL= ziH@zDBbmNcJ12~UpGe$BM>4gnLwm5)de5isAii&b_3)3-qw)lrEYmQ{&_W$%hNx4G zJ$^ESHtMr4i-%xRtd96Wq`yU@prR9DYchl@ai;Yc`NOQ+N(0nF4Vc=1!br zN$ctuU-L|Y%yet+8HO5j-PGxhTBQNO6iN<=BPtsuZ^7Eq>#pe$Xw%w?$-;a0hu^o zNm*$V(_^%q2Teh%$|l4k#_9r4)>2xoqJ_n}ifEb>8gJ%|@pc3RmC0N%o;A&U+n3|F z|K#l^freN*1lQ*WrO$;z4U63GwIOLkN1E_Sy|-eKZIL~a$KfO;RA%HH0B1C$LDRQr zr<5Y1^qm(vmfUFn$rr!Y1+s)+ym;K&KLh2DDEGEXKvCPg%|HdQIBpDuGs}cWm73L=#{ivT3AXpU5&7zb_GsT7lhf}yn7 z++)Yj^2m*FqSOgnx7eKTe~Ll9#epMjo&;T?vlV^VHu5ih3_2ooMVn4(YT&NSx6vs{ zBdJYY4)ejhvEZ2$K`fmr%Um9~Avs_G-xp)cf@a(y5UB4-9FPZTH3d7!$re ze_-QYt+4b@MzWa#*9)R53je&5-Wz&p*Y*-tp*K;a$4H8M1?96@w9moK1aUICq+LFg z$pp+ZrTPi(ol6WPxH0^j+){v#X<9>ntiGg^^KGh7yQU}hHFAlMJC$U$*r=q6eO-mJ z^Xl$Yy34X?0j1fM6Xis@B0837eM96qV;#&`-TXG5M_E*h!Z1w}74n1fGP$3bo@JUO zLrl9nsb7|pY3I&KwrZy+YyYYeVOEq&FgVtOKNd(p>ta}@Y&t;%E*-{{;$q8(GI+YS z9zpz#5z;QwJfbS2wjTTa+tiJueD}`ZaJmm=y4}z}?e-tCGyQzaCtWrRC;L(~!p#Qk zN7x@mXHGkJ>wTv)n@ev0pv&vsx}wi}*wyB!RVUE|VsRV{ME?u$izbt1hcdg~BKd_~ z5&lg#4gDIQ@YG;6e}V3a`@Hi0fytmYvcIb19-S^Kp z8AACB#RoEzsdVD}M>9dD{EsSU%85*QDA=9}BLCIsbe1G%_taAywK19U4qosg+GJ3@ zNN24e=d=BPi~Uluv0xv&>Us$`i0pFLE1?}0j#WO|INa?715agrFWu#Rzj_-xx(oh; z=^&BWzC95g%lMT3lnF0j>0n{Ji|DkuLJoq4|g`O%}*|JXqi`zpsy9Vcr4*XUv zx=EV>SO+UGoS4e4C%dPTQTmReU2yJ8q>-w-x`KMfIbDp(hr=>^WsBvm{(t)Co8Y&* z*!z=W`fg$}b$8;f2f2=C^TD#ypNSGXPdim=?-Ix6+{ntL!(bt6MHBnNQ!Gx!p|*LV zkO{gR&V4)YSV27*6%kBTa;E(0Osbsi`dz;eF1U7BwC(f#(R~TjjODqfifQ|dLS_hRba#E;Q}BWXF2Rk23Htm|__Ya(%|U>$Us z1=oqx9{A3_+8Jh-rbY14>Zx8?{?IY$YGw!-z>G|WDgMOITJ%$#OqKmEYqW7HbN8X} zOd6GW_k)>xD`CPq>Tgf^^~Bv7I$B$i9RTdG5NGOMB748HznqfR;WAeD{+e&S%R%o& z8H<{kA*by6_wi=PH2p&3P}rS(zL=QU>?Lx#yY1|2+y@JGU*e6g@1JcdufW>8z|2`r zR@UmC(ygJn#n^41Um{-RZBB(d(yXFfNVw1rHH-Oy@OFq*3n2%^1d(mI=M}`~$-MjQ zF4ofyt)-!V!UFk}|5?sx!#WsFLSXA%11NUh$IXH+qao_yGxz#)@S4?|KoN6M-s^+` zni$*35JNj19;(1B=W>3R{Vs=GLTaMH8zHP!FL@5@;aK0kOnt~*sKqQF)k>ix?|wAn zWV#6g5@=7OEBGZ1Wix3O*y7&s2_q@L2@}FkHhdZ7GF_+x{Sg(MP4?-3F3imP3;YYM zuxLdm|LU#AvLj>IrJ#&Cva&w+OYs&i*!5oMAnKS06Ej8!ZU`d>``VYn`11F@VqzL$ ztX*=-(A73$)+aQr!cxyitwx3$oY$zeL_EqVu1cUfWz`si=A{`H0}U5Rmls|4iT2vWCnQ=s?3e%Bk&{ zVkTS2!q6Ih1nqP2J6JBe1`PtsLKWc2SuC^BV}|7BDgx5{U^G;@>x<*s1IyCt9+kSe zjQ%WWRhO=de;GymRN!B9-a)bZ&u+L3t;XD$(aL}jc>j}BX{Y!V%94Aej;1WNOhO} zLv)I;vZy>@d58obWg~du~kt8cBb0hO`4Tbe%F2%B|YNlXec?!A~iX%*S1?3d>J=Le>iXZhR zk<2ar`Co#rv*j?GI2B|w^;8+whNWtmTDF?_25f8B!&Eg{tB13`lRHFbXU1Mn)#`~_ z-v!aS+c}%<9{Y3%rMf=~Ebt2y5cQ?1wUQI|WWh(D8~2^axtt)O+7*c*kQT~4#Kb`D zF7#br@@r4LD=goZPK~6pQ0eYeZ>ol#$=0&g`OHXa!Pyx^4?4B;+4>W9(y4@2E!y#e+76Yn3$^-Wn6AAE1h<~=Xu>Enn7P-LfX|dpW zJ{j2)uFG`9*U;_vo~!TAX3Asy3oVWS8_AQw9rczz+fyF<)$C}nyZU3*=YtnAKlJ_4>cA`6XkTU~C{(IvDx;a+RO83} zcVzE;{?fT1tOid9C&R?<;QguUA3t>NeMiGzeY)}izb9EeH&&>es*Fz6dS3gCQ+w~C z)3Z?7b%qXWnJqnTx~uR`{N{{%AybU3le3SH)Xr3T_Wr+p=Q7)CuU8iBlfM799zRH( z4EJ7Q^DfSI#_OFOB-qh&H2RYL;?&+LYsXYHVvK$?+2g(TC;u@$cGq2r>J!x_$Y`_bfR;n2sO8sbY)TP|V7-$l8Mw(>J3Sg>X%zq+^l#H62i zzWNG{h8O)^scLjCDDSQAN@X*nQ`z2B{VRT|dM4PFvUZJ4Js+G4EEc^lQG0(OQ9W0s zA}~G0u%^xh6ldrqvFluhhWkNs3z(xPv;XkDvzd!dVeizR_54mI{cI)maHg8XEvR4h zkpfgMQ;SK}^SE{-MaIyOaNYFvzkUn0Kf$On){pbAW@V}ntD2hJpW$%IANR98w7Jw zrkt4oVgC}8ujCRuccsi2YGW|J;7^qy2lf`boGOI7Qs?>rfioHIIm2J2-FRU<2s__g z_fwbeRNJNsSMgb&8J0o}3fIg8y{VpO{8zH))Z>w*>De2IbqxbsyUx9D3nO{|xy+XW zvrsQbQL1N_cThr5XuYj0KODl8OZKFbO%)#Pe$zSkox$kgLvGLB!{{8}f}g1rO6XZf z{7Aqm`p5?A$)4oj`@rZT)UPu~>%D%lJR4Z2DsXD5=W5XVIc^lds82IJZfx1RQpYnd zXQTN{vHu@~; zIO2yW1dKSAtG9z5elj)cS>gSkt~sM~b>F!I26Ypt^;y>ma|x~%SJ?<3OfKE~ zR|luClJJaG4wG2q%qDI)BD{u*)@ZqK8kfc8UL2@X-~wP_*ry!k69vaURdKju_mmhA z6>iKVSHi~L8(}BnF=8pQ-@N~z{~x#iSm6QZsQ)p(_dn^p`0lUxADTE3Jy!gRe`w;w zTR;Bh( zR5%mxV!jRsJ5%Xm{2zYH^D{xEHZj>Z*%uYg`Ny+8=dxRByJmf!@6Fb%Ty!|9?c3k_ zs?=C#$w%55s}bnLOAB_;@U1INC?|WEwwb)JpfCjcx?`hm#Hp)%np!+lX{c}Uqlx(W z&+<=V5F~KC>e0OkHcCRpW8N;`5D#&F(BE%HC{Ceg#yB?)% zfNB>XuqNEpNOJpap+W~jU--$Bv-K!Zmlyd^Av2V9wr3RYkRJ{Wjoe@c^wuyu?h^Ox z!spOz>NWtTj@!XyA)2nv+XRJlC&{-|x0t5eE3ka-*)2Uje zR*x8!WL#jm;1qTy+=6wF%QYiwXX1QsA?U6p3wGgM&S)Vh&>%a3vLUvCUypBHF8W;s z;)+A~)f&b3Kk^ozxFp`LHCn5bE2*-VvQE`3w`Nr$>#nYHBa8LdXlw6+Eh|I{5+N>U zx|k}XV4^VWgGR7AeBiQo!N<1%PTD_R$2fGE`98JI_r=5mA$oh z1tW#j_UKGJX)ANWuRK_(q^Ag2dcO0~s4`tBL~g25W8MW^yYws}1z0ToQKr5dn^o~L zM+lsEh5tBl&>tOlx+}GY?+j$l_Vz8B%HqOwn9)K{xS4?jBgygKzFOBghB%#Y!<_rt zBV(g<`rK{P|2YaKqEv+IF_N+#sxbTD@xVD-u_~2Z>O@p0?wx}DiPe2H{Fd)bXPshU zmhewK)s6B^?<*pbg?gep8D$H{6939~oaCs7Pf*8Gusm^BZP>A)1M$0zlMHjokR_=k zm!5k!E^~L1z*Dpsz*9iva@OH};x7^3LN}+o&es@oQ{ee+5V>{ZX5eFURjw;C*OMrG zNL0{%66^D@I2U0Be(uX<0vkb@&=;y8^H3^1mF=2HSlh>n6^m7Xee1m0<~yuZ&rTgC z9?T*`53A3qq^!~6!SEn0;}c(okHcK1<{`=Wxf0p)gpM^vIqtb67a8@+umAlAVrfB~ z^>D4S7hum5KorDhDqy@Y@rkM;78TxL+|MZDf8``EJT)A{edQsdXE}x^eP@0%_52?a zZwV~xApcsAdWxS`{vYu_eW=E$xIA`PA9s0&YZan$lGpSVFL6I{y)u7eoNk#&BepSJ zd;JHmS{6E`5?`*O0X84?+X$O*r-~$@TufNC8i;E;70(k@P)~%+1+sHh7#?5BEF-^S zNx2Cz7B|W+Z9k;tt?8-^O(W>^a1MsGikWh2<)gHxfTU)}#NY^SY&2L*vvBFIX9+sl z+2mo)#NetfHzCMjMx#4u>^$mtNcyO4re;W_>shYwBCe|y4~buKCp>hQ>xi}fyHD#I zM2erpW_2VHPnSi9rfVOqn*oMc3oQYcCoCu~J#X_8amn%>%&ib7PhS7lzhP{L3l)Nh zhBi>An7XG>k4GI}JqNLKDIzH$!(;r0wCSYmp;cbA{V+&Z5ThitPIf2LmtrIa5x9ql z{udubmzU8i`BXW{h4|Qg@%5YI*Y8l}H8#cgQw20n1%<)mpj!|9BxI9TbzFRXBXGxMN&~L_u7yDy8a8dL7@0S6jcN$$G755dN{~KtYgoa6)xMjph`LsnKcH6D@Kab zau0ok*Yax*?u)I_sS0D~`nC8Th9(c)nM;@}$1k#biM|TT0AQIYV2xl*PJM{XRLcdu z7n&efERiB9`q+sRm<79lI&x9bSxu6abookqsS2Mw8U*4>Q4W!1a1mnGgX@a0BQVh8WsZ-7Q>=095Xo+DtaY9y``KyW3+& zOwM%^g|HBPK69xj2nkhK=L%#>T-f#A)AnRC3PX-`!Kf8r@vy)=`uJV+cUN!c{J7EJ zW0lHijhC3i3k2{7#P__3-xyCt)G80vh!3$bBo|!`PuD8P64Qk^Nd$-G6UE8>UeDgA74dlA(}kJL|KwkY@O8T{ zzOCn~>nA5``yR4+@o>>xa_U#Z?OnXR@+JF+gdBR3JBpK6DiqOmg`*7@-kb^4->+{5UrglaOQr2+BYLwzxlTXW`oKZl; z(RlGIajAQ9c!KZ86WV*L^-C7HIdem!nv5d4rN<;#vd%O~3Az)`Mhs7jVa-0`GNU-2? zZ@5Xo)4RR)3glQzi9(lPh ze&1j9%`Zrc^{G>*PMtdE)T!!lXfQW({RfMP)SP2I{RbGv#jlP* zj?a9IFVV#aWPWz%XJ*qpBf!bAs#VX|L8HDGci8wWh+&zYnLSqpV?B}vnHVuD9R^38kPZY=Y3U)qb|M%*aX(1WKS@3Cw%h@T zu|T%7biT9UR_NBK?hdRsRVZuMmWrkSt3!%MJHaZiV2MIDS+yA3Y~|P_+c?Z52N)~<`DCYQLm0B2$IX`1X7LwcqRaX>L|QNDf|4|=YFfdd#sc$A=Vwc1oB@Ll?g-OZ zDsub;(k6%sjddtT<2PLi7@P`YbT+q4zrWu|7{ov{2?-<#tV)sRX9PnGqyM9h$_gO? zzY)v$4e_nB0iwu?#LRYxuSh&%YD$VFCgGtcKJ!uwy5gMrMCJGx%nL*+2k3kl@ION0 zhjEak;@aJYJ&w}4y3faLBEY~FQI)bGB6<{C%=6E+TXZ$6nGKiX&m?nhkXv$@4R}*V z?TSB!U*oKI{3cVQk$ht}#ho4d*qD4XDgQ}jcZ&OK%-VIVl}AXQ9eYVB2|4E0sd%DXw@30Jl56;?pR{JU#C^&LM2dV7Rr(9=1~9>EU|GlDxyiSoFk;r+^!l+% zR7pgdk$iDY^eFQo2yHw$bzANRvX9X2ayMAf-B>UI&gooKnJXjg^@y8GKCXU3*v0^h znnMI5!?Cv=<00_VkFw{EA+6Zv3H->j}CI8S};MFU@W-NW&hr`~NG8#hiFKgZVZ ze6sqr+bWlN--%=6_8Z^9s@s_xhVR4A@ngUFnfu&M}WNAY|I@`;<5`)fKlIR0`I_P52vXKK~MJRh~n!sn1l%kE$Z4dv5=$|7qtI}(|H zbbXA^l8r%*eTdS;d`LZD8l3?#l_q8Ke&C@JC-mM<$GrDKH{nsiM@xeFq`Vl}qC4|P zaVlpuHvR0$G#bkn2PRP`UL@-iW`wUJv`^GeO)gcXu#It22W<`%=R$ktc^S@nT#82dUtfUb#l^8Xd|XsCY8LhLfPa3GN zgw5m(+gQw0f)>Umz{l)|kcsdLgcZ{6kG^6>wNsdDRp9gD0p3J6JXWYylM2;lDl0)r znI7AY^*5cb9L863au+dUvq!FR*H^|*RjLC8>p*pkiK54ta{umDlEgY1!!yUM zbTh&*$nVNUkME(P7pMNNDh4`ppQpsi3H>r5CN6gT33v10K-G?(oQi)iDaL;8h#wNU zksp}aAN1#9qDI!8WD-wOc(iV7JB08cKIgC=jJl+6u!BvtOU8<8C#yxd%s>K;Ox5r3 zE9W!5qC3M%jKIz*3LnIn;>W=SHw(Le*xe~Vp~hc&jxY3z?iAFIY$K18l3fp&Bd^Eh zbFbz3v8`!b-&-BJ8P<<%<~_vKaH$Qi`RfRWWWv2V4Pc*e9vf&H1Bz3HA&drh)S`$@Ckqhax}4t-I1L}AGmcdt(|ob)eMhMTBn^!qX&d}5>oKs zkS+u1apn;Ykw&(1lOOaTr*f-d$XW-b#Ht5!rI(y3J7~Q9`?)XJw2CMONFZaemdMH! zO{GF(?t{VQA=~WXK`=pUaCcg)4m${_%|1bDu7B9^`=DDL@fq-WIaNa}5M>D*Xuwg- zmCil!v^52)v$O&{svPpqB}cuJNNb*`thF8ddIV*vmUL&rCU@F&#zU}YaW|5Yek!7< zOVCe!UvunM!0eDO8#|M>Y2P!Rc8K}{)e00J~FJVsU3`z0v>cRZU zcx`@P>h9V<z$OuhyO)72#AJ)VAvOU5IDe&LmV`2`b#?b zbiN*jc}|MNAy6tui5EZm;h617Sk9&?4yiP?)>n~Tv{u!w65p1ywiWQWyss}8ii#dF zMHI)s9~e|~?ogpPn*;#y!x9J~2mbK=6jP;=(JZwS#ULuZzC@`qF!!YuuEvvQbHbO9 zi9(|fIv3G*lHgDxKqcf21m#E>DD+G1U=oBZBy~%)@|_=gvDhR%l(#lls|VBkL5iRl zx-z^0+v=f&21NF6A4{yk@Fp*<;2R;5wrCTuML8}qhKhxr>oLA%o@pWxr6YLpTe@Q~H5k+Vf-I;PWl zeH0qfGSF7DSXM|~R9_V+KcH_N_)%R$KtwGCS*27Bms+JG)kOn4NdqT| z9TkrFmC6LME4WqGaY^u{?K%vWRW+GvVU9E^!?grP7jqlpDMhRxTfJwdiLa@rkPg}r zOu zqt!z{nC`kcJv3TnCV&v!UHev zQq4^s9GzSruX}IxRCVHehi?kqpPF-=JNhX7coN=qUhvwmcSuw}=5FF9nkr@; zXGW%29!$b9e>TMKKFAldmHzfI7KhC-;f7Kk_Z=bBquTcc79iHP^ua1S2>~Q#W@yI48cLn(zD??c9(i%V6%UoLK>JdCWpJ(+4M3ZQ%eL8 z_?TGEJ06RN55&pd6Vv$-xHf(0BlaGxJsrd0Wmji@&EM~>k7o+J*2}qZ-9P!;y~^Zz z_jWulwjhe|LPwVHP~ttL1rv+ic#3GJ2)s-}T*P@6P1fhlaK=Ip60! zMY+4|ZUCY z`95>!x6#qC;7WOTR+HxG?F?R%vn8mLXK*2Z>Uo4&tasexC}XLML&G`fOcF;?o82R~ zP~k(4oAxLBk8N{!u>V(Fd*=FbfJy&rcnu5v&NPyH zM)T(iYZ)JtPJDL^<^+Tt@CPUQU>T?s7f$;9Z|l3#8HZ+m|3sfVGtt){9CL8GByy|a z0K(oj81ZgPKMiE-4<^n8tHTXe3@so1QEQ6xnF8Jei#S+$c3~3zSK*R=oAz}aye0&U zJFrZg9QS0eA9UWQID>-1QIQ?TxAj$^zwSiWm#Ka~-E`DX`}?MkImIc^DV;eHgARze zGX=hiTNvExj(bsaV2JHUZf25Y7G!WCSmTZ%R^5hA56BlRU&8zldRsJTQSKrI3+>*fWBfc*3*JI(D6&VNnYlnG)*7*}YCF$CyCYGMPyDHyQf6c6M?RRX#axNndV(^o`V~Y?9q^ZZV`NZ+4zVo=x zR7?LTqs&SFNO8)kAyd%m+>tqHN@Vb@d*AZn6v>nl zyH!cgdg+TwL<7x^p?^r>mk z%=w2apy@=Pzp>yBuq5?365;I3iy6tQ1@Qr9QsP#9RMe4t%5Y7@$m3OuMGR@O_9pL8 zO#G7!nMr09(vdv;w5Q;rFY-JNXJYjx+@Y8#n1lrg_NxgswA`z1XETUe8BcVsI9aam z55js3u2E$QT%+8m?-O&P(KSJYHlDt1AH0jp3XT2ocz30M;yHrKmOuHJ23%ZGh*XJl zZste^gozfsg2!f}B?ptzhP6&TRvXN2hU`Y@G z7oGdL6IrfrlyCtXWr>v5!Wz?B#>5}d9;Y9 zrB@PNu7E@CmugcG5`8^5Hh@7;7#Lma5|I-S+LesQ%z#Y-Mu3M!uFE1P4~tAqAW<)_1${Gt401WuOwA4SN$P9)BLNPgdSdVR09Ca- zEDwI1BLwHfv+=?Gb8Bl%r@1GclTNbEA-LLh$6k&v2Jv&V16;f;xEBm>j15iMctH}3 z8(cBzfQ@X<4Y>P*KGesYW(paUO16K%pTazt#S#k8C$TTk%oV=&)Nky@WaB8Jz-#SI zzc6CpgiI}GVPuaZN+7oFp2Y345ADSY>NYDu5}aJgX1`c5t567~-p`AMhGi}C(F6ME zX#Dmsd67nV$D^RcW6ej!n{ikhaLJ_!p1BkQ{jig)b*?d$-4G9-VquB@V2n92;uNlS za`=#JciIkLld$Y7H{|1@82Di9!*zw*g9GkFl3e9L;W+1xoEl7{-8e2-oN^V-onjSB zZ3cY|of0d&&h5D0>SM_lp&+OL*l=m|dk%5=4g*|T91imicId%)cC0Y&hXcO1v*1Lt zG4Cmzs+EaYA;*mpo&hpptqkhjp?h+jZh!mkLal%hOAZ^hM8;*zv6g<7Cdqi>ZTe-0?Yz3nH-cX%L&iT=K0> zIaG4MEpW~iBfiR1ZD?9*AK9D2m&n6ndZ4Kp{Z7k|a4m^Sm#w|C{^~7rW$1`Xs2TDjX=dE=#lwy=f98Z80LcM@8AXkXM!qX$}6ERiJhI9Y+ zC4{DiMh;vRDO7{vDq9{fxE8g4AIqDlA=l(3s(5P@#J1dF)Sn+5W~P1iB{Y_0X^f$3 z3!wQ9_A2pgZBr0%EbSPo8_j91$K7&>&TysRF}%RV@u=!5o}3e-1Y& zUA`8%cl2}b)JvuixQ4lS7+;MjaMU|2HUTT5%7s5mQwZ{@kH#pE;vIx2!i*aZ^4N0s zIj>PW$)6GM!y%Uv_n z-kNp_NtJyPldZl}_X?~9at_ygX2m`fhm+cx=dnK5yEJ|c-VVp}`bHhrL^6CP`{&%X z&MC(o*bvA2owc!DkIQyCfCqwM&>x^%;mBdQ)BJ$7AxRkIeRpFbUyko@z3fSb))@xI zyw#@(7{=3RGD#1Pz0X0>$3ZyD(7zt`JTzBL`l~mmE~oEx9!INji*bsJB=wKR8%Ld! z@y2s&#|G|;5hnwqj<=5LmukuF&bm{$J}yl*I{Sl@jngMe|d?l z!}MkG^?AQ~xtC`x5Q_oEo!xs+-0nFeWBxty;Jec&4}LX%%sO~{%wi!!nymY3El!f^ zWn-zsN~6by9cTC0me?5~)B``wTxHYP$DF}4qbxszkByWd{# z-oHkOfUuP<8|lq5_Yb3!_wz-V3`t5T+Cg^C0Z{OPxkJKjundu$zu?IxPmT~&ML zZ@ioEAMJ94Bd!W;;lBJ#;Zn~t7;3eOmhs&Am8})`4zN4vZrIyLGv4~%u^^CKX28Tf zGdx7$GURI~@bnl2?Z5=1Or8jWh=>s8kSv@xSoiybAUG)u6;DHm0P=d*ZLDfd(si_~ zR*2DnoQaTk%eS=-Dn1@Vt2<0|2JXYh$+UO2{uO;4ADgMh$Hq{bW+wk;Bt0`bSGjU* z&>s0}C8quU%Vlh-0Ad=L2kg_FRa)@ee=5nQ0`f??75r>-IRzzE0GudhX0@-tv6QD>-?0aIR9a z*X`{CmFqTn;W39Pwf_C^zXdL3oH@)>1V#@+PzG)o&@E-6SR3drC-Ls6J%vZf4u1R7 z>Yo+0AS0yVGskLNgR|nmHJI)dmdEUMtUkE#QqQN2eb*s&1#R>VW2Ly9KN|#N$^PKY zd%YllnJi~5MOZWLRN^2UoU_;h`vOT&kiexQ-)UG!u!aHho@qCiAq0h~@zkGK{jr_) z(L}rHTZ&~FcP{tz-EW`uzTmKQ$NP#GCT69O&uvI~xu>jr*J3U3+Ua{daUGkLxVI;7 z+BFiM8Gfkpa3wtDZrb|Qt7*7%SI0Y8I!{4GYU}$fv}d(oe-KZ+YRC?QA&iZ{0;>=n zXC@L4k0vC+9-0{kkZu;AeeHcL=eO2rL6wEGCkN52{<*6`WNTbM7k}m`J2pY1^*&!d zjOQa*a6u8HGdftCyKIbRb4FmlzX`WiqGv0=V}+Z-{JvvXj^Xjdk5ROvV}vgf5|hRg zF5aB?6!sB|h`UGRqxZ?(a}o5EH^>^m+0(quO76dWB&xFNnGu>9Fydbj1V>(-PmbUa z{673XDNXJ1@z`zM(aCT+L%uU^zUbv<9=**PsO0t?LxR@>)==|&NQ~^O!@zON@uRnS zQ7#}d$Hhj#{W4Te?4h}`NHP+}xxn3cDh+U^O~q>h*DXH#WGH8kw47EPP8}BwYJ&#Y z5>!nHhovhZ_wmk9@mL;sT=5d&-gYg-PrQ*lUC{kLX1UA=VbyIkiS4fB{RsX>98^F8 zVDzOoed~tloQGSdl!n4<%3$f2)k(9>8?6lKRzlXzUun+IPO|R_CRXw^p-c=l zr5e+i8#+s*m+2mDlO55yU)|U`|HgmW3)vxxLYB%ZsT9bLm#dT|cb%Avy^Uq>;nX=b+$hKYSJk=u zM*l2jAFixV%RVo5cr?A@m%JyEHPNuMfA>{Y>oUK*@#b{TB|MgYv!7H7BkxVCV3gW> z?)DpQyhk~|RC#iWW+;cdX4j6LDpz81x{Gq2b{{*A`t3TeOs}feonvlT`Rpa$#|%tz z-ZZ-tn2&iUQ$N|{Ja(KpABh0kNS#Bu!Dm5B9g9FY28zveBRV1uEDhG#!oP^v0t(@P ziBX&>4%^UlE6TvDQi{vuW`?Jr&d&{elA&^0LFwG5e-(TpJ8`HKwt9`hkacy@+N!-S zF0d`PeGlHiVtmTqtj&_DF}AihUUjTfY!@TrmS@zH`HJkQY-0e^ck%G~FAwNd7(+>& zAPy|y$ZX68Mz|?Ap8KEI(M|CbRPh+#Q8&gg!Mxasl;9?PXm*J|u62rZ`H~&Qm=tu` zD93mhz2Rc^z<7xyg%#jyphm!_;z1bJ6U>DG%tAyA@p3M%9%;mt%Cp-*6`TzzB^hRf zd#n^_kw{7j23VOSZ2SbXe>|npOb!bTfIBQ*L35OU6av;kikg#ya(?y_J&DZY!Bo!( zVv*XU1fE#dT%8CWxx{Ewo{@(b$}1Na5xAHZQv&z+FXBbwC(4Z=w;JWAI8Veots{+u zj@nKsB^0>4xIk>Bt#d9GRvhTGco0biruYoMyJc%(Bl=;t0jYWArWCVvXie+1tz2L>pETF|#TysfEO6oG9 z9w)1z6e$FLik!DR)+SWs49XBEB1rF9#0wZ(0ISqelM0w5p%4_ zpvHNGO9I~%H~@8{TFD^HW8yV4q(1O_FE$M>PZy1^?CdV)jM5^)9gbG1T#cD4C?#@n zP_Pc;om~Jd&^p2}diIZ}OL7RJZpt5MymNu4TD~6HcK%A}Xs; zmNldXy8){BKtqi{>1quf>W1bgcxum@DT++zL?4kJOaG@5{6?s5@@2Vmhu1P>-ednv z{6>GznRI^~4|tDxZ*&H{&v@6w9+*+jn9Vo5>-dewC=e(9ZorI}!;RQR{-HTPy$YwW zgV$_dVEG@jHzsk8*b1C#Se^zuW`=KlTD3I1OxI*h`Xwo3u;I)SVJ-!x_6~c8*Bx^9 z9PymEZ_YdH6-CxKj*Fgm@m_w%M6dBFI0Z@s_#F_H1%GJD`LK&!Tjz(iCTcB6?2QU3 zA`>DIA7nfb8J0XiUY`OtZN?Yf53%fk_7{=s&f&Z^yb%>Pyg2g0~N zs;7tgh|a)DWQLwGA}ZT{AkiscSmGtv8j)SMhqgG+O%DQ_rw@w{e9(!()dh`JzcG2uy$W?)X~xsf+w5}pLdUq7TroY&jJ(c z^~2$wLKuz{l*G0*N+iU=&YD-R zjqRji#&=p{HESn*cH9{?D`)_OGlIw(IVIepe;=v>3weU5@(DMHD~y#P;TCdbokTkb z5xn@i2#k5?EiaP8fez!~pwft`NYefUw~Yd2tRg3lU7T~=&nOP6wiBld4yqsq3v1`a z(b#p4b=}3*b#H<@-O!-5oS?ZPmg;|YTV{Ui(mM87;jcyDsSJKtno ziW{6l@I4t8xoZ*_dNZFQm_UZzUCeF5NLcdb_wU8|;qd-pgTr-sdKOMWIOQgqp2jcQ zVUgK-hqxs6lwm~CFBSos0uCvnjOYpYIdX6h2uAj;Z&F?Qp*lBYf~1lLX(D;)Db^DC zwew=cyv4?xmR0Mw$F9@7WK)H-6tkcdwgU_n>e76mw$prhjQ zw0!xg7gHW3L3WOrx57CDg>r!|#_U%?`k>XHk1nPgkYU`hcJ|vbKmFJCMNEUk83-l} zwFz8-9HbR<(Hfa_I_D=;a&T4-OKK+8pjj1(t~j^;w>rErh?Bc0IdZC#L`e}nCM9G1 zI!`qm@7MD<+4j<7t(Z76c^}7_(_uqgT=&G35tv5JXiU{~NL=QA!i5}HQrqT&E}B`` zS|6%K6d?}v#iiabO@^lff8qG-XIWJOKFUzzwKR@*ho3tAadqri0NV9h?MGs(f2U0^ zM3T}xjz5O#O>_|Oa6wu1Gx(9|dV^m&7V~l>C#=KP1h$1k9~~8k<1~_+R3Sp540LV$ z`fDRXql(TP)RxZ&S{}KIK^^Dg9(<8_(+2z)2J&$IF_+~H`yQ=&#tjoY#sLG9sIBOq zL!eMDuDytW9E&%?pGrNJ?-txcwQ>n5%)Sd#LA-mKS?m$xy&1}t&QyG{9+e3i|9yIq zzvys<8n(iA&>q;>vAUBLrEw`fvI`c30+AiQ3OTpJe9Ep}|V5l zH3soz@|rwPe=k32`!mf)SM?*7WhkD{`^d;8%p=jo=|t+HaCyaPx8f*S}Jg)jp? zvGeGkA}snqF<16SnD47IJei;xLiX&&U)=$&kwXoY%W-0}PDMM-B9p0KAHgl9B*7Y; zbLz}u*NIJvY^Z@wlQ)tN1Cpl^SIArDe$kmxM(XU&!Cue?s#?%Yux`2$>=7#x{^&MI zipq&qic+%KL4#xy$&h(e#Ex{~QcM-mI3hzTG@K^0;G81zl&BQxVNwfS?0CxIIdiZn z?%!8YuCptZCoGCY5+_h1OAdrSr$Ph)n({?bpqXom;Q-_8FzRKd*+Dhy_Fq=r0mvtU zSk-G-`iGXk&xu~WmK84JNfcMakz_l}a7Ro7oULJPi0K-^PE`>ZQEYt09CTI*kmn34 zlB`3~S3CCXvaLC*_*S;Oanh93NG^5-79b(k&!C#vIV6r~~ns1#5l z5y4f|b5c^4Am@UR`Dr(Z%7ne3m4q5ak|3qkpgLQFQC3N)&QlqMWd2gvrx_lgGgkBsbID$|8dv-aOp9TK;gI?26Dt~ZO0j?y z3tQ{96E}eZUzEZIa|<%}^ppnPLco(FF)$U5C8!v*wu;d|FX@5`}HNN0dFzRk_WFm1tq$-=Qu%-Z#m6PBA)`h%I z&PF5#-7AE~>0x%`cCBqW2HZ++l*ecUQzC^k0Y|~0$qo*}r(`fTVDyDk%sbV(GQB|b z;Dmg2@Z%!zO6E6;A#UJ>G>h_J<#CtuAv0@3g-tRC~%W%L>PFkxC`|1x+7%@hI0UDP2 ztG^}*)Ut+Ya-{ibjwra|v$Mz!6G=X9ny!xWY7hgF2pU#0QmG>9>l}}Kk_9)^O!Ux! zq9@lqw|MpalpbzQLbOObI=x+7lwq7ATo!T#5Ajs9Dd;d&+!m%A{@|V%?mPL`Z1^0 zEmxVqc|kHA1!PMi4eP*C1Rl8{n5hb>hG@t~-8cRM@vZ5h&Dx1t8C)xPWWeMzf}8;n zjC`9-+P%Z7INU)Tu-oT+*cpjgo#e2*lofn&Wg-Z@U^Li@5eUoj)nAD#7vb-4D*V}@ zGf>$dY;}kB2PJ2V8!)TLgbCa`ROS+X)~KS!BoQ)!)-xaewkgACpoT$!)sQeX-d~MJ z;!El_d)+FCB}Ei(V1AUDU52Qly^W6P3|$@D;c;x!tK+L{Wl#Zco~#fO`I=LG8&46S z?&KZKRvq4g=oxm$m34Mev#6Z-{Y zVBce%{Ma@A94n^^1iDP#{jwS26Ie#WJCM?TxK#^$`nQxfImyJY4gHE6-{?K)?wlwG zo73PbjQnEqOyfA!iwnwZ@m1ndhxabK`62owbE zyZ!GeKk5u)Yh&jR)dVdRw7@`%wzwa^4%b3q9M%wbV9L@++Lq%(iuGXSR?Rt#t$Iyu z_CrpHA$DTuTJ+6euy*&*MT4>3bSGd_ITwZ7*q?^ABI8>yJ=|P>-$hVO_U2%WSA>8S zWAFxNAzlCktRc)XGGgZ{nuQhuOIj>;pPf7|mj^bVgej*u|Lw$j(68YcA)rE-4aTu> z8t+TOQ3w9H&*^tB8bk%67y;d8kF#E->RuF0xHvpyc~U`{>sZ}G!Y2&0V9PaozR-2F z?r0tx0O*O3-dlY3>3_8POmwQ;OJJ1FT*E)Bq3*#Qf_==IL^WYwW%9(fPxJ-EmDtWG z{|ZKtC6B}9RhZyzFn%I*tZk?TN(oxj!A^!4i>QON#%Q%e`ZzcmWz*1xFqLE9BdL~I z=aEznKI=u1qiQg@3~)`b3M`bB2~}n=JY)N8z}P{r9;!i%QJ_!sS!Ab*Xk|Is?s#in zrQ#H4p=t|xg%^<~s-Q?@>0z+r-@Y9|go^+?_=m^!0UEY@jgDTM4RNm8F0$eHD5Fe> zqpWhRalRl~CdwXdgGBD1*q|o&Xt0YiVpFoh$0%JMDzO6@C>D7i2CuzT{Z<9wi-G|C zEXaE+Mb2EKmn_xpF^qAS)_c{6CEW(D=(0Qwx+<9$GTU|>`Ne!pckds3UVB6S%2eS-E zu9L$L0fA0ekl(E82}+=fpdWWfri3(mrrPPzd~iAEj*rUBoTXU{pfR5uRaJ-m-NX z^9Et7wE#md;VY86!%;1e`$aOJJy!{6D znO)d6_y2Do9X5nX{{%8MkJR`M`6j%)#Os}=ZPs)pK|ZUk;Aun|1WTrs!H z67X6uW8*wUVgh@-ytTj5XET@K5U|QpY|F(}fDK>;Xc_2xXksO#2iz3W&t=B-Kl|}d z8LVdYjjC#FO0<%Q=o$0`Gf{zZ6CsABZL<*>0tu0XvJ zB4RDx94l3h}bxJlYRr+;52#A(SkHZ#UwEh5hYIOP9=;fo-w*M>h_2o z=ynk5Z7)FpIvdc9jPi}_bN*Jq5&yKQD#q2KgC{x2KzuQDVsJ18LT_U6DK8Ohj_h0g z*c^>pUJH>l+b%L|{8z2GC0kJk$YZ`S%P3w_mPACJ0E{2Z2>s3Y1mKcVJQd5ZW56r3 zpa4Ndifw8nFrWT5080Y|LIkBKg|bpprdAM;e1{_gXqZW!Goswgp?^71X^X3FnLUI)^ms0>R|x_3@UYAylBy+ zhR*uYK|qz-4J;FFVF6yp#<$~LfN7z})o#oF9 z!UUbf@XNjFGmR11K7+Yvre&d(!m*krynO)j%DmR40260Tt=>13>X2L~cA z_z`f?Y1%gZEdy^78xKFMdy;i+lu=UqK}!>H<{_z$N9eQoFzmUI$s2eO+h$*&6mV(w4nGI#hdT>gN&v51RC10=OQ9EBFQOfS|#Qa z@x3u@fOG3W#$=~EuCk|uh&nrmkYEJlJi`FssA+|SeOL|uK`C#}>7R7@q}~vrVU^vk zeWbr~$xJPHI9hw{bf5FZAoeRb&#UzK;{X;cGUHPw;`NLM$N6%Es*u4zX;yzd5ygdJ{7^ftTPTx*-x$e-k2 znfE103#6g%cim+jL6!~J%(fSUN>YjKa2FHg*5KcgGzsLa1jS7}Q8zO}DFo zT8Fk)SomjFv~Yx-0I=keBqRivXjxt)Gl}Ekd)O&r^1InB@)azTp5l=z< z>LtI3PfNN$*g3dfP(;mW8@Bazy?>{C+phGp;N4oAXQe}(!#av$wJvI zl3{t@WO*Cgoki6_Y$uw2GV!9xPhh?&VaC|r%_l-|xW3Gnw$DU-@-cllY<%nyxGu^D zQ`6mWJgD%6W1&zg4ij3I>Kv_MSpaU1ODxQ`aELvUsq(~Bz+#Hv4u58i=sv~fz_TH1 zQD%V_N<^!Ji{zjB9*H4|8_6T7Y?RrnTuex5JZf$t5dne8n2gifeZk-;W%|?j8d$)= zb}RF(J%SA^daJ62*sxmTw_aw!S1c9M4=6ALAM3M>+cE;oAhpt&-4pEla($m13&qOh zr%9Q_;Qb}*P;GW7I5jv#NXJH-@CQ7@?0RE@H(19}rcl|>@*@aZ7(DSgHngEQ95}*2 zhBM?o!-5v@I5|Tex}gTm<~%VM%xM#I!6xss$u{@QtYhZllc5A&!r$aS$y%n#wd`Me z=4SIYr4XZ=*+EvH5rOGPzk&nEB}P|nq0={NW`zQ9JiSA+>=DZw5I4Mgg}%o$xm7_ zl{l1p{bZ*Jbt;PZ%Ro|B47 zjQLC^sQ~DIC;(=D35`N*#$aMQ+95(A8mK{~_!YHb>ngX7=bX8qjo3lBO0kG=^n}0( zf=!#gjkyy85;2jf7+e9~93^g)Wd{mkT_&*siXEzY1UsH(JAj2+ zn3|x_sE9=eRp6S)-8ESaio>x@bfbp;X=+d#c8^D(olRz$)!LTkQ#hhr)H&Mg`Rs8TbVtO!n1nU;D%0I^Li08!S2 zTm~BDvN49cjaNUeEM|OZ<;{K#DBU9F(m<6xj#{VoWS5PFP>qb-6p(!kfvj70vq#tb z0lugX^G6FbqkToeMTweR{~VftGxcdzimPnulRD)Z@4iQw=Ih@C+C<;L&F%#>9{9`y zfimWNK>>$11PT&V4GFS~wr|}BMDu8(H-FiDTFDs!GLk|g;uh|Hpp}o`2z{WIv?Vl8 zGuWxF=Fv2_T8II=RsJ(?<+Y+68foFdR%RKKz~gn>f-8GH2zbj!j?;y@n_~ECyzh5p zrGnwo1L^=9qfUoZ5_~#QPW+uE8Sjh&6PgdUa0W{ZpT_n7ElbbZXTG@>&{bnLA2mM< zcg&@!O@=RQOwPt%E7-qzEWsD7ltSdg_T8B4(qy&NwF^BPBv=hi)1hYSR`b`IpA;VPTF``2nHEgNt==ZEpXQ5;lf<1uG``3 znxjdFR7e7CK85zGF90$(_-Z`HJ581!=#8pkB=pR|Wy*;Gt~B*|@Y3Y-fZ_~MK$?YUV#?q*V^-+ftPu(4p8X*MW=XYSB!i}DWh8#0 zOd5G~66uz}nIBHr)1b*M;9+<>XZ`uDB^mRXAP{>s5mt!CM0uRQkRE`O6spiZ)ivr5 zbOhbyS0@!!LlGTZhS8(OpQwT!l{2qy3#M3JXn42$9m*z$Da9DQy3Y~7d$0(_fCQrJ zc*wl8io~50ts#L+cItunQ3Xa~?w8$ggJ6Wo;Ch136TOVh-J=mX(-_`10cBxv^MpJFI2ex$6v)g6nS2GfhsFpXLhq+AUkznaPndf5rL^mnwx-n z>U}oQ7JS7iriqR@Ca9T-L`UXc_8~0bH}UgCDBQ-#B1AAVf>bbdQJFyOhk{Ygnz?>T zL<}ijbGgkz2g$(9alX>z12DZn`6%_MGnC8zA&9yQ!Qn)PA9XT9i#m;;_`KSR zP;I`6cr(A|)WFDC2F~g4qjkg&Cd^SpZL$;DR0l9GX_A+TZAeMZ%wBY5G-9?X7(jE~ zYB~+7mi3eWdsHw6D<&g6n&dQB&D^QxtWTyudahBG%ey~Q;y7* z61le?@nGT*c-Q!S&iIy*kMc$z81`_5=ORU8Q-R}@-FQQ#WhlZo3ud1!gV4y*x{cr( z$q~uK!^Tb|nJ1C@;o><^(8NN7E$8Pzp+yT!<413$S!m*JDO&oE-rtap=1VAGSRrTTM{^XBC}$f&$fPAMKrHV zHYci@_23WAtCG!o3qMiCPR$j|NHL&{_QgXbyKelN3mF%kHE#O5Mb|wqvU!N^SsHI| zRjxxGVqh}H!c1phL*+2&m3pH0vU43knIuDyZa0^tH(TeCPaE3`p7+sN0{=?84^c3eV`j|d?!}owHoJZH=KMmwE(0ZKn6cc)CS1sD6pQvt zbN=SHXEJgfDqj0jQgYG^P6l=1iKS--_g}kkzU-|1;f3=^?YD5*{?r3sT-5ySw_43%$j9`8V$xtjImM`${xG!LA84I7 z{_LE5t;-Go2_{w5P8!DV$~jI8k-~V&SPey{bg1m354W5@CqXM=47&-gC0JEj6l zGU@W(#}~USvn=@Cu+R7g4-Ubip4f~!GEnBb8Tx0E+rBov9sl*RBg3efJdY2)JL5~s z(kaelTl(+~U+l==20epf_CSK;t>wle1tL-o4Ym}Vu6O)ETMul@fD;dlnqT}z#*^2C z(NU+;kE{KRF1!NDH@8+`$ zNH(!v@`Ppma!2(xkSA4tMdMYaS*SYROn4#SpYOV}#m#)HT*xOW77@eB1-h&h%X{Lx za#PEbsVOX;eRCJz815ia->lx>OJ(BF?hoiGO_Q?6O1y5un*eKw2NY z7`a|E?o-Yn`ogVF(48G$RKT7BKjkr{)o^rL3+_^4d5KXgzPn7AJkG0mHz@056BS|a-chd&? z&-{11p;&yY{1y9~PKm0b=8G&n;XmoC$LFjQn4K=UG)q-YDI}>$+R+ zN!)U}Cn6e$;{t^Fvp27MX{iI}f>l8Km}k}D=?(&DN&m^|L)oh~~;XYD@s&m zo_c#n^+FkuPJCL2D+tD%z)z;+PF+z`JuqMQgS{tI2Nu}MVgUs46@lF(d)5I6kk za$jScy(4huQ=-~B6nbNJ9Mz^3rkpH&;d{r1C6Uflb`fb4MH;(=e(X<5NdSV{kjp>B znpsgan>AekM?#BEw|=CPQn`>d8aBXycZkp$o60AF&0B*g%~dXg@o3IT29%8jF5yaLwI`(HHM7r{h{%iE3F6b3iAm? zaP&U3jR?zSSpTGaaDe&k@=W7i7)qsyxjP)D>e;BRP8UG03>nKhda-1(8N-Ljbj9L*WuyzH+do40aW z*4Z7s8XDoyd>^>p{KL88_YFHPKv;a%_~`YE6Bb|55G5N1q_a?SUiIw^CpP=H0=CDo zrn5Dp03@^QAbL-=Fv7bJctzw9d(UuCBjTMm zRwld&+b;|{{>Yb|x#7fEL%cF_!CL7K`I*-(_3gDINjw0t?UVuX5Bfn|;H@ccLN)oN zI8(76&Cxj5Ir_3$gjOqPJ-2nhFQ|-t$$GuYkatk>PDqw?K(=1(@$_g%KTZ?4P&ZJs z8o1Vaq?>^Ad(=@=nfH&h*LLywZ~XG&>!nwE+pJAkxtYd2lLQ*E1rs zHS68HIW$OkBT{v{pC;MgfOf(~e0LY458l&Tx1}{#eJ}%9@2N5IqAJ!E!6hZsZx)5~ z=Bh+*)I?q8Np9xdd}&ax+9 zX$E{_-H^~-OKH4kb}4{G*C-=wA*I%mVPIgF0$zcYDdUT>E?hJQ{(9lUoV7IKh2&Jg z5TPKd?gzT<)o$j?OgLsq8nd5kCUyR)+h`8jub4_}UjRU&Q6aKXFEa3po|}hz`dz(b zwAMRlvwP7`KNdr`PTXbj5^ZHPo;39Ku?!6m?vS!2OC>HTUx}k%W_GBo~N5AxNx;BFENW>8d;vY zJf#75nS+b~J1(=C8mIE%jY*7>R|q_&?SK+H!}2&xV2im+PL}OwvsVj6po~o=MdXJiIw}IKJIlsL@ zKJ#dmaWKMl1Cb^PAS zCDJe2L3K0`jykCOt(X5&EbO=n=udsB>zv49Jyuq;duY_9e3S2{C^G%JURI zH2u48+xUvM*y#(h_f>}g>{q=SO0MF7zUJu3;!o{ZSb4P^W-B0P`JIj_jh9t=OJ*^` z!a?Wh+5em!A6}Gy;YsUoCIc5&P4Idh@9Ma8ZSfOrLm4us2fW5ZU*yTf%I6|byrb8& zKnp7YYcz|Sx3 z4}^42H2Zq`8dX?ELum#AltEsGM5!fNA9!W9wryFCWeH#?St5kH9y>n1)EMs2L_!cK zr4}et-_BrfeRhRGrOCmapKhXAZ`JkQw=KnPNkv36#`=tg^{k>jTI2G2df98hMgps{ zw1ps>Rz`R0fvndU_`jFnwEPli1_WPlcTd}wMGpVgIlf>XY_poWHc*@VGk<^4$@|~G z=(58FFf=KMhH93x*Ku=;pxuu(YARnJy$Z&)#xdekqK_*RX=% zG-o9YD6s6zA9^yf-@juqgk@J$Rka4qdIBJ6FDyo?vwGim`LXZyks%utfIkeP$)Bl?D4k?7_Jx%cD54>3R05qC6K{`I6 z_alS0i4)Kpx}#(Y*vyfPl1=X>5R;l}1=oA*jX2}JLH{KKB^_qyGQmdN1w@oL9qD<}$*#HObRGy+Jj z?5;gJV(R$LUtdA?pb<$x(3k6~rrh{Zu2+o@e^j!*_tEw|VCSp1>>);^Cw^k3>5FCs zg`Q~`y{xZ$i!?@VURKPa1mUB}B#};sW(cw~t5ZvZ;=L0~+N+hl^^2w@{~eblfnu=d zED>3|9E~5oW6_-s3VPi@B9}P<&E{|Wb4FJPlyb}Ote{o50WuIu-I24bKh>)~mmZ5+ zaVat#c~H`i0OH1$!}ys%zG7?)=pittG=J0_Yme+>F!)mrh@&FSknw z?4E~-!O1W~$Lg6ivY)$VCATI9A?n&Z7!9P|kH&XRcfYAS##(A-0g&j|1K!yWuY{e@ zL2DJnjO!LKZI;sh^VFw$u~L>(0J3HkV%b?cjD_DwTulPsxY?l(T( zF?k_T(-o!p8YrHrS@nf1?PvEsv4Xj2aB0@Cj*<_=ZqiB)oYN;(kS&-Fk}4UNvLlp$ zSr>GJ3eW?)?5TF7JDdZ?*oYE-LXsaPbv}%o`G=``?_L6m`k=~5{xz>mT4$)F7SC;5 ze?FFj?1B@xus@{GCxk8^*D0^Za`?o+tGN>)^4}v)1`oG zpA`~7FS{~;=W{GMx#iSi;lAXyxiq*Lm>O+KGU|S(oJwolv~ugri(ssr%y#Mqjr2D^ zwK{jb+ z!mg_@NS^w~?|2?9yZB`M<*9SbF>HT0zHus>blCcxPgcKnTjetEJD;5V+U++o$#BQa z4I}q4=kQ~{`I-CLVvcy`K6ick48N-bGTZ@3hh0G*dHqEz2~*fwJx`-tNgdGi$}Sw) z_)o0ExljkQ{Ik7b$(1T!WgrhA$SD*?vbhI}xBll9yYylCvIN*1@~jGMx1+J+&%51f zrW+Mp!?}@!=_ilG2W&}YjTmaeKsI%Hj)~hB}GvkG(m^5<6k=5$V=aKaW0=WOf0)HOq?ca#X{ms(b-+W@_IqlVQ z_J!u?)t0+rzJGVbR;dPC)_DapOIr+kRQ7@AR?@129#1d1^~j0*l9V2oE9us+J<{Xh z^St$fPIsOJvtFRs3l!@>fq!?Y*a3%*NzZG<(1c!4&oA!SZeLI0^SZ6So(gq*1_}Hh zSA+hhV*Y}9e!+G#>d1L*i9Neo=bd}no?dd=_~)-JN$GXDf_~We+5e;0)8}`ux3&{5 z0k#rm-~ZH-2RdE0yKj2|eC`bwNN`5@vUmKq6{4VoX{p`mjr|aJLSJdOzH*uE&^7No zj3_^Uy6EJ>DdyXb))7d^SQ$8#NTb>NNXPc2uTKkHbhWr>l!>E~CDb6WOTmxOON z{ks&qa`ON3h?#hj(|^2*UcQ7_#V*~_y5)1rI{A`EmtXb*cA+@dvYvWq%?eYr%P{NS zah*Fq^c~FcGxhonI?H$FR~2FZwT;V=TOna*-WuzYrSS*%ce&Fw8ED;(d#_u?#sG^S z?heg6@b~BIEFAAvO(Iy{+GPvW#ptwObw|yjqU||XaSm!-k$ZFBOH)9chmX81D}Z~) z&p)#N+rNJ3D+T9&GoAI(xi5VFKXTmsE4>9}`8@8%F1T|K+9L;e?AB zy|)&B@8@#oD`XdgZ@Vg<`Q=q1)~*`2J-+1hk;n5(QhHuSS>Q*PJUe!u_mJ6rEV=wA z&$~IhphbxM1O4Z%m;HNShAe(dtqay)M{)-x`|E&`J@PGqn0I>Md{1u!T3T^&yAlx)wQ7Wy-_^(y{FDMx?LL^qe6W>j`-(u(93y^^}Xoq zHNO0Nz3!jiz2~z)|BtCiTWIO4i&$U5lxQ%!d@2*eN_SL=l=O`I&;C-lX@XJN7Cs+X${{x zlXNhSS#Z0`rJ|ZYUuD)tzj+b(c|36*SpmLJidaVt`#j)u%o?5h`QiV_j`V+z-7C{S zxnSlnZ*%;u3+2W&&eA$OePIsgA3k_t013*47Iqg5v*$fx#cW}2w}6%fLTEliKKWoF zsqO6SSliS?x$VZ+bNZaO;+{Y4U`q(ju9Njv<4u=lna$S5JpzH+L2@20xo%#5k(Faf z=UeBKYG#KT&IiutnMHZqE1WH+eg7XW%J2sEFy%LDk-)}>0^$&H)`Fu48&u_kLtV``$$&E`VTBoe~oImYyvSMRi+xdOvUBLBD zR$~UrGLi?eY}%E1Occ5tUnSmzoacAG6DDNl9Z!~3rel|MOGiD=I``R9p2Sk20=Fvv zrpJ&V_ZnAsA&NR%kFAAyIktV!Mw$4KY zS`3#5?U_P7JYKfa#N0kQrrlFMI2)$r`<(IF*{N#f6}f#$GIq80?y+{}um7jte?#OK zN;%%bTHqa3O{n~shKfu1I(t6|=Vb>aP|l)c6^e#S=3Ac1N1{qpPWj1Z;x$(yGFeu$ z+VdeNQGv{QLt$MnbFM0%j*<$Wsw#1|E}9FcN51_0G+1BIH`HY3b$m0WVqpJ$J#5{|{eIjKTl_ diff --git a/Arcade_MiST/Bagman Hardware/Squash_MiST/Squash.qsf b/Arcade_MiST/Bagman Hardware/Squash_MiST/Squash.qsf index a3560a72..52b577e6 100644 --- a/Arcade_MiST/Bagman Hardware/Squash_MiST/Squash.qsf +++ b/Arcade_MiST/Bagman Hardware/Squash_MiST/Squash.qsf @@ -49,7 +49,6 @@ set_global_assignment -name VHDL_FILE rtl/squash.vhd set_global_assignment -name VHDL_FILE rtl/ym_2149_linmix.vhd set_global_assignment -name VHDL_FILE rtl/video_gen.vhd set_global_assignment -name VHDL_FILE rtl/gen_ram.vhd -set_global_assignment -name SYSTEMVERILOG_FILE rtl/joy2quad.sv set_global_assignment -name VHDL_FILE rtl/rom/squash_tile_bit1.vhd set_global_assignment -name VHDL_FILE rtl/rom/squash_tile_bit0.vhd set_global_assignment -name VHDL_FILE rtl/rom/squash_program.vhd @@ -157,10 +156,10 @@ set_global_assignment -name OUTPUT_IO_TIMING_FAR_END_VMEAS "HALF SIGNAL SWING" - set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top - set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top # end DESIGN_PARTITION(Top) # ------------------------- # end ENTITY(Squash_MiST) -# ----------------------- \ No newline at end of file +# ----------------------- +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file diff --git a/Arcade_MiST/Bagman Hardware/Squash_MiST/rtl/joy2quad.sv b/Arcade_MiST/Bagman Hardware/Squash_MiST/rtl/joy2quad.sv deleted file mode 100644 index 7ed654c8..00000000 --- a/Arcade_MiST/Bagman Hardware/Squash_MiST/rtl/joy2quad.sv +++ /dev/null @@ -1,100 +0,0 @@ -//============================================================================ -// joy2quad -// -// Take in digital joystick buttons, and try to estimate a quadrature encoder -// -// -// This makes an offset wave pattern for each keyboard stroke. It might -// be a good extension to change the size of the wave based on how long the joystick -// is held down. -// -// Copyright (c) 2019 Alan Steremberg - alanswx -// -// -//============================================================================ -// digital joystick button to quadrature encoder - -module joy2quad -( - input CLK, - input [11:0] clkdiv, - - input rightc, - input leftc, - - output reg [1:0] steer -); - - -reg [3:0] state = 0; - -always @(posedge CLK) begin - reg [11:0] count = 0; - if (count >0) - begin - count=count-1; - end - else - begin - count=clkdiv; - casex(state) - 4'b0000: - begin - steer=2'b00; - if (leftc==1) - begin - state=4'b0001; - end - if (rightc==1) - begin - state=4'b0101; - end - - end - 4'b0001: - begin - steer=2'b00; - state=4'b0010; - end - 4'b0010: - begin - steer=2'b01; - state=3'b0011; - end - 4'b0011: - begin - steer=2'b11; - state=4'b0100; - end - 4'b0100: - begin - steer=2'b10; - state=4'b000; - end - 4'b0101: - begin - steer=2'b00; - state=4'b0110; - end - 4'b0110: - begin - steer=2'b10; - state=4'b0111; - end - 4'b0111: - begin - steer=2'b11; - state=4'b1000; - end - 4'b1000: - begin - steer=2'b01; - state=4'b0000; - - end - - endcase - end -end - -endmodule \ No newline at end of file diff --git a/Arcade_MiST/Bagman Hardware/Squash_MiST/rtl/squash.vhd b/Arcade_MiST/Bagman Hardware/Squash_MiST/rtl/squash.vhd index 933c04a1..91865be8 100644 --- a/Arcade_MiST/Bagman Hardware/Squash_MiST/rtl/squash.vhd +++ b/Arcade_MiST/Bagman Hardware/Squash_MiST/rtl/squash.vhd @@ -155,16 +155,6 @@ signal vsync_o : std_logic; signal dail1 : std_logic_vector(1 downto 0); signal dail2 : std_logic_vector(1 downto 0); -COMPONENT joy2quad - PORT - ( - CLK : IN STD_LOGIC; - clkdiv : IN STD_LOGIC_VECTOR(11 DOWNTO 0); - rightc : IN STD_LOGIC; - leftc : IN STD_LOGIC; - steer : OUT STD_LOGIC_VECTOR(1 DOWNTO 0) - ); -END COMPONENT; begin @@ -229,23 +219,29 @@ video_s <= video_i; ------------------ -- player controls ------------------ -dailP1 : joy2quad -port map ( - CLK => clock_12, - clkdiv => X"265", - rightc => up1, - leftc => down1, - steer => dail1 - ); - -dailP2 : joy2quad -port map ( - CLK => clock_12, - clkdiv => X"265", - rightc => up2, - leftc => down2, - steer => dail2 - ); +process (up1,down1,clock_1mhz) begin + if dail1 /= "11" then + dail1 <= "11"; + elsif up1 = '1' then + dail1 <= "01"; + elsif down1 = '1' then + dail1 <= "10"; + else + dail1<="11"; + end if; +end process; + +process (up2,down2,clock_1mhz) begin + if dail2 /= "11" then + dail2 <= "11"; + elsif up2 = '1' then + dail2 <= "01"; + elsif down2 = '1' then + dail2 <= "10"; + else + dail2<="11"; + end if; +end process; player1 <= not(fire1 & dail1 & right1 & left1 & start1 & '0' & coin1); player2 <= not(fire2 & dail2 & right2 & left2 & start2 & "00");