diff --git a/Arcade_MiST/Midway MCR Scroll/SpyHunter_MiST/README.txt b/Arcade_MiST/Midway MCR Scroll/SpyHunter_MiST/README.txt index 73af4758..f51adaf1 100644 --- a/Arcade_MiST/Midway MCR Scroll/SpyHunter_MiST/README.txt +++ b/Arcade_MiST/Midway MCR Scroll/SpyHunter_MiST/README.txt @@ -3,8 +3,10 @@ -- Arcade: Spy Hunter port to MiST by Gehstock -- 16 November 2019 -- - -SHUNTER.ROM is required at the root of the SD-Card. +-- Usage: +-- Create ROM file from MRA file and MAME spyhunt.zip file using the MRA utility +-- Copy the ROM and the RBF to the SD Card +-- MRA utility: https://github.com/sebdel/mra-tools-c Controls Joy Keyboard @@ -14,9 +16,9 @@ left left : Left right right : Right ESC : Coin start TAB,LShift : VAN -A Space : Gun +A LCtrl : Gun B LAlt : Missle -C LCtrl : Shift +C SPACE : Shift X Z : Oil Y X : Smoke diff --git a/Arcade_MiST/Midway MCR Scroll/SpyHunter_MiST/SpyHunter.qsf b/Arcade_MiST/Midway MCR Scroll/SpyHunter_MiST/SpyHunter.qsf index 05cb1ce1..e49607b6 100644 --- a/Arcade_MiST/Midway MCR Scroll/SpyHunter_MiST/SpyHunter.qsf +++ b/Arcade_MiST/Midway MCR Scroll/SpyHunter_MiST/SpyHunter.qsf @@ -229,10 +229,8 @@ set_global_assignment -name VHDL_FILE rtl/spy_hunter_sound_board.vhd set_global_assignment -name VHDL_FILE rtl/spy_hunter_control.vhd set_global_assignment -name VHDL_FILE rtl/YM2149_linmix_sep.vhd set_global_assignment -name VHDL_FILE rtl/gen_ram.vhd +set_global_assignment -name VHDL_FILE rtl/dpram.vhd set_global_assignment -name VHDL_FILE rtl/cmos_ram.vhd -set_global_assignment -name VHDL_FILE rtl/rom/spy_hunter_bg_bits_2.vhd -set_global_assignment -name VHDL_FILE rtl/rom/spy_hunter_bg_bits_1.vhd -set_global_assignment -name VHDL_FILE rtl/rom/spy_hunter_ch_bits.vhd set_global_assignment -name VHDL_FILE rtl/rom/midssio_82s123.vhd set_global_assignment -name SYSTEMVERILOG_FILE rtl/sdram.sv set_global_assignment -name VHDL_FILE rtl/pll_mist.vhd @@ -242,5 +240,4 @@ set_global_assignment -name VHDL_FILE ../../../common/IO/pia6821.vhd set_global_assignment -name QIP_FILE ../../../common/CPU/68000/FX68k/fx68k.qip set_global_assignment -name QIP_FILE ../../../common/CPU/T80/T80.qip set_global_assignment -name QIP_FILE ../../../common/mist/mist.qip -set_global_assignment -name SIGNALTAP_FILE output_files/csd.stp set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file diff --git a/Arcade_MiST/Midway MCR Scroll/SpyHunter_MiST/meta/Spy Hunter.mra b/Arcade_MiST/Midway MCR Scroll/SpyHunter_MiST/meta/Spy Hunter.mra new file mode 100644 index 00000000..ee885b78 --- /dev/null +++ b/Arcade_MiST/Midway MCR Scroll/SpyHunter_MiST/meta/Spy Hunter.mra @@ -0,0 +1,38 @@ + + Spy Hunter + 0216 + 201912310000 + Bally Midway + spyhunt + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/Arcade_MiST/Midway MCR Scroll/SpyHunter_MiST/rtl/SpyHunter_MiST.sv b/Arcade_MiST/Midway MCR Scroll/SpyHunter_MiST/rtl/SpyHunter_MiST.sv index 846003e1..4bf7a2ed 100644 --- a/Arcade_MiST/Midway MCR Scroll/SpyHunter_MiST/rtl/SpyHunter_MiST.sv +++ b/Arcade_MiST/Midway MCR Scroll/SpyHunter_MiST/rtl/SpyHunter_MiST.sv @@ -48,7 +48,7 @@ module SpyHunter_MiST( `include "rtl/build_id.v" localparam CONF_STR = { - "SHUNTER;;", + "SPYHUNT;;", "O2,Rotate Controls,Off,On;", "O5,Blend,Off,On;", "O6,Service,Off,On;", @@ -85,14 +85,32 @@ wire [15:0] joystick_0; wire [15:0] joystick_1; wire scandoublerD; wire ypbpr; -wire [15:0] audio_l, audio_r; -wire [9:0] csd_audio; -wire hs, vs, cs; -wire blankn; -wire [2:0] g, r, b; -wire key_pressed; -wire [7:0] key_code; -wire key_strobe; +wire no_csync; +wire key_pressed; +wire [7:0] key_code; +wire key_strobe; + +user_io #( + .STRLEN(($size(CONF_STR)>>3))) +user_io( + .clk_sys (clk_sys ), + .conf_str (CONF_STR ), + .SPI_CLK (SPI_SCK ), + .SPI_SS_IO (CONF_DATA0 ), + .SPI_MISO (SPI_DO ), + .SPI_MOSI (SPI_DI ), + .buttons (buttons ), + .switches (switches ), + .scandoubler_disable (scandoublerD ), + .ypbpr (ypbpr ), + .no_csync (no_csync ), + .key_strobe (key_strobe ), + .key_pressed (key_pressed ), + .key_code (key_code ), + .joystick_0 (joystick_0 ), + .joystick_1 (joystick_1 ), + .status (status ) + ); wire [15:0] rom_addr; wire [15:0] rom_do; @@ -125,12 +143,16 @@ data_io data_io( // 0000 - DFFF - Main ROM (8 bit) // E000 - FFFF - Super Sound board ROM (8 bit) // 10000 - 17FFF - CSD ROM (16 bit) -// 18000 - Sprite ROMs (32 bit) +// 18000 - 37FFF - Sprite ROMs (32 bit) +// 38000 - 3FFFF - BG +// 40000 - 40FFF - Char // spy-hunter_cpu_pg0_2-9-84.6d spy-hunter_cpu_pg1_2-9-84.7d spy-hunter_cpu_pg2_2-9-84.8d spy-hunter_cpu_pg3_2-9-84.9d spy-hunter_cpu_pg4_2-9-84.10d spy-hunter_cpu_pg5_2-9-84.11d // spy-hunter_snd_0_sd_11-18-83.a7 spy-hunter_snd_1_sd_11-18-83.a8 // spy-hunter_cs_deluxe_u17_b_11-18-83.u17 spy-hunter_cs_deluxe_u18_d_11-18-83.u18 spy-hunter_cs_deluxe_u7_a_11-18-83.u7 spy-hunter_cs_deluxe_u8_c_11-18-83.u8 // spy-hunter_video_1fg_11-18-83.a7 spy-hunter_video_0fg_11-18-83.a8 spy-hunter_video_3fg_11-18-83.a5 spy-hunter_video_2fg_11-18-83.a6 spy-hunter_video_5fg_11-18-83.a3 spy-hunter_video_4fg_11-18-83.a4 spy-hunter_video_7fg_11-18-83.a1 spy-hunter_video_6fg_11-18-83.a2 +// spy-hunter_cpu_bg0_11-18-83.3a spy-hunter_cpu_bg1_11-18-83.4a spy-hunter_cpu_bg2_11-18-83.5a spy-hunter_cpu_bg3_11-18-83.6a +// spy-hunter_cpu_alpha-n_11-18-83 wire [24:0] rom_ioctl_addr = ~ioctl_addr[16] ? ioctl_addr : // 8 bit ROMs {ioctl_addr[24:16], ioctl_addr[15], ioctl_addr[13:0], ioctl_addr[14]}; // 16 bit ROM @@ -162,7 +184,7 @@ sdram sdram( // port2 for sprite graphics .port2_req ( port2_req ), .port2_ack ( ), - .port2_a ( {sp_ioctl_addr[14:0], sp_ioctl_addr[16]} ), // merge sprite roms to 32-bit wide words + .port2_a ( {sp_ioctl_addr[23:17], sp_ioctl_addr[14:0], sp_ioctl_addr[16]} ), // merge sprite roms to 32-bit wide words .port2_ds ( {sp_ioctl_addr[15], ~sp_ioctl_addr[15]} ), .port2_we ( ioctl_downl ), .port2_d ( {ioctl_dout, ioctl_dout} ), @@ -202,6 +224,12 @@ always @(posedge clk_sys) begin end +wire [15:0] audio_l, audio_r; +wire [9:0] csd_audio; +wire hs, vs, cs; +wire blankn; +wire [2:0] g, r, b; + spy_hunter_control spy_hunter_control( .clock_40(clk_sys), .reset(reset), @@ -250,13 +278,16 @@ spy_hunter spy_hunter( .csd_rom_addr ( csd_addr ), .csd_rom_do ( csd_do ), .sp_addr ( sp_addr ), - .sp_graphx32_do ( sp_do ) + .sp_graphx32_do ( sp_do ), + .dl_addr ( ioctl_addr[18:0]), + .dl_data ( ioctl_dout ), + .dl_wr ( ioctl_wr ) ); wire vs_out; wire hs_out; -assign VGA_VS = scandoublerD | vs_out; -assign VGA_HS = scandoublerD ? cs : hs_out; +assign VGA_HS = ((~no_csync & scandoublerD) || ypbpr)? cs : hs_out; +assign VGA_VS = ((~no_csync & scandoublerD) || ypbpr)? 1'b1 : vs_out; mist_video #(.COLOR_DEPTH(3), .SD_HCNT_WIDTH(10)) mist_video( .clk_sys ( clk_sys ), @@ -281,27 +312,6 @@ mist_video #(.COLOR_DEPTH(3), .SD_HCNT_WIDTH(10)) mist_video( .ypbpr ( ypbpr ) ); -user_io #( - .STRLEN(($size(CONF_STR)>>3))) -user_io( - .clk_sys (clk_sys ), - .conf_str (CONF_STR ), - .SPI_CLK (SPI_SCK ), - .SPI_SS_IO (CONF_DATA0 ), - .SPI_MISO (SPI_DO ), - .SPI_MOSI (SPI_DI ), - .buttons (buttons ), - .switches (switches ), - .scandoubler_disable (scandoublerD ), - .ypbpr (ypbpr ), - .key_strobe (key_strobe ), - .key_pressed (key_pressed ), - .key_code (key_code ), - .joystick_0 (joystick_0 ), - .joystick_1 (joystick_1 ), - .status (status ) - ); - dac #( .C_bits(16)) dac_l( diff --git a/Arcade_MiST/Midway MCR Scroll/SpyHunter_MiST/rtl/dpram.vhd b/Arcade_MiST/Midway MCR Scroll/SpyHunter_MiST/rtl/dpram.vhd new file mode 100644 index 00000000..284194c5 --- /dev/null +++ b/Arcade_MiST/Midway MCR Scroll/SpyHunter_MiST/rtl/dpram.vhd @@ -0,0 +1,81 @@ +-- ----------------------------------------------------------------------- +-- +-- Syntiac's generic VHDL support files. +-- +-- ----------------------------------------------------------------------- +-- Copyright 2005-2008 by Peter Wendrich (pwsoft@syntiac.com) +-- http://www.syntiac.com/fpga64.html +-- +-- Modified April 2016 by Dar (darfpga@aol.fr) +-- http://darfpga.blogspot.fr +-- Remove address register when writing +-- +-- ----------------------------------------------------------------------- +-- +-- dpram.vhd +-- +-- ----------------------------------------------------------------------- +-- +-- generic ram. +-- +-- ----------------------------------------------------------------------- + +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +use IEEE.numeric_std.ALL; + +-- ----------------------------------------------------------------------- + +entity dpram is + generic ( + dWidth : integer := 8; + aWidth : integer := 10 + ); + port ( + clk_a : in std_logic; + we_a : in std_logic := '0'; + addr_a : in std_logic_vector((aWidth-1) downto 0); + d_a : in std_logic_vector((dWidth-1) downto 0) := (others => '0'); + q_a : out std_logic_vector((dWidth-1) downto 0); + + clk_b : in std_logic; + we_b : in std_logic := '0'; + addr_b : in std_logic_vector((aWidth-1) downto 0); + d_b : in std_logic_vector((dWidth-1) downto 0) := (others => '0'); + q_b : out std_logic_vector((dWidth-1) downto 0) + ); +end entity; + +-- ----------------------------------------------------------------------- + +architecture rtl of dpram is + subtype addressRange is integer range 0 to ((2**aWidth)-1); + type ramDef is array(addressRange) of std_logic_vector((dWidth-1) downto 0); + signal ram: ramDef; + signal addr_a_reg: std_logic_vector((aWidth-1) downto 0); + signal addr_b_reg: std_logic_vector((aWidth-1) downto 0); +begin + +-- ----------------------------------------------------------------------- + process(clk_a) + begin + if rising_edge(clk_a) then + if we_a = '1' then + ram(to_integer(unsigned(addr_a))) <= d_a; + end if; + q_a <= ram(to_integer(unsigned(addr_a))); + end if; + end process; + + process(clk_b) + begin + if rising_edge(clk_b) then + if we_b = '1' then + ram(to_integer(unsigned(addr_b))) <= d_b; + end if; + q_b <= ram(to_integer(unsigned(addr_b))); + end if; + end process; + +end architecture; + diff --git a/Arcade_MiST/Midway MCR Scroll/SpyHunter_MiST/rtl/rom/spy_hunter_bg_bits_1.vhd b/Arcade_MiST/Midway MCR Scroll/SpyHunter_MiST/rtl/rom/spy_hunter_bg_bits_1.vhd deleted file mode 100644 index 8f224e2f..00000000 --- a/Arcade_MiST/Midway MCR Scroll/SpyHunter_MiST/rtl/rom/spy_hunter_bg_bits_1.vhd +++ /dev/null @@ -1,1046 +0,0 @@ -library ieee; -use ieee.std_logic_1164.all,ieee.numeric_std.all; - -entity spy_hunter_bg_bits_1 is -port ( - clk : in std_logic; - addr : in std_logic_vector(13 downto 0); - data : out std_logic_vector(7 downto 0) -); -end entity; - -architecture prom of spy_hunter_bg_bits_1 is - type rom is array(0 to 16383) of std_logic_vector(7 downto 0); - signal rom_data: rom := ( - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"3F",X"FF",X"FF",X"FF",X"FF",X"57",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"D5",X"FF",X"DF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FD",X"7F",X"FF", - X"FF",X"FF",X"FF",X"3C",X"FF",X"FD",X"FD",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"F7",X"F7",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"7F",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"7F", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FD",X"7F",X"FF",X"FF",X"FF",X"FF",X"F5",X"FF",X"FD",X"DF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"7F",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"7F", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FD",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"7F", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"DF",X"FF",X"FF",X"FF",X"FF",X"DF", - X"FF",X"FF",X"DD",X"FF",X"77",X"FF",X"FF",X"FF",X"FF",X"FF",X"F7",X"FF",X"D7",X"DF",X"FF",X"F7", - X"FF",X"FD",X"FD",X"FF",X"FD",X"FF",X"FF",X"FD",X"FF",X"FF",X"F5",X"FF",X"FF",X"7F",X"FF",X"FD", - X"FD",X"FF",X"FF",X"7F",X"FF",X"DF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"D7",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FD",X"FF",X"FF",X"FF",X"FF",X"FD",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"DF",X"FF",X"FF",X"FF",X"FF",X"DF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FD",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"7F",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FD",X"FF",X"FF",X"FF",X"FF",X"FF",X"7F",X"FF",X"FF",X"FF",X"FF",X"FF",X"DF",X"FF",X"FF", - X"FD",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FD",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"DF",X"FF",X"FF",X"FF",X"FF",X"DF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FD",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"A5",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"09",X"96",X"55",X"55",X"55",X"55",X"55",X"55",X"00",X"00",X"99",X"55",X"55",X"55",X"55",X"55", - X"00",X"00",X"02",X"65",X"55",X"55",X"55",X"55",X"00",X"00",X"00",X"0A",X"69",X"99",X"55",X"55", - X"00",X"00",X"00",X"00",X"00",X"26",X"66",X"55",X"00",X"00",X"00",X"00",X"00",X"00",X"09",X"66", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"99",X"98",X"00",X"00",X"00",X"00",X"00",X"00", - X"55",X"56",X"68",X"00",X"00",X"00",X"00",X"00",X"55",X"55",X"56",X"9A",X"00",X"00",X"00",X"00", - X"55",X"55",X"55",X"55",X"A6",X"80",X"00",X"00",X"55",X"55",X"55",X"55",X"55",X"66",X"9A",X"00", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"9A",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"01",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"04",X"10", - X"00",X"00",X"00",X"00",X"10",X"41",X"00",X"04",X"00",X"04",X"00",X"41",X"00",X"04",X"44",X"11", - X"41",X"10",X"51",X"00",X"11",X"01",X"15",X"45",X"10",X"11",X"44",X"45",X"05",X"85",X"51",X"55", - X"44",X"41",X"11",X"44",X"55",X"54",X"55",X"55",X"45",X"14",X"45",X"15",X"51",X"59",X"55",X"55", - X"11",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"91",X"59",X"59",X"55",X"55",X"59",X"55", - X"45",X"55",X"55",X"55",X"59",X"55",X"55",X"65",X"55",X"55",X"55",X"55",X"55",X"65",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"56",X"55",X"95",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"5D",X"5D",X"55",X"57",X"59",X"55",X"55",X"57",X"55",X"55",X"F7",X"75", - X"55",X"57",X"57",X"55",X"57",X"77",X"DF",X"DF",X"55",X"75",X"75",X"77",X"DD",X"DF",X"F7",X"7F", - X"77",X"5F",X"5F",X"7F",X"FF",X"FD",X"F7",X"FF",X"5D",X"F7",X"F7",X"DD",X"F7",X"FF",X"FF",X"FF", - X"F7",X"7D",X"FD",X"FF",X"FF",X"FF",X"FF",X"FF",X"77",X"D7",X"7F",X"F7",X"FF",X"FF",X"FF",X"FF", - X"DF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"7D",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FE",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"26",X"65",X"55",X"55",X"55",X"55",X"55",X"55",X"00",X"02",X"55",X"55",X"55",X"55",X"55",X"55", - X"00",X"00",X"26",X"65",X"55",X"55",X"55",X"55",X"00",X"00",X"00",X"02",X"65",X"55",X"55",X"55", - X"00",X"00",X"00",X"00",X"02",X"66",X"55",X"55",X"00",X"00",X"00",X"00",X"00",X"00",X"66",X"55", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"26",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"02", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"AA",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"56",X"AA",X"00",X"00",X"00",X"00",X"00",X"00",X"55",X"55",X"AA",X"00",X"00",X"00",X"00",X"00", - X"55",X"55",X"55",X"AA",X"00",X"00",X"00",X"00",X"55",X"55",X"55",X"55",X"A8",X"00",X"00",X"00", - X"55",X"55",X"55",X"55",X"56",X"A0",X"00",X"00",X"55",X"55",X"55",X"55",X"55",X"5A",X"A8",X"00", - X"55",X"55",X"55",X"55",X"55",X"55",X"56",X"A8",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"56", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"01",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"04",X"10", - X"00",X"00",X"00",X"00",X"10",X"41",X"00",X"04",X"00",X"04",X"00",X"41",X"00",X"04",X"44",X"11", - X"41",X"10",X"51",X"00",X"11",X"01",X"15",X"45",X"10",X"11",X"44",X"45",X"05",X"85",X"51",X"55", - X"44",X"41",X"11",X"44",X"55",X"54",X"55",X"55",X"45",X"14",X"45",X"15",X"51",X"59",X"55",X"55", - X"11",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"91",X"59",X"59",X"55",X"55",X"59",X"55", - X"45",X"55",X"55",X"55",X"59",X"55",X"55",X"65",X"55",X"55",X"55",X"55",X"55",X"65",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"56",X"55",X"95",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"5D",X"5D",X"55",X"57",X"59",X"55",X"55",X"57",X"55",X"55",X"F7",X"75", - X"55",X"57",X"57",X"55",X"57",X"77",X"DF",X"DF",X"55",X"75",X"75",X"77",X"DD",X"DF",X"F7",X"7F", - X"77",X"5F",X"5F",X"7F",X"FF",X"FD",X"F7",X"FF",X"5D",X"F7",X"F7",X"DD",X"F7",X"FF",X"FF",X"FF", - X"F7",X"7D",X"FD",X"FF",X"FF",X"FF",X"FF",X"FF",X"77",X"D7",X"7F",X"F7",X"FF",X"FF",X"FF",X"FF", - X"DF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"7D",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"26",X"65",X"55",X"55",X"55",X"55",X"55",X"55",X"00",X"02",X"55",X"55",X"55",X"55",X"55",X"55", - X"00",X"00",X"26",X"65",X"55",X"55",X"55",X"55",X"00",X"00",X"00",X"02",X"65",X"55",X"55",X"55", - X"00",X"00",X"00",X"00",X"02",X"66",X"55",X"55",X"00",X"00",X"00",X"00",X"00",X"00",X"66",X"55", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"26",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"02", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"AA",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"56",X"AA",X"00",X"00",X"00",X"00",X"00",X"00",X"55",X"55",X"AA",X"00",X"00",X"00",X"00",X"00", - X"55",X"55",X"55",X"AA",X"00",X"00",X"00",X"00",X"55",X"55",X"55",X"55",X"A8",X"00",X"00",X"00", - X"55",X"55",X"55",X"55",X"56",X"A0",X"00",X"00",X"55",X"55",X"55",X"55",X"55",X"5A",X"A8",X"00", - X"55",X"55",X"55",X"55",X"55",X"55",X"56",X"A8",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"56", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"01",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"04",X"10", - X"00",X"00",X"00",X"00",X"10",X"41",X"00",X"04",X"00",X"04",X"00",X"41",X"00",X"04",X"44",X"11", - X"41",X"10",X"51",X"00",X"11",X"01",X"15",X"45",X"10",X"11",X"44",X"45",X"05",X"85",X"51",X"55", - X"44",X"41",X"11",X"44",X"55",X"54",X"55",X"55",X"45",X"14",X"45",X"15",X"51",X"59",X"55",X"55", - X"11",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"91",X"59",X"59",X"55",X"55",X"59",X"55", - X"45",X"55",X"55",X"55",X"59",X"55",X"55",X"65",X"55",X"55",X"55",X"55",X"55",X"65",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"56",X"55",X"95",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"5D",X"5D",X"55",X"57",X"59",X"55",X"55",X"57",X"55",X"55",X"F7",X"75", - X"55",X"57",X"57",X"55",X"57",X"77",X"DF",X"DF",X"55",X"75",X"75",X"77",X"DD",X"DF",X"F7",X"7F", - X"77",X"5F",X"5F",X"7F",X"FF",X"FD",X"F7",X"FF",X"5D",X"F7",X"F7",X"DD",X"F7",X"FF",X"FF",X"FF", - X"F7",X"7D",X"FD",X"FF",X"FF",X"FF",X"FF",X"FF",X"77",X"D7",X"7F",X"F7",X"FF",X"FF",X"FF",X"FF", - X"66",X"7F",X"FF",X"FF",X"FF",X"DD",X"5A",X"AA",X"AE",X"BF",X"FF",X"FF",X"FF",X"FD",X"56",X"BA", - X"AB",X"FF",X"FF",X"FF",X"FF",X"FD",X"56",X"AA",X"AA",X"FF",X"FF",X"FF",X"EF",X"D5",X"56",X"AA", - X"AB",X"FF",X"FF",X"FF",X"BA",X"DD",X"56",X"BA",X"AF",X"FF",X"FF",X"FF",X"EF",X"F5",X"56",X"9A", - X"AF",X"EF",X"FF",X"FF",X"FB",X"B6",X"56",X"6A",X"BF",X"FF",X"FF",X"FF",X"FE",X"FD",X"5A",X"AA", - X"BF",X"EF",X"FF",X"FF",X"FF",X"F9",X"5A",X"AE",X"BF",X"FF",X"EF",X"FF",X"FF",X"BF",X"69",X"AA", - X"BF",X"FF",X"FE",X"FF",X"FF",X"E5",X"5A",X"EA",X"BF",X"FF",X"FB",X"FF",X"FF",X"66",X"6A",X"AA", - X"BF",X"FF",X"FE",X"FF",X"FE",X"55",X"A7",X"AA",X"BF",X"FF",X"FF",X"EF",X"BF",X"5A",X"AA",X"AA", - X"BF",X"FF",X"FF",X"FE",X"7D",X"5A",X"6A",X"AA",X"AF",X"FF",X"FF",X"F9",X"99",X"66",X"AE",X"AA", - X"AF",X"FF",X"FF",X"E9",X"65",X"5A",X"AA",X"AA",X"AF",X"FF",X"FF",X"EB",X"96",X"6A",X"BA",X"AA", - X"AF",X"FF",X"FE",X"BA",X"A5",X"9A",X"AA",X"AA",X"AA",X"FF",X"FD",X"7A",X"A5",X"9B",X"AA",X"AA", - X"AE",X"FF",X"F9",X"96",X"65",X"6A",X"AA",X"AA",X"AA",X"AF",X"DE",X"AA",X"AA",X"AA",X"AA",X"AA", - X"AA",X"AF",X"D5",X"9A",X"A6",X"6B",X"AA",X"AA",X"AA",X"AF",X"56",X"6A",X"AA",X"6A",X"AA",X"AA", - X"AA",X"A6",X"65",X"A9",X"A6",X"6A",X"AA",X"AA",X"AA",X"A9",X"56",X"AA",X"AA",X"AA",X"AA",X"AA", - X"AA",X"A9",X"96",X"AB",X"AA",X"AE",X"AA",X"AA",X"AA",X"AA",X"A6",X"AA",X"AA",X"AA",X"AA",X"AA", - X"AA",X"A6",X"6A",X"EA",X"AA",X"EA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA", - X"AA",X"AA",X"AB",X"AA",X"AE",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA", - X"AA",X"AA",X"AA",X"BA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AE",X"66",X"AA",X"AA",X"AA",X"AA",X"AA", - X"AA",X"AA",X"95",X"BA",X"AA",X"AA",X"AA",X"AA",X"AA",X"BA",X"56",X"AA",X"AA",X"AA",X"AA",X"AA", - X"AA",X"A9",X"56",X"AB",X"EA",X"6E",X"AA",X"AA",X"AB",X"A9",X"95",X"AA",X"A6",X"AB",X"AA",X"AA", - X"AA",X"A5",X"55",X"6B",X"EA",X"AA",X"AA",X"AA",X"AA",X"97",X"FD",X"6A",X"99",X"AA",X"EA",X"AA", - X"9A",X"97",X"FD",X"6B",X"A6",X"5A",X"AA",X"6A",X"AA",X"57",X"FD",X"AA",X"A5",X"6A",X"AA",X"AA", - X"AA",X"7F",X"FF",X"6A",X"A5",X"6A",X"EA",X"AA",X"A9",X"7F",X"FF",X"DA",X"95",X"66",X"AA",X"9A", - X"AB",X"FF",X"FD",X"D6",X"95",X"6A",X"BA",X"AA",X"A7",X"FF",X"FF",X"DA",X"95",X"5A",X"AA",X"AA", - X"AF",X"FF",X"FF",X"56",X"5D",X"5A",X"AA",X"9A",X"AD",X"FF",X"FF",X"D6",X"5D",X"56",X"AA",X"AA", - X"AB",X"FF",X"FF",X"D5",X"5D",X"5A",X"BA",X"9A",X"BF",X"FF",X"FF",X"F5",X"7F",X"56",X"AA",X"AA", - X"BF",X"FF",X"FF",X"F6",X"7F",X"56",X"AE",X"AA",X"BF",X"FF",X"FF",X"F5",X"FF",X"D6",X"AA",X"AA", - X"FF",X"FF",X"FF",X"FD",X"7F",X"56",X"6A",X"A9",X"FF",X"FF",X"FF",X"FF",X"FF",X"D6",X"AB",X"AA", - X"FF",X"FF",X"FF",X"FF",X"F7",X"D5",X"AA",X"A9",X"BF",X"FF",X"FF",X"FF",X"FF",X"D5",X"AA",X"AA", - X"BF",X"FF",X"FF",X"FF",X"FF",X"F5",X"5A",X"AA",X"BF",X"FF",X"FF",X"FF",X"FF",X"F5",X"AA",X"EA", - X"AF",X"FF",X"FF",X"FF",X"FF",X"D5",X"9A",X"AA",X"AB",X"FF",X"FF",X"FF",X"FF",X"F5",X"5A",X"AA", - X"AB",X"FF",X"FF",X"FF",X"FF",X"75",X"5A",X"AE",X"AB",X"FF",X"FF",X"FF",X"FF",X"F5",X"56",X"AA", - X"AA",X"FF",X"BF",X"FF",X"FF",X"F5",X"5A",X"6A",X"AA",X"FF",X"FF",X"FF",X"FF",X"FD",X"56",X"AA", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FD",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"F7",X"7F",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"F7",X"FF",X"FF", - X"FF",X"FF",X"F7",X"FF",X"FF",X"F7",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FD",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"77",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"F7",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FD",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"D7", - X"FF",X"FF",X"FF",X"FF",X"FD",X"FF",X"FF",X"DF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"DF", - X"FF",X"FF",X"FF",X"FF",X"F7",X"FF",X"FF",X"7F",X"FF",X"FF",X"FD",X"FD",X"FF",X"FF",X"FD",X"FF", - X"FF",X"FF",X"F7",X"FF",X"FF",X"FF",X"F7",X"FF",X"FF",X"FF",X"FD",X"F5",X"FF",X"FF",X"FF",X"DF", - X"FF",X"FF",X"FF",X"F7",X"FF",X"FF",X"DF",X"DF",X"FF",X"FD",X"FF",X"F7",X"FF",X"FD",X"FF",X"FF", - X"FF",X"FD",X"FF",X"DF",X"FF",X"FD",X"F7",X"FF",X"FF",X"F7",X"FF",X"7F",X"FF",X"FF",X"FF",X"FF", - X"FF",X"F7",X"FF",X"FF",X"FF",X"FF",X"DF",X"7F",X"FF",X"DF",X"FF",X"FF",X"FF",X"FF",X"FF",X"7F", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FD",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"F7",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"AA",X"AA",X"AA",X"AA",X"AA",X"9A",X"AA",X"AA",X"AA",X"AA",X"9A",X"AA",X"AA",X"AA",X"AA",X"AA", - X"AA",X"AA",X"AA",X"A9",X"AA",X"AA",X"AA",X"AA",X"9A",X"AA",X"AA",X"AA",X"AA",X"AA",X"69",X"AA", - X"AA",X"AA",X"AA",X"6A",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"6A", - X"AA",X"A6",X"AA",X"AA",X"AA",X"A9",X"AA",X"AA",X"A6",X"AA",X"9A",X"AA",X"AA",X"9A",X"A6",X"66", - X"A9",X"9A",X"65",X"A9",X"9A",X"AA",X"69",X"A9",X"55",X"55",X"6A",X"9A",X"A9",X"A6",X"56",X"59", - X"95",X"56",X"59",X"AA",X"65",X"55",X"55",X"56",X"55",X"59",X"56",X"66",X"99",X"95",X"55",X"55", - X"55",X"55",X"55",X"AA",X"56",X"56",X"55",X"55",X"55",X"55",X"56",X"66",X"55",X"55",X"65",X"55", - X"55",X"55",X"55",X"95",X"59",X"59",X"55",X"65",X"55",X"95",X"59",X"59",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"F7",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"7F",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"F7",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"7F",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"F7",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"7F",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"DF",X"FF",X"DF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"F7",X"FF",X"D7",X"FF",X"7F", - X"F7",X"F7",X"F7",X"FD",X"F7",X"D7",X"FF",X"FF",X"FF",X"F7",X"FF",X"FD",X"FF",X"DF",X"7F",X"DF", - X"FF",X"D7",X"FF",X"FD",X"FF",X"7F",X"FF",X"FF",X"FD",X"5F",X"FF",X"F7",X"FD",X"FF",X"FF",X"FF", - X"FD",X"7F",X"FF",X"DF",X"F7",X"FF",X"FF",X"FF",X"FD",X"FF",X"FF",X"DF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"F7",X"7F",X"FF",X"F7",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FD",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"7F",X"FF",X"FF",X"F7",X"DF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"7F",X"FF",X"FF",X"DF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FD",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"7F",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"DF",X"FD",X"FF",X"FF",X"FF",X"FF",X"FF",X"FD",X"FF",X"FF",X"FF",X"FD",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FD",X"DF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"7F",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"7F",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"F7",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"7F",X"FF",X"FF",X"FF",X"FF",X"7F",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"F7",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"7F",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"F7",X"FF",X"FF",X"F7",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FD",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"59",X"98", - X"55",X"55",X"55",X"59",X"A6",X"9A",X"00",X"00",X"66",X"69",X"A6",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"A5",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"09",X"96",X"55",X"55",X"55",X"55",X"55",X"55",X"00",X"00",X"99",X"55",X"55",X"55",X"55",X"55", - X"00",X"00",X"02",X"65",X"55",X"55",X"55",X"55",X"00",X"00",X"00",X"0A",X"69",X"99",X"55",X"55", - X"00",X"00",X"00",X"00",X"00",X"26",X"66",X"55",X"00",X"00",X"00",X"00",X"00",X"09",X"99",X"66", - X"00",X"00",X"00",X"00",X"00",X"00",X"26",X"99",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"01", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"99",X"98",X"00",X"00",X"00",X"00",X"00",X"00", - X"55",X"56",X"68",X"00",X"00",X"00",X"00",X"00",X"55",X"55",X"56",X"9A",X"00",X"00",X"00",X"00", - X"55",X"55",X"55",X"55",X"A6",X"80",X"00",X"00",X"55",X"55",X"55",X"55",X"55",X"66",X"9A",X"00", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"9A",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"01",X"00",X"00",X"44",X"50",X"00",X"10", - X"01",X"10",X"54",X"45",X"15",X"45",X"14",X"45",X"10",X"45",X"21",X"55",X"46",X"55",X"45",X"55", - X"45",X"54",X"55",X"11",X"55",X"55",X"55",X"65",X"59",X"99",X"55",X"55",X"55",X"95",X"65",X"55", - X"45",X"59",X"59",X"65",X"65",X"55",X"56",X"55",X"55",X"55",X"55",X"55",X"55",X"59",X"55",X"55", - X"65",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"95",X"59",X"59",X"55",X"55",X"59",X"55", - X"55",X"55",X"55",X"55",X"59",X"55",X"55",X"65",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"D5",X"55",X"D5",X"55",X"55",X"5F",X"55",X"77",X"5D",X"77",X"75",X"DD", - X"D5",X"D7",X"DD",X"D5",X"F7",X"DF",X"DF",X"57",X"7F",X"7F",X"7F",X"DF",X"7F",X"7D",X"FD",X"DD", - X"AA",X"AA",X"AA",X"AA",X"AA",X"A6",X"AA",X"AA",X"9A",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA", - X"AA",X"AA",X"A9",X"AA",X"6A",X"AA",X"AA",X"AA",X"A9",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"6A", - X"AA",X"AA",X"AA",X"AA",X"AA",X"6A",X"AA",X"6A",X"AA",X"AA",X"AA",X"A9",X"A6",X"A6",X"9A",X"AA", - X"AA",X"AA",X"AA",X"AA",X"AA",X"6A",X"AA",X"A6",X"AA",X"AA",X"6A",X"AA",X"99",X"99",X"66",X"6A", - X"AA",X"AA",X"AA",X"A6",X"69",X"55",X"A9",X"9A",X"AA",X"6A",X"AA",X"AA",X"95",X"55",X"95",X"AA", - X"AA",X"AA",X"AA",X"99",X"95",X"55",X"56",X"66",X"AA",X"A6",X"AA",X"AA",X"55",X"55",X"55",X"55", - X"AA",X"AA",X"AA",X"9A",X"A5",X"55",X"55",X"55",X"AA",X"AA",X"9A",X"69",X"55",X"55",X"59",X"55", - X"AA",X"AA",X"AA",X"A6",X"55",X"55",X"55",X"55",X"9A",X"AA",X"AA",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"A5",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"09",X"96",X"55",X"55",X"55",X"55",X"55",X"55", - X"00",X"00",X"99",X"55",X"55",X"55",X"55",X"55",X"00",X"00",X"02",X"65",X"55",X"55",X"55",X"55", - X"00",X"00",X"00",X"0A",X"69",X"99",X"55",X"55",X"00",X"00",X"00",X"00",X"00",X"26",X"66",X"55", - X"65",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"59",X"55",X"55", - X"55",X"79",X"59",X"65",X"65",X"55",X"56",X"55",X"59",X"95",X"55",X"55",X"55",X"95",X"65",X"55", - X"55",X"57",X"55",X"DD",X"55",X"55",X"55",X"65",X"55",X"55",X"ED",X"55",X"76",X"55",X"57",X"55", - X"57",X"5F",X"57",X"75",X"D5",X"75",X"55",X"5D",X"5F",X"FD",X"FF",X"FF",X"55",X"5D",X"5D",X"55", - X"FD",X"FF",X"FF",X"DD",X"FF",X"F5",X"F7",X"77",X"7F",X"DF",X"DF",X"FF",X"FD",X"FF",X"FF",X"FF", - X"DF",X"FF",X"FF",X"F7",X"DF",X"DF",X"FF",X"F7",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"99",X"98",X"00",X"00",X"00",X"00",X"00",X"00",X"55",X"56",X"68",X"00",X"00",X"00",X"00",X"00", - X"55",X"55",X"56",X"9A",X"00",X"00",X"00",X"00",X"55",X"55",X"55",X"55",X"A6",X"80",X"00",X"00", - X"55",X"55",X"55",X"55",X"55",X"66",X"9A",X"00",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"9A", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FD",X"FF",X"FF",X"FF",X"F7",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FD",X"FF",X"FF",X"7D",X"FD",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"7F",X"FF",X"FD",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"DF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"F7",X"FF",X"FF",X"F7", - X"FF",X"FF",X"FF",X"FF",X"FF",X"7F",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"01",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"10",X"10",X"00",X"00",X"00",X"00",X"00",X"00",X"44",X"41",X"10",X"00",X"00",X"00",X"00",X"00", - X"11",X"04",X"45",X"11",X"04",X"00",X"00",X"00",X"40",X"40",X"40",X"10",X"40",X"40",X"00",X"00", - X"15",X"14",X"11",X"04",X"04",X"00",X"04",X"00",X"45",X"50",X"55",X"44",X"41",X"00",X"40",X"10", - X"55",X"45",X"05",X"15",X"10",X"44",X"11",X"04",X"14",X"55",X"55",X"44",X"15",X"55",X"45",X"50", - X"55",X"55",X"55",X"55",X"59",X"50",X"54",X"65",X"55",X"95",X"59",X"59",X"55",X"55",X"48",X"44", - X"65",X"55",X"55",X"55",X"55",X"55",X"51",X"51",X"D5",X"55",X"55",X"55",X"55",X"59",X"55",X"54", - X"75",X"79",X"59",X"65",X"65",X"55",X"56",X"55",X"5B",X"99",X"55",X"55",X"55",X"95",X"65",X"55", - X"77",X"57",X"55",X"DD",X"55",X"55",X"55",X"65",X"FD",X"F5",X"ED",X"55",X"76",X"55",X"55",X"55", - X"F7",X"7F",X"57",X"75",X"D5",X"75",X"55",X"55",X"FF",X"FD",X"FF",X"FF",X"77",X"5D",X"55",X"55", - X"FF",X"FF",X"FF",X"DD",X"FF",X"F5",X"F5",X"75",X"FF",X"FF",X"FF",X"FF",X"FD",X"FF",X"5D",X"DD", - X"FF",X"FF",X"FF",X"FF",X"FF",X"DF",X"FD",X"77",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"DF",X"75", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FD",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"DF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"44",X"41",X"10",X"00",X"00",X"00",X"00",X"00",X"11",X"04",X"45",X"11",X"04",X"00",X"00",X"00", - X"44",X"50",X"40",X"10",X"40",X"40",X"00",X"40",X"15",X"54",X"11",X"04",X"04",X"00",X"04",X"00", - X"45",X"55",X"55",X"44",X"51",X"14",X"40",X"10",X"55",X"55",X"55",X"15",X"15",X"55",X"11",X"04", - X"15",X"55",X"55",X"45",X"55",X"55",X"45",X"50",X"55",X"55",X"55",X"55",X"59",X"55",X"54",X"65", - X"55",X"95",X"59",X"59",X"55",X"55",X"59",X"45",X"65",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"D5",X"55",X"55",X"55",X"55",X"59",X"55",X"55",X"75",X"79",X"59",X"65",X"65",X"55",X"56",X"55", - X"5B",X"99",X"55",X"55",X"55",X"95",X"65",X"55",X"77",X"57",X"55",X"DD",X"55",X"55",X"55",X"65", - X"FD",X"F5",X"ED",X"55",X"76",X"55",X"55",X"55",X"F7",X"7F",X"57",X"75",X"D5",X"75",X"55",X"55", - X"FF",X"FD",X"FF",X"FF",X"77",X"5D",X"55",X"55",X"FF",X"FF",X"FF",X"DD",X"FF",X"F5",X"F5",X"75", - X"FF",X"FF",X"FF",X"FF",X"FD",X"FF",X"5D",X"DD",X"FF",X"FF",X"FF",X"FF",X"FF",X"DF",X"FD",X"77", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"DF",X"75",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FD", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"DF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"AA",X"AA",X"9A",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"A2", - X"AA",X"AA",X"AA",X"A9",X"AA",X"6A",X"AA",X"AA",X"A9",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"6A", - X"A9",X"AA",X"A9",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"A6",X"9A",X"9A",X"6A",X"AA",X"AA",X"AA", - X"9A",X"AA",X"A9",X"AA",X"AA",X"AA",X"AA",X"AA",X"A9",X"99",X"66",X"66",X"AA",X"A9",X"AA",X"AA", - X"A6",X"6A",X"55",X"69",X"9A",X"AA",X"AA",X"AA",X"AA",X"56",X"55",X"56",X"AA",X"AA",X"A8",X"AA", - X"99",X"95",X"55",X"56",X"66",X"AA",X"AA",X"AA",X"55",X"55",X"55",X"55",X"AA",X"AA",X"9A",X"AA", - X"55",X"55",X"55",X"5A",X"A6",X"AA",X"AA",X"AA",X"55",X"65",X"55",X"55",X"69",X"A6",X"AA",X"AA", - X"55",X"55",X"55",X"55",X"9A",X"AA",X"AA",X"AA",X"55",X"55",X"55",X"55",X"55",X"AA",X"AA",X"A6", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"10",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"40",X"00",X"00",X"00",X"00",X"00",X"00",X"11",X"04",X"00",X"00",X"00",X"00",X"00",X"00", - X"10",X"10",X"00",X"00",X"00",X"00",X"00",X"00",X"44",X"41",X"10",X"00",X"00",X"00",X"00",X"00", - X"11",X"04",X"45",X"11",X"04",X"00",X"00",X"00",X"44",X"50",X"40",X"10",X"40",X"40",X"00",X"40", - X"15",X"54",X"11",X"04",X"04",X"00",X"04",X"00",X"45",X"55",X"55",X"44",X"51",X"14",X"40",X"10", - X"55",X"55",X"55",X"15",X"15",X"55",X"11",X"04",X"15",X"55",X"55",X"45",X"55",X"55",X"45",X"50", - X"55",X"55",X"55",X"55",X"59",X"55",X"54",X"65",X"55",X"95",X"59",X"59",X"55",X"55",X"59",X"45", - X"65",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"D5",X"55",X"55",X"55",X"55",X"59",X"55",X"55", - X"75",X"79",X"59",X"65",X"65",X"55",X"56",X"55",X"5B",X"99",X"55",X"55",X"55",X"95",X"65",X"55", - X"77",X"57",X"55",X"DD",X"55",X"55",X"55",X"65",X"FD",X"F5",X"ED",X"55",X"76",X"55",X"55",X"55", - X"F7",X"7F",X"57",X"75",X"D5",X"75",X"55",X"55",X"FF",X"FD",X"FF",X"FF",X"77",X"5D",X"55",X"55", - X"FF",X"FF",X"FF",X"DD",X"FF",X"F5",X"F5",X"75",X"FF",X"FF",X"FF",X"FF",X"FD",X"FF",X"5D",X"DD", - X"FF",X"FF",X"FF",X"FF",X"FF",X"DF",X"FD",X"77",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"DF",X"75", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FD",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"DF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FD",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FD",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"F5",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"F7",X"FF",X"FF", - X"FD",X"FF",X"FF",X"FF",X"FF",X"F7",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FD",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FD",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FD",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"F7",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"DF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"DF",X"FF",X"FF",X"FD",X"FF",X"FF",X"FF",X"FF",X"7F",X"FF",X"FF", - X"FF",X"FF",X"FF",X"D7",X"FF",X"7F",X"FF",X"FF",X"FF",X"FF",X"FF",X"5D",X"FD",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FD",X"FF",X"57",X"FF",X"FF",X"FF",X"FF",X"FF",X"F5",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FD",X"5F",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FD",X"5F",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"7F",X"FF",X"FF",X"FF",X"FF",X"FF",X"7F",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"7F",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"7F",X"FF",X"FF",X"FF",X"FF",X"FF", - X"7F",X"FD",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FD",X"5D",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FD",X"F7",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"F7",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"DF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"DD",X"F7",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"DF",X"DF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"F7",X"7D",X"DF",X"FF",X"FF",X"FF",X"FF",X"FF",X"DD",X"F7",X"7D",X"DD",X"FF",X"FF",X"FF",X"FF", - X"55",X"5F",X"7F",X"DF",X"7F",X"7F",X"FF",X"FF",X"55",X"57",X"DD",X"F7",X"F7",X"FF",X"F7",X"FF", - X"56",X"55",X"55",X"77",X"5D",X"D7",X"7F",X"DF",X"55",X"55",X"55",X"D5",X"D5",X"55",X"DD",X"F7", - X"55",X"55",X"55",X"75",X"55",X"75",X"75",X"5F",X"55",X"59",X"55",X"55",X"59",X"55",X"57",X"65", - X"55",X"95",X"59",X"59",X"55",X"55",X"59",X"77",X"65",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"59",X"55",X"55",X"45",X"45",X"59",X"65",X"65",X"55",X"56",X"55", - X"58",X"99",X"55",X"55",X"55",X"95",X"65",X"55",X"44",X"54",X"55",X"11",X"55",X"55",X"55",X"65", - X"01",X"05",X"21",X"55",X"46",X"55",X"55",X"55",X"04",X"40",X"54",X"45",X"15",X"45",X"55",X"55", - X"00",X"00",X"00",X"00",X"44",X"51",X"55",X"55",X"00",X"04",X"04",X"11",X"00",X"05",X"05",X"45", - X"00",X"00",X"00",X"00",X"01",X"00",X"51",X"11",X"00",X"00",X"00",X"00",X"00",X"10",X"01",X"44", - X"00",X"00",X"00",X"00",X"00",X"00",X"10",X"45",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"DD",X"F7",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"DF",X"DF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"F7",X"7D",X"DF",X"FF",X"FF",X"FF",X"FF",X"FF",X"DD",X"F7",X"7D",X"DD",X"FF",X"FF",X"FF",X"FF", - X"55",X"5F",X"7F",X"DF",X"7F",X"7F",X"FF",X"FF",X"55",X"55",X"DD",X"F7",X"F7",X"FF",X"F7",X"FF", - X"56",X"55",X"55",X"77",X"5D",X"D7",X"7F",X"DF",X"55",X"55",X"55",X"55",X"D5",X"55",X"DD",X"F7", - X"55",X"55",X"55",X"55",X"55",X"75",X"75",X"5F",X"55",X"59",X"55",X"55",X"59",X"55",X"57",X"65", - X"55",X"95",X"59",X"59",X"55",X"55",X"59",X"77",X"65",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"59",X"55",X"55",X"45",X"55",X"59",X"65",X"65",X"55",X"56",X"55", - X"58",X"99",X"55",X"55",X"55",X"95",X"65",X"55",X"44",X"54",X"55",X"11",X"55",X"55",X"55",X"65", - X"01",X"05",X"21",X"55",X"46",X"55",X"55",X"55",X"04",X"40",X"54",X"45",X"15",X"45",X"55",X"55", - X"00",X"00",X"00",X"00",X"44",X"51",X"55",X"55",X"00",X"04",X"04",X"11",X"00",X"05",X"05",X"45", - X"00",X"00",X"00",X"00",X"01",X"00",X"51",X"11",X"00",X"00",X"00",X"00",X"00",X"10",X"01",X"44", - X"00",X"00",X"00",X"00",X"00",X"00",X"10",X"45",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"10",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"9A",X"9A",X"AA",X"AA",X"9A",X"AA",X"AA",X"AA",X"AA",X"AA",X"A6",X"AA",X"69",X"AA",X"AA",X"9A", - X"AA",X"AA",X"AA",X"AA",X"A6",X"A9",X"AA",X"AA",X"AA",X"9A",X"A9",X"A9",X"5A",X"6A",X"AA",X"AA", - X"AA",X"AA",X"AA",X"A5",X"55",X"AA",X"AA",X"6A",X"A9",X"AA",X"66",X"55",X"55",X"56",X"9A",X"AA", - X"9A",X"9A",X"A9",X"99",X"59",X"9A",X"AA",X"9A",X"55",X"56",X"56",X"55",X"55",X"56",X"99",X"AA", - X"A5",X"65",X"55",X"55",X"55",X"55",X"69",X"6A",X"55",X"95",X"95",X"55",X"59",X"55",X"5A",X"55", - X"59",X"55",X"55",X"55",X"55",X"59",X"65",X"56",X"95",X"55",X"56",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"59",X"55",X"55",X"65",X"55",X"95",X"59",X"59",X"55",X"55",X"55",X"55", - X"59",X"99",X"55",X"55",X"55",X"95",X"65",X"55",X"54",X"54",X"55",X"11",X"55",X"55",X"55",X"65", - X"01",X"05",X"21",X"55",X"46",X"55",X"55",X"55",X"44",X"40",X"54",X"45",X"15",X"45",X"55",X"55", - X"00",X"00",X"00",X"00",X"44",X"51",X"55",X"55",X"01",X"04",X"04",X"11",X"00",X"05",X"05",X"45", - X"00",X"00",X"00",X"00",X"01",X"00",X"51",X"11",X"00",X"00",X"00",X"00",X"00",X"10",X"01",X"44", - X"00",X"00",X"00",X"00",X"00",X"00",X"10",X"45",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"DF",X"DF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"F7",X"7D",X"DF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"DD",X"F7",X"7D",X"DD",X"FF",X"FF",X"FF",X"FF",X"55",X"5F",X"7F",X"DF",X"7F",X"7F",X"FF",X"FF", - X"55",X"55",X"DD",X"F7",X"F7",X"FF",X"F7",X"FF",X"56",X"55",X"55",X"77",X"5D",X"D7",X"7F",X"DF", - X"55",X"55",X"55",X"55",X"D5",X"55",X"DD",X"F7",X"55",X"55",X"55",X"55",X"55",X"75",X"75",X"5F", - X"55",X"59",X"55",X"55",X"59",X"55",X"57",X"65",X"55",X"95",X"59",X"59",X"55",X"55",X"59",X"77", - X"65",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"59",X"55",X"55", - X"45",X"55",X"59",X"65",X"65",X"55",X"56",X"55",X"59",X"99",X"55",X"55",X"55",X"95",X"65",X"55", - X"54",X"54",X"55",X"11",X"55",X"55",X"55",X"65",X"01",X"05",X"21",X"55",X"46",X"55",X"55",X"55", - X"44",X"40",X"54",X"45",X"15",X"45",X"55",X"55",X"00",X"00",X"00",X"00",X"44",X"51",X"55",X"55", - X"01",X"04",X"04",X"11",X"00",X"05",X"05",X"45",X"00",X"00",X"00",X"00",X"01",X"00",X"51",X"11", - X"00",X"00",X"00",X"00",X"00",X"10",X"01",X"44",X"00",X"00",X"00",X"00",X"00",X"00",X"10",X"45", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"DF",X"DF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"77",X"7D",X"DF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"55",X"D7",X"7D",X"DD",X"F7",X"FF",X"FF",X"FF",X"55",X"57",X"77",X"DF",X"7F",X"7F",X"FF",X"FF", - X"55",X"55",X"D5",X"77",X"F7",X"FF",X"F7",X"FF",X"56",X"55",X"55",X"57",X"5D",X"D7",X"7F",X"DF", - X"55",X"55",X"55",X"55",X"D5",X"55",X"DD",X"F7",X"55",X"55",X"55",X"55",X"55",X"75",X"75",X"5F", - X"55",X"59",X"55",X"55",X"59",X"55",X"57",X"65",X"55",X"95",X"59",X"59",X"55",X"55",X"59",X"77", - X"65",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"59",X"55",X"55", - X"55",X"55",X"59",X"65",X"65",X"55",X"56",X"55",X"59",X"99",X"55",X"55",X"55",X"95",X"65",X"55", - X"14",X"54",X"55",X"55",X"55",X"55",X"55",X"65",X"45",X"05",X"21",X"55",X"56",X"55",X"55",X"55", - X"65",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"59",X"55",X"55", - X"75",X"79",X"59",X"65",X"65",X"55",X"56",X"55",X"5B",X"99",X"55",X"55",X"55",X"95",X"65",X"55", - X"57",X"57",X"55",X"DD",X"55",X"55",X"55",X"65",X"55",X"D5",X"ED",X"55",X"76",X"55",X"55",X"55", - X"57",X"5F",X"57",X"75",X"D5",X"75",X"55",X"55",X"5F",X"FD",X"FF",X"FF",X"77",X"5D",X"55",X"55", - X"FF",X"7F",X"FF",X"DD",X"FF",X"F5",X"F5",X"75",X"F7",X"FF",X"DF",X"FF",X"FD",X"FF",X"5D",X"DD", - X"7F",X"FF",X"FF",X"FF",X"FF",X"DF",X"FD",X"77",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"DF",X"75", - X"FD",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FD",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"DF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"40",X"40",X"40",X"10",X"00",X"41",X"01",X"01",X"11",X"04",X"11",X"04",X"04",X"10",X"10",X"44", - X"44",X"10",X"50",X"44",X"41",X"00",X"44",X"11",X"45",X"45",X"05",X"11",X"10",X"44",X"11",X"05", - X"15",X"55",X"55",X"44",X"15",X"51",X"55",X"54",X"55",X"55",X"55",X"55",X"59",X"55",X"55",X"65", - X"55",X"95",X"59",X"59",X"55",X"55",X"59",X"55",X"65",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"59",X"55",X"55",X"55",X"59",X"59",X"65",X"65",X"55",X"56",X"55", - X"59",X"99",X"55",X"55",X"55",X"95",X"65",X"55",X"75",X"55",X"55",X"DD",X"55",X"55",X"55",X"65", - X"D7",X"75",X"ED",X"55",X"76",X"55",X"75",X"55",X"DD",X"D7",X"57",X"75",X"D5",X"75",X"D7",X"75", - X"FD",X"75",X"F7",X"DF",X"77",X"5F",X"7D",X"DF",X"FF",X"FF",X"FF",X"7F",X"FF",X"FF",X"FF",X"FF", - X"55",X"57",X"77",X"DF",X"7F",X"7F",X"FF",X"FF",X"55",X"55",X"55",X"77",X"F7",X"FF",X"F7",X"FF", - X"56",X"55",X"55",X"57",X"5D",X"D7",X"7F",X"FF",X"55",X"55",X"55",X"55",X"D5",X"55",X"DD",X"F7", - X"55",X"55",X"55",X"55",X"55",X"75",X"75",X"5F",X"55",X"59",X"55",X"55",X"59",X"55",X"57",X"65", - X"55",X"95",X"59",X"59",X"55",X"55",X"59",X"77",X"65",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"59",X"55",X"55",X"55",X"55",X"59",X"65",X"65",X"55",X"56",X"55", - X"59",X"99",X"55",X"55",X"55",X"95",X"65",X"55",X"14",X"54",X"55",X"55",X"55",X"55",X"55",X"65", - X"45",X"05",X"21",X"55",X"56",X"55",X"55",X"55",X"04",X"40",X"54",X"45",X"55",X"55",X"55",X"55", - X"00",X"00",X"01",X"04",X"54",X"55",X"55",X"55",X"11",X"04",X"04",X"11",X"10",X"45",X"45",X"55", - X"00",X"10",X"00",X"00",X"01",X"00",X"51",X"55",X"00",X"00",X"00",X"40",X"10",X"11",X"05",X"54", - X"00",X"00",X"00",X"00",X"01",X"00",X"11",X"45",X"00",X"00",X"00",X"00",X"00",X"01",X"00",X"15", - X"00",X"00",X"00",X"00",X"00",X"00",X"01",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"01", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA", - X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA", - X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"6A", - X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"A9",X"9A",X"66",X"66", - X"AA",X"AA",X"AA",X"99",X"9A",X"AA",X"A9",X"A9",X"AA",X"AA",X"AA",X"9A",X"A9",X"A6",X"56",X"99", - X"AA",X"AA",X"59",X"AA",X"65",X"56",X"65",X"56",X"AA",X"A9",X"66",X"66",X"99",X"95",X"56",X"65", - X"AA",X"9A",X"69",X"9A",X"56",X"66",X"95",X"55",X"AA",X"65",X"59",X"66",X"65",X"55",X"65",X"55", - X"AA",X"96",X"66",X"55",X"59",X"5A",X"55",X"65",X"A9",X"95",X"59",X"59",X"55",X"55",X"55",X"55", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"DF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"7F",X"7F",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"57",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"5F",X"77",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"5D",X"DF",X"7F",X"FF",X"FF",X"FF",X"FF",X"FF", - X"55",X"7D",X"DF",X"7F",X"FF",X"FF",X"FF",X"FF",X"55",X"55",X"5D",X"DD",X"F7",X"FF",X"FF",X"FF", - X"65",X"55",X"55",X"5F",X"7F",X"77",X"FF",X"FF",X"55",X"55",X"95",X"57",X"F7",X"FF",X"F7",X"FF", - X"56",X"55",X"55",X"57",X"5D",X"D7",X"7F",X"FF",X"55",X"55",X"55",X"95",X"55",X"55",X"DD",X"F7", - X"55",X"55",X"55",X"55",X"55",X"55",X"75",X"5F",X"55",X"59",X"55",X"55",X"59",X"55",X"57",X"65", - X"55",X"95",X"59",X"59",X"55",X"55",X"59",X"77",X"65",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"15",X"55",X"55",X"55",X"59",X"55",X"55",X"51",X"55",X"59",X"65",X"65",X"55",X"56",X"55", - X"05",X"51",X"45",X"55",X"55",X"95",X"65",X"55",X"04",X"44",X"55",X"15",X"55",X"55",X"55",X"65", - X"00",X"05",X"21",X"55",X"56",X"55",X"55",X"55",X"00",X"40",X"54",X"45",X"55",X"55",X"55",X"55", - X"00",X"00",X"01",X"04",X"54",X"55",X"55",X"55",X"00",X"00",X"04",X"11",X"10",X"45",X"45",X"55", - X"00",X"00",X"00",X"00",X"01",X"00",X"51",X"55",X"00",X"00",X"00",X"40",X"10",X"11",X"05",X"54", - X"00",X"00",X"00",X"00",X"01",X"00",X"11",X"45",X"00",X"00",X"00",X"00",X"00",X"01",X"00",X"15", - X"00",X"00",X"00",X"00",X"00",X"00",X"01",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"01", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"01",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"10",X"10",X"00",X"00",X"00",X"00",X"00",X"00",X"44",X"41",X"10",X"04",X"00",X"00",X"00",X"00", - X"11",X"04",X"45",X"11",X"04",X"04",X"00",X"00",X"44",X"50",X"44",X"10",X"50",X"41",X"01",X"01", - X"15",X"15",X"11",X"44",X"05",X"10",X"10",X"44",X"55",X"55",X"55",X"44",X"41",X"41",X"44",X"11", - X"55",X"55",X"55",X"55",X"14",X"54",X"51",X"05",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"54", - X"55",X"55",X"55",X"55",X"59",X"55",X"55",X"65",X"55",X"95",X"59",X"59",X"55",X"55",X"59",X"55", - X"65",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"59",X"55",X"55", - X"75",X"59",X"59",X"65",X"65",X"55",X"56",X"55",X"59",X"99",X"55",X"55",X"55",X"95",X"65",X"55", - X"75",X"57",X"55",X"DD",X"55",X"55",X"55",X"67",X"DF",X"75",X"ED",X"55",X"76",X"55",X"75",X"55", - X"FD",X"DF",X"57",X"75",X"D5",X"75",X"D7",X"75",X"FF",X"FD",X"FD",X"FF",X"77",X"5D",X"FD",X"DF", - X"FF",X"FF",X"FF",X"F7",X"FF",X"FF",X"DF",X"7D",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"AA",X"59",X"55",X"55",X"55",X"55",X"55",X"55",X"A5",X"55",X"55",X"55",X"55",X"59",X"55",X"55", - X"A9",X"99",X"59",X"65",X"65",X"55",X"56",X"55",X"A9",X"59",X"55",X"55",X"55",X"95",X"65",X"55", - X"A5",X"65",X"55",X"DD",X"55",X"55",X"55",X"65",X"A6",X"55",X"55",X"55",X"76",X"55",X"57",X"55", - X"A9",X"55",X"57",X"75",X"D5",X"75",X"55",X"5D",X"95",X"55",X"55",X"FF",X"55",X"5D",X"5D",X"55", - X"A5",X"55",X"FF",X"DD",X"FF",X"F5",X"F7",X"77",X"95",X"55",X"5D",X"FF",X"FD",X"FF",X"7F",X"FF", - X"A5",X"55",X"57",X"77",X"DF",X"DF",X"FF",X"77",X"95",X"5F",X"7D",X"FF",X"FF",X"FF",X"F7",X"FF", - X"95",X"77",X"DF",X"F7",X"F7",X"FF",X"FF",X"7F",X"55",X"DD",X"FD",X"FF",X"FF",X"FF",X"FF",X"FF", - X"55",X"7F",X"DF",X"FF",X"FF",X"FF",X"FF",X"FF",X"57",X"F7",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"55",X"59",X"55",X"55",X"59",X"55",X"57",X"65",X"55",X"95",X"59",X"59",X"55",X"55",X"59",X"77", - X"65",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"59",X"55",X"55", - X"55",X"55",X"59",X"65",X"65",X"55",X"56",X"55",X"59",X"99",X"55",X"55",X"55",X"95",X"65",X"55", - X"14",X"54",X"55",X"55",X"55",X"55",X"55",X"65",X"45",X"05",X"21",X"55",X"56",X"55",X"55",X"55", - X"04",X"40",X"54",X"45",X"55",X"55",X"55",X"55",X"00",X"00",X"01",X"04",X"54",X"55",X"55",X"55", - X"11",X"04",X"04",X"11",X"10",X"45",X"45",X"55",X"00",X"10",X"00",X"00",X"01",X"00",X"51",X"55", - X"00",X"00",X"00",X"40",X"10",X"11",X"05",X"54",X"00",X"00",X"00",X"00",X"01",X"00",X"11",X"45", - X"00",X"00",X"00",X"00",X"00",X"01",X"00",X"15",X"00",X"00",X"00",X"00",X"00",X"00",X"01",X"00", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"AA",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"56",X"AA",X"00",X"00",X"00",X"00",X"00",X"00",X"55",X"55",X"AA",X"00",X"00",X"00",X"00",X"00", - X"55",X"55",X"55",X"AA",X"00",X"00",X"00",X"00",X"55",X"55",X"55",X"55",X"A8",X"00",X"00",X"00", - X"55",X"55",X"55",X"55",X"56",X"A0",X"00",X"00",X"55",X"55",X"55",X"55",X"55",X"5A",X"A8",X"00", - X"55",X"55",X"55",X"55",X"55",X"55",X"56",X"A8",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"56", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"DD",X"D5",X"55",X"55",X"55",X"55",X"55",X"55", - X"65",X"57",X"55",X"55",X"55",X"55",X"55",X"55",X"FE",X"ED",X"55",X"D5",X"55",X"55",X"55",X"55", - X"F7",X"F6",X"ED",X"55",X"55",X"55",X"55",X"55",X"FF",X"DF",X"F6",X"DD",X"55",X"55",X"55",X"55", - X"FF",X"FF",X"FF",X"F9",X"DD",X"55",X"55",X"55",X"FE",X"FF",X"BF",X"DF",X"95",X"55",X"55",X"55", - X"FF",X"FF",X"FF",X"F7",X"79",X"D5",X"55",X"55",X"FF",X"FF",X"FF",X"FF",X"D5",X"55",X"55",X"55", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"DF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FD",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"F7",X"FF",X"FF", - X"FF",X"FF",X"FF",X"7F",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"DF",X"FF", - X"FF",X"FF",X"FF",X"DF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FD",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"F7",X"FF",X"FF",X"FF",X"DF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"7F",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"69",X"AA",X"AA",X"AA", - X"AA",X"AA",X"AA",X"AA",X"A6",X"AA",X"AA",X"AA",X"AA",X"9A",X"A9",X"AA",X"AA",X"6A",X"AA",X"AA", - X"AA",X"AA",X"AA",X"A6",X"5A",X"AA",X"AA",X"AA",X"A9",X"AA",X"66",X"66",X"AA",X"A6",X"AA",X"AA", - X"9A",X"9A",X"A9",X"99",X"59",X"9A",X"AA",X"AA",X"55",X"56",X"56",X"55",X"95",X"56",X"AA",X"AA", - X"A5",X"65",X"65",X"96",X"55",X"AA",X"6A",X"AA",X"55",X"95",X"95",X"59",X"59",X"65",X"9A",X"AA", - X"59",X"55",X"55",X"55",X"55",X"AA",X"A9",X"AA",X"95",X"55",X"56",X"55",X"65",X"99",X"6A",X"AA", - X"55",X"55",X"55",X"55",X"55",X"55",X"5A",X"66",X"55",X"55",X"55",X"55",X"55",X"59",X"6A",X"AA", - X"55",X"55",X"55",X"55",X"59",X"95",X"66",X"5A",X"55",X"95",X"59",X"59",X"55",X"55",X"55",X"A6", - X"AA",X"AA",X"00",X"00",X"00",X"00",X"00",X"00",X"55",X"55",X"AA",X"00",X"00",X"00",X"00",X"00", - X"55",X"55",X"56",X"AA",X"A0",X"00",X"00",X"00",X"55",X"55",X"55",X"55",X"5A",X"AA",X"00",X"00", - X"55",X"55",X"55",X"55",X"55",X"55",X"08",X"00",X"55",X"55",X"55",X"55",X"55",X"55",X"56",X"AA", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"D5",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"DE",X"D9",X"55",X"55",X"55",X"55",X"55",X"55",X"FF",X"FD",X"95",X"55",X"55",X"55",X"55",X"55", - X"FF",X"F7",X"FB",X"B5",X"55",X"55",X"55",X"55",X"EF",X"FF",X"FD",X"DE",X"D5",X"55",X"55",X"55", - X"FF",X"FF",X"FF",X"FF",X"FB",X"55",X"55",X"55",X"FF",X"FF",X"EF",X"FF",X"FF",X"ED",X"D5",X"55", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"EF",X"97",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FE", - X"FE",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FD",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"65",X"55",X"55",X"55",X"55",X"55",X"55",X"AA",X"55",X"55",X"55",X"55",X"55",X"59",X"59",X"A6", - X"75",X"79",X"59",X"65",X"65",X"55",X"96",X"9A",X"5B",X"99",X"55",X"55",X"55",X"95",X"69",X"59", - X"57",X"57",X"55",X"DD",X"55",X"55",X"55",X"65",X"55",X"D5",X"ED",X"55",X"76",X"55",X"55",X"99", - X"57",X"5F",X"57",X"75",X"D5",X"75",X"55",X"55",X"5F",X"FD",X"FF",X"FF",X"77",X"5D",X"59",X"65", - X"FF",X"7F",X"FF",X"DD",X"FF",X"F5",X"F5",X"55",X"F7",X"FF",X"DF",X"FF",X"FD",X"FF",X"5D",X"55", - X"7F",X"FF",X"FF",X"FF",X"FF",X"DF",X"F5",X"57",X"FF",X"FF",X"FF",X"FF",X"FF",X"FD",X"DD",X"55", - X"FD",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"55",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"DD",X"57", - X"FF",X"FF",X"FF",X"FF",X"FF",X"F7",X"FF",X"F7",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"F7",X"D5", - X"FF",X"FF",X"FF",X"E5",X"55",X"55",X"55",X"55",X"FF",X"FF",X"FF",X"F9",X"55",X"55",X"55",X"55", - X"FF",X"FF",X"FF",X"FD",X"55",X"55",X"55",X"55",X"FF",X"FF",X"FF",X"FE",X"55",X"55",X"55",X"55", - X"FF",X"FF",X"FF",X"FF",X"55",X"55",X"55",X"55",X"FF",X"FF",X"FF",X"FF",X"95",X"55",X"55",X"55", - X"FF",X"FF",X"FF",X"FF",X"F5",X"55",X"55",X"55",X"FF",X"FF",X"FF",X"FF",X"F9",X"55",X"55",X"55", - X"FF",X"FF",X"FF",X"FF",X"FF",X"D5",X"55",X"55",X"FF",X"FF",X"FF",X"FF",X"FF",X"E5",X"55",X"55", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FD",X"55",X"55",X"FF",X"FF",X"FF",X"FF",X"FF",X"FE",X"55",X"55", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"F5",X"55",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FE",X"55", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"F9",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA", - X"AA",X"AB",X"DA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AB",X"F6",X"AA",X"AA",X"AA",X"AA",X"AA", - X"AA",X"AA",X"FD",X"6A",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"BF",X"D6",X"AA",X"AA",X"AA",X"AA", - X"AA",X"AA",X"AF",X"FD",X"6A",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"EF",X"DA",X"AA",X"AA",X"AA", - X"AA",X"AA",X"AA",X"AF",X"F5",X"AA",X"AA",X"AA",X"AA",X"AF",X"6A",X"AA",X"FF",X"5A",X"AA",X"AA", - X"AA",X"BD",X"6A",X"AA",X"AF",X"F5",X"55",X"55",X"AA",X"F5",X"AA",X"AA",X"AF",X"EF",X"FF",X"FE", - X"2F",X"F6",X"AA",X"AA",X"AA",X"AF",X"FF",X"FE",X"BD",X"DA",X"AA",X"AA",X"AA",X"AA",X"AB",X"6A", - X"A6",X"DA",X"AA",X"AA",X"AA",X"AA",X"AB",X"2A",X"AA",X"DA",X"AA",X"AA",X"AA",X"AA",X"AB",X"6A", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"01",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"10",X"10",X"00",X"00",X"00",X"00",X"00",X"00", - X"44",X"41",X"10",X"00",X"00",X"00",X"00",X"00",X"11",X"04",X"45",X"11",X"04",X"00",X"00",X"00", - X"40",X"40",X"40",X"10",X"40",X"41",X"01",X"01",X"15",X"14",X"11",X"04",X"04",X"10",X"10",X"44", - X"45",X"50",X"55",X"44",X"41",X"00",X"44",X"11",X"55",X"45",X"05",X"15",X"10",X"44",X"11",X"05", - X"14",X"55",X"55",X"44",X"15",X"55",X"55",X"54",X"55",X"55",X"55",X"55",X"59",X"55",X"55",X"65", - X"55",X"95",X"59",X"59",X"55",X"55",X"59",X"55",X"65",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"59",X"55",X"55",X"75",X"59",X"59",X"65",X"65",X"55",X"56",X"55", - X"59",X"99",X"55",X"55",X"55",X"95",X"65",X"55",X"75",X"57",X"55",X"DD",X"55",X"55",X"55",X"65", - X"DF",X"75",X"ED",X"55",X"76",X"55",X"75",X"55",X"FD",X"DF",X"57",X"75",X"D5",X"75",X"D7",X"75", - X"FF",X"FD",X"FF",X"FF",X"77",X"5F",X"FF",X"DF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"01",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"10",X"10",X"00",X"00",X"00",X"00",X"00",X"00", - X"44",X"41",X"10",X"00",X"00",X"00",X"00",X"00",X"11",X"04",X"45",X"11",X"04",X"00",X"00",X"00", - X"40",X"40",X"40",X"10",X"40",X"41",X"01",X"01",X"15",X"14",X"11",X"04",X"04",X"10",X"10",X"44", - X"45",X"50",X"55",X"44",X"41",X"00",X"44",X"11",X"55",X"45",X"05",X"15",X"10",X"44",X"11",X"05", - X"14",X"55",X"55",X"44",X"15",X"55",X"55",X"54",X"55",X"55",X"55",X"55",X"59",X"55",X"55",X"65", - X"55",X"95",X"59",X"59",X"55",X"55",X"59",X"55",X"65",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"59",X"55",X"55",X"75",X"59",X"59",X"65",X"65",X"55",X"56",X"55", - X"59",X"99",X"55",X"55",X"55",X"95",X"65",X"55",X"75",X"57",X"55",X"DD",X"55",X"55",X"55",X"65", - X"DF",X"75",X"ED",X"55",X"76",X"55",X"75",X"55",X"FD",X"DF",X"57",X"75",X"D5",X"75",X"D7",X"75", - X"FF",X"FD",X"FF",X"FF",X"77",X"5F",X"FF",X"DF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"01",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"10",X"10",X"00",X"00",X"00",X"00",X"00",X"00",X"44",X"41",X"10",X"00",X"00",X"00",X"00",X"00", - X"11",X"04",X"45",X"11",X"04",X"00",X"00",X"00",X"40",X"40",X"40",X"10",X"40",X"41",X"01",X"01", - X"15",X"14",X"11",X"04",X"04",X"10",X"10",X"44",X"45",X"50",X"55",X"44",X"41",X"00",X"44",X"11", - X"55",X"45",X"05",X"15",X"10",X"44",X"11",X"05",X"14",X"55",X"55",X"44",X"15",X"55",X"55",X"54", - X"55",X"55",X"55",X"55",X"59",X"55",X"55",X"65",X"55",X"95",X"59",X"59",X"55",X"55",X"59",X"55", - X"65",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"59",X"55",X"55", - X"75",X"59",X"59",X"65",X"65",X"55",X"56",X"55",X"59",X"99",X"55",X"55",X"55",X"95",X"65",X"55", - X"75",X"57",X"55",X"DD",X"55",X"55",X"55",X"67",X"DF",X"75",X"ED",X"55",X"76",X"55",X"75",X"57", - X"FD",X"DF",X"57",X"75",X"D5",X"75",X"D7",X"77",X"FF",X"FD",X"FF",X"FF",X"77",X"5F",X"FF",X"DF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FB",X"FF",X"FF",X"FF",X"FF",X"F7", - X"FF",X"FF",X"DF",X"FB",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"F7",X"FE",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FD",X"7F",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"D7",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FD",X"7F",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"DF",X"FF",X"7F",X"FF", - X"FF",X"FF",X"DF",X"FF",X"F5",X"FF",X"FF",X"FF",X"FF",X"FF",X"7F",X"FF",X"FF",X"5F",X"FF",X"FF", - X"FF",X"FD",X"7F",X"FF",X"FF",X"F5",X"55",X"55",X"FF",X"F5",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"F7",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FD",X"DF",X"FF",X"FF",X"FF",X"FF",X"FF",X"7F", - X"F7",X"DF",X"FF",X"FF",X"FF",X"FF",X"FF",X"3F",X"AA",X"DA",X"AA",X"AA",X"AA",X"AA",X"AB",X"6A", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"01",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"10",X"10",X"00",X"00",X"00",X"00",X"00",X"00",X"44",X"41",X"10",X"00",X"00",X"00",X"00",X"00", - X"11",X"04",X"45",X"11",X"04",X"00",X"00",X"00",X"40",X"40",X"40",X"10",X"40",X"41",X"01",X"01", - X"15",X"14",X"11",X"04",X"04",X"10",X"10",X"44",X"45",X"50",X"55",X"44",X"41",X"00",X"44",X"11", - X"55",X"45",X"05",X"15",X"10",X"44",X"11",X"05",X"14",X"55",X"55",X"44",X"15",X"55",X"55",X"54", - X"55",X"55",X"55",X"55",X"59",X"55",X"55",X"65",X"55",X"95",X"59",X"59",X"55",X"55",X"59",X"55", - X"65",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"59",X"55",X"55", - X"75",X"59",X"59",X"65",X"65",X"55",X"56",X"55",X"59",X"99",X"55",X"55",X"55",X"95",X"65",X"55", - X"75",X"57",X"55",X"DD",X"55",X"55",X"55",X"67",X"DF",X"75",X"ED",X"55",X"76",X"55",X"75",X"57", - X"FD",X"DF",X"57",X"75",X"D5",X"75",X"D7",X"77",X"FF",X"FD",X"FF",X"FF",X"77",X"5F",X"FF",X"DF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FD",X"D5",X"55",X"55",X"55",X"55",X"59",X"AA",X"F7",X"5D",X"55",X"55",X"55",X"55",X"56",X"6A", - X"DD",X"D5",X"55",X"55",X"55",X"55",X"99",X"9A",X"F7",X"55",X"D5",X"55",X"55",X"55",X"56",X"6A", - X"7F",X"55",X"55",X"D5",X"55",X"55",X"56",X"AA",X"FD",X"DD",X"55",X"55",X"55",X"59",X"59",X"A6", - X"F7",X"55",X"55",X"55",X"55",X"55",X"96",X"6A",X"DD",X"D5",X"55",X"55",X"55",X"55",X"59",X"AA", - X"FF",X"57",X"55",X"55",X"55",X"55",X"56",X"A6",X"F7",X"55",X"5D",X"55",X"55",X"55",X"96",X"AA", - X"DD",X"D5",X"55",X"55",X"55",X"55",X"59",X"9A",X"77",X"5D",X"55",X"55",X"55",X"55",X"56",X"6A", - X"FD",X"D5",X"75",X"55",X"55",X"59",X"59",X"A6",X"F7",X"55",X"55",X"55",X"55",X"55",X"56",X"7A", - X"DF",X"55",X"55",X"55",X"55",X"55",X"96",X"AA",X"FD",X"D7",X"55",X"55",X"55",X"55",X"59",X"A6", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"01",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"10",X"10",X"00",X"00",X"00",X"00",X"00",X"00",X"44",X"41",X"10",X"00",X"00",X"00",X"00",X"00", - X"11",X"04",X"45",X"11",X"04",X"00",X"00",X"00",X"40",X"40",X"40",X"10",X"40",X"41",X"01",X"01", - X"15",X"14",X"11",X"04",X"04",X"10",X"10",X"44",X"45",X"50",X"55",X"44",X"41",X"00",X"44",X"11", - X"55",X"45",X"05",X"15",X"10",X"44",X"11",X"05",X"14",X"55",X"55",X"44",X"15",X"55",X"55",X"54", - X"55",X"55",X"55",X"55",X"59",X"55",X"55",X"65",X"55",X"95",X"59",X"59",X"55",X"55",X"59",X"55", - X"65",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"59",X"55",X"55", - X"75",X"59",X"59",X"65",X"65",X"55",X"56",X"55",X"59",X"99",X"55",X"55",X"55",X"95",X"65",X"55", - X"75",X"57",X"55",X"DD",X"55",X"55",X"55",X"67",X"DF",X"75",X"ED",X"55",X"76",X"55",X"75",X"55", - X"FD",X"DF",X"57",X"75",X"D5",X"75",X"D7",X"75",X"FF",X"FD",X"FF",X"FF",X"77",X"5F",X"FF",X"DF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FD",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"F7",X"55",X"55",X"D5",X"55",X"55",X"56",X"6A",X"7D",X"DD",X"55",X"55",X"55",X"55",X"59",X"9A", - X"F7",X"55",X"55",X"55",X"55",X"56",X"66",X"AA",X"DF",X"55",X"D5",X"55",X"55",X"55",X"56",X"66", - X"FD",X"DD",X"55",X"55",X"55",X"55",X"59",X"AA",X"F7",X"55",X"57",X"55",X"55",X"55",X"66",X"6A", - X"DD",X"D5",X"55",X"55",X"55",X"65",X"59",X"AA",X"F7",X"57",X"55",X"55",X"55",X"55",X"56",X"6A", - X"F7",X"55",X"55",X"55",X"55",X"55",X"96",X"9A",X"FD",X"D5",X"75",X"55",X"55",X"55",X"59",X"AA", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"5A",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FE", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FC",X"FD",X"D5",X"55",X"55",X"55",X"55",X"A7",X"AA", - X"FC",X"D5",X"55",X"55",X"55",X"55",X"A7",X"AA",X"A9",X"EA",X"AA",X"AA",X"AA",X"AA",X"A7",X"AA", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"01",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"10",X"10",X"00",X"00",X"00",X"00",X"00",X"00",X"44",X"41",X"10",X"00",X"00",X"00",X"00",X"00", - X"11",X"04",X"45",X"11",X"04",X"00",X"00",X"00",X"40",X"40",X"40",X"10",X"40",X"41",X"01",X"01", - X"15",X"14",X"11",X"04",X"04",X"10",X"10",X"44",X"45",X"50",X"55",X"44",X"41",X"00",X"44",X"11", - X"55",X"45",X"05",X"15",X"10",X"44",X"11",X"05",X"14",X"55",X"55",X"44",X"15",X"55",X"55",X"54", - X"55",X"55",X"55",X"55",X"59",X"55",X"55",X"65",X"55",X"95",X"59",X"59",X"55",X"55",X"59",X"55", - X"65",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"59",X"55",X"55", - X"75",X"59",X"59",X"65",X"65",X"55",X"56",X"55",X"59",X"99",X"55",X"55",X"55",X"95",X"65",X"55", - X"75",X"57",X"55",X"DD",X"55",X"55",X"55",X"67",X"DF",X"75",X"ED",X"55",X"76",X"55",X"75",X"55", - X"FD",X"DF",X"57",X"75",X"D5",X"75",X"D7",X"75",X"FF",X"FD",X"FF",X"FF",X"77",X"5F",X"FF",X"DF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FD",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"AA",X"AA",X"AA",X"95",X"55",X"55",X"55",X"55",X"AA",X"AA",X"AA",X"95",X"55",X"55",X"55",X"45", - X"AA",X"9A",X"6A",X"65",X"55",X"55",X"65",X"65",X"AA",X"AA",X"A6",X"95",X"55",X"65",X"55",X"15", - X"AA",X"AA",X"A9",X"55",X"55",X"55",X"55",X"55",X"AA",X"9A",X"66",X"55",X"55",X"55",X"D5",X"75", - X"A9",X"A6",X"99",X"55",X"55",X"55",X"57",X"55",X"AA",X"A9",X"56",X"55",X"65",X"55",X"55",X"77", - X"AA",X"A6",X"55",X"55",X"55",X"55",X"55",X"5D",X"A9",X"A9",X"55",X"55",X"55",X"59",X"77",X"55", - X"AA",X"A5",X"95",X"55",X"55",X"55",X"55",X"75",X"9A",X"99",X"55",X"55",X"55",X"5D",X"75",X"DD", - X"AA",X"95",X"55",X"55",X"55",X"55",X"57",X"77",X"AA",X"95",X"55",X"55",X"55",X"55",X"D5",X"DF", - X"AA",X"65",X"95",X"55",X"56",X"55",X"57",X"77",X"AA",X"96",X"55",X"55",X"55",X"5D",X"75",X"FF", - X"51",X"11",X"04",X"11",X"10",X"00",X"00",X"00",X"55",X"55",X"10",X"40",X"01",X"10",X"00",X"00", - X"55",X"55",X"55",X"04",X"50",X"40",X"10",X"00",X"55",X"55",X"54",X"51",X"04",X"04",X"00",X"00", - X"55",X"55",X"55",X"14",X"51",X"40",X"41",X"00",X"55",X"55",X"55",X"55",X"04",X"04",X"00",X"04", - X"55",X"55",X"55",X"51",X"10",X"40",X"10",X"00",X"55",X"55",X"55",X"55",X"51",X"11",X"01",X"00", - X"55",X"55",X"55",X"55",X"04",X"00",X"00",X"10",X"55",X"55",X"55",X"54",X"51",X"10",X"00",X"00", - X"55",X"55",X"55",X"55",X"10",X"41",X"04",X"41",X"55",X"55",X"55",X"10",X"44",X"10",X"00",X"00", - X"55",X"55",X"54",X"45",X"01",X"00",X"40",X"00",X"55",X"55",X"51",X"14",X"10",X"00",X"04",X"00", - X"55",X"55",X"14",X"41",X"44",X"44",X"00",X"00",X"11",X"11",X"04",X"04",X"10",X"00",X"00",X"00", - X"10",X"10",X"00",X"00",X"00",X"00",X"00",X"00",X"44",X"41",X"10",X"00",X"00",X"00",X"00",X"00", - X"11",X"04",X"45",X"11",X"04",X"00",X"00",X"00",X"40",X"40",X"40",X"10",X"40",X"41",X"01",X"01", - X"15",X"14",X"11",X"04",X"04",X"10",X"10",X"44",X"45",X"50",X"55",X"44",X"41",X"00",X"44",X"11", - X"55",X"45",X"05",X"15",X"10",X"44",X"11",X"05",X"14",X"55",X"55",X"44",X"15",X"55",X"55",X"54", - X"55",X"55",X"55",X"55",X"59",X"55",X"55",X"65",X"55",X"95",X"59",X"59",X"55",X"55",X"59",X"55", - X"65",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"59",X"55",X"55", - X"75",X"59",X"59",X"65",X"65",X"55",X"56",X"55",X"59",X"99",X"55",X"55",X"55",X"95",X"65",X"55", - X"75",X"57",X"55",X"DD",X"55",X"55",X"55",X"67",X"DF",X"75",X"ED",X"55",X"76",X"55",X"75",X"55", - X"AA",X"65",X"55",X"55",X"55",X"55",X"57",X"7F",X"A9",X"95",X"55",X"55",X"55",X"55",X"75",X"DF", - X"A6",X"66",X"55",X"55",X"55",X"55",X"57",X"77",X"A9",X"95",X"55",X"55",X"55",X"57",X"55",X"DF", - X"AA",X"95",X"55",X"55",X"57",X"55",X"55",X"FD",X"9A",X"65",X"65",X"55",X"55",X"55",X"77",X"7F", - X"A9",X"96",X"55",X"55",X"55",X"55",X"55",X"DF",X"AA",X"65",X"55",X"55",X"55",X"55",X"57",X"77", - X"9A",X"95",X"55",X"55",X"55",X"55",X"D5",X"FF",X"AA",X"96",X"55",X"55",X"55",X"75",X"55",X"DF", - X"A6",X"65",X"55",X"55",X"55",X"55",X"57",X"77",X"A9",X"95",X"55",X"55",X"55",X"55",X"75",X"DD", - X"9A",X"65",X"65",X"55",X"55",X"5D",X"57",X"7F",X"A9",X"95",X"55",X"55",X"55",X"55",X"55",X"DF", - X"AA",X"96",X"55",X"55",X"55",X"55",X"55",X"F7",X"9A",X"65",X"55",X"55",X"55",X"55",X"D7",X"7F", - X"A9",X"95",X"55",X"55",X"57",X"55",X"55",X"DF",X"A6",X"65",X"55",X"55",X"55",X"55",X"77",X"7D", - X"AA",X"99",X"95",X"55",X"55",X"55",X"55",X"DF",X"99",X"95",X"55",X"55",X"55",X"57",X"55",X"F7", - X"AA",X"65",X"55",X"55",X"55",X"55",X"77",X"7F",X"A9",X"99",X"55",X"55",X"55",X"D5",X"55",X"DF", - X"AA",X"65",X"59",X"55",X"55",X"55",X"57",X"77",X"A9",X"95",X"55",X"55",X"55",X"55",X"D5",X"DF", - X"A6",X"96",X"55",X"55",X"55",X"55",X"55",X"DF",X"AA",X"65",X"55",X"55",X"55",X"5D",X"57",X"7F", - X"A5",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"BF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"3F",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"AA",X"DA",X"55",X"55",X"55",X"55",X"57",X"7F", - X"AA",X"DA",X"55",X"55",X"55",X"55",X"57",X"3F",X"AA",X"DA",X"AA",X"AA",X"AA",X"AA",X"AB",X"6A", - X"11",X"04",X"45",X"11",X"04",X"00",X"00",X"00",X"40",X"40",X"40",X"10",X"40",X"41",X"01",X"01", - X"15",X"14",X"11",X"04",X"04",X"10",X"10",X"44",X"45",X"50",X"55",X"44",X"41",X"00",X"44",X"11", - X"55",X"45",X"05",X"15",X"10",X"44",X"11",X"05",X"14",X"55",X"55",X"44",X"15",X"55",X"55",X"54", - X"55",X"55",X"55",X"55",X"59",X"55",X"55",X"65",X"55",X"95",X"59",X"59",X"55",X"55",X"59",X"55", - X"65",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"59",X"55",X"55", - X"75",X"59",X"59",X"65",X"65",X"55",X"56",X"55",X"59",X"99",X"55",X"55",X"55",X"95",X"65",X"55", - X"75",X"57",X"55",X"DD",X"55",X"55",X"55",X"67",X"DF",X"75",X"ED",X"55",X"76",X"55",X"75",X"55", - X"FD",X"DF",X"57",X"75",X"D5",X"75",X"D7",X"75",X"FF",X"FD",X"FF",X"FF",X"77",X"5F",X"F7",X"DF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FD",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FD",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"F7",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"F7",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"F7",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"7F",X"F5",X"FF",X"FF",X"F7",X"FF",X"FF",X"FF",X"FF",X"FD",X"FF",X"FF", - X"FF",X"FF",X"FF",X"F7",X"FF",X"FD",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"F7",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"F7",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FD",X"7F",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"5F",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"DF",X"FF", - X"FF",X"7F",X"FF",X"FF",X"FF",X"FF",X"DF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"7F",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"7F",X"FF",X"FF",X"FF",X"FF",X"FF",X"F7",X"FF",X"7F",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"7F",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"7F",X"DF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"DF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"DF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"7F",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"7F",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FD",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"F7",X"FF",X"FF", - X"FF",X"FF",X"FF",X"DF",X"FF",X"DF",X"FF",X"FF",X"FF",X"FF",X"FD",X"FF",X"FF",X"DF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"D7",X"FF",X"FF",X"FF",X"FF",X"FF",X"F7",X"FF",X"F5",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FD",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FD",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"F5",X"5A",X"AA",X"FF",X"FF",X"FF",X"FF",X"FF",X"75",X"55",X"9F", - X"FF",X"FF",X"DF",X"FF",X"7F",X"F5",X"56",X"A7",X"FF",X"FF",X"DF",X"FF",X"FF",X"D5",X"FE",X"7B", - X"FF",X"FD",X"DF",X"FF",X"FF",X"D7",X"FE",X"FE",X"FF",X"FF",X"FF",X"FF",X"FF",X"D5",X"FE",X"EF", - X"FD",X"FF",X"FF",X"F7",X"FF",X"D7",X"FB",X"FF",X"FF",X"FF",X"FF",X"F7",X"FF",X"5F",X"FF",X"FF", - X"FF",X"FF",X"F7",X"DF",X"FF",X"7F",X"FF",X"FF",X"FF",X"FF",X"F5",X"FF",X"FF",X"7F",X"FF",X"FF", - X"FF",X"FF",X"DF",X"FF",X"D5",X"FF",X"FF",X"FF",X"FF",X"DF",X"FF",X"FF",X"F7",X"DF",X"DF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"F5",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"F7",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"F7",X"7F",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"5F",X"FF",X"FF",X"FF", - X"55",X"55",X"55",X"55",X"56",X"AA",X"AA",X"AA",X"51",X"55",X"55",X"55",X"56",X"AA",X"AA",X"AA", - X"59",X"59",X"55",X"55",X"59",X"A9",X"A6",X"AA",X"54",X"55",X"59",X"55",X"56",X"9A",X"AA",X"AA", - X"55",X"55",X"55",X"55",X"55",X"6A",X"AA",X"AA",X"5D",X"57",X"55",X"55",X"55",X"99",X"A6",X"AA", - X"55",X"D5",X"55",X"55",X"55",X"66",X"9A",X"6A",X"DD",X"55",X"55",X"59",X"55",X"95",X"6A",X"AA", - X"75",X"55",X"55",X"55",X"55",X"55",X"9A",X"AA",X"55",X"DD",X"65",X"55",X"55",X"55",X"6A",X"6A", - X"5D",X"55",X"55",X"55",X"55",X"56",X"5A",X"AA",X"77",X"5D",X"75",X"55",X"55",X"55",X"66",X"A6", - X"DD",X"D5",X"55",X"55",X"55",X"55",X"56",X"AA",X"F7",X"57",X"55",X"55",X"55",X"55",X"56",X"AA", - X"DD",X"D5",X"55",X"95",X"55",X"56",X"59",X"AA",X"FF",X"5D",X"75",X"55",X"55",X"55",X"96",X"AA", - X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA", - X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA", - X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA", - X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA", - X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA", - X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA", - X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA", - X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA", - X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA", - X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA", - X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA", - X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA", - X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA", - X"A5",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"BF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FE", - X"3F",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FE",X"AA",X"DA",X"AA",X"AA",X"AA",X"AA",X"AB",X"6A", - X"AA",X"DA",X"AA",X"AA",X"AA",X"AA",X"AB",X"2A",X"AA",X"DA",X"AA",X"AA",X"AA",X"AA",X"AB",X"6A", - X"00",X"D0",X"00",X"00",X"00",X"00",X"03",X"40",X"00",X"D1",X"40",X"00",X"00",X"00",X"03",X"44", - X"00",X"D4",X"00",X"00",X"00",X"00",X"03",X"50",X"00",X"D0",X"00",X"00",X"00",X"01",X"03",X"40", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"04",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"10",X"00",X"00",X"00",X"10",X"00",X"04",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"10",X"00",X"01",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"10",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"40",X"01",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"10",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"F7",X"7F",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"DF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"5F",X"7D",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FD",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"7F",X"7F",X"F7",X"FF",X"FF",X"FF", - X"FF",X"FD",X"F7",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"F7",X"7F",X"FF",X"DF",X"FF", - X"FD",X"DF",X"5F",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"7F",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FD",X"FF",X"DF",X"7D",X"FF",X"FF",X"F7",X"FF",X"D7",X"FF",X"FF",X"FF",X"FF",X"FF",X"FE",X"FF", - X"55",X"FF",X"FF",X"FF",X"FF",X"FF",X"D6",X"AF",X"F7",X"FF",X"FF",X"FF",X"FF",X"FF",X"D6",X"AF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"D7",X"AF",X"FF",X"FF",X"FF",X"F7",X"FD",X"FF",X"D5",X"5F", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"D5",X"FF",X"FF",X"FF",X"7F",X"F7",X"FD",X"DF",X"D5",X"FF", - X"FF",X"FD",X"FF",X"5F",X"F7",X"FF",X"D7",X"FF",X"FF",X"F5",X"FF",X"DF",X"FF",X"FF",X"5F",X"FF", - X"FF",X"DD",X"FD",X"7F",X"FF",X"FF",X"5F",X"FF",X"FF",X"FF",X"F7",X"FF",X"FF",X"F5",X"FF",X"FF", - X"FF",X"FF",X"F5",X"FF",X"7F",X"FD",X"7F",X"FF",X"FF",X"FF",X"FF",X"57",X"FF",X"F5",X"FF",X"FF", - X"FF",X"FF",X"FF",X"F7",X"FD",X"57",X"FF",X"FF",X"FF",X"FF",X"FF",X"F5",X"DD",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"F7",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"7F",X"FF",X"FF",X"FF",X"FF",X"DF",X"FF",X"FF",X"FF",X"DD",X"FF", - X"FF",X"FF",X"FF",X"F7",X"FF",X"FF",X"FF",X"7F",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"7F", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"EA",X"FF",X"DF",X"FF",X"FF",X"FF",X"FF",X"FF",X"EA", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"6A",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"7F",X"55", - X"FF",X"FF",X"FF",X"FF",X"FF",X"7F",X"FF",X"5D",X"FF",X"FF",X"77",X"FF",X"FF",X"FD",X"DF",X"77", - X"FF",X"FF",X"FD",X"FF",X"FF",X"FF",X"FD",X"FF",X"FF",X"FF",X"FD",X"F7",X"FD",X"F7",X"77",X"7F", - X"FF",X"FF",X"FF",X"F7",X"7F",X"F7",X"F5",X"FF",X"FF",X"FF",X"FF",X"FF",X"FD",X"DF",X"DF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"DF",X"5F",X"FF",X"FD",X"FF",X"F7",X"FF",X"FF",X"F5",X"D7",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"DA",X"BF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"DA",X"BF", - X"FF",X"7F",X"FF",X"FF",X"FF",X"7F",X"DA",X"BF",X"FD",X"7F",X"FF",X"F7",X"FF",X"FF",X"D5",X"7F", - X"FF",X"FF",X"FF",X"FF",X"FF",X"DF",X"57",X"7F",X"FF",X"DF",X"FF",X"DF",X"FF",X"7D",X"7D",X"FF", - X"FF",X"FD",X"FF",X"FF",X"FF",X"FD",X"F7",X"FF",X"FF",X"FD",X"7F",X"7F",X"FD",X"FF",X"DF",X"FF", - X"FF",X"FF",X"7D",X"7F",X"FF",X"FD",X"FF",X"FF",X"FF",X"FF",X"FD",X"5F",X"D7",X"D7",X"FF",X"FF", - X"FF",X"FF",X"FF",X"D7",X"55",X"F7",X"FF",X"FF",X"FF",X"FF",X"FF",X"FD",X"D7",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"AA",X"65",X"55",X"55",X"55",X"55",X"59",X"AA",X"AA",X"95",X"55",X"59",X"95",X"55",X"56",X"9A", - X"A9",X"A5",X"55",X"55",X"55",X"55",X"59",X"AA",X"AA",X"99",X"55",X"55",X"95",X"55",X"56",X"6A", - X"AA",X"55",X"55",X"59",X"65",X"55",X"59",X"AA",X"AA",X"99",X"55",X"55",X"55",X"55",X"56",X"9A", - X"AA",X"A5",X"55",X"55",X"95",X"55",X"59",X"AA",X"AA",X"69",X"55",X"55",X"55",X"55",X"66",X"6A", - X"AA",X"A6",X"55",X"55",X"95",X"55",X"59",X"AA",X"AA",X"A9",X"95",X"59",X"55",X"55",X"56",X"AA", - X"AA",X"66",X"56",X"55",X"95",X"55",X"55",X"AA",X"AA",X"A9",X"55",X"65",X"55",X"55",X"55",X"6A", - X"AA",X"A5",X"56",X"56",X"65",X"55",X"55",X"AA",X"AA",X"99",X"55",X"55",X"55",X"55",X"66",X"AA", - X"AA",X"A9",X"55",X"55",X"95",X"55",X"99",X"AA",X"AA",X"A6",X"55",X"55",X"55",X"55",X"66",X"AA", - X"AA",X"A9",X"55",X"59",X"55",X"55",X"5A",X"6A",X"AA",X"A6",X"55",X"55",X"55",X"55",X"56",X"AA", - X"AA",X"A9",X"95",X"55",X"55",X"55",X"59",X"AA",X"AA",X"AA",X"65",X"56",X"55",X"55",X"56",X"AA", - X"AA",X"A9",X"95",X"55",X"55",X"55",X"5A",X"9A",X"AA",X"AA",X"69",X"55",X"55",X"55",X"66",X"AA", - X"AA",X"AA",X"95",X"55",X"55",X"59",X"9A",X"AA",X"AA",X"A9",X"A5",X"55",X"95",X"5A",X"69",X"AA", - X"AA",X"AA",X"99",X"95",X"55",X"A6",X"AA",X"AA",X"AA",X"AA",X"A5",X"65",X"56",X"6A",X"9A",X"AA", - X"AA",X"9A",X"A9",X"95",X"59",X"AA",X"AA",X"AA",X"AA",X"AA",X"9A",X"65",X"56",X"66",X"AA",X"AA", - X"AA",X"AA",X"A9",X"99",X"59",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"A6",X"6A",X"AA",X"AA",X"AA", - X"AA",X"AA",X"AA",X"6A",X"A6",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA", - X"A0",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"A0",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"A8",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"A8",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"A8",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"AA",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"AA",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"AA",X"88",X"00",X"00",X"00",X"00",X"00",X"00", - X"AA",X"A0",X"00",X"00",X"00",X"00",X"00",X"00",X"AA",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"A8",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"A8",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"A8",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"AA",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"A8",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"A8",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"A0",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"A0",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"A0",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"A8",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"A8",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"A0",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"A0",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"A8",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"AA",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"AA",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"AA",X"80",X"00",X"00",X"00",X"00",X"00",X"00",X"A8",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"A0",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"A0",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"A0",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"80",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA", - X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA", - X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA", - X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA", - X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA", - X"A5",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"BF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FE", - X"BF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FE",X"AA",X"DA",X"AA",X"AA",X"AA",X"AA",X"AB",X"6A", - X"AA",X"DA",X"AA",X"AA",X"AA",X"AA",X"AB",X"2A",X"AA",X"DA",X"AA",X"AA",X"AA",X"AA",X"AB",X"6A", - X"A0",X"D0",X"00",X"00",X"00",X"00",X"03",X"40",X"A0",X"D1",X"40",X"00",X"00",X"00",X"03",X"44", - X"80",X"D4",X"00",X"00",X"00",X"00",X"03",X"50",X"80",X"D0",X"00",X"00",X"00",X"01",X"03",X"40", - X"B0",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"A0",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"A8",X"00",X"00",X"00",X"00",X"00",X"10",X"00",X"AB",X"00",X"10",X"00",X"04",X"00",X"00",X"00", - X"AA",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"AA",X"10",X"00",X"01",X"00",X"00",X"00",X"00", - X"A8",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"A8",X"00",X"10",X"00",X"00",X"00",X"00",X"00", - X"A0",X"00",X"00",X"00",X"00",X"40",X"01",X"00",X"A0",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"A0",X"10",X"00",X"00",X"00",X"00",X"00",X"00",X"A0",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"F6",X"AA",X"AA",X"00",X"00",X"00",X"00",X"40",X"B6",X"AA",X"AA", - X"00",X"00",X"00",X"00",X"00",X"FA",X"AA",X"A6",X"10",X"00",X"00",X"00",X"00",X"EA",X"AA",X"AA", - X"00",X"00",X"00",X"40",X"03",X"EA",X"AA",X"AA",X"00",X"00",X"00",X"00",X"03",X"6A",X"AA",X"AA", - X"00",X"04",X"00",X"00",X"4D",X"6A",X"AA",X"AA",X"00",X"00",X"00",X"00",X"0E",X"6A",X"AA",X"AA", - X"01",X"00",X"00",X"40",X"0B",X"5A",X"AA",X"AA",X"00",X"00",X"00",X"00",X"02",X"D6",X"AA",X"AA", - X"00",X"00",X"00",X"00",X"00",X"D6",X"AA",X"AA",X"00",X"00",X"00",X"00",X"00",X"B6",X"AA",X"AA", - X"03",X"00",X"00",X"00",X"70",X"26",X"AA",X"AA",X"07",X"00",X"00",X"01",X"70",X"36",X"AA",X"AA", - X"07",X"00",X"00",X"14",X"70",X"BA",X"6A",X"AA",X"07",X"00",X"00",X"00",X"70",X"AA",X"AA",X"AA", - X"A7",X"AA",X"AA",X"AA",X"7A",X"AA",X"AA",X"AA",X"A7",X"AA",X"AA",X"AA",X"7A",X"AA",X"AA",X"AA", - X"A7",X"AA",X"AA",X"AA",X"7A",X"AA",X"AA",X"AA",X"BF",X"FF",X"FF",X"FF",X"FF",X"EA",X"AA",X"AA", - X"BF",X"FF",X"FF",X"FF",X"FF",X"EA",X"AA",X"A6",X"95",X"55",X"55",X"55",X"55",X"AA",X"AA",X"AA", - X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"9A",X"A6",X"AA",X"AA",X"AA", - X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA", - X"AA",X"AA",X"AA",X"AA",X"A9",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA", - X"AA",X"AA",X"A6",X"AA",X"AA",X"AA",X"9A",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA", - X"AA",X"AA",X"A9",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA", - X"00",X"00",X"00",X"00",X"00",X"1A",X"AA",X"AA",X"00",X"00",X"00",X"00",X"00",X"1A",X"AA",X"AA", - X"00",X"00",X"00",X"00",X"00",X"1A",X"AA",X"AA",X"00",X"00",X"00",X"00",X"00",X"2A",X"AA",X"AA", - X"00",X"00",X"00",X"00",X"00",X"AA",X"AA",X"AA",X"00",X"00",X"00",X"00",X"00",X"AA",X"AA",X"AA", - X"00",X"00",X"00",X"00",X"01",X"6A",X"AA",X"AA",X"00",X"00",X"00",X"00",X"00",X"5A",X"AA",X"AA", - X"00",X"00",X"00",X"00",X"00",X"1A",X"AA",X"AA",X"00",X"00",X"00",X"00",X"00",X"1A",X"AA",X"AA", - X"00",X"00",X"00",X"00",X"00",X"2A",X"AA",X"6A",X"00",X"00",X"00",X"00",X"00",X"6A",X"AA",X"AA", - X"00",X"00",X"00",X"00",X"00",X"5A",X"AA",X"AA",X"00",X"00",X"00",X"00",X"00",X"16",X"AA",X"AA", - X"00",X"00",X"00",X"00",X"00",X"06",X"AA",X"AA",X"00",X"00",X"00",X"00",X"00",X"0A",X"AA",X"A6", - X"00",X"00",X"00",X"00",X"00",X"2A",X"AA",X"A6",X"00",X"00",X"00",X"00",X"00",X"6A",X"AA",X"AA", - X"00",X"00",X"00",X"00",X"00",X"6A",X"AA",X"AA",X"00",X"00",X"00",X"00",X"00",X"5A",X"AA",X"AA", - X"00",X"00",X"00",X"00",X"00",X"1A",X"AA",X"AA",X"00",X"00",X"00",X"00",X"00",X"1A",X"AA",X"AA", - X"00",X"00",X"00",X"00",X"00",X"2A",X"AA",X"AA",X"00",X"00",X"00",X"00",X"00",X"6A",X"A6",X"AA", - X"00",X"00",X"00",X"00",X"01",X"6A",X"AA",X"AA",X"00",X"00",X"00",X"00",X"00",X"6A",X"AA",X"AA", - X"00",X"00",X"00",X"00",X"00",X"6A",X"AA",X"AA",X"00",X"00",X"00",X"00",X"00",X"16",X"AA",X"AA", - X"00",X"00",X"00",X"00",X"00",X"06",X"AA",X"AA",X"00",X"00",X"00",X"00",X"00",X"06",X"AA",X"AA", - X"00",X"00",X"00",X"00",X"00",X"06",X"AA",X"AA",X"00",X"00",X"00",X"00",X"00",X"06",X"AA",X"AA"); -begin -process(clk) -begin - if rising_edge(clk) then - data <= rom_data(to_integer(unsigned(addr))); - end if; -end process; -end architecture; diff --git a/Arcade_MiST/Midway MCR Scroll/SpyHunter_MiST/rtl/rom/spy_hunter_bg_bits_2.vhd b/Arcade_MiST/Midway MCR Scroll/SpyHunter_MiST/rtl/rom/spy_hunter_bg_bits_2.vhd deleted file mode 100644 index 04062d68..00000000 --- a/Arcade_MiST/Midway MCR Scroll/SpyHunter_MiST/rtl/rom/spy_hunter_bg_bits_2.vhd +++ /dev/null @@ -1,1046 +0,0 @@ -library ieee; -use ieee.std_logic_1164.all,ieee.numeric_std.all; - -entity spy_hunter_bg_bits_2 is -port ( - clk : in std_logic; - addr : in std_logic_vector(13 downto 0); - data : out std_logic_vector(7 downto 0) -); -end entity; - -architecture prom of spy_hunter_bg_bits_2 is - type rom is array(0 to 16383) of std_logic_vector(7 downto 0); - signal rom_data: rom := ( - X"55",X"55",X"55",X"55",X"59",X"95",X"55",X"55",X"15",X"55",X"56",X"A5",X"5A",X"A9",X"55",X"55", - X"55",X"55",X"66",X"56",X"55",X"AA",X"55",X"65",X"55",X"95",X"51",X"65",X"45",X"66",X"95",X"55", - X"55",X"55",X"55",X"04",X"11",X"6A",X"56",X"55",X"56",X"54",X"41",X"15",X"45",X"69",X"69",X"55", - X"55",X"11",X"54",X"14",X"54",X"59",X"66",X"95",X"55",X"44",X"50",X"05",X"55",X"64",X"5A",X"95", - X"55",X"15",X"11",X"10",X"69",X"A5",X"56",X"95",X"55",X"51",X"55",X"54",X"6A",X"85",X"12",X"65", - X"55",X"55",X"00",X"50",X"16",X"61",X"4A",X"95",X"55",X"51",X"51",X"66",X"55",X"50",X"19",X"95", - X"55",X"55",X"45",X"9A",X"55",X"61",X"5A",X"55",X"55",X"55",X"56",X"55",X"55",X"54",X"55",X"95", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"65",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"12",X"65",X"55",X"55",X"55",X"5A",X"A5", - X"56",X"55",X"6A",X"55",X"D9",X"95",X"59",X"69",X"55",X"46",X"5A",X"5A",X"A9",X"65",X"65",X"69", - X"54",X"43",X"96",X"51",X"AA",X"55",X"51",X"A6",X"55",X"44",X"4A",X"65",X"56",X"A5",X"06",X"6A", - X"57",X"14",X"56",X"81",X"51",X"A6",X"21",X"29",X"56",X"05",X"55",X"15",X"45",X"69",X"5A",X"69", - X"59",X"11",X"16",X"05",X"55",X"6A",X"41",X"A5",X"56",X"21",X"4B",X"05",X"15",X"1A",X"56",X"55", - X"54",X"51",X"99",X"90",X"50",X"5A",X"51",X"55",X"55",X"72",X"55",X"42",X"55",X"99",X"75",X"55", - X"55",X"55",X"54",X"59",X"13",X"A5",X"55",X"55",X"55",X"55",X"55",X"54",X"45",X"A5",X"55",X"55", - X"55",X"55",X"55",X"56",X"16",X"95",X"55",X"55",X"55",X"55",X"55",X"55",X"2A",X"59",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"D5",X"55",X"55",X"55",X"55",X"55",X"56",X"55",X"55",X"55",X"65",X"55", - X"55",X"57",X"55",X"55",X"59",X"55",X"55",X"D5",X"55",X"55",X"55",X"55",X"55",X"75",X"55",X"55", - X"57",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"95",X"56",X"55",X"57",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"75",X"55",X"56",X"55",X"55",X"75",X"55", - X"55",X"55",X"55",X"55",X"57",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"F5",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"0D",X"D7",X"55",X"55",X"55",X"55",X"55",X"55",X"00",X"00",X"DD",X"55",X"55",X"55",X"55",X"55", - X"00",X"00",X"03",X"75",X"55",X"55",X"55",X"55",X"00",X"00",X"00",X"0F",X"7D",X"DD",X"55",X"55", - X"00",X"00",X"00",X"00",X"00",X"37",X"77",X"55",X"00",X"00",X"00",X"00",X"00",X"00",X"0D",X"77", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"DD",X"DC",X"00",X"00",X"00",X"00",X"00",X"00", - X"55",X"57",X"7C",X"00",X"00",X"00",X"00",X"00",X"55",X"55",X"57",X"DF",X"00",X"00",X"00",X"00", - X"55",X"55",X"55",X"55",X"F7",X"C0",X"00",X"00",X"55",X"55",X"55",X"55",X"55",X"77",X"DF",X"00", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"DF",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"05",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"00",X"11",X"55",X"55",X"55",X"55",X"55",X"55",X"50",X"00",X"00",X"55",X"55",X"55", - X"55",X"55",X"55",X"40",X"00",X"04",X"55",X"55",X"55",X"55",X"55",X"55",X"44",X"00",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"50",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"01",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"04",X"10", - X"00",X"00",X"00",X"00",X"10",X"41",X"00",X"04",X"00",X"04",X"00",X"41",X"00",X"04",X"44",X"11", - X"41",X"10",X"51",X"00",X"11",X"01",X"15",X"45",X"10",X"11",X"44",X"45",X"05",X"C5",X"51",X"55", - X"44",X"41",X"11",X"44",X"55",X"54",X"55",X"55",X"45",X"14",X"45",X"15",X"51",X"5D",X"55",X"55", - X"11",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"D1",X"5D",X"5D",X"55",X"55",X"5D",X"55", - X"45",X"55",X"55",X"55",X"5D",X"55",X"55",X"75",X"55",X"55",X"55",X"55",X"55",X"75",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"57",X"55",X"D5",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"5D",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"56",X"65",X"55",X"55",X"56",X"55",X"65", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"65",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"56",X"55",X"55",X"55",X"55",X"55",X"56",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"59",X"55",X"59",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"57",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"40",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"40",X"00",X"55",X"55",X"55",X"55", - X"55",X"55",X"54",X"00",X"00",X"15",X"55",X"55",X"55",X"55",X"55",X"54",X"00",X"01",X"15",X"55", - X"55",X"55",X"55",X"55",X"51",X"00",X"15",X"55",X"55",X"55",X"55",X"55",X"55",X"54",X"15",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"37",X"75",X"55",X"55",X"55",X"55",X"55",X"55",X"00",X"03",X"55",X"55",X"55",X"55",X"55",X"55", - X"00",X"00",X"37",X"75",X"55",X"55",X"55",X"55",X"00",X"00",X"00",X"03",X"75",X"55",X"55",X"55", - X"00",X"00",X"00",X"00",X"03",X"77",X"55",X"55",X"00",X"00",X"00",X"00",X"00",X"00",X"77",X"55", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"37",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"03", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"FF",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"57",X"FF",X"00",X"00",X"00",X"00",X"00",X"00",X"55",X"55",X"FF",X"00",X"00",X"00",X"00",X"00", - X"55",X"55",X"55",X"FF",X"00",X"00",X"00",X"00",X"55",X"55",X"55",X"55",X"FC",X"00",X"00",X"00", - X"55",X"55",X"55",X"55",X"57",X"F0",X"00",X"00",X"55",X"55",X"55",X"55",X"55",X"5F",X"FC",X"00", - X"55",X"55",X"55",X"55",X"55",X"55",X"57",X"FC",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"57", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"45",X"55",X"55",X"55",X"55",X"55", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"01",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"04",X"10", - X"00",X"00",X"00",X"00",X"10",X"41",X"00",X"04",X"00",X"04",X"00",X"41",X"00",X"04",X"44",X"11", - X"41",X"10",X"51",X"00",X"11",X"01",X"15",X"45",X"10",X"11",X"44",X"45",X"05",X"C5",X"51",X"55", - X"44",X"41",X"11",X"44",X"55",X"54",X"55",X"55",X"45",X"14",X"45",X"15",X"51",X"5D",X"55",X"55", - X"11",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"D1",X"5D",X"5D",X"55",X"55",X"5D",X"55", - X"45",X"55",X"55",X"55",X"5D",X"55",X"55",X"75",X"55",X"55",X"55",X"55",X"55",X"75",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"57",X"55",X"D5",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"5D",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"56",X"65",X"55",X"55",X"56",X"55",X"65", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"65",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"56",X"55",X"55",X"55",X"55",X"55",X"56",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"37",X"75",X"55",X"55",X"55",X"55",X"55",X"55",X"00",X"03",X"55",X"55",X"55",X"55",X"55",X"55", - X"00",X"00",X"37",X"75",X"55",X"55",X"55",X"55",X"00",X"00",X"00",X"03",X"75",X"55",X"55",X"55", - X"00",X"00",X"00",X"00",X"03",X"77",X"55",X"55",X"00",X"00",X"00",X"00",X"00",X"00",X"77",X"55", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"37",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"03", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"FF",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"57",X"FF",X"00",X"00",X"00",X"00",X"00",X"00",X"55",X"55",X"FF",X"00",X"00",X"00",X"00",X"00", - X"55",X"55",X"55",X"FF",X"00",X"00",X"00",X"00",X"55",X"55",X"55",X"55",X"FC",X"00",X"00",X"00", - X"55",X"55",X"55",X"55",X"57",X"F0",X"00",X"00",X"55",X"55",X"55",X"55",X"55",X"5F",X"FC",X"00", - X"55",X"55",X"55",X"55",X"55",X"55",X"57",X"FC",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"57", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"45",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"40",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"40",X"00",X"55",X"55",X"55",X"55", - X"55",X"55",X"54",X"00",X"00",X"15",X"55",X"55",X"55",X"55",X"55",X"54",X"00",X"01",X"15",X"55", - X"55",X"55",X"55",X"55",X"51",X"00",X"15",X"55",X"55",X"55",X"55",X"55",X"55",X"54",X"15",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"01",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"04",X"10", - X"00",X"00",X"00",X"00",X"10",X"41",X"00",X"04",X"00",X"04",X"00",X"41",X"00",X"04",X"44",X"11", - X"41",X"10",X"51",X"00",X"11",X"01",X"15",X"45",X"10",X"11",X"44",X"45",X"05",X"C5",X"51",X"55", - X"44",X"41",X"11",X"44",X"55",X"54",X"55",X"55",X"45",X"14",X"45",X"15",X"51",X"5D",X"55",X"55", - X"11",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"D1",X"5D",X"5D",X"55",X"55",X"5D",X"55", - X"45",X"55",X"55",X"55",X"5D",X"55",X"55",X"75",X"55",X"55",X"55",X"55",X"55",X"75",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"57",X"55",X"D5",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"5D",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"56",X"65",X"55",X"55",X"56",X"55",X"65", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"47",X"56",X"44",X"A9",X"55",X"55",X"50",X"00",X"07",X"D6",X"52",X"55",X"55",X"55",X"54",X"30", - X"0D",X"5A",X"55",X"55",X"55",X"55",X"54",X"00",X"0F",X"66",X"55",X"55",X"75",X"55",X"54",X"00", - X"0D",X"5A",X"A8",X"55",X"DF",X"55",X"54",X"30",X"05",X"5A",X"A9",X"45",X"75",X"55",X"54",X"10", - X"04",X"7A",X"66",X"54",X"5D",X"D7",X"54",X"40",X"15",X"4A",X"A5",X"95",X"57",X"55",X"50",X"00", - X"05",X"36",X"AA",X"A5",X"45",X"5D",X"50",X"0C",X"14",X"99",X"B5",X"59",X"55",X"D5",X"41",X"00", - X"11",X"A6",X"AB",X"6A",X"55",X"75",X"50",X"C0",X"10",X"49",X"2D",X"96",X"95",X"77",X"40",X"00", - X"00",X"69",X"AB",X"99",X"A7",X"55",X"07",X"00",X"01",X"99",X"5A",X"B5",X"EA",X"5C",X"00",X"00", - X"06",X"16",X"6A",X"AB",X"69",X"50",X"40",X"00",X"05",X"49",X"56",X"9D",X"DD",X"44",X"0C",X"00", - X"05",X"66",X"5A",X"BD",X"75",X"5C",X"00",X"00",X"09",X"55",X"56",X"BD",X"D7",X"40",X"30",X"00", - X"05",X"55",X"67",X"DF",X"F5",X"10",X"00",X"00",X"0F",X"65",X"55",X"5F",X"C5",X"13",X"00",X"00", - X"07",X"56",X"5D",X"D7",X"75",X"40",X"00",X"00",X"03",X"F5",X"57",X"33",X"C0",X"00",X"00",X"00", - X"00",X"F9",X"55",X"10",X"04",X"43",X"00",X"00",X"00",X"F5",X"54",X"40",X"00",X"40",X"00",X"00", - X"00",X"F7",X"75",X"01",X"04",X"40",X"00",X"00",X"00",X"3D",X"54",X"00",X"00",X"00",X"00",X"00", - X"00",X"3D",X"D4",X"03",X"00",X"0C",X"00",X"00",X"00",X"0F",X"04",X"00",X"00",X"00",X"00",X"00", - X"00",X"04",X"40",X"C0",X"00",X"C0",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"03",X"00",X"0C",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"30",X"00",X"00",X"00",X"00",X"00",X"0C",X"44",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"15",X"30",X"00",X"00",X"00",X"00",X"00",X"30",X"54",X"00",X"00",X"00",X"00",X"00", - X"00",X"01",X"54",X"03",X"C0",X"4C",X"00",X"00",X"03",X"01",X"15",X"00",X"04",X"03",X"00",X"00", - X"00",X"05",X"55",X"C3",X"C0",X"00",X"00",X"00",X"00",X"15",X"55",X"40",X"11",X"00",X"C0",X"00", - X"30",X"15",X"55",X"43",X"04",X"50",X"00",X"C0",X"00",X"55",X"45",X"00",X"05",X"40",X"00",X"00", - X"00",X"55",X"45",X"40",X"05",X"40",X"C0",X"00",X"01",X"55",X"55",X"50",X"15",X"44",X"00",X"30", - X"01",X"44",X"45",X"54",X"15",X"40",X"30",X"00",X"0D",X"55",X"55",X"50",X"15",X"50",X"00",X"00", - X"05",X"45",X"55",X"54",X"55",X"50",X"00",X"30",X"07",X"14",X"55",X"54",X"55",X"54",X"00",X"00", - X"01",X"55",X"55",X"55",X"55",X"50",X"30",X"30",X"14",X"15",X"56",X"95",X"55",X"54",X"00",X"00", - X"14",X"51",X"59",X"57",X"55",X"54",X"0C",X"00",X"10",X"55",X"65",X"55",X"55",X"54",X"00",X"00", - X"54",X"51",X"66",X"55",X"55",X"54",X"40",X"03",X"49",X"55",X"55",X"55",X"45",X"54",X"03",X"00", - X"55",X"95",X"66",X"A4",X"55",X"55",X"00",X"03",X"26",X"59",X"95",X"95",X"55",X"55",X"00",X"00", - X"16",X"95",X"5A",X"54",X"55",X"55",X"50",X"00",X"3A",X"A6",X"66",X"51",X"55",X"55",X"00",X"C0", - X"06",X"99",X"A9",X"15",X"55",X"55",X"10",X"00",X"0E",X"A6",X"A8",X"45",X"55",X"55",X"50",X"00", - X"0D",X"6A",X"A5",X"14",X"55",X"55",X"50",X"0C",X"01",X"AA",X"A1",X"55",X"54",X"55",X"54",X"00", - X"03",X"6A",X"D4",X"45",X"51",X"55",X"50",X"40",X"03",X"5A",X"51",X"11",X"15",X"55",X"54",X"00", - X"55",X"55",X"55",X"55",X"6A",X"95",X"55",X"55",X"55",X"55",X"55",X"55",X"56",X"A5",X"55",X"55", - X"55",X"55",X"55",X"55",X"5A",X"91",X"55",X"55",X"55",X"55",X"55",X"45",X"52",X"95",X"44",X"55", - X"55",X"55",X"55",X"51",X"56",X"91",X"55",X"55",X"55",X"55",X"44",X"54",X"5A",X"54",X"45",X"45", - X"55",X"54",X"51",X"15",X"56",X"55",X"54",X"55",X"55",X"55",X"15",X"15",X"55",X"51",X"55",X"55", - X"55",X"55",X"01",X"54",X"65",X"51",X"52",X"55",X"55",X"55",X"41",X"46",X"95",X"54",X"0A",X"95", - X"55",X"15",X"50",X"55",X"65",X"11",X"5A",X"95",X"55",X"55",X"51",X"55",X"95",X"55",X"12",X"55", - X"51",X"11",X"55",X"45",X"59",X"45",X"55",X"95",X"54",X"55",X"55",X"54",X"55",X"55",X"41",X"59", - X"54",X"55",X"51",X"45",X"5A",X"95",X"55",X"59",X"55",X"05",X"55",X"54",X"59",X"95",X"55",X"69", - X"55",X"55",X"56",X"45",X"66",X"51",X"56",X"65",X"55",X"55",X"55",X"51",X"56",X"55",X"55",X"65", - X"54",X"55",X"46",X"64",X"59",X"15",X"45",X"85",X"55",X"44",X"54",X"56",X"59",X"51",X"56",X"55", - X"55",X"55",X"41",X"9A",X"A5",X"11",X"59",X"92",X"11",X"54",X"40",X"9A",X"95",X"55",X"45",X"69", - X"54",X"54",X"52",X"5A",X"A4",X"11",X"45",X"65",X"15",X"56",X"15",X"5A",X"A9",X"54",X"55",X"95", - X"45",X"46",X"85",X"6A",X"54",X"14",X"12",X"51",X"11",X"5A",X"A4",X"A5",X"56",X"15",X"55",X"15", - X"44",X"5A",X"5A",X"95",X"55",X"84",X"49",X"85",X"A1",X"29",X"55",X"55",X"55",X"62",X"55",X"82", - X"5A",X"95",X"55",X"55",X"55",X"59",X"86",X"69",X"55",X"55",X"55",X"55",X"55",X"55",X"69",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"00",X"00",X"00",X"00",X"00",X"30",X"00",X"00",X"00",X"00",X"30",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"03",X"00",X"00",X"00",X"00",X"30",X"00",X"00",X"00",X"00",X"00",X"C3",X"00", - X"00",X"00",X"00",X"C0",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"40", - X"00",X"04",X"00",X"00",X"00",X"01",X"00",X"00",X"04",X"00",X"10",X"00",X"00",X"10",X"04",X"44", - X"01",X"10",X"45",X"01",X"10",X"00",X"41",X"01",X"55",X"55",X"40",X"10",X"01",X"04",X"54",X"51", - X"15",X"54",X"51",X"00",X"45",X"55",X"55",X"54",X"55",X"51",X"54",X"44",X"11",X"15",X"55",X"55", - X"55",X"55",X"55",X"00",X"54",X"54",X"55",X"55",X"55",X"55",X"54",X"44",X"55",X"55",X"45",X"55", - X"55",X"55",X"55",X"15",X"5D",X"51",X"55",X"75",X"55",X"D5",X"5D",X"5D",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"54",X"00",X"00",X"00",X"00",X"15",X"55", - X"55",X"54",X"00",X"00",X"00",X"00",X"15",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"54",X"55",X"65",X"51",X"95",X"51",X"51",X"55",X"55",X"55",X"59",X"95",X"59",X"55", - X"15",X"51",X"55",X"55",X"55",X"55",X"5A",X"55",X"51",X"55",X"51",X"15",X"56",X"55",X"55",X"55", - X"55",X"95",X"55",X"55",X"55",X"55",X"91",X"65",X"55",X"59",X"51",X"51",X"55",X"55",X"55",X"55", - X"55",X"56",X"55",X"15",X"55",X"55",X"99",X"55",X"55",X"5A",X"91",X"45",X"55",X"55",X"65",X"55", - X"59",X"A9",X"96",X"11",X"56",X"55",X"96",X"55",X"55",X"6A",X"55",X"45",X"59",X"54",X"55",X"55", - X"55",X"59",X"55",X"9A",X"A9",X"15",X"59",X"95",X"45",X"56",X"55",X"56",X"A5",X"45",X"15",X"59", - X"55",X"56",X"51",X"65",X"95",X"51",X"66",X"59",X"55",X"5A",X"55",X"56",X"66",X"44",X"55",X"55", - X"45",X"59",X"45",X"55",X"65",X"55",X"69",X"55",X"55",X"59",X"54",X"59",X"95",X"A9",X"95",X"15", - X"11",X"59",X"41",X"56",X"91",X"6A",X"51",X"55",X"45",X"5A",X"54",X"66",X"16",X"6A",X"A5",X"45", - X"54",X"6A",X"91",X"56",X"59",X"AA",X"68",X"55",X"46",X"AA",X"A4",X"9A",X"46",X"A9",X"66",X"55", - X"56",X"A9",X"61",X"6A",X"9A",X"65",X"55",X"85",X"56",X"95",X"58",X"A9",X"65",X"55",X"55",X"65", - X"6A",X"55",X"56",X"95",X"55",X"55",X"55",X"65",X"A5",X"55",X"55",X"55",X"55",X"55",X"55",X"59", - X"95",X"55",X"55",X"55",X"55",X"55",X"55",X"56",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"95",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"69",X"55",X"55", - X"59",X"59",X"55",X"55",X"55",X"69",X"55",X"55",X"65",X"56",X"55",X"51",X"15",X"59",X"51",X"55", - X"55",X"55",X"59",X"55",X"55",X"99",X"55",X"55",X"54",X"55",X"59",X"54",X"55",X"99",X"14",X"55", - X"55",X"55",X"59",X"15",X"55",X"65",X"51",X"45",X"55",X"69",X"69",X"55",X"55",X"A5",X"55",X"55", - X"15",X"59",X"55",X"45",X"55",X"95",X"61",X"15",X"55",X"5A",X"A5",X"54",X"59",X"55",X"58",X"55", - X"55",X"55",X"91",X"55",X"65",X"55",X"54",X"95",X"11",X"55",X"55",X"55",X"55",X"55",X"56",X"85", - X"55",X"45",X"64",X"55",X"55",X"55",X"56",X"99",X"54",X"55",X"61",X"51",X"54",X"55",X"55",X"A5", - X"55",X"55",X"94",X"45",X"55",X"55",X"55",X"69",X"5A",X"55",X"A5",X"95",X"55",X"15",X"55",X"65", - X"69",X"56",X"AA",X"95",X"55",X"55",X"95",X"69",X"69",X"55",X"9A",X"65",X"51",X"5A",X"45",X"55", - X"65",X"55",X"6A",X"A5",X"55",X"6A",X"51",X"65",X"55",X"55",X"69",X"A5",X"45",X"59",X"44",X"55", - X"55",X"15",X"5A",X"55",X"15",X"55",X"51",X"55",X"51",X"55",X"59",X"55",X"55",X"55",X"50",X"55", - X"55",X"55",X"59",X"15",X"15",X"15",X"55",X"55",X"45",X"15",X"65",X"55",X"45",X"55",X"15",X"55", - X"51",X"55",X"65",X"55",X"41",X"55",X"55",X"55",X"55",X"19",X"94",X"55",X"A4",X"45",X"51",X"55", - X"44",X"65",X"51",X"59",X"59",X"54",X"15",X"15",X"51",X"55",X"44",X"55",X"56",X"95",X"55",X"55", - X"44",X"55",X"51",X"51",X"51",X"54",X"11",X"55",X"51",X"51",X"55",X"15",X"45",X"55",X"55",X"15", - X"55",X"15",X"55",X"54",X"51",X"55",X"44",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"5D",X"DC", - X"55",X"55",X"55",X"5D",X"F7",X"DF",X"00",X"00",X"77",X"7D",X"F7",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"55",X"55",X"55",X"40",X"00",X"04",X"55",X"55",X"55",X"55",X"55",X"55",X"44",X"00",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"50",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"F5",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"0D",X"D7",X"55",X"55",X"55",X"55",X"55",X"55",X"00",X"00",X"DD",X"55",X"55",X"55",X"55",X"55", - X"00",X"00",X"03",X"75",X"55",X"55",X"55",X"55",X"00",X"00",X"00",X"0F",X"7D",X"DD",X"55",X"55", - X"00",X"00",X"00",X"00",X"00",X"37",X"77",X"55",X"00",X"00",X"00",X"00",X"00",X"0D",X"DD",X"77", - X"00",X"00",X"00",X"00",X"00",X"00",X"37",X"DD",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"01", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"DD",X"DC",X"00",X"00",X"00",X"00",X"00",X"00", - X"55",X"57",X"7C",X"00",X"00",X"00",X"00",X"00",X"55",X"55",X"57",X"DF",X"00",X"00",X"00",X"00", - X"55",X"55",X"55",X"55",X"F7",X"C0",X"00",X"00",X"55",X"55",X"55",X"55",X"55",X"77",X"DF",X"00", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"DF",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"05",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"00",X"11",X"55",X"55",X"55",X"55",X"55",X"55",X"50",X"00",X"00",X"55",X"55",X"55", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"01",X"00",X"00",X"44",X"50",X"00",X"10", - X"01",X"10",X"54",X"45",X"15",X"45",X"14",X"45",X"10",X"45",X"31",X"55",X"47",X"55",X"45",X"55", - X"45",X"54",X"55",X"11",X"55",X"55",X"55",X"75",X"5D",X"DD",X"55",X"55",X"55",X"D5",X"75",X"55", - X"45",X"5D",X"5D",X"75",X"75",X"55",X"57",X"55",X"55",X"55",X"55",X"55",X"55",X"5D",X"55",X"55", - X"75",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"D5",X"5D",X"5D",X"55",X"55",X"5D",X"55", - X"55",X"55",X"55",X"55",X"5D",X"55",X"55",X"75",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"00",X"00",X"00",X"00",X"00",X"0C",X"00",X"00",X"30",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"03",X"00",X"C0",X"00",X"00",X"00",X"03",X"00",X"00",X"00",X"00",X"00",X"00",X"C0", - X"00",X"00",X"00",X"00",X"00",X"40",X"00",X"40",X"00",X"00",X"00",X"01",X"04",X"04",X"10",X"00", - X"00",X"00",X"00",X"00",X"00",X"40",X"00",X"04",X"00",X"00",X"C0",X"00",X"11",X"11",X"44",X"40", - X"00",X"00",X"00",X"04",X"41",X"55",X"01",X"10",X"00",X"C0",X"00",X"00",X"15",X"55",X"15",X"00", - X"00",X"00",X"00",X"11",X"15",X"55",X"54",X"44",X"00",X"0C",X"00",X"00",X"55",X"55",X"55",X"55", - X"00",X"00",X"00",X"10",X"05",X"55",X"55",X"55",X"00",X"00",X"10",X"41",X"55",X"55",X"5D",X"55", - X"00",X"00",X"00",X"04",X"55",X"55",X"55",X"55",X"30",X"00",X"00",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"F5",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"0D",X"D7",X"55",X"55",X"55",X"55",X"55",X"55", - X"00",X"00",X"DD",X"55",X"55",X"55",X"55",X"55",X"00",X"00",X"03",X"75",X"55",X"55",X"55",X"55", - X"00",X"00",X"00",X"0F",X"7D",X"DD",X"55",X"55",X"00",X"00",X"00",X"00",X"00",X"37",X"77",X"55", - X"75",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"5D",X"55",X"55", - X"55",X"5D",X"5D",X"75",X"75",X"55",X"57",X"55",X"5D",X"D5",X"55",X"55",X"55",X"D5",X"75",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"75",X"55",X"55",X"75",X"55",X"57",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"DD",X"DC",X"00",X"00",X"00",X"00",X"00",X"00",X"55",X"57",X"7C",X"00",X"00",X"00",X"00",X"00", - X"55",X"55",X"57",X"DF",X"00",X"00",X"00",X"00",X"55",X"55",X"55",X"55",X"F7",X"C0",X"00",X"00", - X"55",X"55",X"55",X"55",X"55",X"77",X"DF",X"00",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"DF", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"05",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"00",X"11",X"55",X"55",X"55",X"55", - X"55",X"55",X"50",X"00",X"00",X"55",X"55",X"55",X"55",X"55",X"55",X"40",X"00",X"04",X"55",X"55", - X"55",X"55",X"55",X"55",X"44",X"00",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"50",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"59",X"95",X"55",X"44",X"55",X"55",X"55",X"55",X"55",X"95",X"59",X"55",X"55",X"55",X"55", - X"55",X"56",X"55",X"55",X"95",X"55",X"59",X"95",X"55",X"56",X"44",X"56",X"59",X"55",X"55",X"95", - X"55",X"56",X"55",X"55",X"65",X"45",X"55",X"59",X"55",X"56",X"14",X"55",X"58",X"55",X"51",X"59", - X"55",X"56",X"45",X"55",X"95",X"51",X"55",X"56",X"55",X"58",X"54",X"55",X"64",X"14",X"54",X"55", - X"55",X"59",X"45",X"45",X"54",X"55",X"55",X"55",X"55",X"58",X"51",X"15",X"94",X"54",X"55",X"65", - X"55",X"56",X"24",X"55",X"55",X"15",X"51",X"55",X"55",X"55",X"9A",X"55",X"55",X"85",X"96",X"55", - X"55",X"55",X"56",X"45",X"55",X"64",X"6A",X"65",X"55",X"55",X"55",X"A8",X"56",X"65",X"5A",X"55", - X"55",X"55",X"55",X"56",X"15",X"55",X"56",X"55",X"55",X"55",X"55",X"55",X"95",X"56",X"65",X"55", - X"55",X"55",X"55",X"55",X"91",X"45",X"56",X"55",X"55",X"55",X"55",X"56",X"55",X"55",X"99",X"51", - X"55",X"55",X"55",X"58",X"55",X"51",X"64",X"55",X"55",X"55",X"55",X"58",X"51",X"56",X"65",X"51", - X"55",X"55",X"55",X"56",X"11",X"15",X"A6",X"55",X"55",X"55",X"55",X"55",X"84",X"59",X"95",X"11", - X"55",X"55",X"55",X"55",X"91",X"46",X"A1",X"55",X"55",X"55",X"55",X"55",X"85",X"69",X"65",X"15", - X"55",X"55",X"55",X"55",X"69",X"95",X"68",X"45",X"55",X"55",X"55",X"55",X"56",X"55",X"59",X"11", - X"55",X"55",X"55",X"55",X"55",X"55",X"56",X"A5",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"5A", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"01",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"10",X"10",X"00",X"00",X"00",X"00",X"00",X"00",X"44",X"41",X"10",X"00",X"00",X"00",X"00",X"00", - X"11",X"04",X"45",X"11",X"04",X"00",X"00",X"00",X"40",X"40",X"40",X"10",X"40",X"40",X"00",X"00", - X"15",X"14",X"11",X"04",X"04",X"00",X"04",X"00",X"45",X"50",X"55",X"44",X"41",X"00",X"40",X"10", - X"55",X"45",X"05",X"15",X"10",X"44",X"11",X"04",X"14",X"55",X"55",X"44",X"15",X"55",X"45",X"50", - X"55",X"55",X"55",X"55",X"5D",X"50",X"54",X"75",X"55",X"D5",X"5D",X"5D",X"55",X"55",X"4C",X"44", - X"75",X"55",X"55",X"55",X"55",X"55",X"51",X"51",X"55",X"55",X"55",X"55",X"55",X"5D",X"55",X"54", - X"55",X"5D",X"5D",X"75",X"75",X"55",X"57",X"55",X"5D",X"DD",X"55",X"55",X"55",X"D5",X"75",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"75",X"55",X"55",X"75",X"55",X"57",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"44",X"41",X"10",X"00",X"00",X"00",X"00",X"00",X"11",X"04",X"45",X"11",X"04",X"00",X"00",X"00", - X"44",X"50",X"40",X"10",X"40",X"40",X"00",X"40",X"15",X"54",X"11",X"04",X"04",X"00",X"04",X"00", - X"45",X"55",X"55",X"44",X"51",X"14",X"40",X"10",X"55",X"55",X"55",X"15",X"15",X"55",X"11",X"04", - X"15",X"55",X"55",X"45",X"55",X"55",X"45",X"50",X"55",X"55",X"55",X"55",X"5D",X"55",X"54",X"75", - X"55",X"D5",X"5D",X"5D",X"55",X"55",X"5D",X"45",X"75",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"5D",X"55",X"55",X"55",X"5D",X"5D",X"75",X"75",X"55",X"57",X"55", - X"5D",X"DD",X"55",X"55",X"55",X"D5",X"75",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"75", - X"55",X"55",X"75",X"55",X"57",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"00",X"00",X"30",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"03",X"00",X"C0",X"00",X"00",X"03",X"00",X"00",X"00",X"00",X"00",X"00",X"C0", - X"01",X"00",X"01",X"00",X"00",X"00",X"00",X"00",X"00",X"04",X"10",X"10",X"40",X"00",X"00",X"00", - X"10",X"00",X"01",X"00",X"00",X"00",X"00",X"00",X"01",X"11",X"44",X"44",X"00",X"03",X"00",X"00", - X"04",X"40",X"55",X"41",X"10",X"00",X"00",X"00",X"00",X"54",X"55",X"54",X"00",X"00",X"00",X"00", - X"11",X"15",X"55",X"54",X"44",X"00",X"00",X"00",X"55",X"55",X"55",X"55",X"00",X"00",X"30",X"00", - X"55",X"55",X"55",X"50",X"04",X"00",X"00",X"00",X"55",X"75",X"55",X"55",X"41",X"04",X"00",X"00", - X"55",X"55",X"55",X"55",X"10",X"00",X"00",X"00",X"55",X"55",X"55",X"55",X"55",X"00",X"00",X"0C", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"10",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"40",X"00",X"00",X"00",X"00",X"00",X"00",X"11",X"04",X"00",X"00",X"00",X"00",X"00",X"00", - X"10",X"10",X"00",X"00",X"00",X"00",X"00",X"00",X"44",X"41",X"10",X"00",X"00",X"00",X"00",X"00", - X"11",X"04",X"45",X"11",X"04",X"00",X"00",X"00",X"44",X"50",X"40",X"10",X"40",X"40",X"00",X"40", - X"15",X"54",X"11",X"04",X"04",X"00",X"04",X"00",X"45",X"55",X"55",X"44",X"51",X"14",X"40",X"10", - X"55",X"55",X"55",X"15",X"15",X"55",X"11",X"04",X"15",X"55",X"55",X"45",X"55",X"55",X"45",X"50", - X"55",X"55",X"55",X"55",X"5D",X"55",X"54",X"75",X"55",X"D5",X"5D",X"5D",X"55",X"55",X"5D",X"45", - X"75",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"5D",X"55",X"55", - X"55",X"5D",X"5D",X"75",X"75",X"55",X"57",X"55",X"5D",X"DD",X"55",X"55",X"55",X"D5",X"75",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"75",X"55",X"55",X"75",X"55",X"57",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"5A",X"56",X"AA",X"55",X"55",X"55",X"55",X"55",X"55",X"A6",X"AA",X"95", - X"55",X"55",X"55",X"55",X"65",X"AA",X"AA",X"65",X"55",X"55",X"45",X"55",X"55",X"6A",X"AA",X"95", - X"54",X"55",X"51",X"45",X"59",X"6A",X"A9",X"55",X"55",X"55",X"45",X"55",X"55",X"96",X"AA",X"95", - X"55",X"45",X"51",X"55",X"46",X"56",X"AA",X"55",X"55",X"55",X"55",X"55",X"55",X"56",X"AA",X"95", - X"55",X"15",X"55",X"44",X"55",X"5A",X"A9",X"55",X"55",X"55",X"55",X"51",X"45",X"6A",X"A9",X"55", - X"55",X"15",X"55",X"44",X"15",X"6A",X"A5",X"55",X"94",X"51",X"55",X"54",X"55",X"A9",X"55",X"55", - X"65",X"95",X"55",X"68",X"11",X"A5",X"55",X"55",X"59",X"A5",X"51",X"AA",X"56",X"95",X"55",X"55", - X"59",X"59",X"56",X"65",X"A9",X"55",X"55",X"55",X"55",X"6A",X"5A",X"A5",X"55",X"55",X"55",X"55", - X"55",X"96",X"AA",X"95",X"55",X"55",X"55",X"55",X"56",X"56",X"AA",X"95",X"55",X"55",X"55",X"55", - X"55",X"55",X"AA",X"55",X"55",X"55",X"55",X"55",X"14",X"55",X"AA",X"95",X"55",X"55",X"55",X"55", - X"54",X"55",X"AA",X"55",X"55",X"55",X"55",X"55",X"55",X"15",X"A9",X"95",X"55",X"55",X"55",X"55", - X"15",X"06",X"A6",X"55",X"55",X"55",X"55",X"55",X"56",X"A6",X"55",X"55",X"55",X"55",X"55",X"55", - X"56",X"A9",X"55",X"55",X"55",X"55",X"55",X"55",X"5A",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"65",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"95",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"57",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"5D",X"55",X"55",X"5D",X"55",X"55",X"75", - X"55",X"D5",X"5D",X"5D",X"55",X"55",X"5D",X"55",X"75",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"5D",X"55",X"55",X"45",X"45",X"5D",X"75",X"75",X"55",X"57",X"55", - X"5C",X"DD",X"55",X"55",X"55",X"D5",X"75",X"55",X"44",X"54",X"55",X"11",X"55",X"55",X"55",X"75", - X"01",X"05",X"31",X"55",X"47",X"55",X"55",X"55",X"04",X"40",X"54",X"45",X"15",X"45",X"55",X"55", - X"00",X"00",X"00",X"00",X"44",X"51",X"55",X"55",X"00",X"04",X"04",X"11",X"00",X"05",X"05",X"45", - X"00",X"00",X"00",X"00",X"01",X"00",X"51",X"11",X"00",X"00",X"00",X"00",X"00",X"10",X"01",X"44", - X"00",X"00",X"00",X"00",X"00",X"00",X"10",X"45",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"57",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"5D",X"55",X"55",X"5D",X"55",X"55",X"75", - X"55",X"D5",X"5D",X"5D",X"55",X"55",X"5D",X"55",X"75",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"5D",X"55",X"55",X"45",X"55",X"5D",X"75",X"75",X"55",X"57",X"55", - X"5C",X"DD",X"55",X"55",X"55",X"D5",X"75",X"55",X"44",X"54",X"55",X"11",X"55",X"55",X"55",X"75", - X"01",X"05",X"31",X"55",X"47",X"55",X"55",X"55",X"04",X"40",X"54",X"45",X"15",X"45",X"55",X"55", - X"00",X"00",X"00",X"00",X"44",X"51",X"55",X"55",X"00",X"04",X"04",X"11",X"00",X"05",X"05",X"45", - X"00",X"00",X"00",X"00",X"01",X"00",X"51",X"11",X"00",X"00",X"00",X"00",X"00",X"10",X"01",X"44", - X"00",X"00",X"00",X"00",X"00",X"00",X"10",X"45",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"10",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"30",X"30",X"00",X"00",X"30",X"00",X"00",X"00",X"00",X"00",X"0C",X"00",X"41",X"00",X"00",X"30", - X"00",X"00",X"00",X"00",X"04",X"03",X"00",X"00",X"00",X"10",X"01",X"01",X"50",X"40",X"00",X"00", - X"00",X"00",X"00",X"05",X"55",X"00",X"00",X"40",X"01",X"00",X"44",X"55",X"55",X"54",X"10",X"00", - X"10",X"10",X"01",X"11",X"51",X"10",X"00",X"10",X"55",X"54",X"54",X"55",X"55",X"54",X"11",X"00", - X"05",X"45",X"55",X"55",X"55",X"55",X"41",X"40",X"55",X"15",X"15",X"55",X"51",X"55",X"50",X"55", - X"51",X"55",X"55",X"55",X"55",X"51",X"45",X"54",X"15",X"55",X"54",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"5D",X"55",X"55",X"75",X"55",X"D5",X"5D",X"5D",X"55",X"55",X"55",X"55", - X"5D",X"DD",X"55",X"55",X"55",X"D5",X"75",X"55",X"54",X"54",X"55",X"11",X"55",X"55",X"55",X"75", - X"01",X"05",X"31",X"55",X"47",X"55",X"55",X"55",X"44",X"40",X"54",X"45",X"15",X"45",X"55",X"55", - X"00",X"00",X"00",X"00",X"44",X"51",X"55",X"55",X"01",X"04",X"04",X"11",X"00",X"05",X"05",X"45", - X"00",X"00",X"00",X"00",X"01",X"00",X"51",X"11",X"00",X"00",X"00",X"00",X"00",X"10",X"01",X"44", - X"00",X"00",X"00",X"00",X"00",X"00",X"10",X"45",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"57",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"5D",X"55",X"55",X"5D",X"55",X"55",X"75",X"55",X"D5",X"5D",X"5D",X"55",X"55",X"5D",X"55", - X"75",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"5D",X"55",X"55", - X"45",X"55",X"5D",X"75",X"75",X"55",X"57",X"55",X"5D",X"DD",X"55",X"55",X"55",X"D5",X"75",X"55", - X"54",X"54",X"55",X"11",X"55",X"55",X"55",X"75",X"01",X"05",X"31",X"55",X"47",X"55",X"55",X"55", - X"44",X"40",X"54",X"45",X"15",X"45",X"55",X"55",X"00",X"00",X"00",X"00",X"44",X"51",X"55",X"55", - X"01",X"04",X"04",X"11",X"00",X"05",X"05",X"45",X"00",X"00",X"00",X"00",X"01",X"00",X"51",X"11", - X"00",X"00",X"00",X"00",X"00",X"10",X"01",X"44",X"00",X"00",X"00",X"00",X"00",X"00",X"10",X"45", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"57",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"5D",X"55",X"55",X"5D",X"55",X"55",X"75",X"55",X"D5",X"5D",X"5D",X"55",X"55",X"5D",X"55", - X"75",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"5D",X"55",X"55", - X"55",X"55",X"5D",X"75",X"75",X"55",X"57",X"55",X"5D",X"DD",X"55",X"55",X"55",X"D5",X"75",X"55", - X"14",X"54",X"55",X"55",X"55",X"55",X"55",X"75",X"45",X"05",X"31",X"55",X"57",X"55",X"55",X"55", - X"75",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"5D",X"55",X"55", - X"55",X"5D",X"5D",X"75",X"75",X"55",X"57",X"55",X"5D",X"DD",X"55",X"55",X"55",X"D5",X"75",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"75",X"55",X"55",X"75",X"55",X"57",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"40",X"40",X"40",X"10",X"00",X"41",X"01",X"01",X"11",X"04",X"11",X"04",X"04",X"10",X"10",X"44", - X"44",X"10",X"50",X"44",X"41",X"00",X"44",X"11",X"45",X"45",X"05",X"11",X"10",X"44",X"11",X"05", - X"15",X"55",X"55",X"44",X"15",X"51",X"55",X"54",X"55",X"55",X"55",X"55",X"5D",X"55",X"55",X"75", - X"55",X"D5",X"5D",X"5D",X"55",X"55",X"5D",X"55",X"75",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"5D",X"55",X"55",X"55",X"5D",X"5D",X"75",X"75",X"55",X"57",X"55", - X"5D",X"DD",X"55",X"55",X"55",X"D5",X"75",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"75", - X"55",X"55",X"75",X"55",X"57",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"57",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"5D",X"55",X"55",X"5D",X"55",X"55",X"75", - X"55",X"D5",X"5D",X"5D",X"55",X"55",X"5D",X"55",X"75",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"5D",X"55",X"55",X"55",X"55",X"5D",X"75",X"75",X"55",X"57",X"55", - X"5D",X"DD",X"55",X"55",X"55",X"D5",X"75",X"55",X"14",X"54",X"55",X"55",X"55",X"55",X"55",X"75", - X"45",X"05",X"31",X"55",X"57",X"55",X"55",X"55",X"04",X"40",X"54",X"45",X"55",X"55",X"55",X"55", - X"00",X"00",X"01",X"04",X"54",X"55",X"55",X"55",X"11",X"04",X"04",X"11",X"10",X"45",X"45",X"55", - X"00",X"10",X"00",X"00",X"01",X"00",X"51",X"55",X"00",X"00",X"00",X"40",X"10",X"11",X"05",X"54", - X"00",X"00",X"00",X"00",X"01",X"00",X"11",X"45",X"00",X"00",X"00",X"00",X"00",X"01",X"00",X"15", - X"00",X"00",X"00",X"00",X"00",X"00",X"01",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"01", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"40", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"01",X"10",X"44",X"44", - X"00",X"00",X"00",X"11",X"10",X"00",X"01",X"01",X"00",X"00",X"00",X"10",X"01",X"04",X"54",X"11", - X"00",X"00",X"51",X"00",X"45",X"54",X"45",X"54",X"00",X"01",X"44",X"44",X"11",X"15",X"54",X"45", - X"00",X"10",X"41",X"10",X"54",X"44",X"15",X"55",X"00",X"45",X"51",X"44",X"45",X"55",X"45",X"55", - X"00",X"14",X"44",X"55",X"5D",X"50",X"55",X"75",X"01",X"D5",X"5D",X"5D",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"75",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"D5",X"55",X"55",X"55",X"55",X"55", - X"57",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"D5",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"5D",X"55",X"55",X"5D",X"55",X"55",X"75", - X"55",X"D5",X"5D",X"5D",X"55",X"55",X"5D",X"55",X"75",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"15",X"55",X"55",X"55",X"5D",X"55",X"55",X"51",X"55",X"5D",X"75",X"75",X"55",X"57",X"55", - X"05",X"51",X"45",X"55",X"55",X"D5",X"75",X"55",X"04",X"44",X"55",X"15",X"55",X"55",X"55",X"75", - X"00",X"05",X"31",X"55",X"57",X"55",X"55",X"55",X"00",X"40",X"54",X"45",X"55",X"55",X"55",X"55", - X"00",X"00",X"01",X"04",X"54",X"55",X"55",X"55",X"00",X"00",X"04",X"11",X"10",X"45",X"45",X"55", - X"00",X"00",X"00",X"00",X"01",X"00",X"51",X"55",X"00",X"00",X"00",X"40",X"10",X"11",X"05",X"54", - X"00",X"00",X"00",X"00",X"01",X"00",X"11",X"45",X"00",X"00",X"00",X"00",X"00",X"01",X"00",X"15", - X"00",X"00",X"00",X"00",X"00",X"00",X"01",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"01", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"01",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"10",X"10",X"00",X"00",X"00",X"00",X"00",X"00",X"44",X"41",X"10",X"04",X"00",X"00",X"00",X"00", - X"11",X"04",X"45",X"11",X"04",X"04",X"00",X"00",X"44",X"50",X"44",X"10",X"50",X"41",X"01",X"01", - X"15",X"15",X"11",X"44",X"05",X"10",X"10",X"44",X"55",X"55",X"55",X"44",X"41",X"41",X"44",X"11", - X"55",X"55",X"55",X"55",X"14",X"54",X"51",X"05",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"54", - X"55",X"55",X"55",X"55",X"5D",X"55",X"55",X"75",X"55",X"D5",X"5D",X"5D",X"55",X"55",X"5D",X"55", - X"75",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"5D",X"55",X"55", - X"55",X"5D",X"5D",X"75",X"75",X"55",X"57",X"55",X"5D",X"DD",X"55",X"55",X"55",X"D5",X"75",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"75",X"55",X"55",X"75",X"55",X"57",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"30",X"51",X"55",X"55",X"55",X"55",X"55",X"55",X"05",X"55",X"55",X"55",X"55",X"5D",X"55",X"55", - X"01",X"1D",X"5D",X"75",X"75",X"55",X"57",X"55",X"0D",X"5D",X"55",X"55",X"55",X"D5",X"75",X"55", - X"05",X"45",X"55",X"55",X"55",X"55",X"55",X"75",X"04",X"55",X"55",X"55",X"57",X"55",X"55",X"55", - X"01",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"15",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"05",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"15",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"05",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"15",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"15",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"5D",X"55",X"55",X"5D",X"55",X"55",X"75",X"55",X"D5",X"5D",X"5D",X"55",X"55",X"5D",X"55", - X"75",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"5D",X"55",X"55", - X"55",X"55",X"5D",X"75",X"75",X"55",X"57",X"55",X"5D",X"DD",X"55",X"55",X"55",X"D5",X"75",X"55", - X"14",X"54",X"55",X"55",X"55",X"55",X"55",X"75",X"45",X"05",X"31",X"55",X"57",X"55",X"55",X"55", - X"04",X"40",X"54",X"45",X"55",X"55",X"55",X"55",X"00",X"00",X"01",X"04",X"54",X"55",X"55",X"55", - X"11",X"04",X"04",X"11",X"10",X"45",X"45",X"55",X"00",X"10",X"00",X"00",X"01",X"00",X"51",X"55", - X"00",X"00",X"00",X"40",X"10",X"11",X"05",X"54",X"00",X"00",X"00",X"00",X"01",X"00",X"11",X"45", - X"00",X"00",X"00",X"00",X"00",X"01",X"00",X"15",X"00",X"00",X"00",X"00",X"00",X"00",X"01",X"00", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"FF",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"57",X"FF",X"00",X"00",X"00",X"00",X"00",X"00",X"55",X"55",X"FF",X"00",X"00",X"00",X"00",X"00", - X"55",X"55",X"55",X"FF",X"00",X"00",X"00",X"00",X"55",X"55",X"55",X"55",X"FC",X"00",X"00",X"00", - X"55",X"55",X"55",X"55",X"57",X"F0",X"00",X"00",X"55",X"55",X"55",X"55",X"55",X"5F",X"FC",X"00", - X"55",X"55",X"55",X"55",X"55",X"55",X"57",X"FC",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"57", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"75",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"57",X"75",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"57",X"75",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"57",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"5D",X"55",X"55",X"55",X"55",X"57",X"55",X"D5",X"55",X"D5",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"5D",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"95",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"95",X"55",X"55",X"55",X"55",X"55", - X"55",X"56",X"55",X"45",X"55",X"55",X"55",X"55",X"55",X"56",X"45",X"55",X"55",X"55",X"55",X"54", - X"55",X"56",X"55",X"55",X"51",X"55",X"55",X"55",X"55",X"59",X"15",X"55",X"55",X"15",X"54",X"55", - X"55",X"58",X"50",X"55",X"55",X"55",X"55",X"55",X"55",X"58",X"55",X"55",X"55",X"55",X"55",X"54", - X"55",X"56",X"45",X"55",X"55",X"55",X"54",X"55",X"55",X"55",X"91",X"55",X"45",X"45",X"55",X"55", - X"55",X"55",X"95",X"55",X"55",X"15",X"15",X"55",X"55",X"55",X"95",X"55",X"51",X"51",X"55",X"15", - X"55",X"56",X"15",X"15",X"55",X"51",X"55",X"55",X"55",X"58",X"55",X"55",X"55",X"15",X"45",X"15", - X"55",X"58",X"55",X"45",X"55",X"55",X"55",X"55",X"55",X"56",X"04",X"55",X"55",X"55",X"15",X"54", - X"55",X"55",X"95",X"55",X"55",X"55",X"51",X"65",X"55",X"55",X"64",X"51",X"55",X"51",X"55",X"55", - X"55",X"55",X"61",X"15",X"55",X"55",X"55",X"56",X"55",X"55",X"95",X"55",X"55",X"55",X"55",X"55", - X"55",X"56",X"15",X"55",X"54",X"55",X"54",X"56",X"55",X"56",X"11",X"45",X"51",X"15",X"55",X"55", - X"55",X"56",X"04",X"55",X"54",X"55",X"45",X"15",X"55",X"55",X"85",X"55",X"51",X"15",X"54",X"55", - X"55",X"55",X"91",X"55",X"54",X"55",X"55",X"55",X"55",X"56",X"54",X"51",X"55",X"55",X"44",X"45", - X"55",X"56",X"55",X"55",X"55",X"55",X"41",X"55",X"55",X"59",X"55",X"15",X"51",X"55",X"51",X"41", - X"55",X"65",X"55",X"54",X"55",X"55",X"51",X"55",X"55",X"59",X"55",X"55",X"54",X"55",X"55",X"15", - X"55",X"5A",X"55",X"54",X"15",X"55",X"55",X"55",X"55",X"56",X"55",X"55",X"55",X"45",X"55",X"55", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"41",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"04",X"00",X"00",X"00",X"00",X"10",X"01",X"00",X"00",X"40",X"00",X"00", - X"00",X"00",X"00",X"04",X"50",X"00",X"00",X"00",X"01",X"00",X"44",X"44",X"00",X"04",X"00",X"00", - X"10",X"10",X"01",X"11",X"51",X"10",X"00",X"00",X"55",X"54",X"54",X"55",X"15",X"54",X"00",X"00", - X"05",X"45",X"45",X"14",X"55",X"00",X"40",X"00",X"55",X"15",X"15",X"51",X"51",X"45",X"10",X"00", - X"51",X"55",X"55",X"55",X"55",X"00",X"01",X"00",X"15",X"55",X"54",X"55",X"45",X"11",X"40",X"00", - X"55",X"55",X"55",X"55",X"55",X"55",X"50",X"44",X"55",X"55",X"55",X"55",X"55",X"51",X"40",X"00", - X"55",X"55",X"55",X"55",X"5D",X"15",X"44",X"50",X"55",X"D5",X"5D",X"5D",X"55",X"55",X"55",X"04", - X"FF",X"FF",X"00",X"00",X"00",X"00",X"00",X"00",X"55",X"55",X"FF",X"00",X"00",X"00",X"00",X"00", - X"55",X"55",X"57",X"FF",X"F0",X"00",X"00",X"00",X"55",X"55",X"55",X"55",X"5F",X"FF",X"00",X"00", - X"55",X"55",X"55",X"55",X"55",X"55",X"0C",X"00",X"55",X"55",X"55",X"55",X"55",X"55",X"57",X"FF", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"57",X"5D",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"D5",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"5D",X"D5",X"55",X"55",X"55",X"55",X"75",X"55",X"55",X"57",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"5D",X"55",X"55",X"55",X"55",X"55",X"75",X"55",X"55",X"75",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"75",X"D5",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"57", - X"57",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"75",X"55",X"55",X"55",X"55",X"55",X"55",X"00",X"55",X"55",X"55",X"55",X"55",X"5D",X"51",X"04", - X"55",X"5D",X"5D",X"75",X"75",X"55",X"17",X"10",X"5D",X"DD",X"55",X"55",X"55",X"D5",X"71",X"51", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"75",X"55",X"55",X"75",X"55",X"57",X"55",X"55",X"11", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"51",X"45", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"75",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"5D",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"57",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"D5",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"5D",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"75",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"57",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"57",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"5D",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"08",X"20",X"00",X"00",X"00",X"00", - X"00",X"03",X"E0",X"08",X"00",X"10",X"00",X"00",X"00",X"03",X"F8",X"02",X"00",X"00",X"08",X"00", - X"00",X"00",X"FE",X"80",X"80",X"00",X"00",X"00",X"08",X"10",X"3F",X"E8",X"20",X"00",X"00",X"00", - X"00",X"00",X"0F",X"FE",X"88",X"00",X"80",X"40",X"00",X"00",X"00",X"CF",X"E0",X"80",X"00",X"00", - X"02",X"00",X"80",X"0F",X"FA",X"00",X"00",X"00",X"00",X"0F",X"80",X"00",X"FF",X"A0",X"00",X"00", - X"00",X"3E",X"80",X"40",X"0F",X"FA",X"AA",X"AA",X"00",X"FA",X"00",X"00",X"0F",X"CF",X"FF",X"FC", - X"0F",X"F8",X"04",X"00",X"00",X"0F",X"FF",X"FC",X"3E",X"E0",X"00",X"02",X"00",X"00",X"03",X"80", - X"08",X"E0",X"00",X"00",X"00",X"00",X"03",X"00",X"AA",X"EA",X"AA",X"AA",X"AA",X"AA",X"AB",X"AA", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"01",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"10",X"10",X"00",X"00",X"00",X"00",X"00",X"00", - X"44",X"41",X"10",X"00",X"00",X"00",X"00",X"00",X"11",X"04",X"45",X"11",X"04",X"00",X"00",X"00", - X"40",X"40",X"40",X"10",X"40",X"41",X"01",X"01",X"15",X"14",X"11",X"04",X"04",X"10",X"10",X"44", - X"45",X"50",X"55",X"44",X"41",X"00",X"44",X"11",X"55",X"45",X"05",X"15",X"10",X"44",X"11",X"05", - X"14",X"55",X"55",X"44",X"15",X"55",X"55",X"54",X"55",X"55",X"55",X"55",X"5D",X"55",X"55",X"75", - X"55",X"D5",X"5D",X"5D",X"55",X"55",X"5D",X"55",X"75",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"5D",X"55",X"55",X"55",X"5D",X"5D",X"75",X"75",X"55",X"57",X"55", - X"5D",X"DD",X"55",X"55",X"55",X"D5",X"75",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"75", - X"55",X"55",X"75",X"55",X"57",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"01",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"10",X"10",X"00",X"00",X"00",X"00",X"00",X"00", - X"44",X"41",X"10",X"00",X"00",X"00",X"00",X"00",X"11",X"04",X"45",X"11",X"04",X"00",X"00",X"00", - X"40",X"40",X"40",X"10",X"40",X"41",X"01",X"01",X"15",X"14",X"11",X"04",X"04",X"10",X"10",X"44", - X"45",X"50",X"55",X"44",X"41",X"00",X"44",X"11",X"55",X"45",X"05",X"15",X"10",X"44",X"11",X"05", - X"14",X"55",X"55",X"44",X"15",X"55",X"55",X"54",X"55",X"55",X"55",X"55",X"5D",X"55",X"55",X"75", - X"55",X"D5",X"5D",X"5D",X"55",X"55",X"5D",X"55",X"75",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"5D",X"55",X"55",X"55",X"5D",X"5D",X"75",X"75",X"55",X"57",X"55", - X"5D",X"DD",X"55",X"55",X"55",X"D5",X"75",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"75", - X"55",X"55",X"75",X"55",X"57",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"01",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"10",X"10",X"00",X"00",X"00",X"00",X"00",X"00",X"44",X"41",X"10",X"00",X"00",X"00",X"00",X"00", - X"11",X"04",X"45",X"11",X"04",X"00",X"00",X"00",X"40",X"40",X"40",X"10",X"40",X"41",X"01",X"01", - X"15",X"14",X"11",X"04",X"04",X"10",X"10",X"44",X"45",X"50",X"55",X"44",X"41",X"00",X"44",X"11", - X"55",X"45",X"05",X"15",X"10",X"44",X"11",X"05",X"14",X"55",X"55",X"44",X"15",X"55",X"55",X"54", - X"55",X"55",X"55",X"55",X"5D",X"55",X"55",X"75",X"55",X"D5",X"5D",X"5D",X"55",X"55",X"5D",X"55", - X"75",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"5D",X"55",X"55", - X"55",X"5D",X"5D",X"75",X"75",X"55",X"57",X"55",X"5D",X"DD",X"55",X"55",X"55",X"D5",X"75",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"75",X"55",X"55",X"75",X"55",X"57",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"59",X"69",X"55",X"55",X"55",X"5D", - X"55",X"57",X"E5",X"5A",X"55",X"55",X"55",X"55",X"55",X"57",X"F9",X"56",X"95",X"55",X"56",X"55", - X"55",X"55",X"FE",X"95",X"A5",X"59",X"55",X"55",X"56",X"55",X"7F",X"E9",X"69",X"55",X"55",X"55", - X"55",X"55",X"5F",X"FE",X"9A",X"55",X"55",X"55",X"55",X"55",X"55",X"DF",X"E5",X"95",X"D5",X"55", - X"55",X"55",X"75",X"5F",X"FA",X"65",X"55",X"55",X"55",X"5F",X"95",X"55",X"FF",X"A9",X"55",X"55", - X"65",X"7E",X"95",X"55",X"5F",X"FA",X"AA",X"AA",X"55",X"FA",X"55",X"55",X"5F",X"DF",X"FF",X"FD", - X"5F",X"F9",X"55",X"95",X"55",X"5F",X"FF",X"FD",X"7E",X"E5",X"55",X"55",X"55",X"55",X"57",X"95", - X"59",X"E5",X"55",X"55",X"55",X"55",X"57",X"15",X"AA",X"EA",X"AA",X"AA",X"AA",X"AA",X"AB",X"AA", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"01",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"10",X"10",X"00",X"00",X"00",X"00",X"00",X"00",X"44",X"41",X"10",X"00",X"00",X"00",X"00",X"00", - X"11",X"04",X"45",X"11",X"04",X"00",X"00",X"00",X"40",X"40",X"40",X"10",X"40",X"41",X"01",X"01", - X"15",X"14",X"11",X"04",X"04",X"10",X"10",X"44",X"45",X"50",X"55",X"44",X"41",X"00",X"44",X"11", - X"55",X"45",X"05",X"15",X"10",X"44",X"11",X"05",X"14",X"55",X"55",X"44",X"15",X"55",X"55",X"54", - X"55",X"55",X"55",X"55",X"5D",X"55",X"55",X"75",X"55",X"D5",X"5D",X"5D",X"55",X"55",X"5D",X"55", - X"75",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"5D",X"55",X"55", - X"55",X"5D",X"5D",X"75",X"75",X"55",X"57",X"55",X"5D",X"DD",X"55",X"55",X"55",X"D5",X"75",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"75",X"55",X"55",X"75",X"55",X"57",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"51",X"00",X"55",X"55",X"55",X"55",X"55",X"55",X"54",X"40", - X"55",X"55",X"55",X"55",X"55",X"55",X"11",X"10",X"55",X"55",X"55",X"55",X"55",X"55",X"54",X"40", - X"55",X"55",X"55",X"55",X"55",X"55",X"54",X"00",X"55",X"55",X"55",X"55",X"55",X"51",X"51",X"84", - X"55",X"55",X"55",X"55",X"55",X"55",X"14",X"40",X"55",X"55",X"55",X"55",X"55",X"55",X"51",X"00", - X"55",X"55",X"55",X"55",X"55",X"55",X"54",X"04",X"55",X"55",X"55",X"55",X"55",X"55",X"14",X"80", - X"55",X"55",X"55",X"55",X"55",X"55",X"51",X"10",X"55",X"55",X"55",X"55",X"55",X"55",X"54",X"40", - X"55",X"55",X"55",X"55",X"55",X"51",X"51",X"04",X"55",X"55",X"55",X"55",X"55",X"55",X"54",X"70", - X"55",X"55",X"55",X"55",X"55",X"55",X"14",X"00",X"55",X"55",X"55",X"55",X"55",X"55",X"51",X"04", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"01",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"10",X"10",X"00",X"00",X"00",X"00",X"00",X"00",X"44",X"41",X"10",X"00",X"00",X"00",X"00",X"00", - X"11",X"04",X"45",X"11",X"04",X"00",X"00",X"00",X"40",X"40",X"40",X"10",X"40",X"41",X"01",X"01", - X"15",X"14",X"11",X"04",X"04",X"10",X"10",X"44",X"45",X"50",X"55",X"44",X"41",X"00",X"44",X"11", - X"55",X"45",X"05",X"15",X"10",X"44",X"11",X"05",X"14",X"55",X"55",X"44",X"15",X"55",X"55",X"54", - X"55",X"55",X"55",X"55",X"5D",X"55",X"55",X"75",X"55",X"D5",X"5D",X"5D",X"55",X"55",X"5D",X"55", - X"75",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"5D",X"55",X"55", - X"55",X"5D",X"5D",X"75",X"75",X"55",X"57",X"55",X"5D",X"DD",X"55",X"55",X"55",X"D5",X"75",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"75",X"55",X"55",X"75",X"55",X"57",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"54",X"40",X"55",X"55",X"55",X"55",X"55",X"55",X"51",X"10", - X"55",X"55",X"55",X"55",X"55",X"54",X"44",X"00",X"55",X"55",X"55",X"55",X"55",X"55",X"54",X"44", - X"55",X"55",X"55",X"55",X"55",X"55",X"51",X"00",X"55",X"55",X"55",X"55",X"55",X"55",X"44",X"60", - X"55",X"55",X"55",X"55",X"55",X"45",X"51",X"00",X"55",X"55",X"55",X"55",X"55",X"55",X"54",X"40", - X"55",X"55",X"55",X"55",X"55",X"55",X"14",X"90",X"55",X"55",X"55",X"55",X"55",X"55",X"51",X"00", - X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"A0",X"7F",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FC", - X"7F",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FC",X"56",X"D5",X"55",X"55",X"55",X"55",X"8B",X"00", - X"54",X"D5",X"55",X"55",X"55",X"55",X"8B",X"00",X"AA",X"EA",X"AA",X"AA",X"AA",X"AA",X"AB",X"AA", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"01",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"10",X"10",X"00",X"00",X"00",X"00",X"00",X"00",X"44",X"41",X"10",X"00",X"00",X"00",X"00",X"00", - X"11",X"04",X"45",X"11",X"04",X"00",X"00",X"00",X"40",X"40",X"40",X"10",X"40",X"41",X"01",X"01", - X"15",X"14",X"11",X"04",X"04",X"10",X"10",X"44",X"45",X"50",X"55",X"44",X"41",X"00",X"44",X"11", - X"55",X"45",X"05",X"15",X"10",X"44",X"11",X"05",X"14",X"55",X"55",X"44",X"15",X"55",X"55",X"54", - X"55",X"55",X"55",X"55",X"5D",X"55",X"55",X"75",X"55",X"D5",X"5D",X"5D",X"55",X"55",X"5D",X"55", - X"75",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"5D",X"55",X"55", - X"55",X"5D",X"5D",X"75",X"75",X"55",X"57",X"55",X"5D",X"DD",X"55",X"55",X"55",X"D5",X"75",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"75",X"55",X"55",X"75",X"55",X"57",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"00",X"00",X"00",X"15",X"55",X"55",X"55",X"55",X"00",X"00",X"00",X"15",X"55",X"55",X"55",X"45", - X"00",X"10",X"40",X"45",X"55",X"55",X"75",X"75",X"00",X"00",X"04",X"15",X"55",X"75",X"55",X"15", - X"00",X"00",X"01",X"55",X"55",X"55",X"55",X"55",X"00",X"30",X"44",X"55",X"55",X"55",X"55",X"55", - X"01",X"04",X"11",X"55",X"55",X"55",X"55",X"55",X"00",X"01",X"54",X"55",X"75",X"55",X"55",X"55", - X"00",X"04",X"55",X"55",X"55",X"55",X"55",X"55",X"01",X"01",X"55",X"55",X"55",X"5D",X"55",X"55", - X"00",X"05",X"15",X"55",X"55",X"55",X"55",X"55",X"30",X"11",X"55",X"55",X"55",X"55",X"55",X"55", - X"00",X"15",X"55",X"55",X"55",X"55",X"55",X"55",X"00",X"15",X"55",X"55",X"55",X"55",X"55",X"55", - X"00",X"45",X"15",X"55",X"57",X"55",X"55",X"55",X"00",X"14",X"55",X"55",X"55",X"55",X"55",X"55", - X"51",X"11",X"04",X"11",X"10",X"00",X"00",X"00",X"55",X"55",X"10",X"40",X"01",X"10",X"00",X"00", - X"55",X"55",X"55",X"04",X"50",X"40",X"10",X"00",X"55",X"55",X"54",X"51",X"04",X"04",X"00",X"00", - X"55",X"55",X"55",X"14",X"51",X"40",X"41",X"00",X"55",X"55",X"55",X"55",X"04",X"04",X"00",X"04", - X"55",X"55",X"55",X"51",X"10",X"40",X"10",X"00",X"55",X"55",X"55",X"55",X"51",X"11",X"01",X"00", - X"55",X"55",X"55",X"55",X"04",X"00",X"00",X"10",X"55",X"55",X"55",X"54",X"51",X"10",X"00",X"00", - X"55",X"55",X"55",X"55",X"10",X"41",X"04",X"41",X"55",X"55",X"55",X"10",X"44",X"10",X"00",X"00", - X"55",X"55",X"54",X"45",X"01",X"00",X"40",X"00",X"55",X"55",X"51",X"14",X"10",X"00",X"04",X"00", - X"55",X"55",X"14",X"41",X"44",X"44",X"00",X"00",X"11",X"11",X"04",X"04",X"10",X"00",X"00",X"00", - X"10",X"10",X"00",X"00",X"00",X"00",X"00",X"00",X"44",X"41",X"10",X"00",X"00",X"00",X"00",X"00", - X"11",X"04",X"45",X"11",X"04",X"00",X"00",X"00",X"40",X"40",X"40",X"10",X"40",X"41",X"01",X"01", - X"15",X"14",X"11",X"04",X"04",X"10",X"10",X"44",X"45",X"50",X"55",X"44",X"41",X"00",X"44",X"11", - X"55",X"45",X"05",X"15",X"10",X"44",X"11",X"05",X"14",X"55",X"55",X"44",X"15",X"55",X"55",X"54", - X"55",X"55",X"55",X"55",X"5D",X"55",X"55",X"75",X"55",X"D5",X"5D",X"5D",X"55",X"55",X"5D",X"55", - X"75",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"5D",X"55",X"55", - X"55",X"5D",X"5D",X"75",X"75",X"55",X"57",X"55",X"5D",X"DD",X"55",X"55",X"55",X"D5",X"75",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"75",X"55",X"55",X"75",X"55",X"57",X"55",X"55",X"55", - X"00",X"45",X"55",X"55",X"55",X"55",X"55",X"55",X"01",X"15",X"55",X"55",X"55",X"55",X"55",X"55", - X"04",X"44",X"55",X"55",X"55",X"55",X"55",X"55",X"01",X"15",X"55",X"55",X"55",X"55",X"55",X"55", - X"00",X"15",X"55",X"55",X"55",X"55",X"55",X"55",X"12",X"45",X"45",X"55",X"55",X"55",X"55",X"55", - X"01",X"14",X"55",X"55",X"55",X"55",X"55",X"55",X"00",X"45",X"55",X"55",X"55",X"55",X"55",X"55", - X"10",X"15",X"55",X"55",X"55",X"55",X"55",X"55",X"02",X"14",X"55",X"55",X"55",X"55",X"55",X"55", - X"04",X"45",X"55",X"55",X"55",X"55",X"55",X"55",X"01",X"15",X"55",X"55",X"55",X"55",X"55",X"55", - X"10",X"45",X"45",X"55",X"55",X"55",X"55",X"55",X"01",X"15",X"55",X"55",X"55",X"55",X"55",X"55", - X"00",X"14",X"55",X"55",X"55",X"55",X"55",X"55",X"10",X"45",X"55",X"55",X"55",X"55",X"55",X"55", - X"01",X"15",X"55",X"55",X"55",X"55",X"55",X"55",X"04",X"45",X"55",X"55",X"55",X"55",X"55",X"55", - X"00",X"11",X"15",X"55",X"55",X"55",X"55",X"55",X"11",X"15",X"55",X"55",X"55",X"55",X"55",X"55", - X"00",X"45",X"55",X"55",X"55",X"55",X"55",X"55",X"09",X"11",X"55",X"55",X"55",X"55",X"55",X"55", - X"00",X"45",X"51",X"55",X"55",X"55",X"55",X"55",X"01",X"15",X"55",X"55",X"55",X"55",X"55",X"55", - X"06",X"14",X"55",X"55",X"55",X"55",X"55",X"55",X"00",X"45",X"55",X"55",X"55",X"55",X"55",X"55", - X"0A",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"3F",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FD", - X"3F",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FD",X"00",X"E2",X"55",X"55",X"55",X"55",X"57",X"95", - X"00",X"E2",X"55",X"55",X"55",X"55",X"57",X"15",X"AA",X"EA",X"AA",X"AA",X"AA",X"AA",X"AB",X"AA", - X"11",X"04",X"45",X"11",X"04",X"00",X"00",X"00",X"40",X"40",X"40",X"10",X"40",X"41",X"01",X"01", - X"15",X"14",X"11",X"04",X"04",X"10",X"10",X"44",X"45",X"50",X"55",X"44",X"41",X"00",X"44",X"11", - X"55",X"45",X"05",X"15",X"10",X"44",X"11",X"05",X"14",X"55",X"55",X"44",X"15",X"55",X"55",X"54", - X"55",X"55",X"55",X"55",X"5D",X"55",X"55",X"75",X"55",X"D5",X"5D",X"5D",X"55",X"55",X"5D",X"55", - X"75",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"5D",X"55",X"55", - X"55",X"5D",X"5D",X"75",X"75",X"55",X"57",X"55",X"5D",X"DD",X"55",X"55",X"55",X"D5",X"75",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"75",X"55",X"55",X"75",X"55",X"57",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"56",X"A9",X"55",X"45",X"55",X"55",X"55",X"55",X"56",X"A9",X"55", - X"55",X"55",X"55",X"95",X"59",X"5A",X"99",X"55",X"55",X"55",X"55",X"65",X"5A",X"5A",X"A5",X"55", - X"55",X"55",X"55",X"65",X"56",X"AA",X"A5",X"55",X"51",X"55",X"55",X"65",X"55",X"AA",X"99",X"55", - X"55",X"55",X"55",X"95",X"15",X"9A",X"A6",X"55",X"51",X"55",X"56",X"55",X"55",X"96",X"A9",X"55", - X"55",X"55",X"59",X"11",X"55",X"96",X"AA",X"55",X"45",X"55",X"65",X"55",X"55",X"9A",X"AA",X"95", - X"55",X"55",X"95",X"41",X"55",X"5A",X"AA",X"65",X"51",X"15",X"A9",X"55",X"56",X"56",X"AA",X"95", - X"55",X"55",X"99",X"54",X"65",X"55",X"AA",X"65",X"55",X"55",X"56",X"55",X"65",X"55",X"6A",X"95", - X"55",X"11",X"56",X"55",X"55",X"55",X"6A",X"65",X"55",X"55",X"59",X"55",X"95",X"55",X"AA",X"95", - X"55",X"44",X"59",X"55",X"55",X"55",X"AA",X"65",X"55",X"95",X"25",X"55",X"51",X"55",X"AA",X"95", - X"55",X"56",X"65",X"55",X"55",X"55",X"AA",X"65",X"56",X"55",X"55",X"55",X"45",X"15",X"6A",X"95", - X"A5",X"55",X"55",X"55",X"55",X"55",X"6A",X"55",X"A5",X"45",X"51",X"15",X"54",X"55",X"6A",X"95", - X"65",X"55",X"55",X"55",X"61",X"55",X"AA",X"55",X"59",X"55",X"55",X"55",X"59",X"15",X"A5",X"55", - X"59",X"14",X"55",X"55",X"56",X"56",X"99",X"55",X"59",X"55",X"55",X"55",X"56",X"5A",X"55",X"55", - X"69",X"45",X"55",X"45",X"55",X"AA",X"66",X"55",X"65",X"11",X"54",X"55",X"55",X"6A",X"95",X"55", - X"95",X"50",X"45",X"55",X"55",X"AA",X"99",X"55",X"55",X"45",X"55",X"51",X"56",X"5A",X"A5",X"55", - X"55",X"54",X"45",X"55",X"55",X"56",X"A9",X"55",X"55",X"55",X"55",X"55",X"55",X"56",X"A5",X"55", - X"10",X"55",X"55",X"55",X"45",X"6A",X"AF",X"FF",X"00",X"51",X"45",X"19",X"15",X"AA",X"AA",X"E5", - X"11",X"40",X"66",X"69",X"C6",X"6A",X"AB",X"F9",X"54",X"15",X"66",X"29",X"55",X"AA",X"57",X"9D", - X"45",X"53",X"25",X"59",X"59",X"A9",X"57",X"57",X"44",X"1A",X"51",X"69",X"66",X"AA",X"57",X"75", - X"53",X"49",X"56",X"A9",X"5A",X"69",X"5D",X"55",X"54",X"64",X"45",X"A9",X"AA",X"A5",X"55",X"55", - X"55",X"05",X"59",X"65",X"A9",X"95",X"55",X"95",X"56",X"05",X"1B",X"51",X"AA",X"95",X"55",X"55", - X"55",X"41",X"65",X"55",X"AA",X"55",X"55",X"55",X"55",X"71",X"81",X"16",X"A9",X"65",X"75",X"55", - X"54",X"55",X"81",X"55",X"6A",X"55",X"55",X"55",X"55",X"51",X"A9",X"A5",X"99",X"55",X"55",X"55", - X"55",X"51",X"50",X"A5",X"A9",X"95",X"55",X"55",X"55",X"55",X"5A",X"92",X"A5",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"54",X"00",X"00",X"00",X"51",X"55",X"55",X"55",X"54",X"00",X"00",X"00", - X"5D",X"5D",X"55",X"55",X"51",X"01",X"04",X"00",X"54",X"55",X"5D",X"55",X"54",X"10",X"00",X"00", - X"55",X"55",X"55",X"55",X"55",X"40",X"00",X"00",X"55",X"55",X"55",X"55",X"55",X"11",X"0C",X"00", - X"55",X"55",X"55",X"55",X"55",X"44",X"10",X"40",X"55",X"55",X"55",X"5D",X"55",X"15",X"40",X"00", - X"55",X"55",X"55",X"55",X"55",X"55",X"10",X"00",X"55",X"55",X"75",X"55",X"55",X"55",X"40",X"40", - X"55",X"55",X"55",X"55",X"55",X"54",X"50",X"00",X"55",X"55",X"55",X"55",X"55",X"55",X"44",X"0C", - X"55",X"55",X"55",X"55",X"55",X"55",X"54",X"00",X"55",X"55",X"55",X"55",X"55",X"55",X"54",X"00", - X"55",X"55",X"55",X"D5",X"55",X"54",X"51",X"00",X"55",X"55",X"55",X"55",X"55",X"55",X"14",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"80",X"00",X"00", - X"00",X"10",X"00",X"00",X"00",X"00",X"00",X"10",X"00",X"00",X"00",X"00",X"10",X"80",X"02",X"00", - X"00",X"02",X"00",X"40",X"00",X"00",X"00",X"00",X"02",X"00",X"00",X"00",X"00",X"00",X"08",X"00", - X"00",X"00",X"02",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"01",X"00",X"02",X"00",X"10",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"20",X"00",X"00",X"00",X"00",X"08",X"04",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"40",X"04",X"08",X"04",X"04",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"08",X"04",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"40",X"04",X"00",X"00",X"00",X"02",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"08",X"10",X"04",X"00",X"00",X"00",X"00",X"10", - X"00",X"00",X"00",X"00",X"00",X"00",X"80",X"00",X"00",X"00",X"00",X"00",X"00",X"20",X"00",X"00", - X"02",X"00",X"80",X"01",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"0A",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"3F",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FC", - X"3F",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FC",X"00",X"E2",X"00",X"00",X"00",X"00",X"03",X"80", - X"00",X"E2",X"00",X"00",X"00",X"00",X"03",X"00",X"AA",X"EA",X"AA",X"AA",X"AA",X"AA",X"AB",X"AA", - X"FF",X"EF",X"FF",X"FF",X"FF",X"FF",X"FF",X"BF",X"3F",X"EF",X"FF",X"FF",X"FF",X"FF",X"FF",X"BC", - X"3F",X"EF",X"FF",X"FF",X"FF",X"FF",X"FF",X"BC",X"3F",X"EF",X"FF",X"FF",X"FF",X"FF",X"FF",X"BC", - X"3F",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FC",X"3F",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FC", - X"3F",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FC",X"3F",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FC", - X"3F",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FC",X"3F",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FC", - X"3F",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FC",X"3F",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FC", - X"3F",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FC",X"3F",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FC", - X"3F",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FC",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"51",X"15",X"55",X"55", - X"55",X"55",X"55",X"55",X"45",X"55",X"55",X"55",X"55",X"55",X"55",X"05",X"14",X"26",X"55",X"55", - X"55",X"55",X"54",X"56",X"50",X"55",X"95",X"55",X"55",X"55",X"19",X"15",X"61",X"55",X"A9",X"55", - X"55",X"54",X"51",X"54",X"55",X"14",X"66",X"55",X"55",X"59",X"55",X"A1",X"14",X"55",X"69",X"95", - X"54",X"45",X"04",X"25",X"59",X"41",X"9A",X"55",X"55",X"15",X"91",X"55",X"59",X"55",X"59",X"95", - X"54",X"45",X"81",X"18",X"49",X"91",X"1A",X"A5",X"41",X"50",X"55",X"55",X"55",X"91",X"6B",X"55", - X"00",X"05",X"61",X"45",X"01",X"55",X"AB",X"F5",X"51",X"55",X"59",X"59",X"5A",X"44",X"AB",X"F5", - X"64",X"55",X"61",X"56",X"56",X"55",X"69",X"F5",X"69",X"05",X"A4",X"19",X"56",X"55",X"AA",X"A5", - X"5A",X"51",X"65",X"49",X"5A",X"55",X"AA",X"A5",X"56",X"96",X"91",X"68",X"5A",X"75",X"AA",X"A5", - X"56",X"92",X"54",X"A5",X"1A",X"56",X"AA",X"95",X"55",X"AA",X"56",X"A5",X"49",X"56",X"AA",X"55", - X"55",X"6A",X"8A",X"A5",X"A9",X"5A",X"A5",X"95",X"55",X"56",X"A9",X"95",X"95",X"5A",X"9A",X"55", - X"55",X"55",X"6A",X"45",X"A5",X"96",X"A9",X"55",X"55",X"55",X"56",X"AA",X"A2",X"9A",X"99",X"95", - X"55",X"55",X"55",X"58",X"5B",X"AA",X"95",X"55",X"55",X"55",X"55",X"5A",X"AA",X"A9",X"59",X"55", - X"55",X"55",X"55",X"55",X"55",X"55",X"95",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"55",X"55",X"55",X"59",X"56",X"18",X"46",X"55",X"55",X"55",X"55",X"94",X"44",X"46",X"48",X"95", - X"55",X"55",X"65",X"60",X"90",X"11",X"99",X"A5",X"55",X"55",X"46",X"55",X"61",X"95",X"82",X"99", - X"55",X"55",X"05",X"60",X"54",X"91",X"96",X"A5",X"55",X"54",X"15",X"25",X"26",X"65",X"44",X"A9", - X"55",X"50",X"45",X"64",X"64",X"95",X"65",X"BF",X"55",X"45",X"55",X"65",X"65",X"94",X"A1",X"BF", - X"55",X"55",X"15",X"65",X"65",X"A5",X"96",X"BF",X"55",X"55",X"54",X"A9",X"65",X"96",X"95",X"AA", - X"55",X"55",X"95",X"95",X"65",X"95",X"99",X"AA",X"55",X"55",X"AA",X"95",X"96",X"9A",X"A4",X"AA", - X"55",X"55",X"6A",X"6A",X"56",X"56",X"56",X"A9",X"55",X"64",X"56",X"69",X"9A",X"5A",X"9A",X"A5", - X"55",X"12",X"45",X"5A",X"A5",X"6A",X"5A",X"A9",X"55",X"45",X"95",X"69",X"AA",X"AA",X"6A",X"A5", - X"54",X"41",X"55",X"6A",X"4A",X"AA",X"A6",X"A5",X"60",X"55",X"A0",X"59",X"54",X"AA",X"6A",X"95", - X"45",X"11",X"95",X"69",X"45",X"A6",X"2F",X"D5",X"64",X"45",X"85",X"25",X"55",X"91",X"6F",X"D5", - X"59",X"16",X"A6",X"69",X"54",X"99",X"6F",X"D5",X"5A",X"16",X"65",X"6A",X"91",X"95",X"2A",X"95", - X"56",X"62",X"94",X"69",X"55",X"A1",X"AA",X"95",X"55",X"6A",X"55",X"AA",X"16",X"96",X"AA",X"95", - X"55",X"5A",X"55",X"A5",X"59",X"56",X"A9",X"55",X"55",X"56",X"99",X"95",X"56",X"66",X"A5",X"55", - X"55",X"55",X"A6",X"A5",X"9A",X"4A",X"95",X"55",X"55",X"55",X"5A",X"A5",X"6A",X"6A",X"55",X"55", - X"55",X"55",X"55",X"69",X"AA",X"6A",X"55",X"55",X"55",X"55",X"55",X"56",X"AA",X"A5",X"55",X"55", - X"55",X"55",X"55",X"55",X"5A",X"69",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55",X"55", - X"00",X"45",X"55",X"55",X"55",X"55",X"51",X"00",X"00",X"15",X"55",X"5D",X"C5",X"51",X"54",X"10", - X"01",X"05",X"55",X"55",X"55",X"15",X"51",X"00",X"00",X"11",X"55",X"55",X"D5",X"55",X"54",X"40", - X"00",X"55",X"55",X"5D",X"75",X"55",X"51",X"00",X"00",X"11",X"55",X"55",X"55",X"51",X"54",X"10", - X"00",X"05",X"55",X"55",X"D5",X"55",X"51",X"00",X"00",X"41",X"55",X"55",X"55",X"55",X"44",X"40", - X"00",X"04",X"55",X"55",X"D5",X"55",X"51",X"00",X"00",X"01",X"15",X"5D",X"45",X"44",X"54",X"00", - X"00",X"44",X"57",X"55",X"D5",X"55",X"55",X"00",X"00",X"01",X"55",X"75",X"55",X"15",X"55",X"40", - X"00",X"05",X"57",X"57",X"75",X"55",X"55",X"00",X"00",X"11",X"55",X"55",X"55",X"55",X"44",X"00", - X"00",X"01",X"55",X"55",X"D5",X"55",X"11",X"00",X"00",X"04",X"55",X"55",X"55",X"51",X"44",X"00", - X"00",X"01",X"55",X"5D",X"45",X"15",X"50",X"40",X"00",X"04",X"55",X"55",X"55",X"55",X"54",X"00", - X"00",X"01",X"15",X"55",X"55",X"51",X"51",X"00",X"00",X"00",X"45",X"57",X"55",X"55",X"54",X"00", - X"00",X"01",X"15",X"55",X"55",X"55",X"50",X"10",X"00",X"00",X"41",X"55",X"55",X"55",X"44",X"00", - X"00",X"00",X"15",X"55",X"55",X"51",X"10",X"00",X"00",X"01",X"05",X"55",X"D5",X"50",X"41",X"00", - X"00",X"00",X"11",X"15",X"55",X"04",X"00",X"00",X"00",X"00",X"05",X"45",X"54",X"40",X"10",X"00", - X"00",X"10",X"01",X"15",X"51",X"00",X"00",X"00",X"00",X"00",X"10",X"45",X"54",X"44",X"00",X"00", - X"00",X"00",X"01",X"11",X"51",X"00",X"00",X"00",X"00",X"00",X"00",X"04",X"40",X"00",X"00",X"00", - X"00",X"00",X"00",X"40",X"04",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"03",X"00",X"C0",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"C0",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"30",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"03",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"03",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"03",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"0C",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"0C",X"C0",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"0C",X"30",X"00",X"00",X"00",X"00",X"00",X"00",X"03",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"C0",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"0C",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"0C",X"0C",X"00",X"00",X"00",X"00",X"00",X"00", - X"0C",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"08",X"04",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"40",X"04",X"00",X"00",X"00",X"02",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"08",X"10",X"04",X"00",X"00",X"00",X"00",X"10", - X"00",X"00",X"00",X"00",X"00",X"00",X"80",X"00",X"00",X"00",X"00",X"00",X"00",X"20",X"00",X"00", - X"02",X"00",X"80",X"01",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"0A",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"AA",X"3F",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FC", - X"3F",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FC",X"00",X"E2",X"00",X"00",X"00",X"00",X"03",X"80", - X"00",X"E2",X"00",X"00",X"00",X"00",X"03",X"00",X"02",X"EA",X"AA",X"AA",X"AA",X"AA",X"AB",X"AA", - X"0F",X"EF",X"FF",X"FF",X"FF",X"FF",X"FF",X"BF",X"2F",X"EF",X"FF",X"FF",X"FF",X"FF",X"FF",X"BC", - X"3F",X"EF",X"FF",X"FF",X"FF",X"FF",X"FF",X"BC",X"3F",X"EF",X"FF",X"FF",X"FF",X"FF",X"FF",X"BC", - X"3F",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FC",X"0F",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FC", - X"03",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FC",X"03",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FC", - X"02",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FC",X"02",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FC", - X"03",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FC",X"0B",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FC", - X"0F",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FC",X"0F",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FC", - X"0F",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FC",X"0F",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FA",X"20",X"00",X"FF",X"FF",X"FF",X"FF",X"FF",X"B8",X"80",X"00", - X"FF",X"FF",X"FF",X"FF",X"FF",X"F2",X"00",X"0C",X"FF",X"FF",X"FF",X"FF",X"FF",X"E8",X"84",X"00", - X"FF",X"FF",X"FF",X"FF",X"FF",X"C2",X"20",X"00",X"FF",X"FF",X"FF",X"FF",X"FF",X"88",X"80",X"00", - X"FF",X"FF",X"FF",X"FF",X"FE",X"A2",X"22",X"00",X"FF",X"FF",X"FF",X"FF",X"FE",X"88",X"80",X"00", - X"FF",X"FF",X"FF",X"FF",X"FB",X"A2",X"20",X"00",X"FF",X"FF",X"FF",X"FF",X"FE",X"E8",X"88",X"00", - X"FF",X"FF",X"FF",X"FF",X"FF",X"EA",X"20",X"00",X"FF",X"FF",X"FF",X"FF",X"FF",X"B8",X"80",X"00", - X"FF",X"FF",X"FF",X"FF",X"BF",X"E8",X"00",X"40",X"FB",X"FF",X"FF",X"FF",X"BF",X"F8",X"00",X"00", - X"FB",X"FF",X"FF",X"FF",X"BF",X"B0",X"C0",X"00",X"FB",X"FF",X"FF",X"FF",X"BF",X"00",X"00",X"00", - X"AB",X"AA",X"AA",X"AA",X"B8",X"00",X"00",X"00",X"0B",X"00",X"00",X"08",X"B0",X"00",X"00",X"00", - X"0B",X"00",X"00",X"08",X"B0",X"00",X"00",X"00",X"3F",X"FF",X"FF",X"FF",X"FF",X"C0",X"00",X"00", - X"3F",X"FF",X"FF",X"FF",X"FF",X"C0",X"40",X"0C",X"2A",X"AA",X"AA",X"AA",X"AA",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"04",X"00",X"30",X"0C",X"00",X"00",X"00", - X"80",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"01",X"00",X"43",X"00",X"00",X"40",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"0C",X"00",X"00",X"00",X"30",X"00",X"10",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"01",X"03",X"00",X"00",X"10",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"22",X"00",X"00",X"00",X"00",X"00",X"00",X"03",X"E8",X"20",X"00", - X"00",X"00",X"00",X"03",X"03",X"E2",X"00",X"00",X"00",X"00",X"00",X"00",X"03",X"C8",X"04",X"00", - X"00",X"00",X"00",X"00",X"0F",X"22",X"00",X"00",X"00",X"00",X"00",X"00",X"3F",X"88",X"88",X"00", - X"00",X"00",X"00",X"00",X"3E",X"A2",X"00",X"00",X"00",X"00",X"00",X"00",X"0F",X"A8",X"80",X"00", - X"00",X"00",X"00",X"00",X"0F",X"E2",X"20",X"04",X"00",X"00",X"00",X"00",X"C3",X"E8",X"80",X"00", - X"00",X"00",X"00",X"00",X"00",X"E2",X"00",X"C0",X"00",X"00",X"00",X"00",X"03",X"88",X"80",X"00", - X"00",X"00",X"00",X"00",X"03",X"A2",X"08",X"00",X"00",X"00",X"00",X"00",X"33",X"E8",X"80",X"00", - X"00",X"00",X"00",X"00",X"03",X"FA",X"20",X"00",X"00",X"00",X"00",X"00",X"00",X"F8",X"80",X"0C", - X"00",X"00",X"00",X"00",X"03",X"E2",X"00",X"0C",X"00",X"00",X"00",X"0C",X"03",X"88",X"81",X"00", - X"00",X"00",X"00",X"00",X"0F",X"A2",X"20",X"00",X"00",X"00",X"00",X"00",X"0F",X"A8",X"82",X"00", - X"00",X"00",X"00",X"00",X"0F",X"E2",X"20",X"00",X"00",X"00",X"00",X"00",X"03",X"E8",X"80",X"00", - X"00",X"00",X"00",X"00",X"0F",X"E2",X"00",X"00",X"00",X"00",X"00",X"00",X"FF",X"88",X"0C",X"00", - X"00",X"00",X"00",X"03",X"FE",X"A2",X"00",X"00",X"00",X"00",X"00",X"00",X"3F",X"88",X"00",X"00", - X"00",X"00",X"00",X"00",X"0F",X"A2",X"08",X"10",X"00",X"00",X"00",X"30",X"0F",X"E8",X"80",X"00", - X"00",X"00",X"00",X"00",X"03",X"FA",X"20",X"00",X"00",X"00",X"00",X"00",X"0F",X"F8",X"82",X"00", - X"00",X"00",X"00",X"00",X"00",X"FA",X"20",X"00",X"00",X"00",X"00",X"00",X"00",X"F8",X"80",X"00"); -begin -process(clk) -begin - if rising_edge(clk) then - data <= rom_data(to_integer(unsigned(addr))); - end if; -end process; -end architecture; diff --git a/Arcade_MiST/Midway MCR Scroll/SpyHunter_MiST/rtl/rom/spy_hunter_ch_bits.vhd b/Arcade_MiST/Midway MCR Scroll/SpyHunter_MiST/rtl/rom/spy_hunter_ch_bits.vhd deleted file mode 100644 index d412e0f7..00000000 --- a/Arcade_MiST/Midway MCR Scroll/SpyHunter_MiST/rtl/rom/spy_hunter_ch_bits.vhd +++ /dev/null @@ -1,278 +0,0 @@ -library ieee; -use ieee.std_logic_1164.all,ieee.numeric_std.all; - -entity spy_hunter_ch_bits is -port ( - clk : in std_logic; - addr : in std_logic_vector(11 downto 0); - data : out std_logic_vector(7 downto 0) -); -end entity; - -architecture prom of spy_hunter_ch_bits is - type rom is array(0 to 4095) of std_logic_vector(7 downto 0); - signal rom_data: rom := ( - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"3F",X"3F",X"0C",X"0C",X"3F",X"FF",X"3F",X"FF",X"3F",X"FF",X"0C",X"0C",X"3F",X"3F", - X"00",X"00",X"3F",X"3F",X"0C",X"0C",X"3F",X"FF",X"3F",X"FF",X"3F",X"FF",X"0C",X"0C",X"3F",X"3F", - X"00",X"00",X"3F",X"FC",X"C0",X"03",X"CC",X"33",X"CC",X"33",X"CF",X"F3",X"C0",X"03",X"3F",X"FC", - X"33",X"00",X"3F",X"FC",X"00",X"00",X"03",X"FC",X"3F",X"0C",X"33",X"0C",X"3F",X"FC",X"00",X"00", - X"00",X"0C",X"3F",X"FC",X"00",X"00",X"00",X"0C",X"00",X"0C",X"3F",X"FC",X"00",X"00",X"3F",X"FC", - X"00",X"00",X"F0",X"00",X"0F",X"C0",X"00",X"3F",X"3C",X"00",X"0F",X"FC",X"3C",X"00",X"00",X"0C", - X"00",X"00",X"3F",X"FC",X"00",X"00",X"3F",X"FC",X"0C",X"00",X"03",X"00",X"0C",X"00",X"3F",X"FC", - X"00",X"0C",X"00",X"30",X"00",X"0C",X"3F",X"F0",X"00",X"00",X"0F",X"F0",X"30",X"0C",X"3F",X"FC", - X"0F",X"FC",X"3C",X"00",X"00",X"00",X"3F",X"FC",X"33",X"00",X"3F",X"FC",X"00",X"00",X"3F",X"F0", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"3C",X"00", - X"15",X"54",X"00",X"00",X"15",X"54",X"10",X"44",X"15",X"44",X"00",X"00",X"15",X"54",X"00",X"00", - X"00",X"00",X"00",X"00",X"15",X"54",X"11",X"04",X"11",X"04",X"00",X"00",X"15",X"54",X"11",X"04", - X"00",X"00",X"00",X"00",X"2A",X"A0",X"A0",X"28",X"80",X"08",X"A0",X"28",X"2A",X"A8",X"0A",X"A0", - X"00",X"00",X"00",X"00",X"00",X"08",X"00",X"08",X"AA",X"A8",X"2A",X"A8",X"08",X"08",X"00",X"08", - X"00",X"00",X"00",X"00",X"28",X"08",X"AA",X"08",X"A2",X"88",X"80",X"A8",X"A0",X"A8",X"A0",X"28", - X"00",X"00",X"00",X"00",X"00",X"A8",X"A8",X"A8",X"82",X"88",X"82",X"08",X"A0",X"28",X"A0",X"28", - X"00",X"00",X"00",X"00",X"AA",X"A8",X"AA",X"A8",X"02",X"80",X"A2",X"80",X"2A",X"80",X"02",X"80", - X"00",X"00",X"00",X"00",X"80",X"A8",X"82",X"A8",X"82",X"08",X"82",X"08",X"AA",X"08",X"AA",X"08", - X"00",X"00",X"00",X"00",X"20",X"A8",X"82",X"88",X"82",X"08",X"A2",X"08",X"2A",X"A8",X"0A",X"A8", - X"00",X"00",X"00",X"00",X"A8",X"00",X"AA",X"00",X"82",X"80",X"80",X"A0",X"80",X"28",X"A0",X"08", - X"00",X"00",X"00",X"00",X"28",X"A8",X"AA",X"A8",X"82",X"08",X"AA",X"08",X"2A",X"A8",X"00",X"A8", - X"00",X"00",X"00",X"00",X"2A",X"A0",X"AA",X"A8",X"82",X"08",X"82",X"80",X"AA",X"80",X"2A",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"F0",X"00",X"FC",X"00",X"FF",X"00",X"0F",X"C0",X"00",X"F0",X"00",X"03",X"00",X"0F",X"00",X"00", - X"FF",X"FF",X"C0",X"03",X"C0",X"03",X"C0",X"03",X"C0",X"03",X"C0",X"03",X"C0",X"03",X"FF",X"FF", - X"C0",X"00",X"C0",X"00",X"C0",X"00",X"C0",X"00",X"C0",X"00",X"C0",X"00",X"C0",X"00",X"FF",X"FF", - X"FF",X"FF",X"C0",X"00",X"C0",X"00",X"C0",X"00",X"C0",X"00",X"C0",X"00",X"C0",X"00",X"FF",X"FF", - X"C0",X"03",X"C0",X"03",X"C0",X"03",X"C0",X"03",X"C0",X"03",X"C0",X"03",X"C0",X"03",X"FF",X"FF", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"FC",X"00",X"0F",X"C0",X"00",X"FC",X"00",X"0F",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"3F",X"F0",X"F0",X"3C",X"C0",X"0C",X"F0",X"3C",X"3F",X"FC",X"0F",X"F0", - X"00",X"00",X"00",X"00",X"00",X"0C",X"00",X"0C",X"FF",X"FC",X"3F",X"FC",X"0C",X"0C",X"00",X"0C", - X"00",X"00",X"00",X"00",X"3C",X"0C",X"FF",X"0C",X"F3",X"CC",X"C0",X"FC",X"F0",X"FC",X"F0",X"3C", - X"00",X"00",X"00",X"00",X"00",X"FC",X"FC",X"FC",X"C3",X"CC",X"C3",X"0C",X"F0",X"3C",X"F0",X"3C", - X"00",X"00",X"00",X"00",X"FF",X"FC",X"FF",X"FC",X"03",X"C0",X"F3",X"C0",X"3F",X"C0",X"03",X"C0", - X"00",X"00",X"00",X"00",X"C0",X"FC",X"C3",X"FC",X"C3",X"0C",X"C3",X"0C",X"FF",X"0C",X"FF",X"0C", - X"00",X"00",X"00",X"00",X"30",X"FC",X"C3",X"CC",X"C3",X"0C",X"F3",X"0C",X"3F",X"FC",X"0F",X"FC", - X"00",X"00",X"00",X"00",X"FC",X"00",X"FF",X"00",X"C3",X"C0",X"C0",X"F0",X"C0",X"3C",X"F0",X"0C", - X"00",X"00",X"00",X"00",X"3C",X"FC",X"FF",X"FC",X"C3",X"0C",X"FF",X"0C",X"3F",X"FC",X"00",X"FC", - X"00",X"00",X"00",X"00",X"3F",X"F0",X"FF",X"FC",X"C3",X"0C",X"C3",X"C0",X"FF",X"C0",X"3F",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", - X"3F",X"FF",X"30",X"03",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"F3",X"30",X"03",X"3F",X"FF", - X"00",X"00",X"00",X"0C",X"3F",X"FC",X"F0",X"C0",X"C0",X"C0",X"FF",X"FC",X"3F",X"FC",X"00",X"0C", - X"00",X"00",X"00",X"00",X"FC",X"FC",X"FF",X"FC",X"C3",X"0C",X"FF",X"FC",X"FF",X"FC",X"C0",X"0C", - X"00",X"00",X"00",X"00",X"30",X"3C",X"C0",X"0C",X"C0",X"0C",X"F0",X"3C",X"3F",X"FC",X"0F",X"F0", - X"00",X"00",X"00",X"00",X"3F",X"F0",X"F0",X"3C",X"C0",X"0C",X"FF",X"FC",X"FF",X"FC",X"C0",X"0C", - X"00",X"00",X"00",X"00",X"F0",X"3C",X"C0",X"0C",X"CF",X"0C",X"FF",X"FC",X"FF",X"FC",X"C0",X"0C", - X"00",X"00",X"00",X"00",X"F0",X"00",X"C0",X"00",X"CF",X"0C",X"FF",X"FC",X"FF",X"FC",X"C0",X"0C", - X"00",X"00",X"00",X"C0",X"30",X"FC",X"C0",X"CC",X"C0",X"0C",X"F0",X"3C",X"3F",X"FC",X"0F",X"F0", - X"00",X"00",X"C0",X"00",X"FF",X"FC",X"0F",X"00",X"0F",X"00",X"FF",X"FC",X"FF",X"FC",X"C0",X"0C", - X"00",X"00",X"00",X"00",X"00",X"0C",X"C0",X"0C",X"FF",X"FC",X"FF",X"FC",X"C0",X"0C",X"00",X"0C", - X"00",X"00",X"C0",X"00",X"FF",X"FC",X"C0",X"3C",X"C0",X"0C",X"00",X"0C",X"00",X"FC",X"00",X"F0", - X"00",X"00",X"F0",X"0C",X"FC",X"3C",X"0C",X"F0",X"03",X"00",X"FF",X"FC",X"FF",X"FC",X"C0",X"0C", - X"00",X"00",X"00",X"00",X"00",X"3C",X"00",X"0C",X"C0",X"0C",X"FF",X"FC",X"FF",X"FC",X"C0",X"00", - X"00",X"00",X"C0",X"0C",X"FF",X"FC",X"3C",X"00",X"0F",X"00",X"3C",X"00",X"FF",X"FC",X"FF",X"FC", - X"00",X"00",X"C0",X"00",X"FF",X"FC",X"00",X"F0",X"0F",X"00",X"3C",X"00",X"FF",X"FC",X"FF",X"FC", - X"00",X"00",X"00",X"00",X"3F",X"F0",X"F0",X"3C",X"C0",X"0C",X"F0",X"3C",X"3F",X"FC",X"0F",X"F0", - X"00",X"00",X"00",X"00",X"3F",X"C0",X"F3",X"C0",X"C0",X"C0",X"FF",X"FC",X"FF",X"FC",X"C0",X"0C", - X"00",X"00",X"00",X"00",X"3F",X"CC",X"F0",X"30",X"C0",X"CC",X"F0",X"0C",X"3F",X"FC",X"0F",X"F0", - X"00",X"00",X"00",X"0C",X"FC",X"3C",X"CF",X"F0",X"C3",X"C0",X"FF",X"FC",X"FF",X"FC",X"C0",X"0C", - X"00",X"00",X"00",X"00",X"30",X"F0",X"C3",X"FC",X"C3",X"0C",X"FF",X"0C",X"FF",X"3C",X"3C",X"3C", - X"00",X"00",X"00",X"00",X"F0",X"00",X"C0",X"00",X"FF",X"FC",X"FF",X"FC",X"C0",X"0C",X"F0",X"00", - X"00",X"00",X"C0",X"00",X"FF",X"FC",X"00",X"0C",X"00",X"0C",X"FF",X"FC",X"FF",X"FC",X"C0",X"00", - X"00",X"00",X"C0",X"00",X"FC",X"00",X"0F",X"F0",X"00",X"3C",X"0F",X"FC",X"FF",X"C0",X"F0",X"00", - X"00",X"00",X"F0",X"00",X"3F",X"FC",X"00",X"3C",X"03",X"F0",X"00",X"3C",X"FF",X"FC",X"F0",X"00", - X"00",X"00",X"C0",X"00",X"F0",X"0C",X"3F",X"3C",X"03",X"C0",X"0F",X"F0",X"FC",X"3C",X"F0",X"0C", - X"00",X"00",X"C0",X"00",X"FF",X"00",X"03",X"FC",X"03",X"FC",X"FF",X"00",X"FF",X"00",X"F0",X"00", - X"00",X"00",X"F0",X"00",X"FC",X"0C",X"CF",X"0C",X"C3",X"CC",X"C0",X"FC",X"F0",X"3C",X"00",X"0C", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"00",X"00",X"00",X"08",X"2A",X"A8",X"A0",X"80",X"80",X"80",X"AA",X"A8",X"2A",X"A8",X"00",X"08", - X"00",X"00",X"00",X"00",X"A8",X"A8",X"AA",X"A8",X"82",X"08",X"AA",X"A8",X"AA",X"A8",X"80",X"08", - X"00",X"00",X"00",X"00",X"20",X"28",X"80",X"08",X"80",X"08",X"A0",X"28",X"2A",X"A8",X"0A",X"A0", - X"00",X"00",X"00",X"00",X"2A",X"A0",X"A0",X"28",X"80",X"08",X"AA",X"A8",X"AA",X"A8",X"80",X"08", - X"00",X"00",X"00",X"00",X"A0",X"28",X"80",X"08",X"8A",X"08",X"AA",X"A8",X"AA",X"A8",X"80",X"08", - X"00",X"00",X"00",X"00",X"A0",X"00",X"80",X"00",X"8A",X"08",X"AA",X"A8",X"AA",X"A8",X"80",X"08", - X"00",X"00",X"00",X"80",X"20",X"A8",X"80",X"88",X"80",X"08",X"A0",X"28",X"2A",X"A8",X"0A",X"A0", - X"00",X"00",X"80",X"00",X"AA",X"A8",X"0A",X"00",X"0A",X"00",X"AA",X"A8",X"AA",X"A8",X"80",X"08", - X"00",X"00",X"00",X"00",X"00",X"08",X"80",X"08",X"AA",X"A8",X"AA",X"A8",X"80",X"08",X"00",X"08", - X"00",X"00",X"80",X"00",X"AA",X"A8",X"80",X"28",X"80",X"08",X"00",X"08",X"00",X"A8",X"00",X"A0", - X"00",X"00",X"A0",X"08",X"A8",X"28",X"08",X"A0",X"02",X"00",X"AA",X"A8",X"AA",X"A8",X"80",X"08", - X"00",X"00",X"00",X"00",X"00",X"28",X"00",X"08",X"80",X"08",X"AA",X"A8",X"AA",X"A8",X"80",X"00", - X"00",X"00",X"80",X"08",X"AA",X"A8",X"28",X"00",X"0A",X"00",X"28",X"00",X"AA",X"A8",X"AA",X"A8", - X"00",X"00",X"80",X"00",X"AA",X"A8",X"00",X"A0",X"0A",X"00",X"28",X"00",X"AA",X"A8",X"AA",X"A8", - X"00",X"00",X"00",X"00",X"2A",X"A0",X"A0",X"28",X"80",X"08",X"A0",X"28",X"2A",X"A8",X"0A",X"A0", - X"00",X"00",X"00",X"00",X"2A",X"80",X"A2",X"80",X"80",X"80",X"AA",X"A8",X"AA",X"A8",X"80",X"08", - X"00",X"00",X"00",X"00",X"2A",X"88",X"A0",X"20",X"80",X"88",X"A0",X"08",X"2A",X"A8",X"0A",X"A0", - X"00",X"00",X"00",X"08",X"A8",X"28",X"8A",X"A0",X"82",X"80",X"AA",X"A8",X"AA",X"A8",X"80",X"08", - X"00",X"00",X"00",X"00",X"20",X"A0",X"82",X"A8",X"82",X"08",X"AA",X"08",X"AA",X"28",X"28",X"28", - X"00",X"00",X"00",X"00",X"A0",X"00",X"80",X"00",X"AA",X"A8",X"AA",X"A8",X"80",X"08",X"A0",X"00", - X"00",X"00",X"80",X"00",X"AA",X"A8",X"00",X"08",X"00",X"08",X"AA",X"A8",X"AA",X"A8",X"80",X"00", - X"00",X"00",X"80",X"00",X"A8",X"00",X"0A",X"A0",X"00",X"28",X"0A",X"A8",X"AA",X"80",X"A0",X"00", - X"00",X"00",X"A0",X"00",X"2A",X"A8",X"00",X"28",X"02",X"A0",X"00",X"28",X"AA",X"A8",X"A0",X"00", - X"00",X"00",X"80",X"00",X"A0",X"08",X"2A",X"28",X"02",X"80",X"0A",X"A0",X"A8",X"28",X"A0",X"08", - X"00",X"00",X"80",X"00",X"AA",X"00",X"02",X"A8",X"02",X"A8",X"AA",X"00",X"AA",X"00",X"A0",X"00", - X"00",X"00",X"A0",X"00",X"A8",X"08",X"8A",X"08",X"82",X"88",X"80",X"A8",X"A0",X"28",X"00",X"08", - X"00",X"00",X"2A",X"2A",X"08",X"08",X"2A",X"AA",X"2A",X"AA",X"2A",X"AA",X"08",X"08",X"2A",X"2A", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF"); -begin -process(clk) -begin - if rising_edge(clk) then - data <= rom_data(to_integer(unsigned(addr))); - end if; -end process; -end architecture; diff --git a/Arcade_MiST/Midway MCR Scroll/SpyHunter_MiST/rtl/spy_hunter.vhd b/Arcade_MiST/Midway MCR Scroll/SpyHunter_MiST/rtl/spy_hunter.vhd index dd161bca..36bfc47f 100644 --- a/Arcade_MiST/Midway MCR Scroll/SpyHunter_MiST/rtl/spy_hunter.vhd +++ b/Arcade_MiST/Midway MCR Scroll/SpyHunter_MiST/rtl/spy_hunter.vhd @@ -180,7 +180,12 @@ port( csd_rom_addr : out std_logic_vector(14 downto 1); csd_rom_do : in std_logic_vector(15 downto 0); sp_addr : out std_logic_vector(14 downto 0); - sp_graphx32_do : in std_logic_vector(31 downto 0); + sp_graphx32_do : in std_logic_vector(31 downto 0); + -- internal ROM download + dl_addr : in std_logic_vector(18 downto 0); + dl_data : in std_logic_vector(7 downto 0); + dl_wr : in std_logic; + dbg_cpu_addr : out std_logic_vector(15 downto 0) ); end spy_hunter; @@ -335,7 +340,11 @@ architecture struct of spy_hunter is signal lamp_van : std_logic; signal lamp_smoke : std_logic; signal lamp_gun : std_logic; - + + signal bg_graphics_1_we : std_logic; + signal bg_graphics_2_we : std_logic; + signal ch_graphics_we : std_logic; + type texte is array(0 to 31) of std_logic_vector(7 downto 0); signal lamp_text: texte := ( x"00", x"49", x"48", x"00", -- hi/lo @@ -1028,28 +1037,46 @@ port map( ); -- char graphics ROM 10G -ch_graphics : entity work.spy_hunter_ch_bits +ch_graphics : entity work.dpram +generic map( dWidth => 8, aWidth => 12) port map( - clk => clock_vidn, - addr => ch_code_line, - data => ch_graphx_do + clk_a => clock_vidn, + addr_a => ch_code_line, + q_a => ch_graphx_do, + clk_b => clock_vid, + we_b => ch_graphics_we, + addr_b => dl_addr(11 downto 0), + d_b => dl_data ); +ch_graphics_we <= '1' when dl_addr(18 downto 12) = "1000000" and dl_wr = '1' else '0'; -- 40000 - 40FFF -- background graphics ROM 3A/4A -bg_graphics_1 : entity work.spy_hunter_bg_bits_1 +bg_graphics_1 : entity work.dpram +generic map( dWidth => 8, aWidth => 14) port map( - clk => clock_vidn, - addr => bg_code_line, - data => bg_graphx1_do + clk_a => clock_vidn, + addr_a => bg_code_line, + q_a => bg_graphx1_do, + clk_b => clock_vid, + we_b => bg_graphics_1_we, + addr_b => dl_addr(13 downto 0), + d_b => dl_data ); +bg_graphics_1_we <= '1' when dl_addr(18 downto 14) = "01110" and dl_wr = '1' else '0'; -- 38000 - 3BFFF -- background graphics ROM 5A/6A -bg_graphics_2 : entity work.spy_hunter_bg_bits_2 +bg_graphics_2 : entity work.dpram +generic map( dWidth => 8, aWidth => 14) port map( - clk => clock_vidn, - addr => bg_code_line, - data => bg_graphx2_do + clk_a => clock_vidn, + addr_a => bg_code_line, + q_a => bg_graphx2_do, + clk_b => clock_vid, + we_b => bg_graphics_2_we, + addr_b => dl_addr(13 downto 0), + d_b => dl_data ); +bg_graphics_2_we <= '1' when dl_addr(18 downto 14) = "01111" and dl_wr = '1' else '0'; -- 3C000 - 3FFFF -- sprite graphics ROM A7-A8/A5-A6/A3-A4/A1-A2 --sprite_graphics : entity work.timber_sp_bits -- full size sprite rom