From a0b3c3b8dcda70108f04fcd2ad9c14984af20fe9 Mon Sep 17 00:00:00 2001 From: Marcel Date: Wed, 5 Jun 2019 02:04:15 +0200 Subject: [PATCH] alternate Lunar Rescue Core --- .../Midway8080v2_MiST/Release/LunarRescue.rbf | Bin 0 -> 251536 bytes .../rtl/roms/LunarRescue/col.vhd | 86 +++++++ .../Scramble Hardware/TheEnd_MiST/TheEnd.qsf | 91 +++---- .../TheEnd_MiST/rtl/ROM/6331-1j.86 | Bin 32 -> 0 bytes .../TheEnd_MiST/rtl/ROM/CPU1.bin | Bin 12288 -> 0 bytes .../TheEnd_MiST/rtl/ROM/CPU2.bin | Bin 4096 -> 0 bytes .../TheEnd_MiST/rtl/ROM/GFX1.bin | Bin 4096 -> 0 bytes .../TheEnd_MiST/rtl/ROM/Load Jumpshot.bat | 23 -- .../TheEnd_MiST/rtl/ROM/ROM_OBJ_0.bin | Bin 2048 -> 0 bytes .../TheEnd_MiST/rtl/ROM/ROM_OBJ_1.bin | Bin 2048 -> 0 bytes .../TheEnd_MiST/rtl/ROM/ROM_SND_0.bin | Bin 2048 -> 0 bytes .../TheEnd_MiST/rtl/ROM/ROM_SND_1.bin | Bin 2048 -> 0 bytes .../TheEnd_MiST/rtl/ROM/ic13_1t.bin | Bin 2048 -> 0 bytes .../TheEnd_MiST/rtl/ROM/ic14_2t.bin | Bin 2048 -> 0 bytes .../TheEnd_MiST/rtl/ROM/ic15_3t.bin | Bin 2048 -> 0 bytes .../TheEnd_MiST/rtl/ROM/ic16_4t.bin | Bin 2048 -> 0 bytes .../TheEnd_MiST/rtl/ROM/ic17_5t.bin | Bin 2048 -> 0 bytes .../TheEnd_MiST/rtl/ROM/ic18_6t.bin | Bin 2048 -> 0 bytes .../TheEnd_MiST/rtl/ROM/ic30_2c.bin | Bin 2048 -> 0 bytes .../TheEnd_MiST/rtl/ROM/ic31_1c.bin | Bin 2048 -> 0 bytes .../TheEnd_MiST/rtl/ROM/ic55_2.bin | Bin 2048 -> 0 bytes .../TheEnd_MiST/rtl/ROM/ic56_1.bin | Bin 2048 -> 0 bytes .../TheEnd_MiST/rtl/ROM/romgen.exe | Bin 98304 -> 0 bytes .../Laser310_MiST/rtl/LASER310_TOP.v | 15 -- .../Laser310_MiST/rtl/Laser310_MiST.sv | 2 - .../Laser310_MiST/rtl/ps2_keyboard_glb.v | 227 ------------------ 26 files changed, 113 insertions(+), 331 deletions(-) create mode 100644 Arcade_MiST/Midway8080 Hardware/Midway8080v2_MiST/Release/LunarRescue.rbf create mode 100644 Arcade_MiST/Midway8080 Hardware/Midway8080v2_MiST/rtl/roms/LunarRescue/col.vhd delete mode 100644 Arcade_MiST/Scramble Hardware/TheEnd_MiST/rtl/ROM/6331-1j.86 delete mode 100644 Arcade_MiST/Scramble Hardware/TheEnd_MiST/rtl/ROM/CPU1.bin delete mode 100644 Arcade_MiST/Scramble Hardware/TheEnd_MiST/rtl/ROM/CPU2.bin delete mode 100644 Arcade_MiST/Scramble Hardware/TheEnd_MiST/rtl/ROM/GFX1.bin delete mode 100644 Arcade_MiST/Scramble Hardware/TheEnd_MiST/rtl/ROM/Load Jumpshot.bat delete mode 100644 Arcade_MiST/Scramble Hardware/TheEnd_MiST/rtl/ROM/ROM_OBJ_0.bin delete mode 100644 Arcade_MiST/Scramble Hardware/TheEnd_MiST/rtl/ROM/ROM_OBJ_1.bin delete mode 100644 Arcade_MiST/Scramble Hardware/TheEnd_MiST/rtl/ROM/ROM_SND_0.bin delete mode 100644 Arcade_MiST/Scramble Hardware/TheEnd_MiST/rtl/ROM/ROM_SND_1.bin delete mode 100644 Arcade_MiST/Scramble Hardware/TheEnd_MiST/rtl/ROM/ic13_1t.bin delete mode 100644 Arcade_MiST/Scramble Hardware/TheEnd_MiST/rtl/ROM/ic14_2t.bin delete mode 100644 Arcade_MiST/Scramble Hardware/TheEnd_MiST/rtl/ROM/ic15_3t.bin delete mode 100644 Arcade_MiST/Scramble Hardware/TheEnd_MiST/rtl/ROM/ic16_4t.bin delete mode 100644 Arcade_MiST/Scramble Hardware/TheEnd_MiST/rtl/ROM/ic17_5t.bin delete mode 100644 Arcade_MiST/Scramble Hardware/TheEnd_MiST/rtl/ROM/ic18_6t.bin delete mode 100644 Arcade_MiST/Scramble Hardware/TheEnd_MiST/rtl/ROM/ic30_2c.bin delete mode 100644 Arcade_MiST/Scramble Hardware/TheEnd_MiST/rtl/ROM/ic31_1c.bin delete mode 100644 Arcade_MiST/Scramble Hardware/TheEnd_MiST/rtl/ROM/ic55_2.bin delete mode 100644 Arcade_MiST/Scramble Hardware/TheEnd_MiST/rtl/ROM/ic56_1.bin delete mode 100644 Arcade_MiST/Scramble Hardware/TheEnd_MiST/rtl/ROM/romgen.exe delete mode 100644 Computer_MiST/Laser310_MiST/rtl/ps2_keyboard_glb.v diff --git a/Arcade_MiST/Midway8080 Hardware/Midway8080v2_MiST/Release/LunarRescue.rbf b/Arcade_MiST/Midway8080 Hardware/Midway8080v2_MiST/Release/LunarRescue.rbf new file mode 100644 index 0000000000000000000000000000000000000000..1ecc466b887873e2268f7d9d109b9ff729db97d4 GIT binary patch literal 251536 zcmeFa3xFKeb?05(G)f!Grn}M}m&Y=6H9e#SC> z#E)fv|65&MHKWlyP_vQ4oSEsmbsy)Rd(OG%o_p$6&kHXs4nOuc2LAdRfBp5Zf8)gS z&wuM%-+KOq7ryzo-}w3qU;pMepa0hL-vqu6Jo#@INA&l;)B?Id_Nw$Myf_XN`jBm% zHGr;pKy^fu zt^(!FhqxsDoL{c{v@}jFRA)Xv=GVCpcmNQFCa@fwOVycQuKO&#qDlNn>WLSE8%8Wt)IUfI9&diJsX${1%W+XYZ5zq8w64k*D6PB%l$X$v^q0(!WV}gV$yIHnAEK)QB<)o$8LGYV z{u3aX3628F%YyO}Nb*%TNhkg3Dji55p(`1K%9C*jpZItm@IK%e@I@f$=XBRE14&uZ zzpmSXY9G{37L={~j{^q)7Z7b~^B9oit4#M_>Vwh=Bze02A&|ZA%!7k~crK7W#Hms# zp-FQ>p!|d%nsdqA(j3ygc%rL7>B&CCIdR_a0Z#!cnny-Q__O`%{wIL10is3s34FK@ z%9n14r_%pKc6R{Dd{}I*JlTh&uJ9yuZ3Wa{LQ`^0XwWsGLum)N1rSQnaRks+xRsam zv7D>=z6}sPlDl+YeVh)~^&u(O7;XYC08}J8buayptrKL^anAVoU-cm=Q~x_NaAhBA zfRZXwI)Pv7gYGW@bp0qGK4c-w8|8lmNXo^ZLqKw`tLCpj^hoA{WZvp3S|lI!r|Sm* zk)kr`soF{pL`wpT>BZ?}r2ey@{sb{a@n@V9fBt44beHf;EEa7EBs|Yv#s4g*EPJ1& zL21c-AsUjry8!i<&?epb-#|ixuDV|evUWu2WUeIFq`%W$lm3!&(XO#2`C^x7P+kH{ z(WLUE|KzGQHkk|ad858%I|WM1e*6gVr+{=#>#wfT$pmDB1iDYINtv#iWBUN%OY${u zv!JppXzbbhn4**>(JeX>_?15BUNlNaHILMvuAc!!o6-p=Pc|umjSGNyroIxm7m&S3 z?zJ8zv@LXf9Jm{heyUvSQSJ5v>@4b*F%!{vy4SvfSNYZQ?RAx(_zQs2NuKT# zkj^C_9ZW!d3lchH?sT8Nl`fWgb z7k_4Lt7NDCvyk*Bni5FzbX7kBrA3p*@LvJxRRX%7569=h6F@dAOM}wVy`+C#)t^9V z*)ZvsY^!XIK=b|&0Ocj1`=vlU%7W&s=4JxwZz0fw1kJr{nS2SsLV3!6x(`aP1hV*6 z)2kd<<-jTjmdgRzKiShc^}FVA(wwYCZYuN29{#ZL?lQSSCcVPs<9DxJVT;pO@BKd$ z^9sE=G2?lKi{JLXImfmyUU{j~+h23;QdO2+e(ETf-SpIo!zawKtg5))t~B^88CB`> z*|aE0z{`{JEwW05Zr-+x0(mvHQ{(D%fyUFNJX@)tZq=-ZZqJ)0Nqch*tZJV2q)So3 z0!c|&=fJ_OR1r9~IxE)NbMrke-C3`J*1)$e+3V&y!F_>RwxW80 zhJimOWObL1R>A73g4L*v=Df<2wfd17=(oF<2G*#Xv+nyLMsaw$-MohKZey}`AGm{- zoxdb+(ds|{PjFOoR@aQU;)2(sUjTaADj9%!3sf0hu8ChNS+7qu?iQPju-eYUuTq82 zzxs8R4+n;?9K)f@S|x0yj9lf|-$#zc#$cr*%hum>$$8n^GfJQ33)2~mEp5CylTuzR zFSW|CQ8$K08z zn;Z9!*c%@G;ma;@sr$(N_65PMEX@uAJZ(jd5 zLtBxp-Wxu)^yFA>4J^gbY^C+B(dBl#biHAlu%&xkW{u$yMr8D%*9p0xh#ruB7_}BIM*IMj`pQX)fdC@+{;f`-Ub*o|SaHjXupB!qidq~!j zRiQL-Ha@k}a`nT%GaS|n%eSdVY93+hDeUr~H}&(&tGJw6{})*%X`lK4{j@~>?wnI@ zr>(ZE0!BHsmD2jYUtCt7%c}H$k^Re}XHe;ECTu7ksTt{z?e*|<>?{x93@@gAI(OW9 z_)p^~`9LGjAhGIoub6qmW*G#mdfoj9zjyfatI_TuM!PGI|Hj}O6;`b1^BbV}Lvp*p zT>i`V&By=Z@6YBX`NfZFUdxxj?#%1qMc%Fwwo=B4W5aUQR-@f3<^Irj!^3lKhi$LV zUdo2Hl2l43zUO*W;3apwOS#@p-@I~NJ6(%muUV`1XL|{G49i@Wt-a-Dx^lWO_3-@hm&M`k zm)AD_44u^ln}0jH-QJ0x{{Gc~_us74CZ4vwcj8~%bCz1m)qwYDrFNQvRbSyWj5%%g zSt-t#77OQge|QG^J}as|9p-tb-&87r8qRd z{H)}dc?D(9>-0kL=^W+ZxZ<9o=@0$+*?YEozPMiOy_b9U%iyVHf4%zlbGZ@gOGNZ) zq2Ue;93)dgE4>_!-7S`(b2QDnI#d24_KTzsCEEQZmVsfS@r(e<|CR#OK z3dg(h{cBfGb`S5HOtN;|U(9$dtE~5PAL$Nl$N$nEG4ameyPod+&1G?8S(VOa&u>`+ zSWcy3yD`h@b6Is>7KBxfkGy=oX?Iy-U$WBKIxBS|a7p5qU16A`tn7K+*{Niu6F1Jl zk>NzO#oE)w&ClusCd<}|B`U5)yU)<6eQCcw5b2#EeG4|PJoGE)ta{zUd!O=bH9IRynp5hvt_LlVht+_k8Xyd4IN=i&dw4Nzu)(V4QCLEzW|z za_AKocE?M_7ujUb-LIZc!Hd2hrV)@mAKK%ym(9^`l;G6RHafF_YrDd#H@mqNwm9Y8 z%+u2W@;in>A#!n#qV{Vy!tc#kLBY)dRQ7lFk9G95&cJD(65R6iaQ}fy+}#j9 zUn0Naw2W9b$viGucHJc_zHCN0yji~beJ4w5)!Yih_KoIZKZCoonXuvM>#)D?1Yc&s zu=tDi!wDXq@}Dt{w}0Y)ef12aJFn@mE#0tAq*yPP|7eNcoVhQ)u$%iD`z1xbU4Gbt zJ)au)Ug1vRg{fDMJo(1Y_|NXW)p+JR_Zmn2s;i%B^Uoh&U%493od0jRBfQJ}gUgYp zep>%&XXL5xH~-=Cz;pfoaH;FFdr!oU`Odwur~Yk-1kc>;H=5VSqv3wbgRdIeRI^U~ zj}9-|AFErvkAHU;ogNO%e@U}cI0L+I+uCpJ<*YL~vSP4Y7`(hZ^fTalzv-}-nlq>9 z+kmj@(5BL=gT6wA8MYt%Qrhbk4lPriQ4UYXmK*BH^ndy!_Cg-UaDYe;KFDeaeRv`C zm(Lq0D|B90;1YlP#?ULz?a!~e=;@B*C3exXN6XLLAP&>A_Fu#~bE1S{*h}tks9GiL zMeuP|lq+T0D#uniwh#y6ee(*uij`Eo@F%`wp*~+!-l_txlx?dVTjkh799RvNEyR_@ z^5EFe!Wb`~o$X>AT~XPv4cX9QWkcUMoxPL|Em)>4owxcpTRJ@~X}^5FU;)KheV&c& zT+frrqlz5z=biXSWA(&o<^b(WeByN2Umf3#AHwnQ`9(h$Pgc|N<r5rn}m27BRE^F8(`|PExznH98 z4^C~MFZIE@SbeCyy7fO*ITp=fsh4ZIl0Y$DY?!yKgH77$UuAfw_48LBYOkI(_v6`|6#v+XJgQXOBSMm(}gfmlvR5$MqZ9*6W?xW$%bS6jW|7q&A|1*KcZvAKcn$ zA1LJ^dcU3eMez56;cl%E9^0FbN{N2%pcDL*{pN(b`RyC{*?M;(TV|`TSt#aA%RCC%y`?!SS#Vq9@_D@&PW{qA)y0!`8+8E*f+_h2n4 zIrHo3w)D%wY=n`ix#!Pb@#4H{y%%MaBZJJ#U3kN}nfqlX9chIA28x$7y!}IQkS4ib z##N4Uf~_0osyTzS->_%@%ra1%t_tWViaRiMe&Ca*tGe?17cEuCnJ-g1f9~t&?p-+z z#CU}Z4pzmv6oGf*yM8FWTWQMBmlZ_s7yjr)DV=RO4#aic&~5NJ~*{Cp>i5df^{0Z70-4+=Q=O(b%fE z$+k68V48u0Vk~ZE;q3k5NZhMJKl-z(2K4MjXUGaYinvS4G$$&9<8m)Py!urIIFR-&2AQfo_m(YSIyD4G;(f}-7=RogI z?w)gh>dm|s1~Ij|>Oe4u!sD|`tvrKvace%6b84=NfAi)+C6fsIw@GbXQ}Q(a81RCT zOaGnLZthKMeZ*)I72wVkd$|vMsgE->*RFcALrQdX8qZo3(*X*x|&<>|2kp91r>5M1NAX0j}O zyF)zQ_)3niP z5$bF>)UOy{@Mz@hsXDcRFd+!%QkxHM&E6v}HH}hrNHfciUJHRy)qiR_=S}XfRT@)8 z@2A_v^}*z{b?yGCW07M|72jX>I63C^>x_i?s$VN`5=MIrJlb&7m!F7`psDOWTjq(v z1$I-<6yEF{jjFkgjvZmm;g`dApIj4fQKoX;PaevRPvcw`b}{jnV<}Rx z_fx-MS@Zk*ghO3Aw4flQf9|^{GJBt-Ps3Av&`p9Iwrj7{?>N*B+Vn=7$A6p?`LG)P z!deE`PjP{FQmHZ(YN^Oa~@6R&5%HokpLkQnvowxc!F;1M#F{vNVYJ_Wke{ zmZ>q&zYO}|o?pWoGd?p!qv{E>9{!K&AhM=l$oxOa-ehKLRFM#Ti^V_aC`oh?P|O)) z*c`LBH9H%#6^+#<-aa7(iTy4I>|VH4k~-LAP&QLR1LVUg9>;B&DpsyROJKM395tsi z{lT-r6SeA0WYl3t7z>l#&FDVKN;{}-j@=bfHrw}&KabTg*5K6rk0x`HTcr`V2aLdT z-7u%^j4cXmhZ2-KF2Z$kyu&W{&cUX3?F0HA|6RF|$Z~*Fgv6YZ4C4J!MET;61GD2C zRIS|mAHHMt_0gqXAGN}0(I2+k^UE#myJPK&MU{S{9@3BmY~1ziPvznNxPVui`pHSV zhU=Ad(_KgJ>p*RfPqWd;Fz&M`Y_AW%B-r)`s1>H~c<5aTk*pr4f#>Grvw zJ@v&WvyiJ$bIjFUzjNhT*FlID1t5c)yQI<&zl|qtB^{jxBF_nmEb}A9sv-(npMuz% z1dGr5?M>&LgB6CVr*n1?2&wTUoUR|`)R_VNxe?~8mVAG@T%fPsKlyXkyHXSMK^Xb4 zrT{}XGB|pwmWwvex{9R$mzqx4%mr3}+(U}N8Tj0K1&f|(M-N^hC>1~=3av1dZ+p;j ze5mXF@_Q(@oxmvuk@^XPsO1#H(uW)dRW4eDN^o4fN+Ezqji^8mc3|(z9To4?vmcBU z0^f^r)^%>qsrq$!E)E^WeU{qA?cqUZUv59uL;Lg8!O41oBa-`akOx|?QVe~Fgf6HC zMk?)s6@`w+0DVRVT@f|pI%$Bm?-dO1eCB}$EISL(o+ZvHIHA_2&pVLx_=9;B1}ckr zVQP{Sv5iHJrU%MxD?)=}u~LyVv>4p|2BydpZXU-T$OYEzH=aXxCHW^}tI=n}Z8cCv z28@Hu@=`VAR^!cRirsvNmt}_5`?Z;VJHwCuYE71Jdj+pZ8-BfdE?!?$l_49ZDJ}Mx zJ1Gk8lk&RMwXEB(+mf#bK6pyoB0d;55W(vLb!kIoFf)KYbt)F~CB;&u5|s-wIx(YB z2SlKfsC!Sv3ahym=(xY|m)CB@Qws`)5dnAuLqw=9d}|iIgkr7qPmc4zKG3z&-CU`j z>%v^Mx1k9FyKb%%!69fI@t?m@-aB+@N--MK&qzV)K3=9xDTv%0y$K>lUMdJ>IVdnC z4DqJNqZM(5oVa}?5yqX}`I(uHLzGuz5kAdLcX6XZZ_W57?>L*K^ zoB03^g=XMXMh#fuO2;ZNCfGGo3MxfPXM>poGfq^Ba+6${ zj#jq&^tRpCq88XrwTzLsSwS!h*pi?yvbNQJZ)Gx?b*>FTX5Z=0+O2l5%lAXS^qDKp zn;uh$&c~djzYMStk}*=LBOGtC%@9KI+Hy9Pi)@>^J5YSP>bGG;(y;1RnBmxj_!l;S zh2N>kWBx4;AI_cORMCO8VbEe_xvG5OdkXb22j|_Mn$DFbZ@9Ku*>6taQp2oe!1}e@&y&` zQ9IK&RPHO6exkmsJi0Y#)pxkp2EnDi?YEAU-~2kZ!-va3>8rlK)(h%6?9=E(tG4d& z6+WF`8ysxX=i1%@CmL@|JQi4;;Jz|s^WE3=F+0!hLYu>=RfuN!VS)SsMYbJ<$E z|3sx?jXrT;Lai|3qy9D;2d#od$Lu)i_P(-@5_hJd8{U}^DpAxRE~k0Wea80-&bTk; zb)Y>*lM9^Ix+CSgoJX|Peq_ARqno3ZR{hrhov(vSzlNIDO#!>pR-rQsKJ8hb2qtFD z7W`J{CPPze8#UUkf@6p(upNVpm_)|K9%~}-@jwE{>EO7uf_liVOsxGxrCiup!M^*W zS_`bvPdXE`{-`^_UaMVXmW)Dts{mDn3s2;#MZ+h28Y`UI7L1PY1M z*G&YS=??2qOB28s$B1G$tx93EybXLvvHy5eB;h`n(0*9xTW{v?x^kgZIl_j~*TyND zTE|!lI7WuE69HqgTj##vFT5M;Zs!;b@~zgm_(I2lNlshWP0VV?ch|aB!J8Bvpkvo+ z%ouzLHE1M>w6JBc4BmBFu^d0%ii#^=<`2u{9VvGp((>6)KeDcHmosBY+i7=S86~+3 zraT39w}H>bt35f*T>MNLI;I@#6fSz`D4&VTOOma zA{wL5_!EzHKUwh$qfZ>8*GZ$p<(n8b1TcK8yFUFmSYUKzv>Zgpq#MHXF1iBA*TSrg z6{BCg z3gKM`?khh|G@*K;;)uU@&iKct@v+)mG^yf{?=3* z&sAsE@x&Enu$WGjYh(wszqjs+FqCqwx)NJpn)w?bk{M;T{@X(qk!~5M#IIaqTP5d1 z&C=w7z&@Micz&wZ%n}D9lhD%`676bm^*_hgNiaHnM-m`u5iX6d_Q+vt# zjKkk2faan}1mJ)V&aoN&K(!683gwrNpkuO2(t+L}Xg$)R6dc^DW5&=IN|Z6Uh3$maqJ zDh2l7au$*Ah|_Kt?2X{__vdVF`Y-?4HB&V4ShWG+h^$iHWymg+H912 z*FRW6R+z5<%M}ErC)>GJUYiKRMKi9PKIL!g>;$_ZxQW}7)~il zgjz^CEYuinm})WFwS)ke1JWHfMwSH4=STs~MYsHomCzyZV|h`I4e^$L9v|Tnk;24I z)i!gd?Bp$CLTG~tFtI%&B#DgBL5pq!DL=KDSrrxpb}Q;J0rZX;jL0l&%R9cKWEYuK zwo|MVII}B7h7(xzFmz{_R9-$fjFLv|gc`=tI9Zt+vdaaEUTJx1t#ya`-db!4n^sabgWNZ9q~3M$lJYp8lAGo7 z7Z1mAP=4$uz6+sE*LB59OBRx?8@*oVhUH>)2SX;TY1_Tse_%{4n2T5{r#Rc87rC%M%A zomBv7cn2_$gj~SgLJx({^7iJmmlZDEl8d$%m_s<^K6`UXPy=Qf7shi~wu#E0UB}cy zBVd*9mzqUCw6KrcYL+_%?baXLjwD^LM=qS+loQrE_Dx|O`iabBm3=}eU7t+piEJ2+ zw7>>I#HLWPwtBBW*10(PO61lb$&al$+?w23_x%U9MpN6O$h+xcKMcm2`A4>1cBdQK z8!Kb~r80JPWw$-~Z1kgtFUwtY>*&sK?0vV|dx;m=-{oGGbKSA#7whH9)*o}MF}oOz z1>==Nl?VgRsP!1btLLisR056(hUNMW_SqXN-5t!8@pABFG*h{jK*#vIe8M0j$DEOW zSUFIhMXr&5s4~+!@kbYK+*=xdYdd6RvnQLgk8G_6EoWPMsT~V;v`WxmM=|SjK9B|wPbfbnyM6{2S$i+Tw=}sao*eZQ5DsEKKRcG&ScFQi9d>t zJvI`#6E!Q?Ei=)n+g^oDljV#|wwb;y%Gii(>W5C4g}5|$>As1nOtk&c9fV`RX2K`? zclfO$0iW-@^#@6YffiqioqZ`(>B7ZX6^lbT=@GS>gh5EHY>rhjXkzdG-GZEikb|S?YFpa8`` zzIKPTZ-?8e)OPr;>unaX{?=gq#I$$FW_ug3oosDujy?lR6)hH=QXQ8w0 zuz_YnX?CnF6C}Wv>Ty35T2&aq#+sSR?lQuBP8G38L|_ULahu-eU+NGp>Kxc%&9v-% zYirFd1vd0ylkP9q>#lvnNNMkk-5U9vbDh7&8r%EC^u(^#XKX#%Zho}vgaIAxE{ytl z=kdc6@97d1sk`A9$|ajP8ZndcVDy=A0v0lL2ph;u5Q9(*gI%lHTnAN#=!8B|2}KY} zL~NX5?{6RO)PJ-=_{D136`ZnsfNek!b$9fc!@j-uiCy%_-gb@S?6B$w?K{I(kVnX< z97&=_&hCIXSFJj6Y$~r^y!-z0RFDs6VxqomXi}VkA`t{Nmf@GBZ9X*Tf&^%nT7FdvFI!$rNSsw1h~#Vp*eytCgdJ)V+QWa z0%Nj6>$x93ztybd>A~&P1J{!>;gD7e_1zb+!i+w#OQUJ!N1v!q?6OLqvZdyiLc(La z*W4w3kLGA=mG+)kw{EI{>hB`{@i^hZDW_d$*kKDEvCLunyI?|Nh{l*d1T@MjgzgXu zIZ`Il!#cuJ#RSCT?!EI@h=i?STj;cI+GRy!VV<=w+(4c4zI^zJuNL7cQ4)L0jBLg; zVR?-c1@=gL@+Yqu^|$V-=H4BQhVaSSaEEIX#rd`FGhxnqe%(6jz{IqVDot-|z^7n+ zJ{KBnoWuwe|A>6A zxV})TL^BHGXq6r(NTndkMSih$Pfc6DXam)4g1JTMFv9Xnd*MZi2n>B&?}Qp_+`#~C z50Q<+W|f8q1=0FKWO>I9SmP}5p@-@CxmVpWDMz4No~(J!{if)VECcUSaj=XMDKWx z7)oYPr6Dg6+}mZ}H8@uCk#&Ugt-Ee|$pSLh{k`}vna}>|`Fmky?8|Asr4WkAF@!Li zCtvK_9UgY?cL~lgT>=U*zXPCth&Q7LMkF1p_qK}$WoMk=g|TTSDE7RK_b-B`DlE=X zy%U9{c11r0`t9tc?`VJSvfR`m=P1f>1rK+OGbc}Wmro2GZ)#g~C(5pE0*ZqtjN7}J zGT$MCl`UsBu_MI;xv-mKr&_V5%FcKG3wnm@c~?Qfn4QkZo5k~8Q&d8THTrF zgoH(oRy_9A;s$?AwUNRIPPT#=SP@Q1fNp!Z5lXA&cG0G%D7@t}oa$h=G83>9;;Ep9 z84_n|l;7d+_t)uZQ9MpJV5;RKojkxP2*Ai=CI%4rJ`Ma*g%Aj%_Ss)i#;(aMK`%H3bYELgD&G8WDRSc8M6e=5%g@U7x{VfUQ&`7{~LyZ4sQY z=*;(dh&o=Fp{Y@C4Z|G&V7QP3PZ^Qsic$NIT-4b~m3+8nYpa*X#l=YCL3ElS zPbB|gB@Ac%5Y@?hlTE+0xe#$@h1Lu^D|Uc>scv?2ppqb}+WGha%g%s@Eyz%!t^=b}< zK?17UVz5+TBx81=Q|}U|DDVr%>V6@FL4-5dse?!zs}r!WuLf}p+MY9rPyG{f9MJ#&{VmQEuL%nu71qa zfd{kpLFb@$c%{9B9X#nbywHq50Bj$jRb=3ofrud)iK~uEbSV-p5JRD!o(so`PYxDm6$0B5vY~(3?;6*_6q7 zCm|K^a&LOJSnnGc%WQU$C+(?8bijvOZdke_M|;^1+ zd#F@s9sg~PoufJ4W`ZqP8D?X(oUd;ryu_1mD2RAE>6Dt$L^Ix~)7&mh!35$Es0ftD zfiPTp5lu!GAk_QXrGFxNVG<>sx(2Ti;V-&XKi>^=)@8Xm_Bf&#*Dueka1E|%&MHk~ z8DlUq>a(ja6wPej#oLrUp69EZ5{J1oD%?Y)NuQQo2Z$()Rocy>>oHPTXXw{OXksRr zzEG?H{XT-pl*4&I&|wLe;e+8{Q$-?X>VBzd$8| zkJ2fC14bARr8HFaDkv3i{=@HJK6}Kv`N`LfB!LPF^9;ZRfvYG4{S#l?S|=6)5oC68;k-4( zyZf^+ZZM|@bqHoNricFMT+6NR3R=x=L>!uVw~oSZ-Am~9Dxw}EjUDdDm6gjTP_;YC zH{RbO1d;#BNbqFSzq%6q$d1q+CsOb(f73+$2!r-F&8&0TxA=G@elSbaG?--pAK|1$ zpy|g{;VLx`;3y`_Y4byP!Lo>_L6q-3^E+#Hd4wu`&In)3WhhZj(kYOagGeCcY?QdX=@^2lNxAh=yR@z67!;E3yO+sRXs z!0oua;m}&+9(i>3U^9B)ZB1v(uTD&Fh`zjI;;Wy~UavMYI(DTU5i3|f1O~7%fjK6hWA*PYm-L8Ooy&O$56zshp{pwq|Epf2^ssy%(;N z(6{YGciHVC$zY6_46oAvu~RtmfK%hS|Hmn-?jV#FO|`GIMMol`hZ6||3?7&a zw0-2ri97LEu086H>^)J@zL4_@I-x*xX<7z220G)HuKDz|VA_;HLI0qp!9VY$d4oSn~0V%4o8;gi> zo5K9^jDuhU-TU^|>C4I^HYDx$w{CXP5}g1UEju=w_N_IJa9j)%OSd^hvqCoV3W*SZ z;oMMsu)^3fb(I)t-P!-6 zbi#zABYM{(zC5P50P@h5TSsP?_7V`@7{q?F2G%b8 zG})U_@e+=nyqkKht$e(zXg?ZiT5Xx}35$Gz6E#fa)*S>uI@|Pi2V}7GCb;9Oa_2yu z*K?E+CFDRpzCO)&apt9K$5juCQ49xaj<}*^%v;{u17th5yToWMpX_#*$#^k?$w=pC+flauzaY=mdbh;r!Us% zO%I}J+ILLxR%m=ILr!-@1W*x>I2OV)`a_$o8NWm%VrJHA@oZ|U(EHZMy>;kUEoRKV zJPYA^tqcShsMK;v%;eUeXZc;=R*z~_z*4*a*~p{SN6=NBA+c{ zr|~cD0y{aJ0)6z;T+qrn(0jo+Lv!+0bQ7<)ag*ME{~_%&u$n=@Q%amz4&WBLF6VTe zUEBTjJN)hZ1iOw_c#y)jh&@<|M>e5b+S=aX<6O$M<_I>9L>K*L8zHtK^h&Gh3z<#yjCf)VYY@rHF>e-=Z#KL-}` z;B1FE+RR{RrVSN)QXa-YQ``;xVCJu)i61d?ZPLSPGv`}>^`zu4IoHTG0P}}J6k?*zVEFeGTh8} znjouV7VP>#i8M0%AD597HsZ>nm8c3E=dI0Pgt7SJ8;`-nDoYnQCaS1kaG0)i z7+Y@&baSDzr~L{BW#kixORjW*F`+*BV7t%WEq}y^({RG)iF{$ARpcQh+eJpokU+>l zHD{27Bt(aNXb;K4FU?`4W~Q+fn}|wZ`<`b^6|-3o!%SC*GtVP7b0_r|*Wg5*3}{g; zkoAQFlM)_EF2b>$58LWJ$d^<>k<2nJUDQG?fo={NwcgrReaKV+0Ww@-QyRe&XcyIi z$xu5|OpAcCPqcyYM;oQDT{&xE-%XVP2~^W*j)+{EaiEFiCj~>gxg`ZktyzF*_IM`B zZD9S2`inTO zbnSbsO+4w#yMcSqCd_h_k7|w(0|8ESOA9={n9fD`of=L`(Ant~#`1N4EbkJs&w&Q$ zVpL+BD3Qt0hgak=czn0d&%NYgYc*^WqAS|XbN+&l!p&oS%*0{FMQUR(?2zV2Dl36$TKv0=W1)EfQidn=yam4t;IoAQx;tzGN-i@|wcQOAE3Eo6QV)E3XF>y%5 zM=v5Ho5nx-lGhCOmYZvK=`iDEejYV)>UX*y_nVV@!!ZnD9f^(={4s~43Z3S5qSUj2 z%aZSUl^dI0+`#>aSmfyiC~qkUuRt5hcE;Vangp<9aw|LR_AE_Xg%DYpLzH-#S5a= zdBg{7MG}}kEbHYWZ-6{N82J(_n#zY{PEFQ$0pMb*?~`g!^qeNZNBH=i}qo+UboroUpjGt z{XlVJ6pk0!G`K_)ev1c_TQ9yScZ0p-A|B)KzP7oc+-TkScDHVhH`I6ExOrnV_QAck zRJJzR;(v~O_?V_9tPN_N?!I}Sf^9xBRd2cA{ysrnXwqRyk^ z9qZYMarD63`gWH`y4LO+13gP-+gF0U+E_sgl3{bmbMX||b%|mM*&A!Rh*KPhs9j=L z>L(xle>=hMHFl>?pwss38V{dq>s_8BPuY2IG;gu#V|(0lF4*Nx@$3c?IH{6r-MAAr zv^cE6xde#twl=xn&GwAg6+J+Rp3tYj>|yTG*j5W-kb?_Gr;>JOaf z+2?hpIbq>*NDG&yjqAhyyVKaAe))B+k*%ApdSIkt{2xT19i`DosF8($N<&yD;szaQ z6)(wqg-h~5W6!@kx2_`+hNJady?#*+Md#`FV4k5J@PnIA{51 zI^aIJlE1ZEgExH8OCE{giwV#kmpY+Wh(f{#R_Q_beqSx}t0#Z*USc11?L=jSG4Lvh z&*7HQ9Gus{r$+5O**vU=rQ6=l>ld5@uy&2rU7on}5)?h6Gzec04O&f3$0)J~F)*HE zB0TDsswqdxDxOO%NPCly`K8HO-UW)RMCx8AD@2#7)(RE56En8#1 ze1+|O%Fa!FsEG!6U)FPB1ClJ-Bh!*99cYaXDSZ% z`bb7E|3DmMaWr9@LJ(}?c{dIAu-)f8OofELX;yTwJ9$VvVVsFjSCdPZ%)fv71{`xY`ibTjpefisT%E3F-L>D=H5W8*3 zix|Z6+U)s}*|@xjh&pfj%fbjl2zKa~*Er~SeUx*p<3Fajk=Ah7BWtQd3DkS1v6ma} z(5l6G(}Ldf(AYsYcejr?lJBNa44@R%n(Lz4*0#UyXL^XMsX+BU+CNB*!9v^c2e#Awc>=Gm0Wmn?8=AmS50#iZlK2;vZy zkdt|Qgjoe{o$sYdskoo6V@E2MDQieXK|~NEp_69#JqBZVfa-ysWO2dJ$Td?h@!rjP z;hytoD-lHfd^RS=^s7BZ9IF;-Fo3oKGcq&$m?_HP#SuO^L2qhU)+JOu{u}4eoMRZg z!ht<;cw3*KlV0yAg!DB_5j0l)ah_#^lRi1*9nz-_DoIuSm9F8Tbm_@RT^}GC5z(>w z24K>Iu4Q#keEKI!Iqf2NfrsaOeFB}T*~($6B&C=cdSwHt8pR_!Vj~tBRQh0h#fBNs^$88E%~ z?`vw?`^j5%YYauHphFM5V?>)|EcD|eRd#gn01Az1PdblvY(QzBL-(n7>-K-ca%l7{ zfRwngHcuLgIsL~4f#Qj)vlxdWYp8~H%?Q9Y4jLfW=&M)Mq5*( zV1*&*O_g}-O_s;QcUpoRWuS#QNdtcK%)M%8F&z&yzIu-AL+=N^kIEV7PbE_=lijBp zrZA?3Aa2+DiGOM)T09D401PI>#n9@jTHHS2Wo#zmJXNmdAUB1l|CR?yY%_^1mC<3Z z1_2v2LeNQcCAW!%yuogAyGhJ9DA51cH1bx}&sN9$VQk#IWgnee{lpXR6*~63R=M_? z!!-^8H0zfJLipxsdg8&3DZFRj*77C!(5nTXY8IfP7eNT-3o#CwE?nq2u9{i{yjwUG zN(>de@3{<-F);084QOESJK>MXgBHN2R$o8^NvN%1gt?lJTV`Gnaw0Y`-+f%V)jdpX z*V}0Zv@tRrbL0-Ir0nGcq>?_lyILZwgzp5niq)q zc<3+Ai{$`{tk4u7#KN*{IfwynKyP1Y*c676t&Ix@D||RRC)-H=_`h2#cA-1CYamVB zE??d2TX8IJrlHB9g5!!B@d}WIHDQ|4pj|lFq&F2%Tls92LMS@e1m{tq*5AXQTq6m0 z#fr)sT;ykMXi6`RM&gscKg66M_ns)LRc znG6h&ap*HyrQ(;?#F6w^KP9q0_%gQ~@8#7fmb@faDBuv3WUH#%kR?lB{FKy$7vJ;P z;D!bUV2e=$W{?bQI#nW~CI*crOCPiW4gyeWylH=1est|?YnP84a`}HR!Q1QC1{<2q zTcY+g(WBGj*F?_#u>9{G-)TQn-g{g5@I{VYzO?xd{;7L%r~kTI^V#6o9h*vX(Yv1a`HvwolD;NZs1rZ7 z3FLieUo%PttS2TbgcTtgvoV=+OEh4T#fWS)eS59hJMpZyv$B5klRK{>+QReQ=&@@K zj2GF}IT7y!@ci`+&XrF2;&9Uw?#_`$ee*p#gAJQEeYm+XdiU({wyFErw115gRcD-o z<#|<)*RGmfU)c0ibNtwW&mW6QPaRuH)fv5&U|*1+J?FC89nIRIod)S={(7x#kGiaV zn%Aj1Fp=Gm1()|#%q7ttzR$wZS0Z;^K&=*o-kmpBsfN7(H@~v53GiLNF8B%35K+ta zYmbJ9iQG`CYo;V=nZ5RY{HLnW$9hu*eKzW(SFy;W1BQ|vLe~vLdhUiH_?JL$ec|J@ z2DABB54@$Aj<}nvGB7%(S88Zy)(2PDoU)5+Xgrt~_D2$ORyc4BF6Fdj>N`Xi zh2tN4B?us#@BM)^xo~~p|4b-Duja9JRbf)X%SLo73G*diX@f!WoWwW&Nflx=1b9J1 z@FnGD1n?O)jS@1Zp$%i?mA*RGEk%R}GggNBNAla?*^|sm^_kmhuh>v7yb`07i zHRfI~e8(D8m2pU_8jU2G;9@L>QwhPD5T(Qidy!Q<7TC8K^gjNt5C_PGo)%zE33GH8 z8w)esOgAXA-h$&DJqENyAJ#*6yb{UELa7^uBvB+((FY_8iL}DZo}>t?0HaW8R9}2u zd??ljzKUGT4`gvbEu9Np)JjH*sc@J?s;j6R-`$qeIAsNrju*Z*zHys65LJMhq@$p~ z(L7@YGDdZhBCBfD;xc2;TDOII>Fwjori@Xb4f8FlsZdELFiR#tb2?>+qc38HF4IJW zEQCAF+w;5Unl%Abu^3ZKrgvr#<6{hrb$|*FMsuSIFEfgeBF(0HfAfopEO`89W@J+A zbj3R9lQc`SCGA2)(VvlFQvWp9#-88S1VLk62x5YuJcFt>^b4=JB8!q1z#7Sb?$fC` zD4m;VT<}^wx`gG1N7a-}=@!T_?2s$1O&X$3Iv7bC$!bZB?M=NMNy;Q(y+!T-5k9yL zf8>g12ga%-YuwGDQgo2&aYDe{>;Hd8!h8tRbWMtlF%mMyA!W{%&@G4=E%Xl>Bn{_Y zdz<#is7qVKjcgCG8W|Lt?pa+mnkrSc&@Q8abFt35>;0bI9~C!jOY;CMf%9oL<3&qZ05#iFf|6!)uHBRW z={K=0@d&h7WHd>x83bx+r645}ibaLy8ubVL&cU19G;aU%q8Ni3!5c|DB}igm5|fKE zW!OM((k_{oc*-Tn7P{{FCAb56P)iUQt%GJ*fNp7?W%9AtGLU9m$Yyc+yC*;TPhkms zr@qi+_+#j1JepJ4?0C(fGD1d!wV7QE8b5!pFqxUD37z3IO9Db?hpg|#OmI}esO1~< zS~gO-Bo*df@BWcjV+-(5_{(6SlBOuAQ_Sc*fXt~X)tp1hT&(fkp3lBgXhj`_WDtQF zwSly(!y@HE`gDuD7DAWiHSYeg^Q%VXQBEe~08k=Pt?fZ(MbvsD*)Z3b?Ssk-CAwey zx$|OvP@3VL$QOAsccL-@I-86u=C2vtgwc4y2ilS=*qmPn>$G3af5zw|=&2NY8uHiBD=iIw3rB-0aYroc7w zSq$}iZlKiG7&CL6!J+`**5)rmHN%6$vQn}Pi=icC^SRw(u$dKE@?=$z9%B)rl-bfd zNj4^CG2D%NzhIO;GiFr>t)W#$ebC(a3Xm)DEk*E?0o}*bc+lP;?t)h;I|s2aBHOM@ z*_`U9-1PqIKY+cl^kCfpNDHYUI?WUpP`E+HX%b)lXXhXx@e6~Nj#u;9%r5a(y(Z8X zr_5Y7J1NdwKXLQJsv$@no1L;>BSrmGAeJ@IjvR7Gx4CVdm)m#;s zVX$>cpi2#`=UlcYh1qN4p|6~ydCG<#%QeR!qHL_V(qZIkR`7m9sD)^H)H!e1@yWN1%Gubi4q@Ojh^gn|~5AKq5J2mKF3u3#9R{vJ_%G zWn|>2Kuk(Y2InBzz3uS1=&0s0JlE9fLl-kDImk>f6Epd)tTzS;k7Q}Z{?z-i5XK^d zJVT^LBb%Zroq}?->swM!HvT9TAvi=pX>)ju6rh*COkc)_kRi$BWgDgGZ~U_S3oV(b zR)*6kN$eA36$Ild>7gamO&OG8o0Iy)6tB_=vXjnmMiSI2q7fwkQWu6vIg_l@T^pDG z@_BN#XpDE2id*ZI95G}EaVeWjG{c}bb|7P47wn<;;Kwv{gd#;w(No#93p*xn@Dh4qsD|?)aP|N{B{ppdRcg zuQK@31@_?Z!I>37(N=WEsyS#mJy^0P?0-Z;T{SltKPNiS8GF?Uh=| zusbpuX0G(>p+3xSxzNZn#bTxyCXGBem+5u^^xczJOu+4!W=5UXHmWUY(qqbjDtd!` zp-WlKw9f@lTRr>VFnLfDDrP+aNRo+?Ax%N!(qPm<*2zd~q^YOh#Ga1UD9OAnpn1{( znmH4PeE{t5LWFcHBb*dtnri(1CpE>GVN%z4J}0GJ*$#ewm!@r4UjVwHwqYqbmHutvK|Y?j>fL$*7sdbkJ&{MC0iPUL`+_nW$Afbb*1i=tDCM zZKA`pb;47r=Adp`oK3y?i&99f2qwCjm6)uT0()H-Zp~RQ7$|r(7qrGK25vlC=c zA)9#k((|;e$OO(|c^46gOL9BY0mV8di)+d=1zDU)y8FeO&Z*|a7zQfqloZ6fV(zsi zO&P%4(2}hw$b^+@E(X1?{yCMz7R`D5pG;+P;EH*mC`TXxQycTkNHg6grE{*mPkowu z2!YS2MM|UsFk-CulTiyQ#4CTM<{X6mo8F)Q4-GR`t+WD^X^~ffCcpX}fJP=yeV)rW zC?6!cCojJjl3*MP-A#wx-(S%OzZv=@63tLXN5_jo<2Cxm1ZnZyJpmZ#P6eFl#zD!ciSEg(Bd}_9o>Tohivlc_81fQOl?vLpzMIi-m|0AWldi@jNbD>tr%g<3mf`~xfs z`^qNGY0j{3+o3(Ta%vR$M|DfL}LmX5Ip;hIo{da;k-qT|Um{;&7 z$zJe^zw*EFytsm(S$%T(IQ?m~HXrC=0v zg=m&$v}Jvv1&g6T6ESNb5Tm)5qGhQL8J&iw1v(>ug~;#y?We^x_{kvUcF<2BV7T$y z7?jqX6j7`rl2qs~>Ix&cQkqoS)f}W7rW zPk!(%X04||SFz}{mSL^Dwk(N;@v<{;PQyy8FO)idfQP>bH6}e%8K!AOV9BVW>+6Mf6C za|lz~t}WXD0}W{`*D!XZ`US=vf(w|OwC0fsIp>ISH_@7 zmV>$rCb}nY_-*;eIZ$U2YbQ@8(#r@?D{)sWMmZ>43>v?&gIe&x0&$9>8n0GaBVcMU zD0noFgj4Z%KlNwlBB59uARg49v3LwssA85hvnkh9pEHRD?b1Z=dmlhz;)Gn)jJl~U zOn}pdBS{N0XXT!gks%~0&R%;z^#$R@zC%RT-XJ`vMn*bZlTxjPK((&p}4o+NV=bhnR z+I5<0JpDsVk}S29bwk-t+M4N`Db&!i9i@2Yq54Epwz|)Brr1 zGXnx4+-#Z2(u7G1QgSn??rqn62P%fhV1k;aWyW%_WSS@U)d7CUmaA+Gw@lWe(0Jj6 zA~eXSW+KP}r-&H0!~lhGD8V987$*RqMDmUUph@Wh*TxIKD)!2z%Q}QeYfwugFzcYW zoMwZQUdZ4#Gu}b7OyXzWl<1xKlj1;KT!0}-8I3~rTWLBmDXEIRC6Ph4K=Q(S_^&gd z(j?CA(F>w~>j@7&Ay;Ug*SdFgFS#Myk|uMmjek7N{E&r0_eJQS6qRBXpnW#d z1T*5kAYn@Cu&e8KlGvsLCd`{L!mbO+xF+ zOlIr|YDZ(}pQG3;1)3xDnJQNn;UL}p)Nh+jQ0C^a>+L>oU@PUD;nIoc|h9D9+aB8zX3-uONy2UvuHMhO@e zY4d{&k~}(w%@T}KOJMH(+b_n(KVE<`NI)l>CF;^#^&^zA+7EhMAklm0m;|NT(pL$- z4^rx?p$&ydnPd>7s#ewb3WMrNv$@wjSHvE46%?3h(bNYl7#2odOSdx$uZbWJg{h2{ zm}4Z9wsWq}{pFgto>^s*9aO)Hk(?GR6W2@HF&DtkXIA)0;k@fZN6vw_h6BQZX&h95 zD;P@|s5Fncz(Ap0#*(oQ#ye;=CvoA&&qGMCGu{WMmLG<}VNif9Wj?b3!u4y~AfCmb zarw7iNn5oSAsHSsbV!zlrai)?D!rMBq%Ic2%=cU0!xtDBwN@wuI@9W`51K%tG|jY_ z7wT_rUbft%yC?6yQf68TChT))mZBJ%GYpXgVFSN&Iy(ii^^d>FptVJT)wIbj{3wSO zA6Uxp!PE!IRhA0%Uvly3{hQaLvnUEHAahCGBxsmY(o6|7gPgH})hHI+N^2*r=3ZZW zw^{0;pSoHoXp_{4rN987GmA&!kzFyHj->K}*WT!@QYT~gm~YIc0di@CRvv}`V0IIL z0Lh|Cp0qc~UijL0({1N6eo0d-NNdAh_0`4Dq?!E_O;UZMkqhHYa^Dp3y>j9Xeh+Jq zR@|h>$WIfL;%q*>k_&5OfxCmT4w}tLY9g>FRu+Or zO9zPLD``lXE@=%?ITvz~Xp&vlPeHAW&wd0>GXRhnR%tf&fi6*UA({I`!II)=tTdBn zwZs6dx2)9|jct;XpP*+nX7xi&k>((m$*f0e#+#I#PYV`G{iDlJW=sIGqLucpDK|-x znbl6qkWLGubW2LN_sw5q*^sJfy09*%yf?CqsZJTjfDEUYy%@~2@^N5KIe>9BDlr{Aj&U<)n;OSAszQuq%U(%@$G)1o;#rnH1jwsopm~%#bNikXO2s0JvqjG^f#>6pJy% ze26AUN(+*PbFPhtf9E`N3@3+Po3lPialFK9G0{jggro#kqOoF>K2$m=SuoMy-3gfn zIuKo`O9EmI?9*zD{mq&?*&IfvZDlx@dr8~ub;~1bMGHfK7~|j!O2uPl5yFA_#Oi9_Sc=!XN7E1I+_(~nE6<|Ym|OaALPayF(z1)WG{T}eeb8MqQw}tOkFs{I@6y6 zS^d{oBy;UXm!SLP+ux{KXg2g4MkF-I?&>*{u^UOI5P}k7QrQg3<|MkG`jvCBHncbX zJ<5_6YqoI*mkeL}1v@-C7}qP)tkv(DEC*H!_}!!nN#v;yN-d13>q*THp-Jra|nW zgb^9vOj<4rz9=;Ae!X!HPy#~{`Y{-=2?vW0>dTn$NN zuPfqHX3%|W4?;9$&^Jn>9x)!Wn2TYj?A|vPWX-f_Ne|JKK?Ckh$gj)1m!U{NX2X!d z0GNZBlB7oV+BfD} z*(HMUOBMBz0?}ebMbDbli{tL?xv}cHq8`Mo9~tIbupAYU)fKZ75L5mSZ|?&qM|Iu# zRyBoaW7+O%N}cjB7F|sbZZH@L+gbAGg}SZVQVS2JXFRgUL z)uty5kwk<;V!wch$dau6!RAA}&R^8_Osvm_7~yB{BYy$EIG?V?0b`P&W7F#&d86kSIc)CyPS zs<|50o|lzIR~NI^^_I2U=bb$M@k`*R*eWupFRk5HM|DG>1auwtXjE6~RSDC2{LIBG zmMX;5xV8?ATnAVIs}(9*SFK1zjVMCsv!2~+h@CsRYxBh##uf3Ww@SyZ9DT94uozsr z@;R!xk&>SfNOA)jtIu5Ud-5#z)>!94K@_Qz6t@lJB{ZYkXMH9E#JCZ z(g5-6&~?2Eq5<4-(kk|>6GIJw$%>yYzP;kHJpCLTcFN6J1na~c8o*)90>nx-#2Ttp z>>?!U>rMn-sfKU@ zIagL{4P`)MUS_AM1B#$hOzM&6KwaqU`j2bUcSXUkA1h?sXxs(@q;b?+ecss=8gddJ zlvb}^@VoWYZ@f-{9XbpwTPNgnWv3KmV67iR)GVY4QHFLj6VWOjU5C{L+i+L=V!%+gu%<-cbA6`hMVKLgqM2*dSQTBV zl=JM03s&*e)t9InOC)6bu4{yPu?Q`niEg$AAI__9h{yc#>;>VjUhJRwo9}}BPL{z~ z@Kz777SZ4|pXZ2__Pi%LebJ3==h(IO z@cNVGzxjtTND`8%&d)+CF_gEYjt4!{L< z0H-@RJNGPoS~OB~#Jg8ITK7#z!E2a8QrGuI>SAz|fwqo#KvgV;4k2qsEqo>5UjNKD zza~Ex@o@@{C(e~u7gaY9w6&`!D9woQ1#4azxcB_`Xkn^`3s7_&eiaOtoKsUIv`NZV z?;vJi;zUlFLa$Q8Lpv{~4CJS~6hvKxBbO|ZcuFZzVD-8u>2fm@9^&_^D&qRLZg4)a znxF^H;aSMOnBb`|ts#oYp*Azu>3M3c-V2{BKQ#q%K=EjS9Ika32|tl3@nO7%^p%5> zb@fVh1unlz6)*gWa>hi4Vw^nJjZqIU*aaQe^Ahk_g=!Jf@Tv}~_pZ&7Phl^K0I_p; z3VC&8Zk%Vgi(by5p%yrQ?upeFp8x&)ztg*TBz17oU)Nz=7o~q;z98xSbG)ImIge70 zL85i)`+Uf&zYcvIAHzvXfhiKWddmYx2t@_Z?;PzABd~#{>OJSW{Mu9NPx@!xM&4y% z=}1`436UNIrs9(8B%Ru2{YZxcdVQtqp{|}UfA_QoYLq>FUX)$EA;H{K|9#@|}M*(#ZNCnBEy`Ffb zqyNOezeF0JrWY(+Ei7f%ry)i7boDA#X|PmpLO0OXS33Gtt^f8?ippsc+vF6SyG?cdWToo$Dh*N~hfqpA`_;PW znR`A0{Xh`LNu7eyY7H7tvX%IzM0Ks|zNDm}`m1%+<*{~Qav`~p2`a5Z_kgidD>$yV z-XN75%j!<<*FCZJ=(>~Ee|=JP5b=e-L~Zr1`j~#Xp_~S+UKgvxGQKo;tX;m~$?^kR z=`kA6zy^YzqXH^Sd|iPr8*Akd4ysdv>2&w%jSGH1^=E7nD^ce$TCi>~bIb)r4`kJA z*B+OOq>C}`>hh}yY3qvU4dSm;34*qEI7KcI0mgZ%+_|DO2dcgrdEO=a)Y;iUkor3Z z9g!?z!jNN~nvgrxr^dd2UYpjQTX)hw^TD0c+DJcb6+A?H?Y_?I&oQ^|Osped}*F#=AKXlb= z#6)4mx5#o1lDf77fO1;j4P0=a&7q=zemihpbf6tI!1tW;mJ|OdifF_AVimu;)3U|0G$-@r>=T~ z#ubUt%Q~i{or*=i^J5`MkSgFYnL@94+@4(``ceuZUDwIb{Q&2(8(-G31 z^k=Z5=dPB3yoeQA&^b%z+M({Lhd3k}=jpH2XV-uCzcT%L1VtJQsT2X$=>RmK>S{M&9w=F80 zA6fQ@e|wyvB-qD=UzEx+HgRnFZ1lu79GUj>hz)xZSWYdw7_g5Jn-TB>JQ^+DsGob! zblKO_%eht$*_JF{*>jaGY1rT(F2}KDS4Q?Wh@aLLL^ZwPUxxvLF87VL=S8`?J)qoW zr?e{C32lK3}&>^4D2wP(|UuiC*O8}W-4fHmFER6!jCjnHM+jDXKQhC@ZPizweZ z(r&kG*>jQpBRJ|^e!Wp*qwPxh)<4?N%Ynhxx2qDt)(fMeKKC&D)v!g9PAdVI57O5h zvH~t-IC7D5-61NR{Q;(tX{x_=9UxTXF0E&!c6vBh9rvk@OXoeRpWf{UE;5}ieX85N zQF_Mpd)>$?le_bQoh)~_SDb`|Aj z#J=R^Jm*RNt&`vsm~r>)&DW@=f1cy+O&0?w6_?LD)=|L`>b#r(%^%K5%#YGd{SG~Uj=>?(ttWL|9I6Lbh|N9`@< zUM*rf8qTfTtrg)-?=-n}yMV-Z9y&pwejur# zkoFs^Y>;$vIj;=;>K?)f)8I69^0Ui-y+q{lVX3=Mx&{s6oTnMlCHT z^d2^#(Y8un29nw-jcsp&G^fMZ;ERdRMKGamgp*1KfqI_KwMQE#DO&;4pgbLh8(R$n z;B5J`*Krw+GVrGzrEJT5R3K;+c@^5{$j%G&n{wz~a~^|f{^ z|NZx9Lu$(gqFt(BXQ%=b?aNM~q{)+sX{vlCgp>U0#FU!=rad&Vi;&uW7&To*NHcA) zt|w~$6dAC#?~C*Ctv~h#n+U|jrHLA1Azrq!Co{4?+eq8xD@|~`VrSc`ntg#x0s`9Z ziq^2<4mHu0s|W}`XS%wgjq7sl&jXiS{1bw!w&fQ;DIzEAxzzQJ*~)F*%=EgI_H>?C zrt>ULAzS8+xVbk^^Dycj9rx^(sDjX33)d$$rffliINC*l@jCExO)r3hwn7I9&RSgW zl=JH^-$2vZZjy~sv;#Za#~e@FL9@~w`c3v=qI~A<)t-F9e$r1oSWnL`fkDobc?tpD zesfM+sfBfv*~%_o)YDLp`M#gm{Szw1k(QBT2=0EUq6-~V>0SS!i*=iZ zCSG$-7PHZ1KGkmOVY;~2WP9=IG(Zz}VHdla7Ln(?iYz*$#bTYhPbjP(cI9`=YxPTU zb@_9@t@Z$u8euD2*nzQYji-IvdB|F%Sz3r6K-NVdYJ)!4FZgxt$UXWxKLyNxYQGQ9 zEbRp%Ty$u0Gqvp3*$zyzr%vgr11-Vk9-E2kU<`EMuDc0mjvNH3RbAK1PyOcWIHk}e zooQ9MIJ#8g4H0P`4;D}zIQJn!7DqapS0{x#SLj-Qw$q#Uskq8t z3~_hT8JjDJfNRwx(7EYdjWWqrAm~e6rSTk&b$qJ=WFS~{I{@ik-(UXVW^RT-`&4`359al)vUzOhmS;r z69i!MC1hwzTB0?GR7oUp!icmN#|qGuU+yNrm;d!{*INusRio7u4n33xgCKLi_^6eu zdy;zCfHF?v_~@fo9OYdb9Z4vNlHyayRDwo6erEpH*h*Yi%9(Xtr(jkgkX&~MV#0-Z z71h@RNhOwMRGn^%HBA3=qD}lO|NL6@<}LHq86md<~5Z zrq~r}fN9rj*SdBw;urgNqEFTMxNgwa4lnRtt&<_gONW>jKEk;r>X%yS>05pTqC|FM z*6D7X_XpL*I3LWJxr{xLdM)WJY!Zw1-QevnttdbAS#uCf%rqIc2W)WJy92hMIAjGf z9Iv!aG%Z@6c4zZETS%~Z_Lyrhd=5wbQM~-puhTK}K~T*Hd}oH8$1}-CwJ8V;x@mc$ zl+RCH6{iuyFx!E9xe8bcPL$^TCgVXBv4NhLf9yS8aITP{g2oJ)nH(yfYQ-45_l8@- zTaR7TnaS^pXP@@6`{Id5!h5m@KGK}2j!h3c8wQQ&XnQc-G+P!<x#a3{XZKafXCf1qCfR=R?@-(9(5T4RXQME<_cGIIJ#txAKlA*j*-+Y^ z8lD~>_j-TTJT;tpZ2zx>@!+dnn zKDIxg?+<40NZ6X&CSzM8wtyaXj)z{SnfN%}ZaZjg%e~-rAfwDx?GD{xukxq8d}&;} zgugR14{tT5HMXtXy8gL~ZZ%}>+P;uY{hw?fJ@o8A zJAZPhr|;R9XhY}6jFl*lu_MYxMSq;nfGx5|N8ovgU)G_Fm zpipznZ|>iKGG~|Z$Cfsv?Gk&Um)JoS$4hwh*;pIDRr|3+4zG7o#8|~673mOH^!~%) z=7=q^FSDlcoqp8#pGQ0>+e8Gs`9aK)6JC<#@Q477ta$pcr zJI#*jb-$v$xy6A#2FRGP$3u4Y1|uB;;7$f*w!_w-GiHKD8Z^A+%ReCr=?x*^zNA0Z z+8@N7&83B@r@bapF(_ANkPkKiZ?dI2EfELyB}id!nC(Xfl4ZQazTerv#_4LZ_<*kD z=jHs1R>QK_~)&?ezC@7Ff$>GXf<1e>c9+Aq8lH9i|n?Rz+6eUMsFNf)RDZgAC;WcS;)$(D+ZXKufEvkMLN{U#fvPv6kW2Gfnh@zN)^ zr?-9Cq|co!_v})cO~iqCRsR5H0sA9iBnU{EZ6GhqQUoW~*vas@iMnE_8uI_qC7>)8M+Iqu}p1=Fz+E>5l zN%Qr&1MyeC{Yi7j+&$(;w_X1BZ-1_P_G9hKqi=t1_Ut`({P5oFzQOISM{2d_KKk2B zk5p^Vedm*WZC?1B8=o|M=lcHo-03*~ASZXs9WrM>whqXSgZB;YZvDmf0};>$jUS74 zWS{=h_g?$f$iaD{`Qqs8&u+WIa$?-cnPyx-XkK-;0Qmz4@5?{fdeZC_q{n^*JOl`| z1m~J{n~QI|ym^g-a(VNuuLz{|3P9R7yEe6sufS;EeCB=s5+b@I_p6Eft<&|36*V$*@rk6B-PSCV{b)FajqDLpa%r*Rf%@W*apHN$^CVFxQEzGH;&eijE0;PN zIga7)#!bIIil~fAKq=`p(3Jgf;~;o+10HuXzpv4Og3y< z)NjQ^Vd(efsAd})41Gm|nvQU3iOX3k6)pZ{(tO?SBCaq_pr4;WPq964UIjM-!T8~G zK9tA|iYhJD&-}yV6?u{yCOxH)uxAT`;DQ5gHryCa~wMMh?d*EFY4?&SRU}@5pPYgSIa4UldetBCGOmnXE zX?8Phw@XbsXzy!$pwwvWoNh!n{fA5GeMdt#79kCB`KXh`+6J$lNm&z-r7tsR5wQkN z;(EI4W}+owf|RZ!8I5}l+a%;vN529BMRLr`6aDM6tNQZqeeEt{tj3JO$i_SUTC&%~ z;|U7-jk~kmTfC z$#5`}y(tdg6h*ai{n_|H{Dqis%aM3T(mdX*z3ZB18`;)cWjKnPCVM*UKFHqHdy_3u z_UB(T8*A}m%`^!TXL;OtFs^Yk%Lt8!tt6a`Z$x~UL#rK)8Jw^64<3lhJF@2d-eY@? zdA~aKg(S)I`>OX@oXH%hwz*Z$JOJfhM$e68r8wcXg@~z>`4>X%AK5oQT}2!)iv?9 zuq^*({y;Qy+`Grrr-m72@Z1ST^k2-va$Jikk!5}TF&D6KI*unA=DF@vLzgE?Ie##u zhPRSGT1scHX*GI{)-}`JiTjhgt8eJcd~K6|t1-s!%!E-dx$^5_R)V41cSnRy2De=N z`)_>U58wS*IC#$^4~P43{tmr3)vk2k)3_4Mzj4(a;e4q%_F}Tv;>>^J7*1mF@%G#h zcNuG|6J)m<-Q4@jL`&s^MMV`jR6Umq{c z+OZdfd2Xg#^7hv-uf($$j-7y*fx+Khii3Nyk-=dt$IoQp#w`)&)g(!~b77gd(~Ftu z-d~OJ%YnQ8h z?XQ;h?XP`3oBF^$5)2H8hQSLNh*lu?GuhOfizWzF2#TLhq$ePP64Yk9R$PFl*x;*} zh9#_|jMzP`s&+Pxg|m~M=y@&G7?Y&-vakOaVlmc#XBb5UO2TTBNJ%dYJ&;9p=ib=V z@nfjuWI1Ap=u8vu7!a4PObxhERy~fLu|a z%5lPpjCBW=V2>flih^i>Q?p4VDy|YqoVEmsf^>R25WR_7DGeG;@9v+#kn<#Q zoBU>{NiUBovmH)$y{DE|)fEu0p52=a&PKIPwbYqzB;G{BZ%zQDGW%glN4f{ytVG1gGe2tU;fw{($#QInM4>ju!kKm- zhYe&}6TiH5bDYi{3r`X2f*~I$6aDfQJ4}Hse`RM6748!ZN(?unR*yLFA|ahqmp;eTuA~a| zCQ1w8Y{To_fF)kywrtoOlIM@kmGX(XQa160kB$_R6uVUoT=; zA76=GeY^;O{r%6o0N4Y!Uwwf97(pTzaBAON#J~9cAOE4gVo_ok=h%F;xH~lQotPB- zPGhBtbfEZQ3}qK4$4`m#G#CWPD{OJ>D1u572wAD!GB!zdesAW|yL6SKK39rv`9XII zpw)v))*s3GAI=gy@51>%p1=R*BXgB@5LJRrL6~mngo^}5?ZI$gW;QjC#MLG-QKmhU zYSUD<6DrD&_1eaEN_))U{-}xQ%j!&Ml;s0Yt^h(KAd~9UnRoZXIQ6lREPsFxM=?4r>I8GnGiAzUg zwuDQ8>s)EOi9mS|%4zWEX|j9Wfy@gR3u<`6y;kd5T-p5AAAMI?N^A-m7|)TJ^s`EQ zH14!7z9+2i8D%g+UQv?cw;W5ONxJ9N{-i+5-RL@>9#`$IsO1_+u8Ykr-8%P7J`vz2 z;6#E&(|Bo((oK5;S}y0)Jg%4#!4gKkg>X?33JY@$l-#E8L!*=)}kI~aPI7cIZJV3>=vxPPJ}BcX0_hB=R;L7>Xd-g}!z2xY5UQa3h)*z;9Y^F`1QvaiG(AY;j#S@J_#nZBZiMXDIyaCDmJrhGMsK1=5RKgn)92Ls%?Lv>Lo{o zO+qsj4(J=ARScuutg_F@{msZ=sx-F7kkTCt_g)_nS^n}SH5$n)=+Dzdkxa$X67Jy! zJOu<1GUAq|+8;9EkLOno1?0VXumX_{(|U@_>hQ(J(|2iVA`KmE3=Kb zg6I9M25{^9RN2dU+ENF#^twew)G`31k^%`B`npFYWqdJx(WXWN9kBQR zP&|#!1u>E8x^KdXn{nY0ABxlU5t50rdSJphmV}m8VV!gw!32?>jT%MBaO?Cl?Z#yo zC$|B;JAg%0SNH1{y)HGiBbePbN~y^NwX64dudYZ~lTWtJrlRe*!G zI8#(ZQ|J?Ea6;i0y_-FRl)D(}mtztu%dTrzX2J5h0bShk>@R zUVl_#)Ef8TVlWxP4MH;*UM}tUnSw+vx-yLrPdDI& zl80iF5U?v$4AruBkn5#*lX`*x!R^57)6)eYXA-59n4A7!VYfzz9HNYpZUKODn z7t&HCZj-ZCCnA%irDMd-DjRs1qiC1YU}|}BpwJnHB@l>vI^KQmU%%#B|GWK~q&Q77 zWhle%TIMh4!pdX4xysW~(nKqtL}MV^z?vxc+D*aC6hc#t8ACMeC-~^Y6Xkj>Ff~ih ziw)Rvv>3MQ_cuQH;w1tcGji!F3hW|CK!Pts%~HZb)Z_w|=~%=sFG*HgLd_Z(G-1SJ z8srv5scNopmq3Z3^>$zmJ-A`hwE6J2nc6$TnN9l$lWe*y@%Mx^^K3Q>|JS|YW#)@v z?TvfGH=3<)JP~g}-`ttx*Toavk5tFv?jJQxd-~2=H2txO$?o{>o9*!q5e|~U=9dCe}s5YHy-k(5*=bD)lPY*53*zw+tv-OtL*F} zjURmE&~KD>RcD%Sip-P=w?sRAB6P-|ir?smmu-zF;=$H8R>NBe6p=4Q4q~M=QA(P< zxk0;>A4^7+JClP`Ul>iq?G4GqY@=D(J-63dMddRTEr`)wI`MphZqnui*`58cfqG%tAP3>W9hoz^1;Y(w(`=nS1o%wf`%j z8lLta4snk1`=W=#mGBL5huA^r(h&YXOqlnMIiewF=8rB%wU;(!M1ZEk{*?JPBD{^w z;n;N8fA+Bhx4h=+U61|5_g{9`!t8MJG148F0hilf!%58-LJ~LPi95p0t)%5VNkyNuiK?H`{Wj%;X^`KiBTa?kD~ZK-=a z%$KIy&=tQFcW)mKw^7oBGABTm*i3~y8v;HY@u)!H@xIG9)A{ z)Tiy#HD_9_rLo22@{c|ozT*<5XKeC2c1Ei*$EI+Pv#BW%9o#&sM4vlIobj&(ZzF5?5lW_Bs-rE{^jYid((_>JhI z!E6XQ&v6^#WltH81YYG=M7p^Gbol3V$J&I2eYMHX6 zsdOL}oa?$+gW?S?NXIV&9<+e2alDbG+YkKAhR%ktO7N#=VG$;W9R3I1XC4kWy&HlN zbY=WMMZmOL?Gn|PH&N-nc%K!=2D5j1;D`bxwje>`BO{a+$3d1EkGU2e?bFE0M!+hc zN2xwTp~>{&kgOE`f@?}kH&0jp_VvAYCl8A*8nO!M33u%p8&#F}GUz7HE=&#Ues*g3 zBB)Fc;ggGZ5w6=u(295olGZ!Ex6-X{Kwr9OkixrCY7Fp8M1_b6g9|lQHHJ#`dRjCHi!N zg^+OG>}2QBt)S`Z!c?IMlD#;hWOMn=?@ceAU;>QEHzv|sJu_7g75Uh72{|f^&N)*l zNq=v0xLP$6M{cP08gZ>l*kaz_o8CX?HSr}A1rht0D&4G&wW182Zj@)E92>&cpUufh zU>=k4NQpQujRtGiMO7AUeY$Dyx+!348H>Um4nYBn;m9`15l`XM>oxjBMZCAS5YNMH zSuY>Z^s2OttS*oCqnZ!5;}lq^$kJnRfr)9$E42<%f)Zgv1${I_E&y)JqKlG^N}B?)Al`|E|x%^-fP;|BwBa znNVHEyCt@!A7TNHV*K1VtKlGG=jPd|_SDU{#Jz5H5DbDim%)VDr!+3((rG-Cn?;*> zJD6OkERvxbR~0Mi`&=V|j3rEU6EJbv$EE>m%;vGusO2?o|Ml0Vasry^25gNAK?`zL zsWWxbOcgWvfZNEd{C=cW&YVsw8*y(cUEaivg`}0cM3%W%!$=ZU`ddLerGI68)f0XB z1H>V#MkaXmRM&SQ7iRTpbG`)W-S|%m$?Z5T=+>J4to?4G+Ku(j5=@!pe@juZbjS683CNAjtuq> zT=xbq>@i1+zgfGMk(XB4ytc8KMQIe9S!90@ETZUVo3(C9Et^Dj$0M!uUBK^Vp&h__ zxPk$l0Ue`*;24Xfj4H?=`+_Mm_f63XYug}hyIabaJ7>V*a7+-pYN0fo#2G!JeDz$B zj+`{`Jg=3InvK;f!%6&N{LboZ-lz5^Ef86}EpbmYV+NI0!*DeiZzSGG)S`@__I<@! zkkC@)>_5JXne%c+{6~Z57UwDEpcQ~`KtD{F5}C|>NC%z>nU1t1+*oYsey#t2xKOe0 z3m=Xb{wzRySJN;LE#447>i!WgEbyea(SEgxd3U@qDsoxS~}?2#f~feq>yfOweLg$RY6F z@;x7}SmwFMBWo`1;LO@M3`h@&(kViNT60NK4Q>w=<$h%&&K2Ye4kk&$@`0w$>XhzKYp73^W&Go31BS<^f5=2>8FvO9Bm_|bc}^OX z`UYdP>v|R;D$eLLMnF`OUWP=wKJ6$BbmwZTK3&bStgmQjQaSxSyJ&53A>iF_3rI60 zj>sGlbnr&C*djt5SvM#NW8*Chn5h;r5k&5FahvIvL-Y)?TpWzIS%0K(Dc(Mp;Bzjy zq6;``(SNIP2D!*9U;g7Cr$y>Z#E~tNt|a)uBPB1xoT z_nYkCC}Nq)k*IbwVl1=4r1^l^X%?e{2V&cu+}*8Bo{X4gs*x&#ykUMV#%7Xv&qeeI zOM-KSQVwn%&u@uc)F&RF1uKwL2nbYjdZ=&}RpYpJqn5lk8_nkRzZlp~h$(EsbSA<- zBXOlWMGnf0l2>+5#ZpZ1mqw$*G43b%{ZZ3AH#yaet0cZ8%6lO#KzT6M$QG=T!Yp5k zRYb1ik^j?kN(o(Xw&<`_?D>iVWxMd41Vj> zj`nyqjpugHvG>}}(dof#XIC({>DjPM#)9>B81DrWvc#tI@oMkB9qr9w3pB>|COfRT znuQr#K6xU?l`{R)QMA|_9x^7#Vskhq)djG_PLnj4?a|S8j*GvoJXvN95OyD~U7f7l z-f&Zkc+2$AYqk%!2@2emyATeZ*zk$?m&2SKo#Dj&jaq4k3B&3RV>bDA*Xa<7TIR4p zdH^}=W+q~0e|dbo6Cma9B<6&*~={jy` zXJc;&b}Y_8b$Ls(3m6&rd$_p87jZSt^hXecq$L*9jQNdTYvP8+<3F>Zx+zSEOM#21 z>2;J|5VGFGAA5Fa-nWaG%O-OyGdhy>p5Ntb3Z`24t!Hk$W4IkmVr00FNI z9S%7aOd>-AY`{q*LaU%wL?-iCW9*m1J|U*&#Qj(P_4oGdrYR|U6GAAW3Oy1W0+EQd zNZg_37*Qkhr-EaRs1dF5!6xB@wLBaIM##OFqs_Ss9+1srYz{-X;*1X$Fp!_Kf=ZF9 zF^1t(>Q4{zq;}35txIh*oLS(iYvwkQW*q}cf z_3}kICD!)M?xtr*C9(7|qt!nBV(hXQa*98cG~)6cUr#g8be-u^R8BxL&qz*D&;lWZ zRqV~SJ%!tq4DCUVB1;^jEHOvgUKDz}@m@4(ir!qn-%PUxFDA(cN81XuB%{2KpAI># zGk2j3WeL(?w<_wv=%9GFUJ{XKQA>lxgQAQ?yVK^j7RuhxAy1vS0WKlG|yi`^v=thJI-5;bn_?9U()pT?OH>0`@$0~Eh5r) zu^1^|f%K3qf*PNH5{$GcX*Dvk3h0ObJJ&{CAW7x?$jGA;F27`YHNWJ~qceYs=!j{A zMd%mCR*4W`yx5IyVKFv_u^2dz81rTxmrUf}nfP{LD?@mu5s*LKzVOi?m%CqD4xO8J zUJ-hLvzX4i_$@yebH3$R{+S&s{zKizBnvXkNpM6M##y+}#PG(nI~gD$H5L(}eT+;# z&+aklM$0Ltf~+&Z#@?W5qAtl&vKq1Iup?J%i~G19<=a1bk?d=C5DP5R>yE}L9$?`t zVOBD0Z1hy|QTGvl{;wU*JE2F=jFrp9SHAqYt{WgK7vp@|;vy#FY?tnc@iQ6FHM5j7 zF|9S1{^I~ke$eR`Z2=CHH(I*1Zm6cr6>}ePov{4!1!2lNdye*V!js_ z9WglN(UmrM@s?xt?xbiJO~$hfrj*H{Td|1El@fh8K}dO2AadeKw982|et|G|;>eN~ zD5w%R(K=ye1Y=9q_vd}yrEv7GM00LB8aU7(!Xe*Xw24^UMGY)Fa@8QTw19FBdmw`o z?Qwn3T~a%wIy@$EmOuY*9S~tg1xz&&qkx?(%RuSCXAy<`5Q+MVGbq4mOqC9pQjc^P zeYjRSI_3F-&xLGx_+530grg}aKn*Yk8g?bMP;2p&p5|W8W#AK`qV_=WP>_5X$7>a$ zU7YKVYsIOj-Y}>84y02umGTH=aG9lr1Qi=AbFM7y63sOO9*@&$Xc*935%)#q#qZ)F zb>h75FHcLl!X%2hWiKb8QBSxS|Rd(KhG@U!1ArdaXlEl~QV@C~vTW0|eM& z!ol9{KU=mI<^^~BJl#^7da$e%sX^P-pyf02D9IENV0>)mhkH&;RAIIdP&Z( zipFDhWIP&I=qHZU$!WE6AIkX%Y8q7GI37Dp60d+=!&-~yS>ZWM{DXcrAD;cwCV^#o zeQp=Ykv`FotvKIB)EJ7t0*3)xmC{FGCpg*ZpzC&$Sb(?uNhMzNh9ew82sYUaPm(v_ z;sw=muSFTTd(RC0$@07@-y5do&7kT1m%q3x4;+$u^8wxvftMa0qVgnCToRmpD?h1t zWeBtU(t(Ht&WqrY8o}7l{h^Z?9#v<_aZH&XN6XT&_%9h&_mFR=Knyt{L%F?!^764S zq*M>IPT(z-`49T?-g-*<=f5aZnO(5_Nlnp@^rjBHA~XPe#XfN*9TlC64@~8JveW=5 z8J)@1K9CE>e-;<`EY$!ZIb+Y<_*%gLcR&vKKQ4E<;0MsKv1>k&a336_X1&4-RA8jL+>B&b%f_S0G}3-pxmP26VzSP zT?4U=^nW9`uSQp>l%ZZ;I|(5b@*$jhkPn}uV+iATy#>{y2u`kegW?@jifsDcX07R2 ze*f=paiyt;aZNN$1FFYbDsmT4NAlF6aKUO?qzqkvYRp@L2AP`-UF3wQrO zTweaWPfDlb7I%YQmlujaqN^2$2(qC`$DuG2ZvnG)$`o+-yuwXK(^=&S$bo0nQy`!| zf#?i!A)$w|h&7R+MmK`G30X1@qkM zYeGJ^`kIhWynW3(Uwy^Lx4tOkrKQ$2g-^X$yeZ@*v-)a|E9{4wXL-TL=U*}}hxB)M z7KsawozaOr^fN8JE(BE8yPwiRM)f+LJq7CdFepyz#0!Kgb zks|-CKVGMbmmTWauX6Nx`dg0WC%zx#FlBfNBQ}S%9a+FgDWd0IYKDdlv6!?|feP2En^O)4~5AC`<0ot$# z=0Z(FI~_;NKC4WS~;0v@9nq{Dk_`FwLM-~UteLJfmZME-%) z(4w51z%D`<>d5(kh^nW8u?7}s@VvN6iA)_9bZ`Ngu0snkj$-$LY0g|DW*{?wa*UGd zTmQ6$O1W#2I3qicBY%vp)KpRwpTzF#u<8Glf)5v1vIm1;qX=Xw597_q7P#umT>O}~^N4?f_ zF_UR)m~(H2^8H%q7`}6oqw_dlAkSJ0!7K6H=_fu7eK1!|1p%Y_N{n#{-#0>0iIc^? z#=@m#c*(f$C@@|YT2^mCUdkCX!0^i$!5Qx4CzHTXRyYzPxZ9nS2Se+i4D0OE@)oJl zJ`-ZRn)kgoh@Q?khb^3nUe4$jmBm?_s_!x}5V{BAhrm$O>MpFziQ>2vi$y1n5)a*B zWsW?~{O%unt#d0KM}T7Uw7dvpNuzbhtFRpDJE>f3(Ql$X;Hg3&t)gHksvs!34~+RE zG=f%;Fj{`-Bd?JYLABtp(m#ZQ;G=IC2y=mw_y}_jIa(@!5K&OoP|{V&y>lIcBG3Hw z21X=>Zy5Gq4NT_`krfyRmXHKofYglHLE)q4fTJ}kfmTrsu)TOh3GO@xBjIed##FOX zQ?l#tBnOLT;otzMKuC?D4I8b~0Nm71^UYE&szc zeW{mRqU|unWy%pE#ELW)8&H)&+9^U1Bg0s7FZ_{thdE3!J&d>&Am!zasN|q8lrrxy z*%z8Jr@MN~H+_Fyl&0ZMXceo1DonZK(hGVZT;Yl!f*{vu7(~qw3_6*55M7%JfNfPm zJrnm?ZQ+9-D1_yob#0@7@Pd30Rbnp=ioR|JW)O5{@o^<~<>&>vEMVXM0gmNjQ0FL7i^2ReR-$sCRm^>%r%reZ0QPCSVwD=r{JPagbhR82nSfb50dLixV>`Eg&1IyYcF@yJon{3 z_V6YR#xu?r%!^lT03n7zj8@eAikpp`3K(}*QuPovY=tL znoC#WbFb zyBn=Bi|OPzzN$QGnittFPWU3@PHuCE8qai%9a+H&pecqUh-4PMi`JC(Rmy?lbc77Z z1>seoWCq}jFPN73j<3kUx6v$70mipcW&pFu2rKX2fT5tstXcHvZs5$Q3tHn+;K&8N z0D_wl`E|@?GLB#_Pa;B-)Hz%ahi1tnIlC~C02xEy|h2J0SKeRTDkr~>`WMFz0wS)!pw+<&d% zaDP?2FTJGn-)$x&JzNT^r-r`A>q+gXg-exwsJoj5;rRP*Sp@xotfY{4toL|t=>qS8 z`H;0NvA}uSlH=)DW}YTYf$!33hQldbrlm&IVsQm4F?d%-KG=BILV3uWNz)?GK_MS! z?SWQXGmC?dcFR|zu(!GN0bZ>@Hl#eYo9^a)#5uBhhFwXEwnPcuPQXHkpW1WbVVcv*` zHXbhOMMdKvhD0LBpCF8OC}W_!JN9qMizt9Y7&!Q&E@rC9Y3i-u;1b<{77lmkaN?3% z`lZ~@95<2rmI7Gr;ses+5GUo$0&tX4G}i@5@WEeD9*TEr$g8rRS-J#zdeBDmTa+}g ztZ1Sd%t)#x;}+{--kl()(}g*>7)bwHH~Y=Gf)dgPsuAMa%#ekPko#iRNZV zG+zG7^K$V-PU z$@CbdK9D%5vZ9i_edSnkzT9|}L5X%Saqe>Psa;<32}XBJ<0UckFfTOAbDUkz`=KBo zc_#>MF?8XPogl@9r^v<$aE}o&P+}4vh)5Ry$s};Moy*VTlUhFoSOu1rzd;Ul7cloJ zWQmzxyqb!oD@ z?Tw1tdX*@b)UlF=GpMLN9 zcXHmou=1XTwdwT2_5aqFg#J_38T`L~)9B~!bYY0czP|mu7lhvHk_28d#3S^c(Qkc2 zXc0G9ea-00R$yGG*NncKw~Z>8vG#`0iQ+Az(>I^_c}$w(fIG-LpA!F9ITQ_8{|_0~ zQTN>!d;OTx+wXDbYahirgSDTo53T$4il@!xpZ_ME0C%oZUKE~EmWUi8iO8y>GZ8P7 z2j!&O`oI7;NGOIv8QH*?)P5j^)K z(5-8Ps8;N0ZxB#7qpD|f%-F!?fGYM+wFUJ!7cp?ybWnqANK}va&?J#D*A6HhA;#RM zEc%iT0lpj~>NRQLt}q}oZmF2Q7{wXO`9aNG!+@rGXC;dsx(KLSI~(NY>dozXO{lRN z8R<0wP9IVk%K#W)X91W9&T+Z%+{<&+EiDkl!WY$L4hX1Mz|p5!$?DR?a=jU#?pMx! z`Hc`;{#pjPu%dmM(X?1>5r(6+pb8bCTY!2~^Kz#>`N+A!T{xmo3R8i6!MyJ8p{Pn0 z$9MZYtmz|$0Y>oLWRWFA6J!aqKD@UM-(Gjh78Q!AiWX+A2np_R)Brxl923qA|E@L+ zJJkzTFkLGRum;?sa`>rxGV~8ICL&MRP#Hv_2=VGwFb)&R7}$d)p5clN zDLx=lBcGxO{BSqmcY%F&s&+}e7}kZEp~23zS1FpFnUNe_Z21D;9F|zH!88e6^C(8$ zRO*_+x6XNZl|){&{Gs2L10_t=i}?^HR3AWpoCzE`TssC^dQQ-B#E@%6=7~FZpu`kF z3UpC{&Q_|p?_Y3^iwQu+Ql7N106`p4k(Mc`Ur@lp-R?#)oRAzBn8oB1F_3y(1qkV$4OsEnH~*xZOtDub72uK>LuD=@E0PHH6#`j+D)KdGmURq4w*Z!k^{Ch&2rD8a zIBMGC+Za4g8pBhn z10p)fbnr8iBbZ#*pF2cDg#}$QhOP)hp{)3lgUE+eCKc*3-Q!I^Subj0SS)IwE)H&^ zl|T=+Y9P@)Gz(wW-E@VDk5yD@1m(pNKL7r=-Yt17aCf4q2Wh)_%A8dfmS>fX!d?jz zG=YB>^^R<>U@Z(+4`6~d|7D%20&X69{L*bwX$S-kt-8Ni94*?MfEj7^{4KaZ^oxBi(Y^9sSXC^1&tU=su4|JJ~*v$p}J>INGjD2KABh*+%Fv3=LcPr6G z9QZ(kiE2dxiW+Gnnv3et!W!_wPPd#QqlcjI^XGr!QY8n`nAQKQ&Npbd`FO>N&-1yR zGl{VZ;}=J0&b9kaBn!bTKAmt->|6g!e#0x?aVauN3j8U{H2ki8ZH)f(2CErye9JjE zZLU_kX>g|D*{5fAUbE}dxE#$L6qFCTW0M4XMeQ-u0F& zLg$UB3BQWpcslI6Kw3lBf-j|Ta9mI2TcXCnWm+xIk7xM7Cp=hQc%C{C;liJi&sxl5 ztGcJD8XP96hB_EooRfz~jr_1YT)wU5UfmWIuGUp`Aj3k5){+2eAGvX0BBXFk-v5Q3 zozr-t*16_sq8ZO0CtV;l&8tKM&Os70N)BC)^G_3LU7?*^eQJT)vhoG|qE$SCugD+d zikAQUuYm-kSA9moWqw>YMHUf6wbELJFzAJD?z}?~4~(hVp(Es}iRb{&>4P&WlSj;T zyiHddZ50Z)-o^`fDThz0FFtSb6gO_K?23%0)(U1 zqI$>*2e!Pe7!wP~3d7*Os-Nou97Tla)KH609?dnEPF?a>ht{g?91;#y;7;_gh_b4> zY02}o4LJ}3)-2%bIsa44I-#dQ%^HGL)Ylo{23C%4C(S_KrLr7U;y&JS;8w@WL!=%z zu`az-IN)m&&G!|V2|Tm><@bXi80)>_&I@Ndom`XOIrPCo?i=z^W=Jz<_IrCuln-B) zkRO<{dd5fLWL3(NB2O)+#2mgoZpf!c>5xPYUaaI7z}&m*)0cb$6=@ ztpq&EgR}v+ABCH-E}!v79x%U1PhTVj8FkNFMR1C6z@eKGqxR|12PW6^ds4zD(ha~<<3AbQwzMie^U)c8BoRmD>t&d zI~V~(7ZqT1?t`He}x#lJ^P>Dt4FbBg&s(= zu?@Ez-V$`uS&;a}9GH&j`ewotW(Cf=;W0Hs@aSeMfXVVGOFXn=>GJ-!0vBeCMhcHG zh(RgIoby^87)UCdIkbtXzFi+yoAk%q?0rQz;=G^vn7UOMQ76|OD%IV;c`_lGJ6>vd z+pxE2L}9}dmSNbZJ}bzCYvgF+yk!7oSW`109A2o_R;*Ce5+2KiIe#Ek1kR8eBu*Di z+s?t5GNC7f_yF=Nys3H~DtD$lQwWyNHVK36X z;OF$-!^}DAMVeB2X^WLiM1|rZZ)|fg2nsIeAC#}DDO+ljl)+{ks9b5r1%F@)hKJgR zOD0Dc6b4MWpipSOb?-JRu<3>g(wPRXyp?0-$`k22{(D2s%UK8KM||DA$5oT zLtWo+2vktkj#Zg~`dk5Xq8@ysa~$hvn&v;aF5+?t-N+p>m3ToT7-`tckk*4f^rKz0 zRjtd?(=}KwB8h4|L5c~3+3r-He-UNRyMyfs zHoIVixHIE*fL+SW@6G0U^WZ41@&f06#1AOjG3Om=7T z5m++GlMezqe0O|2VosjvezHf#wbUL-V8FvC>oU>K5J7SeBf(ixJ{%?~Ez;njxbM&A2a8z$J?jJ+iK|4Q`)LGzb+ z>Z`wHu`G@VBz%i6zpjL`?-{CAudIDDYSB&>@WY>Q9QAUkhv-0WU#Zd^r5lkj*?9~-bn+&@JTmnDnI5$dY#~5_N$O@jcV!pZk}CB$9Y*LI55`_DcE)5 z&<|W{c68BA&_k2HIc>~4DBF`7J$DaPjne<&hi3E%$>;_itfCZjM>&$92pZlJ+K)DA za|ovOT|PnlCCXaw9)v~-=$mmv;hHiHG*w$5F=qUSZX-2Ely@@1%m?s_ZpZ&XZ#Bnb?%I z`K2@wcraBAQ4Z1Ly@!7AbsA>D-!-^v)%1??*=Z(<&>Oj6iN+I`6QRnxON_wYQo#d> zg5DWPKnD$qHihfYT1F=Y0;pxocZ8Gi6;rjCQ1xzl3seL$f~2H-m-7L8K|+-}meMpt zLUO@+hP^4 zrS0CW$xRCGdHuPBWqD?$96ojp_D^~r;s zfUFAMn#r?cNyzGYa>x`Mpn;R1#kv!KFaO>F4Ce|^Mm#8AJ|)%PdMAdO7)0HY7l(DO zX>hI{X8AgAa?rTXHBS$rtY%tDhRNA*g7*WCawvKtvfehIM9bVyvpGX-^uadopks!< zPFAaiLho;W?6n`;$Y2bDfFQ)c%grheZkO&;SOYswrI^0=v;rP8gsgmi&@K&ms6ZYB z;kJx;1z6(R$-K{dA@*jNIkG~?FY0C&k@|PIy~im^Vi0-@O1aNVZ%!xw*DFRL3djF* zcdqQeNi;%B=g)f+AW8YftoRjnb@$DO?8`Rtyuz;L)33f$@Vx1D((&DXplfUJ{~y}! z2h6hbt`GaYqmevFGM>3wFE@j+@4c(2*K3fpYr-=uG0c@lVgo9Y9knP=2$lpSf+Urd zkW_^9@jWwVva{JyBpHMCJPq;w;c1q()RG{H5<;xCms$y?mS{pulLpH?O%PMCzlYC(?_V?$u=M3V4FGp# z>&sm&4pVpGw)g+=SM2Gyt~YP&lgbNmCAMmpZSNxj$FC18-n>geY--h3W;L*sF{>6% zE;UF1V%fw%Hngp<^G_T&C~doZdw(>${*L3*{?059j9C3aIT%3Y+MESBKX_vJ=I5Th zx(q~=EJLjW)&ipABtiex=6BU_m_%4SS!(@w4cnrZqwo~$2M)q(qj7)rVqRT1>K|0F zH-Oc&Mb38mt4^W|)3PHcXRkecZ;)-8*4FgGJw^!vItfSkPS>-Udy#^NVg4{ZlO4y) zVD9>QxS612$!3-By<1}5zvH;W{c>d5g#vGrXy=KTMLrw7OB*ledp%yCDFsIPp#riv zIpj|=Qe|jBs7flu4)N`n4S@jTiJtHaWLj}Sl?2qza#Y5x#|vD2NNm*KNg;Ly!kf^3J~GbVO<98K!^Y*@OQRWE?TCo19#nf zJZLjh!ad%+V1MRvh7oU|nq6FdNL%}TV7Ye@(*>%lvfSPJ^znW*@2`IS@QDLxjbUg& z6vaP-Rc~rTw~+kqizNWg#Zm-C2eO(RSjx9|(Nsd@EupA5$;kWhK8Swb@sqRR0O)?= zEmigNjKW3v?o=e82xt!caxE$3dZ_%A=X`N^lOwyGBUOKr6KKZO{?f>+8)#Ur^G06h zz+3$M?@#K5^n}CwjZ^SRS)8rS<#-a-f5tvsZ?+P$@q_}Qv2<^S*qV2H{d^8&zmY3O zuRrAb`%$|IbO1x6zW=KJ!(Y49$~LnY*E#)aWitRCWqBO>cidI~jOLWDVFaYZ%20(% zt3&V(O*oYsQ-q4MhU|y?VK}FDmxVFCOCSC|EoWDVF<9Wztlo59961JwlUIkm{iSjP zKV7K9#l*BoS8sN*4^MkyxJ4E(rg!&-WAhm;N$G6=S)FkGHH-pbfXKyxm5X#FiiB~4 zr}(_)Nl=Y{IV3Cp@DS-RB(We&xMiT^VD)>^nT@Wi9&j{w2)oXP^`$@Xo4xHk9luZV z6_Z=Tn+&!6ppm$QUW_JAbjA}ctJ!pOs}oGKvt+aXZ|+QkdCDVg zASzz8S!KB4Ss$$5OQ+=njULYyH4umaAnxjzq*v#hb{{1No8hCl_ z^z!?k%gk93W1nS2xh+q(Jhc0L#cV+Lp81V4R740 zU_#fBb&DA#bs1yB1r{M&1`twOszmee;8fFuX?rzqrQRy7J1;j)B&0&a_VZm;f>~Ck z>V5G2-+b*X-)&(5ktQ%ew(6?Bz__dm z5g5irq93noXv-EG37BGm&9`hVQwOtMJAw`fR#2!HR^M`Cb>YBCrvX~j%`LlF98_HO z36%G1sH_(w$YHKK{rbQyf9N_Pk=?DgC}m()4lq=dmXdx0Vn(ZaS1H_u8JJM>=Ipu> zR&&^*oeJ*15RM@$3M86D3W|$tz{)n&khvLno%+_hjvTma%{H;@381XVGJ)Igd*#O9 z-TvF#^~~I4lv~`Aqbr?`@G(J?&9Nfe!0lFmY;_N=HC=1WaoY~rZhG`JRchD z`goD`&wE_)f{5(;yN~~TUh#Lwa&;=_)g9lumD6%6Zg`Feg6qe zboWIuqUdi8u3tXj#5^8sf)YIS3bpb=#>D7*C#wDar4JuTFy08g=i$D)DC1df5fI9> z{hYOYvdgwmn6c0F6r~rs6O}s>Rtg*4yXAys?|Q*lVjvm+Ou25^fx#kVr@W}sUVy;v zw14Sq-=$CNw@Dqa0VYo%_H-9TX{uV!k1*qIcp@`S_OHw}`cuHbpm$XsXASnUG=f*< ztNiM~Ym@a%Gh2ET82>0&M6>g?GT?GB-V=9bBZiVCP|%d-{k#*wpsMc&T9*@X5Pk?Y zq>TCOG}zftm?)?cTfPWeF?qlY%>Q#H#k6xNRgPl8AD~X`{d>`OSE~N4txyQ>%E;;q2H)$YR$^7f z1N$nBYQ8#N?p@64H`N}-o(tMF#QQJIAPN8Q;>oLeL<&c2$w)P+NZi3xO&hlHF;g>A~i61Ni5m!#$YhKE@IC<~3*7I*q-aG%SYX2{D zgx^=U0geBxWLg0U9lTEhxb);ctg&>y7>em#&mH)7QK@!D}u}sB-$p&Yi@}oze<(KC3RbSox z>veyJHS%d?srlvi@bmLGU9-m{lLKZ-be(WkMi|T)yv2qWZA5v!MHi&C%%>nmzkV>9=b9AaoNGwXV5HA#5Gx53qXL@ri(QwIxXgz;5@1cKLt8xQVPkWC=7zF7YDQmn+m97Uj3B;_K**KYh+C}X9U z{fM&ENUwLn|J~UDTwu4v4fG?;gg?r^OrZ{U7OF!}>C&J0rbD5LI-|L?^Fz5DFV0vf z_qzBvJkBk@TEXitR2gxOAH&G`I3%cTr!ZrAFyGVX`70}jD=_$otJ-`2Kf1=K^Vv$_ zz_RgYc403ROSAKSx`JuE)LMip?C+*B1N`A{&HB@bdilC=o^H~UJm+hli-Ag0S=t2m z+mV)TQ`TbZP$`@u0wG6^5Gc#xpD~Q>z(auy9iPXE)H-l90QCDmKE^1l7_E^=L}?e< z^qLsaa+zRAm`{m;Y#Bj&n>kpBj5`a;S;x%f8oJAB`qYTO3*XlWp`-^j0y8VXUPhUL5X7v88A8+Ve*;LSjm#~+0-+b%}7)^+fL7y&j*ksN!6 zvUTqQR@zippjjdozN+&VYFlT9fBYS^SEaJiHIhALtx>$lx~?G~+0$-n;p$d!4=Uw{3d_OAH*={@AM)bZL( zz58QNd)^RGKaX1$U!I^HI2PH;&$fzxxa>_sm;cEP{FR!YA)CRcyzduP{L7pduYH*# z5+9qp!4tZeljH`^^V@UEpI!gU_ng1;Edl^r?HPYRfA4gKt5hFwkESPN z1gqYy`fb%NT-8=wDz|Da z)bTa#Dd#)tyYJF_z7Lo31GTxuQ_(-yHN9ntJ(SLqSl@L5y6)Sm184fhpEWLlhxj}8 zeD6hS$`<(Y)8RfsB@S3=kw{(Z-iSh)&&aV8$7K6I|A)RZnQWdGwo=58`0gl!NL!hZ z8AHklRsOQJHKmZ=D;cU(LW*1JzK%k4n^kgmu@q+ zOxpNA=^xEV%wL%dp}A*oj?6J-n6UTo_ZgkGaa1N~ZvZ|Et;_xSy9|j?G#ePQ$#KX(W#scrFC25RD=ZbSBFkz4I9-(f_t(20>;`n9hv!Hm2;hBtlh6hys;M_PC+ z+i(y_j|TSQo)?6et3Cbrh@)$jOLF~=w_Gn+QJjIr@qXF8kY}^r^M3q(eM+pCGb8Vk zr3B#1>4J~HU71!2KZZy)OL!oue`aCx1uIrt`}$%w2LM0SZ9}BJP_{T!nzv9sM6|>X z?%8{Ls9>`~IJFv;R6?mwIJs6D%;5o;ojSU|I@!PU|NObwI={`DdVyI_xI$S&9TL_t zEXjH_?;v<8SIU+IB^nG)d&FO?N!iE1MGtY!wNZBJ4~!~B2BQo9HGhEb-rv0Z!Naff z-5s0%Meii+j^F=*ANb-Dg4esbzn7O1{`(#7w{>~{*_WKVfBTo56!8DUx%=K{=!C(a zX^tEC9d9K3i5oxsQ*w$65R&!%G9Mz$e6zl>;l+-(KNt7r4kzrkzaRM2wusPwq|c@A zd^hxB2TXv+U{=j``UwY0!vHLJNz{J1cY#!UiC90!1mWxX+V!)57Flf)lUxB?T>#5m z;Lytej~?hvsWiiu2HjklAc4c%V9~vCHCX9AdCT8+v^d;TSX0Nrq>=MuFJDReMk3yudnWPvq#)p z+qI}oaVe+rNRO;QcfQWe(jfu^5rrVrUJeBuRn=|F^V^oA*xUK=mIq{@H<-HhmOE@8 zuVW@Ow0dC4z>^AJ_2%nn_c#Jit8mfoyXDM-FWCE5Eg*EAc6qn{mZ&t-+Q@Scm?}jaU^wub4q>+d z-jVkcOsKc1!{s4SdM5r|W!V)UzB}Xp!i8 zs|JeAUewr4xvtCnwWqsM-D_f-(^tOcSzner65Gk(O}}{}w|Ac{>l&i@)VKHJbI#IJ z^Jxio|8{zaY4@hFZSYLT}o9R|cBLx(9yY<1XZeZl=wB z`B{#1ET*S?m$4M*rHp6sRr$x2AD6!AH=4F*i@UY7+}@DEX{Ype<(Y~ zyS<~HUb^pZnU^7JC@&%X;!i#5zplwE^Y8BRO*{L=tXHQ?Z~Hm5l>d=F(U!BV-&WL*5?ce{0U<)i=NT997zX21E;+F6u)aq=^v++CjwX@0khg{*Onda0W39r&6t z()7OWwlLB24ORc$kEZeeWY)3nfh61g*GRKq@A7?wLu|Ncx#q@8Klf0aPf1$p8PZUI2-J7%CI-Y*j z+Oh5N+B1_6-rha&9rd4j=*GM6`t;<+tw-zQ8}%pl?%EJQsn(tw+UfQ3+V-DWJ9Eg} z)!%X3H~!%Gl?P|PZ*tpbw_f;5cfNA5{*Ttp3*&BR{de5<_V1m%W{xrbE$^%r1swRf8Tg#?Sa9@fv=hT@#?k*54`=(fB4|n zUwr%W?VrB$u7BUH*L>Y=xBu1KZmqxWVtv=fzT%8e?#;x_fSxDTjtj!%_EW@XupvO@`SDb$sfLhr`L?@%Zcwy@_{$=5?UW7z7yS zul$ww@b-6}w1MN`qbgMLYU}k@Q11~&I=(lCtz@58r>RUTk0xwDD4l+nkQrAd*-<~+?=PD{O2!9;h7=itprK1uAH=m<;A@UKOTQ>XmQ%hSp@StzjpBW-ZMiiWXD@y)TUjd z-IW?tIlso7h{aE~tI6ctDd;|FVgW^Mlg#7*=% zn|FP(-oxXc#uMvnpF_5t>d4U0`&nhfY4}E(E3y6zxT=17_@2F^$Ic#EKYMhre$`|$ znQxcXDPV0l{K73?X~ORtBD}&f%!Rk0xYAkbV!dW^_z-=uyZ1=;8dkOfooQDf3}M#0 zPYn;c@-kI(3VCRo$XG{Rs>0H~?1WUsha1PX&)#s1EFYSm8&3}}OsLJ$>hvY!x;?MCub+q>10|OnybLW1{*)LvJ+C&k5>;=)zd>? z;hCnTfE!CxW0G2i(%PJe?#N>e-P}+o+mR)FtJ4{t8ty+r@$BS|1>af79O~fdlPbij zw{EPzG98Z28q&BrbZBWZ58}#)49(9R`Ie8rVF!WVH(YU^c}|{fUfYc!taK%F^ALUf zK&-fUM$sV)DcX&E@7VTB&y7Nm476DubdC2!E^MtkQEeRi!1U;3IGA{k>>*q`Jw0g# zkrpZROMmgh821I!s%<(YD$(d=R&8@4y-C&Xzk6?;1l5Du5!T4ou&!iN@SA5y&_TKL z(`2D#6BD2cdRW=}X~)&~RMVY9+h@i{k5%E5$CL8<&#H-6*KV-(+_OS51lzQ7#^NX zwztocn6eJE8Ef+Dzy8_P&(Ty5R9-?C5!x`H>2tXKlj(pBa&QmLj=Q4 zMBDxhn{-dD*}}^rk(Z7Tq7l0uj%GMfy${E)Z~w?}c=+6*ql1n0vy;QdLvES@jU$M| z$N%mUUG;J`8)C&BRbyDH)!rD9SRALTo*7;kTH@UeilnA3BIFBmA2Bx->~o^p4I-$c z{Q8V?ArMYgB!GcY?R~?&BO8a&#Ihecx3(U8-wwwl&7yks?jMrYQu-eyso%mlofzM@ zS1g_q1S1f6L(_Cf_Y~a-_Q}CJaz)PGk3R zV^{$R%Q}TT6~DPalFmj6GHNj`O*j%mkA|kF~5BG4}uZ{BZ%Ij8>(&;>7s(v*86XT zHc^LCSu78m5)?-feugnV_}s7>XC)(EdC7CTf{BQ(oP1;mOIn?srNjNfRH1Ny$`|RD z-+GwxE+*%cvSxM_O1mN+*f#P*^S%|9ja~`s;uUnif(|m+7@lG!WfEhOca5v)ie?3& zUg|TN;Q0-Da9dbp7=^!WW!mv%CBeqaKlr&@hqU=gTIyg?BUPWSZS}c~S!}W*e$a{8 zjR`Sm%%Zb}b_xB6+;hW1nNSC6(PRd_P(rChh-ny97X0yQ`|P3V`uI>E`%ab)v(N3Y zic+dB|K%UKb%^9Bu`^Eo`edO11yt5kLHS-!B`ju{s>qgL4}})OOU>Y!;LMk+m-b%T zJJPcF>y)rXh4`d2Aj|2orNc{y&m1~ESU)$O9G+|gE#*TU&im6J{=yS6S!R#DYUTrZ zFm^*B;1~j_tP%-3xKdC+m0@<50cfN_+lQ!LwofxVj0^f<*mh0f>nJRSU+QS@Wd?Eo z*^RS7x0X1#jmb~wIaH8bX(2|^vJQXaNu0bN0@HbQ z9VOps7{|)*`4iPJ*ufJ7Uw4HYTBpXgj<}dP(Spf(%7YF8Adyuw%76%3le`~)VY+_X z`9m9kFRx=l?_Lq7d3E`wKX~i#Gsvk9qGoaotg}Oq7p5$7QWK5`DoS-gXc&pk@nNPL zEq8x~TcOc-f`wt*#WUXu>knR}lO{J$PaPpj=LYL%4~+n)&L&z$nrQe7Kh1+eaheqN zsSKX|>{Mk4g3;>rarC?S=A<4yHT`hN;_Gt9r>1g&PL2>%-P3?*&mj{?*gsKCryECs z>W!m=fe}n5^ovi3A6>ig-mUA{pCIv_H7;XEPiBZZK=5qK+@tq8_-}#`LBW^DSF z-=Sm7ZH*{aJ$HASI|W81RPjWp5-8Vl0G}dEFo0*KCP_l5-Y zV1>gv1fQbED6ajrDMLpbuZRe;kdnkrqKlCx<8PM2FFowPrh;kz%=pw~|LkORW^%X> zpl<7ZyHMK%g7(&5`KEhiFU^e-G`VieAlsf zV&5yP(=(hdsKlufc%yzJ^q+V@@22xdj~?FHuGsj* zK3bLw4M6qF>)+;GY$ou0j;hG2w976Wtq7scx<} zjDal|U>UDk3__(ZI*u{Z6xTAp&kVuvqZ{;M)fedK;7q~|(+~gJb*I+W9meAjq}}0p zGwNgsy0upWOb2~u8!;?ReNh=vq6^%74g;;o+^!0|HLt$N<(hs7zdy#nash6h?rirb zF(C-vAiE5OrhfcgZ=_e^g2r+d;wPOEf5o$cT3& zrE-WG-ogax;e-~}fy~YZ7*Pnm2{@dcoIE-m^+y@PXsM0vL5YUdpMK=$RZbrKTvefJ zJd`V#LUbuR-9b9)bH-^V|+FDxuwwFi!(?{<9vFq-yZnem`Il*Bp zUarPwgv2S+3;tajvWfoDPEjq!@!_;WylNJkP33i$oO|BXH4EXLo!qoCSzns>_1gi5 zUWPuHKK^yrc;m#GHT(LQ_*Owg8rXwT-!w@WnGBxjXn@ljm0?pgF=8gIMl%8(tPTUYZ%m%eG7vjuy=Xf(`1wu8*;uye|>+&j+iR%4J_1~ zM=K36xJ|csfD;xIYQG3tY%iUL3MT2aGxts4-v( z6$M{KBLe{nIO!~b2sFKh`>FGT;UEo;7n%oj5nmS$olnk;=i{YGoa2P(^C7I*WVrG0 z8p>>*muje!s>l*_vdHehVQ>Iw0Pw>g9FeG(MIXf*70?@JwFY77z|YdYfq8bt;PC5d zk+{ju2Zr;jcz;*&6mz^`@axqpXZc=2h7VK&ZUvt>s=r-aaZY?=EHVh6b9(}9lwBfe ztT9eQvhCZEK$>`Di60O`IL_hwH`CpSH{F}ey@uUq_1nek7Aa4+Bd_NPI- z8kxn1oTU<`zA}hF)|~9|1dj!_?$;C%)^6F1=u&ldV#avH_%LA5O0yOU&q+QKD&5#0 zKd^l>Z6^@iLr;SX30PYCjgUPSwVO)<7QhKsIFcw2SZgL($!4y} zwu`a}{X8mo!rEZGz|W2)7<($Yj@wq9Uc-)OZ#X>ePba5^8{wyxG2I^1`|3@9XVkCK zM@?}gy4`fbH6umJWKZ^=XX%Da-GXBXsrOcL)e|O3vLNug9UNKdfoIkH!tnlW$%?fR z?{eDftf*_D_WI>N{UO>XnJ+7df?cc#m~#Oz9^-O6}j=90zs* zTSb|+wzIE8Znqqy??S$I%F);VP@>93;1e6j;u;vJ)^HDR8s47vF>FhjN zi}u{_BU#rsE{auzN*UB}OGg_ZrNcbE9U;PC{G z65ukryxFalHwv7%Ic#!Bs^LS{l~}WR-GV2a{KQaL^!cE}k4-mrCfnny20a?L<*_+l zj`uUYdwMNIa`MU2S*)??`@Wqg4Q4XMq%wOlxZYk z%q|#9H8}jSjbmrVoU!U`$ssJ3Uu&bwAO4jQDq8pp@p`I~OzK);u#!w1aRrA?isawD z&iB$b-D8Y#CbIfWY_(7bu9y&{fNjo<_qWffKnnHoeY}!M6>*yvQ2mJi0w zjWhu~i*JAnyx&|)@TPk8ndvB!?zEcYF+wz4E6;2-Tgyy?MH^AqWMVH$*m;YK+hVSifu*ws*XS&1Uk(S1@1VCc0po19`DcXUa#zzr-p!7Sf}N*gg|8u?$7R-YA$Mdc08X{+cbHcgA88h zfycl0qt`aE)VXEiUsy!~-{}%wM@f2|S=$r|$W8#$@AQ!B0-ekgv=`D%T*4w(?HVz` zVpCLLNp!w-@sE!Z}*#x&THXmNtHJxMPH( z?N4D~yx35QFyeQ}ZXCcVRAq58`h~udkRF3vf)Ulu1;PF`oVIinWQS(Uhv`ZjaX7r? zKfgMOuiXt)i>@)v&JRi+b9Ilxbyx*ftTJW{(y1p@G74fK)RGt{EpSrEIkQ{PDzLap zY^Qp1`q(s!X&fD@Xa>zQ{?tR)kasas$O&)9M{GtJ^GFq~PWf+H0K@C1n1=B*V)vPg z4Sfosv@!wC5LQLI!0qb!8=AEb>z9ufrl0zWH<-~|@!)ud*#e~&l?72}bk(l!Pr;3< zz}de}EE3gr#?@Zpj}(<)4~>;OIvzz67z0t@%A_bP|FNQTyIGUR!05}5{lL?V?2efw z5xlu!4C4TqmX(1*3mi91amcBlR6k?RTXR^OK36ceaG(>^hx9St=yhyloOyEwH59x; zF3;U?lv+1`DyNl)u}-b&<&8TzVRE+&g}Bsg%JP@6SRomZOZQ0G3U%hZ2kRVJZpIqC zRcI!WpsoGcLuEKwtqwROP*;^Wl6K_sk5!rLuua_R!n~;2@tN^=ytzlP4+_2GsCc*~ znbmN8N=eGv@G}KVi5erLp=Me6GgcziMATBrR>m`l^IWdeZ}Iri$u^XF^?V@SvHtul zmmq#~R~AGI;L%t9_76i4#TL@46p_hxvd0uUQHYan#gZbR?UVfvtjj0L5fa!TUdH-F zh;Pjn>l%&@ZW&he?y;lOQ)`FM9_bzHL$^Hm@-gUGzK{GLUqQRRFNxbzYuSxggpUp= zH^G`!9V~7+F+l(qLbTc;3&N3qE9sE$N+fX+YD$XT^-+^M4}7oqkjWRhB^4CCpcsIH@;Vwr~YLc1vUv5ZBcr6z2J+7Y%ezIKSJ zA6!pa9}%qgpPl~F-sIfc#<4?(B(PbI&J{Fi^Q$}6D$hrt2b(El$d+Ke* z$QsBv?sG+|uy2_!U#}(i*;-HDsY0xNVL%}lj*4&4)2^BAMQ_8d+-OT zjeJ-y8m#)CKXyH30Hq|i$KD!7n9wJ#2HsHbv6nQ`92eDWpr>-R+4aY8;6YO4ry3b1 zcGNx{5P`j&zVJC_Ll&vGaSThucJWM011yB&>2H6*(X;}-%qLJr1BX4@d4|29fI$0~ z;g=y(&@H+6m!+zQ!Zc|2ZbHg>bn_2CfO*dhtMQY?+2+z6TAC{LB%V#@Ar^A~>i@HR zI%!6FGC?L?Us>$H<&%B!E3e}wg}J?*I;Sm@leZ=n7Z5M@09!Sz+Do*Iu6Lp``JA6U zI$htU8_=HZ?hr)8v)_B~KmLkHRu23y$&Rvh(ly`_-shM^$rgH*6(I&No>b#A!x<}9 zYB1Yr$6Vs*M5jbsCPxRuV^^^doq|TxdH>S?{ST-h95XnW2%6;%Tcvpg4?XFIG3K{_ zunAc$rW>`(d1{lo1~xXT4(*w53@V@RWdG#HrcX0W=MuhNl%Zi6M&w6`y}q$o^5hCXq?Ab>s~Hi&29RyNa&{DO@pX zYO5JHh-=nGvCX$1+&($JimsUySG*N5P>cFOUxv_Z3;MCeN63TC32RZ*jtNZ;OR1>hrSHs>0?MAdjvdj1ig-?&~-Zu!EV7)H~ zJFfh)Q0<&sQ|1cAR~y!Ri&4kH3CWejAj#ydMdi;s!DfV`=5|F1?BOP`r*4?c&x{A$ z;>59A@k%eljSmm6(>6%TgNCMw8D{PnCwPR)my}d=G)toi=5%8)bp3ZFmcf&))P`#-##~)DA3tBiVk#La~F7l$;Z_zzyIIje2DHdsbol3uQMR& zX(if+flKKc&4|I91|*TdlBcX(CHY@MCV>?l_v3wh5Z+JPhsU!q8O+b!fDgz)Cwrwg zs_P>k{0i+nGd!XimjAhf&lm0RNh+o0D0M<~q|fwqq%Z;kwnyRx7dAIs$IL9Fxi>?M zal{_GPX(gv{Pgt9kr4-dFi`!tu)s7v!5a5J@+;R3;97g=-NK4SV!VrSIK;jOt z-|<2s(5N%#ihuznvz3TVOB}*qVIJJW>OKZeEfF{_>O{}25GKg>${+qVG%(<#njr@N zLVvR;&Bzc7<6ba8+O14t-t|t)r-Osjm-bSyFlX<=LoL-JgY*MhJ&mR}?d@!j4^6n^ z=6!l031QtccOY0>qReW-1K7=#v?OHzT3Dcs+P9_MsKE42Pvan+WX6&Qjc;o-84VR|Z9@DW~<% z{`Rsf{6Lw4X>d;9Q9da@KsT`s8N^v(u1*J%dzM@nIg}`cP5MGFO_oQQCTh4GpHSCt zogTIqKn?oQp7Jinv}LlG&h=;i)1^RSrGXDstP#XS#5<#k$0~VW0Yrf(p?&zg<*`zQ zP^UUFFCM_1405iu^cI&fjuTaH^z!yZ$?Ezxx-5mo8~#pWu77&`?cZvWI7nze{#z&3 z6m=5A)NaD|iqjc-lF-sMo=|)mk1b-WqfGUQfM4fS-V5)T;%P4pJ2 zJ9M9)8UGthTdRt_Ko(gUqP_sFG^-KBVL9P2R?ae=Zg$P|qC}&5ccq8xgUp;d(e&RD zKRBzPff5X>b}mdOC#yr-O3j1h+6AIoBx)c2fom|&=TKuvKaj}|5iv8GnLjyHIA5`G zCyXTvydW?IgZrASgb?bZmMHk%EgdCFo?6A`^H_7`;NJGx@!?4m`?Cri>*o=jzH<97 zkSdQx#T^vlA#tNlodrmw$jK@xA6ED6v!GOlk=$;a`5ktPp-5DR7eF<&D-tO5{4n(n zqyGN3036ZkLqrnNJ%h9TsC z`8SP&(s#;BbWPZBp#)3=+Ty0M*juB(nnm9%Rg$C7wfMFwJ+jm=1Pf-yNqlhFo-(*W z6-qKs&Cp~l0zLrLtik?|=v-_8n~zw#j_{-Y>9y%CUw!px_USzt(<(Bj6%x=i0-llR zWQUdVDT7Hd^g=V0`pqc#)QP8%7PK<|g^7>$CUTe$-kotVqI9&|fow~d*{`hYPe0lwnF;&e`T@Hw=Xn1TBN2oI zI-MF%0Ra@~Ge7P6=T;bQHHVMqmCa{AEDTezxJB0T|%=Xrfr(za2_Y}@Hr-;imug26l7onB8)x9T0PECAczT*KpW z7r*bS`{{c14I(=xmqNTSQgcs6PIhKwJPvymDAh7xgu>*5h5-iQSC=Ua{L^-A-hr5v zu+r?g>1Vf}*$B2o{z+6%D+8XuZqtu`{}RzcT7FqybioKZ2`5B#l~{#fXcdgrvWH%D zUx{u=(a^?*KyP;wht_z%md(g_pPR0&z#Q8X`BpfyCHg$E)nD3OK1pk23T$f--J%W4 zPI(N$7xbytaUrf4=TAdilnvtEF=F&ff>N=orE7&JWe~S3yHG0< zt7`bi|2nBTvFjsX$_v7F=-0GB56ASUqVgpERsq6rV%UzrVLSKm5CdBri#!wv#Ya~L zpy0^jRo3%)g+zzXOnMvZlI+_PgqXBcDC%!`Y5(U|Q{-k8eufJQZ9$L->E&}zTF&3b6P(V8qrKeJDEZ{ueP~ic3U)jW^)Pl}=_^Ynd zWF~TOg%ts$lBy~rkiSm*p8cwYBGxQ zDH(vMOPXkGe+{PEg=u^9j$N>8$`wROPOsfMJ^bwSTzu_NX@oNgrVrUd`7gZv4dFN? zsdh4q?W!0dMZ2oS+wLW{`Xo}U+Y{5TXv_?=w6Iwa>3#`{7%09e+Yan+vtXS_C7X>z z0%fPxMxWh&C$_rba7t9wCA7++H)0Adzxhd#E1ernm16-Hv(b$N4L+e)6VJtYhA3Xp zj-s$8^Yn}edY@s&6&nH##A9LF`0UAHaRArA$&YPRhj(}-eJg@2qlO+MtIQR7_1KTV zdmc7kOV~%@4q_0}1oXrG#t{ugNbyc1qfOEST1&a$&Li275kxrd)`SG(ZKXuE*x2o; z48@*`LrZgdYO%eqQT5YL{joRl;Lfj+1e)Bd5rW$b$-{fFuTrx|wU2`j!g}We;>%?Q zyllMNDeARmM^`wSRjWjpaV9iXJOpq5!R^D_S4|~llxNIaJ;eo&K0ZBu{DW72rs4>! zVm&6JxMyibMjc7zZk7r8>SCbD{Qb&ZNRfO;|Rrwjw z__8^&ygu*>7f`Xz8zvW@iDDH4m9w^U?kw4-Ft32}-r)3+6OZ2t+dt`jnL#Vsh(c}_ zA*^I)7LfFmc_x$5>+FPRK$eJllMoh)5O-vAT6s$7mgX9uD(nBUg&#}?)A{(Eo?9lw zG1hYU(MPywWh+&J{zX&^d7biy959~1uq(Td4i$G*uhYYEj4)>2Qn^C{k}M#3Am&0G zlRSZt86eRbd%6n&j;vSb#zAYXZb(Rr2w(p7{nKlT&$AsyEe=*Dc#ZJGd)hfJ8Kpvd zzb`!dI-rivQy>B>f>KyF+OhdWztc)!k>Zd^yu&43ADWChcv74V-E6$;zNBo~DXrKf zq~j>*mXeT0a(@tdVFW{J`5zGEHda02k+LQ1ZrQ4C;SzaIhJu;iJA0^>E1s+i6~G8f znR^)`R?^(#-}R32NS}^NOzjB&fouV7;%%6 z>o`6bXp6^bknH>m^OOgzSoW7@t6Du*bv56a*s?huFBPEB%suh`OTYcgxKQYlo{*2{ z9Chjg|0V+DeV?gb5ZJzgUmC{rHZ}8C!4SfCDoX?`B_7YG>S9nGrOs5Poj&rqw1YWN zzT-o0%w~Jpyr+i+tp^}AbB4dIw=4>poLURjDexg)F82 z7t;o({UTZxm&9N@X9MJ99Qr(b#W6xB(HiZT$p!&e+~G)7btr@BF(xXWXvu>;ww@t%&>l2so?S$i^S3cRjNi4fog|T zPAt`z!qzDUs95r<8;}$nhtsi$FDPK0n%P3ajoA7T$?1E4GL=p&Ag1E(Dy`}oPUx!l z#L!R_1A%P@IPcmnZhlShtoezGFr)^_ImYxALCAo3*IsgyOE~%pG5x>W6vPcTxU8$s5~4Ew^B`o)3QQw z@|zVVO>UjO_wCDGDkYq~o*m?IuR2YjqJ)pO&+P@Rq;s2Lb(ogjM3xkp@d_R#R3)}o zAzQ}>VlP<4ZXuk1aPR1pG_P-OgZXjtS_DIUtFfg0v)}y$q{q$NNwEY0!S$_JMOy%u z{Tfcl?xT3P9j_%*skF*YjRfS2d5M3~S(jd~8uevZjz6?L9UPsE&W@o5+jUz$%{Gqp z%kPi=v{`X2Ed@U=0Hnw7*&9X8m}MQ2U4##SToyH3bku)LD@r5iu@z~}!!>!wrn%0S z7>x)M1lz$j!ylbF^0trC_v$=0$jaKZqEs7xCDCynDU9T@9f#lRs&q9}@L>fVG)gS;u|Yt$Eg9UA|U|FZx&m3JbLS40`N zZ|)^`Q44cull5;!HmHc_c4|#D8G&j(G@*DMQy6a24KmAv)xAj!(BfI-&pHhUmu~#a zbb64zp;?@^V+PI*4D`OhMt>gxUZ3(KEm4#Q@oap}3V{|Bj=MVCjL~3e(_*hvm&nD? zRF^;TS17n_GPibuqTHT_*UY77L5;HS&FX--Xe_Q+)a)@3k=Au?KBdXY1eD+iJ+;f@ zDnZ~$B&&ahDL=<@E%x7J;d=8|fBQn@UlHxjBaBRi1&pfKk%F!&b5=aTG{0rQ&GZbbfO3 zjFS72;odNwOz z6$H$yYCNXyvZ6^LmroG7T}RQV3V@SPGX$+PR}<}vw3LG5jp2|!6RKQL-?T@1zWi%{ zymwG-Py#+L)0glYh9H*B%(;|2^6a?b^1MKiti?r^*A;!gwgU#VkPjits=vYG5Z#l_2Vd>*E=ZgeucY5~dsiPw~w6(;t{po^f z$rtGJ($ODu0u3KS&ZfA*F)LJQ!8>a%fCv-EH`5b!7| z341P|OzBDhn#a2GEFMYXeg;0{(eqbR(mLcZiC8_QJZOSjTdD?n)xoJRLa_3z zDzn5EyXl73)^j#}QfQ^x=Z@gKg|?2om`H%r+UK@EFg-oaxpL$b0+^P0M9Q<)gas}Z$* z`i6BGzTh7bjB<~~OHOC~rLTU2dL`6SEhW(387okr(HG;K@iy*9b7a$Fd6wEv!~TQ7 zW1tg18WFT|)qbayCx-V|n{ksqAYH4P3F#MQ?wO;;*I#|_y_OyTJs8HPFQTrRCZdlq zW6Xv(iRIaj!# zihR-*pS)hlATi9&d2}qwujM#oNH9p!6|IDG1kin(nb)Nc{qhr>0f<^d zNtGL$C1`0;GFS9KoDsFbM<~a4?*W1|mVq{m!N?FDT@5MNfhH0gja$|72A7l92-@>& za@xzu?WJR9Sz&up&US${ngSfIs#hNPwkNWmL(tnGn6*+%+Vlfe1UgWLFGo$x=*JCG z?!JzNctEyBr_xV?cnxkH8v`!s1`;978%)@~^OMQR=&axxyaGs;Pg?TM%>T@B3r{9y zoeV(So=9oiOmWflljH9YwWX>D(fN*sTZCpPY{|F&{#av5S)ZL&#oNac zT(r)Z70@{x{@mXtBj#YB>>?FFZM5sbY!^Kwys5Tba$etySV&?>e3r5aO+GRy5LHKp z&}s;p5_(o6D-ArE938S+$4p8Jyz|qzV^-qTAHJ8fy^qSqOW~%ppzXg338THiwxKgY zu4ETe&6Eq-i+XS+oZ1R**_XDu0$elCLOa`6twT~nWd~PWGfYR9yzH9QF^F2R2 zde~V(cB7Pv>Q+bNd(E9*`~17UVsP-OC}abpUI0y#c?*4d;2y(t7kl0{B3`B#fM{gyv_T{h9` z@|oeRVD;$Ib~H3qY>5bwijI?g5pDZ3OYy@4-G1}e#+ck;&8xz_yQ&yS*=%&T`2n*~0ni5N(3kDGE zXw?z8;t%x@ctxvfAf`)G3p|QDS9a~;L^o%_P7ce29ObSiJk`cPN8{W+`1}uE&qxag zj%lo_D3T7*)G@*GRAhJmC7Qj~N%UF6iUBm61(TKS=5-E3c>Ts`92EOED$c_2t7I=DO1XMX4z~_ z{1Sy^I?J3{^c5a8VNIMo&f=#9Ubw6tY{n{~85{`WjgRD%0yByK61S$A4a~t6&6yE+ z{gkk<%B}UK(>H*>Do7TBQzBdImw)!bxmOLtE+s}~h-G_Q>5A9@5mw|@f|Xkm%yqrf zPc?IlEO@BTzOKdW)fIq1sWjTh(o%6d5Dt@adUCXu+&L{G$c zv@5T6NXwhFB?(YWy=I!&vjulqkm3kBg)qpEuO2c*$~w4f?3jC~mj6j8=cG6yzDfZ{ zGc#OKxcSKY?_L^|3JEa?*E9Wwia3)~mB728(VTzSEjE(qK7ngjbCM57G&s0wnZb1n zi2e`Z_0<(yFg$&wW9^f&)8jAv)2k^6o=cdT(`Aj5ODV&PWH!}f1pNVaIw+x$JNyxq znZQs`jf7RwV}=?YY97{gD)h6Ly$-C~=p1O5V|=qhxt;_%%3Apd9j%C$5 zEi^OpftvG3WYcyaA72Kh^a$-xQ?>fFL=DlBI*1<%jRtF3-axV(_ucFE&C$DKw7UE) ze|z4~hT(O{0kTYRvWqR&_^yGKQQFy@+>p2F17^@k&B&YIu4ge|Dk3`a$LREWiM-*c zQ-|hf4>`We^w`W!5F5X$_xT@sBTp7@LzXcJF&dp&NQH8#|At#q5E$gbqFAItWPzOI z4KtDy8H;fyAXisZdE}$q!|a5Eqh5#41Gq}}jya@vc&xI!b_ud<6jWpz_naAj;azXs zd7#?qo7X7KU=u7`ngW1uyhsP5&>z8_ai_|aA}72{v54oXH_wakWOc0QxP4meFXaVt zufjM_Pi2aadRRF}rz119n3P+dyEWU66 zV!<@+To?)sJSv;Wb5D%P$~FWMokFi_p4P)iJM`lr$&{msgf5U}5Cn={`ddF)ZX}f@ z0c$AHfvtq7O&!KK@|Ek8{uZhU2etjQ&RT?IxZf|3n2ZDwEY>fZKNccY?ihfSHtoQpT51qXsF6d59z-sOYm^Q8T>ZPB0LkSqMKG8_N)mL+m zP(JPI##DC*TxFge<3tw~*A-W={<}}HY%#M5!nBku#X?!u)G=4tjC|_oQOO?vHz#qG zUJN3ktC!#Zrh(vElNS{BkAZJzV{r?X=D(zv4A_t4hqXHvHeFJ(HjTUs9Rv|aTesuL zd8;ciAHeu)g}ZDpJx29{x*a)y-EsBE+pn#PS7RTaGJQJ%+7^;8uFZ^6%WlIEn?!dP zEw?|ZGIlHkK$_Yn1f!$6JCZFJompEANK_EFapne5s`#TNWL?Nm6w!G6$a70zdrpTh z#cN34ihPgA6H_u|@}+JMr-VJq({TmL_&f*5Kvfu2kDcaL`4yuQCBm|=On0o>#_1b` zQIqCu2H;)X9=QDQdz1nM&cdb`q2mRS_DzImGMw6zZQK!=4&|g^p~3?5wPa)p?!daP zmzJsA>wF`miOniFF*IvI)eSpBMxV2Xo#kzHRmzC%_Mkx|E5T44C$IdqN zt}FMWC6vg%a2<1*?q~;5Q4hxx)k$Sa%47u$51qSVUhQmy`3wKARFbVf`}S+I5jcB7 zcV*{;^I4Qm4{3@)x7*rfmH}r3g0%L-KUOMfuuqf9$JjyxsSwNaEipx%p>TdF-F|X5 z{lm6(ZjYT(?Ie;BdbF~cv}`pVKQp*0G7+%of9ruYx(VgHCZS7b@4q%gEB*vhb<{|@ zl5>-aWYw@K8LW|=Ah)v!DXG#Gj)ZWeEh)D>C1*H4lwDiQdMh1KY>u7!w?Ed?h`MsV z12Tles;ZH}#J!khi?xG|8PNr9iiJffF2IvQm+(WJ@#4_6>lK@`GViN1oIW|N9ZqfU z9hpFZ7E=T9Rk-M1{#Nf`sc0XMC9^Yyh1n@I!1xXa{G1U*Q*{9#cmjG_1)d;@Y^}<1 zQ?WFi{t&OU5?M=sR`+a+nRdj@2;*V$BwqQ3@3wZ&FyTlr*f;&ibIWr?gNNnqfEUnt z&U2{x3z-R^=2)yco5P+n=qY`-Hike5#PC)(hO6g~e6uRVq3p)tO6i-D6O?G|6-{c} z+28wc zo>J)MJsnd3MkHX~tO&}|z^{JwdvJ8|p=Ij@TPQE1EHk$1>;h3`SyODOnr)0HTkQ}e zrACjr817%NXh%Y=sLGaYM0t3=Pesy2nd?)ByfMaBsS%E76$4#DuMLKu|0CB9EQukL z4R+>rdN7p$O3T)Y^bwnL zMF8eqPn;JjYACgt(>NUIY!Ip0)Fn=`H|_#Lqb$*r^l)dLXOgbrX%^6etAq;grvzQu2cc&9q(}{ zl3&OOAqr%IuNwv1jY9i0Q_7`F0%974!Ky^(wd|9=MiKMi_qDxehv&xY4v0X{tFm3T znd=-s&-)vOH2a^qi?5rUhPd>T0z%Op?F0$Ax(n>K_9*1cmgG`<4NnG5 z&if_g3HL7ynK8vvTbXk!vVV97(a#K$)EHHZmwqs8;oae{e)x?8`l)Eb9F7=p)$$rJ zOEI9nxLYcCs)(gO4be)d5`VI?67g#rL?R~M$${?3_kGM~cO zV(2X&m=CsE|LmRDlDeHSaY)rzK_6F$U>r97xrPp5H7wG3rKv$B{$XO92Xoqh#qn!1 z$db9W&v0YtWhu8i0gVXU4z!=rz%iHJelr6jp4_QmIu+-tFLP zL%X6)lF!G~HGM=^Q(zvddFKJPRgeq%HWF$1gS+vF5SuMC)5o`8QNO=^ZoF~Y>qjWc zh5anHethQ0!|%L~iwqAvB*1kcn>RYLTi6)M7=(j+5>ZZWKo<(rB--xWk*WnoJR2VN zpQN>;K^DtW($#h4eBab|SJkEI#;LK5MTf`o8bsm>&Zbj_AN^n6B&kU24Rc}u&v8+Y zz~Pfei)^Z{$__hDI0F_OUI^3RnI1L4<-*MqHMc{M#a<=$*dfP4& zp^+{a5UnUE_}TwftHLz6lsy-aoD;+{UD_^zYOIQ2Ip+y2=!k|CgeN7m*_=FdPT+Ba zjTsm~e@D>jxz3*c#9R~$)`~3;1W3d;b3EwaqDIlQIXhB^4b-*ond;$pDNEHj5KxX?hA6Bg7?~DAX-@k+f+J zf$B&`-9lVd$kfMbla1kqS64Xvmtp0fH=n4b;l^)%d|nl?@RJYjMDI))%Nc8ZaRRGHi;msUT#ww*Ct)$-EmKu*q&!v%2>MHMvKbBS zWr}uY|Fv&yHe#MAQJaLs;&gQLW)0I{B zYd4^1rC~V5fvl7LA3@e*XUC&42gz_6(hxk)T>iR)w@RO+8o6w`Nu#ebTnWlaQDO*k zlGhTQ9P1?<(Nd2gxMwd=rR)i-?Rj9J`kfG!8pkTHND{MGw0vwbKKD*SElZaTTV)`a z#r*q&FC1lc1bg;!b8*l*ea%NQTXLMd*RDWj!@0S;w2-7mZ}+)jVcTHV?E8uv-rWEa zOSujO-d0W>IsCC{3B{J9sfCitCp(E`#CNVn?*yYBg>qoJD2-7(=6vGs%CAfb26LhS zm3ARN4ar5aC|?rAzew&i|EWvPl32QySgDF|u54BcAqAgll+onO5w1!X;beV7{_2%C z{{tl+Z{@QMaF#>ejjtnm%4k;#T0N4A`mhF&)O8XCEBEvamS%%rR@HzS?(lRsk8sa? zrVe)cB+ZtWdi2(>I%=e9ZaX+=O@b|seEvt@U^Dd?djNwNW+69XXPE3{-?qlt1_n!M z6F^!>MW`5?4F;`jZi%jl8q!KLgt+{@d4Cj;wJz{jIUu>DTDq#h9y=3larvF!Ip;U0 zeXI_Ea}e4t?A_OYm8!*Tb&#-CBkfxb8V;EyYxI zefaF5-UJY8`Jrb3xY!ATzjV#Xae1vD1fh_^3d5ETtPcvvi{^ctDUL}r$q<96TV4U9;#Bk$VoV)bGuYrTJ5I6n znnO$m<(2RKkQys=N;O65XTrWM)S`k37K7yoi3h4%Blbq!kjFI^<3f7E7o z2Wb*PdMcUmBg5W{d-m&0jvhWFiqPw#2cxPd+_h;ol?Ykpx`4Q!a3Wbkd+U=EBA1{hbW?%&yA{+eD#(`CE*#8zdqM!W*|4w_>AnzPS}UnPZ~o$v3$+veBZQm}zmNUb*wa zszB`;C=xXc_9CTO3QSieDA zrognsy{f^{)8m{Jr&ywsKU1!%N51ooyqFx@A8cNudAOo9Vq3U(Bgf1pYA`?yHmV@g zwigzGfbJ5)R65D_e6AvkQKm6?O&c+h5P6~4{>P`ItJZfM7GGsax(Z#qPYz!_^BwBg zC==?~!5T%Z2wQ2zgabmDKpQuWBum{IChQP{usdZsu|tk{%Y7Xu;)OUvq$6hUxM0aU zHx3_}uG=`uKrXgG;PRL{)rZF(oR34y6P!Xmd6q_37B~YTQo&^EOzWuiTr9Ev#cY#g ztZUk$0E*r~JS{dmM%{_Op4MFhj8-^>5~|Bw^A z4>J1%oNtzZMgDJ^E0Y)bfTXN5&lfi)J&1hehFI;yXG9BLF3dz5Ys~@oZXtsWeRBW+ z+9lG>bnkdqCAl13rSh#yg3ODx=>O_Favmu{=QO_X^?V_**j7&~L!oS)2_|n)M~2d= zOA0IduyL!?#hA{A$cb8N3ac4Jg3SqA&^Fl6n+=XRf&~SI7o}pl4QOG{QU8`_-((iW z?T+Q)8%OVz2?xc5i-RG-wUknrQ$sA^p3ZzK=_*UB`o>xJIHeQZgfUinBe&a^_4szR zJvk$$Cv4->8Lbj^y7U9DWZ4sD&HnnTSl*W2v;(OEJ>#`KpUN<4tS(T+B@kgEQspgV z1xg{Iwd&9f-l!|}{1qPbKA7{9Q}1-<$3XV{uz+u?z!ISL`r$wLp`LKwxFWmx`_F z4RRfdA~z$`efghV!+H&2RDI=q{i+06+QH~Z;Q~20?`W*(iCDT)%|87tCx*D^| zr5)#d;KP9)geg*Hsl~!ZYT@5GRu(#YsU^Hq#ZOV)z>vxUZqrSTpd&p4TGs3xwi zvIh&~%13YheB|agZ9XTWF;=`~I`qSW1t_7ECp;xq5fnlWD4}K^bVF(?oM|yIgNS^! z?^y(Gv??ryToQc!dVjXU(0z8>alB4TmJJd=NRTO?NSd`BT>9>B2*1&HG-2H3QK#VL zx)T2veN6H}%I1;anD|6PQZLJES@E$dUh$OtI^Nz!z&s0*;Jn;;$miSer1u8tBCTE_)az+hElulYd zJ2>h!aEbURpz8PrMGCntfBk&|tJ$xXJQ<=VR@bxy8RzxD*_ZcZc{y|#zY8V=fTYSZ zXa6~s(=ae%A`)d7CC3|)kGyAB#s1{>=ceZZ_Z)4}%2C-}HyS_lGuNs0R{I!*7(+|6 zTjOQ2ghZIuTxZ#4QjWE5ddSXIb*m4AKMO32H{Maw(2Y-uJa}^a1haRJKG{hmV-d<= z;Hv({dmp);g{n=hi-;!LPc%#c>auM4G+n0DI=7}|4c1_lJH?ahI?UO2^L||&u4FSi zb&+cf%LjkT9lfnyZ)>xjE$7R8W?Ne`KmCjE$EW(zPio>cNy?%E9RtD}5*AYUbQ84J0K5}pR$|DHgPyg))0WygZ9x`C6goEKyGsd2GVfOczs>N#vJovtU zb$!-J#|sLlDS)D|Mp{{XG~FBZ^JnpiJKjTDUtM7-SAX^={b1TqZgG3YT>HkSQNZP& z`N5>TuFcHm^}oA;mF(U9z?*W$gAQdIqoGZ_d}dpl2bQ;X55QHMh8wSLt``R|o~p_kdH@4bF`YRlGN0u%*cG{Y@z`Bykb z&>>Cv%x5>!6^2rkuYD2KF&Co{aUNq_QU-%}}Ufx3V z5X!)*fGq}LYiqfxjla53&sM*yUcJ7y5(cR(UpK4wqL;~47q(_qZ_8!B<_3f6reZjA z)vD>I$47tfZ@v-HRu3G1%kcx(&&d4oAt9K}G~(-Jl)L9R>v-V!*77XZ3^h(Kjz`Ze zqvq?^vl)DWhxC%}s?D~$q7mrbP(4$thaWlj1~+VO-DeUwO+yFjwZC{E5`^b2R$4k> z{qGnqU)-4uhd1`0AI?-Y--t&ww!C@0!7n4ppxV64aJP^s=U9d@u?LynTt+kAcIb_` zG|I>;>iq0K{0mnZ-YhINL$R6UaOmryp=NxUE;1t*x6J+mpn`|o;+uEV~H#3LT`~2oh&*zg%zS=dk83Wg|HA5t^Tyg{U+p-q=*3{M> zjbm9*s~3WLG`FUOd0}i9o?ctZvv|L(?*(gwGnUI&Ge3to=lL5kU!L-Dy)>@lGT~yJ zR4HnAfM*0DT~GTxi&S|(;01$CDygo7`Fq*mm`KKf)O$eokkG- z8o=EU>7E+AjNYO#dIxZu-|2y^+Cy23 z^j&XZ!)4y%|J&Z%z)4n>cmB7AD=DOb?%skF&_egvnjRGgi7)&$hPururqqUMuuXd1 z$qpj<`+_*AN!Dm~={wwlCN|8FB`f~Tii^Y$je+FvM@_`c)LOla$*==iHLS_*DADMy z(W&-rN3)Ur{+@Ghy?1x@FziR7cY3PsJ@=gFJm)#jdCv1Z=Q*d;RF^P1tQ4SiLxK|! zNF{Q>a5mEKef3a+RENRG!crcLAe3XM2o;8C5JzzhPNc04)^Vx{ezsPr_cW#RUswtu z7nYoteG(xtsut3bC6OG(O8GsXK23;mZ(l)D6VV_JByg3X7$k;Zy$U?*X;!fIm-D4D zjZ;t;XGNtja$-wW=`Vh8jM0h*h;iHa!q0*X!Vqj3o@6Kq4ATq}V74;M!*D^AP@7;J z{?iKeSD>j4MNjMuk;WvmXd+~@OumC8ikQf9!$%Jt45|hCPs1)zv*=IH&w^zVg+Uey z+t~`EP_+ngwTkB(#WCoKPh5ol8UQ zsvC&N&?JlR9ecx*DiX6=9`(6N>SE&sFNFqlyxt5WV1{7$LIEO~76o(3i>Pi7f;#!= z^Lkyp=EPd15J@{%5m+IinjIRrTEb`%aS18rj|Ra6#ls(h>ZZZ?VW_@?mBN~}m{FX> z!-bhJ0+p0ItdT;EYu5M;(7MD}y2f)16+Vz&_kCsv`qb)ySP{fUZkN>g#~(wC;azY$ zDtI(NxjviJhsSm#3qFPXk zYPBe#wy-?US2$dBGecE3!(=&BE*7a)D-)nnbcGq_0%Rsr7hkUGoEr;WG7GE4Q4-gq zpz4MYy{@d_JPl4LfX9A{l`sEmkujTMR!)E4esydW0l|NoK$DyQkwrnhHe7)qZK=A4 z#jQYR28o&M1*-+UoTJQmPW$aTb}72 zUfdK^-%-hLnOj`m;jUYpU!2KT=juUu&dn|^bDT08Rk-`yZ%mT)iluTm`9KnFaexT7 zEmjXC02~^ga{-0P>y-Dmr>1Y4nsucYJGZ4!oOkCh?N4S8qO66b`I+(DgN1S!lczQn z%ud~u?48Ex_ZP%NCj@0Fl9fn=_p>0BYdrnC0M_m_5Se#DtqkWP9Oh{@G+Rbq0WTQd z;b?jpy7Ips#LIJzMMm)FKh&Oy)D+BdBmhlEs>YO_J-CeMm|ZLn@qZ@7-DYEPb`kHC zB6YBG@stLKCx<9h+To~oSlNV65`oVcR9p;}Il7=gvYrm2XQ=9f&_c^=j==-5^*=6; zg%FBjGiXRWYmH&vF>tGuA%=6Y5)RKB);dE`e~qFdU0JI|-09~agLAi@a9u(zj&OG- zAX-wPRr!cNJUl_PJTwcEFtw=68}f)2Vue|UVFlML!zw`nc>?~6tO%Kq3TFs1rV;b? zpfm*DCKiCHpDIU)EaZZl;!5djC^t9i7jZ z0K@?)aa0BUmL;aJjppl6zeposg5i)pbs>@mu!uAW1m5(-Vi``7jAK%zOHH1|e5=u_ zR4bV{qY<4fIR;1EsSyf@;aPVmmj& zY(WOG1DzuXOw_P|^GGOxP&K?7`SBdh4GvXKqmYXha)2pJp$-n;KCQ{^Alw>g&JLEM zuvFPmn4;(wm>^$z1Z7ga0a*(n3QQ>;oj*uzcQCGE+CHQ9iabarsFyEHB|)%vnrD_I zq!36Y3AVp4r|DrS$E*`?LcrCwptl4tBeO7fU{sm|Bi`)2gh~!9X$Ft@x0K_v9B+b9 z)!TU$P+^^}Vw}=@L0p(3<6c^^Fk*$6nrP#_-#+C=xUCY&Vhe{Wl&3%65Ta%XD=Hm= zQVW+O2IF!(&1486$hkkEE&5Yq9g{KdB2ZHACo_3;@Ve9!0Zn)(L3qbB2<%T}(CJrW zN-HzJer5EHi_e~XZt_|fRKH{6o!n)=Sm3NL(Q{^(6ty%9%Sb?4Mw zXKaf<^1#leyGGA=Hms90feISRB3Kl(C5 z=AMs6uesrt>h<@0@QgFSsk#}QRt2K=bOK3RlmeOR1LTz{CRe=Nnlp9xGdzsxmp^*s zwB7V&cA3RuA56JEG5fYB?cF!_&I-VDZI>Lq{4eZ!;Hhn?1KxH(YAdtzmri?Go^gp_ zCOTfi4N-uOiCnLoUw}bS5TsQiGhED4a&b%&ZxlosVkkYBb)~tA0{{uq@EE_h7tmg% z6okVww*D@0AzcSEF^TcIZ+bSq`ISl)_4<=n<)Ztl_6x1C~3%&8UivA^<8lYjL^bmouM^`;4C*iqLCk5 z0<4emCU`TykYlUe_{wknE775@8Yu#7DpYGx+G#+7^{s|-q1!{6`2<8c@N3f8<~jH{tgNXmAwFp}SQnOsse`UkyI?gJ!Kf`LGV0!HVPjzO=<1>z8vg0o;a zEES3q3*#>!zaw5`!hK_Tj)*>q3w&zhHZ7vMe zXDhh*)y2^;s&az+V5koJP-Rj>-=z0r7uPWzWznFYLT$*6C$uy(UxBn^&&i=eGvUVI z=W{`@=+dIP6$?~ufjZZkTS&(2Vu$Jh|BX8+RZB&(2~SF z;k*@MF z&0~WSi^^CTlhebAVxpl21%oM4)++rW8x#w8x_S)!<8AekT-H~Ky4a-vqDk0s9T&6#7EwdgGz`v zi4@&|gqVhM$QrD3n1&YP4v)nhx9xSrntGeu~f-DIB`yWW&Wi zU>=)!Bl)j1UO)=`oM<3kbFaUT+)>VO)1LXk3}ufmy`bo5R?j zLkNdSTrSR4P|-2PD!2*C6h}3DeT?8jN0NW1g*PDB*o56_B5}E>Kb;GDhX%OrhY=9cJ){$Q=Tq9Av7~ zPRDeh!;Ce&#b!`GIYZI3H{`DW%@a^m5VQWT-G_iVSYO|N01izD0fcP72XTiBA#Ted z#~~cj_6MD-xskuvhdIC$HW@u~APQ$6+%{d9k})~8t?qCjRB<3I%`YxuU1N@7qs}ju zCof-oa11+0d2g92WA(muW=@$gp)W4tKUi8Gim?KR=aIBJ7smF@udY!zMD4}-t|131NX>>kOcP;NlIWHyPk@KtDQYE{_uk#GZ%Js z1=BAQHg)hg0IR~Mcnjz_CzG~{i(Os=?`6PLk7p@^ZE0S_)3khpRI`*2) zjKQ8mC%A1D^FGXDu**{SwriO=2~S&%VGX{FVGyRh4C>)Lx79pzD9ksDMR)*fm>b?g z7P%8>hYfqE0T?_EI2TY50A;aqwr>d0p*8wK<3s;h$&ybhTizj(3XpHeGIBc{u8hf~ zW}HH(88V76i2FbYm3hrnvV$@;Bm>=34g((Gge8QI;flvO_cuqRB;jkl18IR)a4lw zH0VT<+JwPNH&o}B)MO~Zd`DH_6d`gMJAi`RbQAC3Ln0-JZB+akE{zACg)L6i;X{j& znp}#nSOlOPZ%D&~nqlg(Txnup>~&av_ztY?a2VeL6`_^o9dk-(&F#{@RPuTB^*%(+n?M0#Q^tkcf6v7%q$e z3-~nXb~EQ=Fk`}+K?Mnkp&yplBVqP-=PCRCr3k?#y{iMz-!*#9(vb_l6HWE^4tyeYRXpL| zfgV4_vA7sAAk&$PA$3zszx=JgrfJ{tAN3^F0Jx9g2zzc%R3@|uEgo3`p7@TO1Xbtt zsqc*&tSnpS+YLVL`O+yf=)ls0gBmkpm9-j-DI^qi$PPr36m}%$OGtpteP8+NKuc*T#3)633y9iUFwQSbQ2>6?!9zBby{N| zmEZ5WYfr)}AYGajj}SXaizEyH0Hd#jh)?($45?lia9bJ^bc}*hSQ^6xf!>1xhJpop zEI>jiR=$glJzF`WMG_N+$`2n=n#i#`qamt8q(VZZ8wwmofhqD1%CO$UE1~FW8fy?1 zM*xqo1VghF(%&>BK$SygF{ug>p-L9hmx}ZeRyl1h<{!H1RN;&P8(KX>Q4-Y5BAsMj zfhrPkGe#2832vjk!>EGkQ(~IGBY2@=o?h~Rh}X?vQd|v^GQ*28aWz}Ff?C3|Wga^! zs6`edfW9ZAz+sR;mAXTgR87>Ps7!w>}H zMCr2P&Su5pu5X@5Cb4D+Sx|8RTN#_H;D-^rX;Es}4b=e1f0%Z7Y`y~ja;B$77cb|M zqy|5m=LVS=(j=;7^%DfpMUC(BJWD6e6S}##sNY{Cl8B6>#m23B;7`UMT>#gKSI9tece)1=dWyevDJX2gHUl4j8vu=7D3yhiatEJ75`}<2Yi_b8s4#^4rhK zpP%Se;_6^4Mi#_?zEyhw5z)6106ZmuP@+>PsZs*UsG@G=@c8kh$Vfp_7z-}^99%ha zbacdolNQ(oOO;7;VQxn>2o-U(E{`a&NHCoG*PDH`49B9+VcC>3ud@v(Jg#`hKl^(X z1rT)uKBnoq7;XrQ&{`#!BBC5A)XQ7>>@1V795SZfAzrC+afv#GF1auVB*`!rI&#w} zl>~lCl1ns(QmjxD(d5$7@CI!FgncMC5JglEJO~hT=2PG(kR;go8z;cgK!v`M*q+?t zAs}ZLFKvi3bb*TW%U6;3*y)ymthCj-!YC(|I5Q z+P@;w0Xw)rD8(=iAA`y#vx9f=kWenVd|hJ6_Y)X;{NPA~u6UpE+++XyDG_4VMi&EH z^oA%YR`3gVlt;+mSwufJqKmp!HPEW<`hcYgtPbQGq1$JR=iWn@mk)jtu|WqZK>{NT z5~_0zj%O~2&TA*83u9{1;wz|5F8_N$`*shdH)_R!m&f3LL5^HuWXfx z8&^M~E{{a2iP|;%wDo#Dc1|<*9DV}`SLa{CzgapYsVDOEj1_PZ6!ZQKtdE!0;l_l} z8ZY(I5D8jl097I~;|ZpS{ru$X;%fj?IQ+K!ZkN<+>aQfJ(<*uK34UPI*I?<2JOglE zoq4tBb|iJ~9nlL8MPg=bY=}ma2i}gEZ^(*AVGJ2thu_C=aLf5cNeA8NMW!Q7IwC9W zW*dMucunMDW)jvvzV_!Q&dlRk@AL;`&!%42kQiA)`#0u<7QVHziG7H3Kuh2UuuBmF zva#o7xz0#MH{U|p;UNhUY<^j*y44?x?d+~1MnPvx5ukAX$n{%J#P+GqE0$n!gv{n* zz_gosppLzAF!BKk%R6SUb<0B_F!~Vj3Bjv=WzyX?g?)dO`3&mi@BsxUuo#U{qe_ke zyJakhPk(zB#c=ln_44i0a#GyHPp##_42+s6aW>_${Vjkm$kP!*Kv-LVCX|9!> z9P7Qg9%L6{5G$8#Zw8n`ERZn(T$FY~=rU0O44MVZ=wRQOr(yml{PzI{)MRV;fTj}? zg=3g~ZI%0b;L8BTp5i4p`PqZ`Ktk^13X|>Vb66+Ug~c4M2MzC8MhzJx@T%B!n9=-* zJU4+(JqRBAcvyZuq?T6#rjRk`d<+7%G}8|quAmKPJpsWnVx3HFKrv|kOB=YhEulyD7lKLhDf3N6*iK;0rTNXWjXISLgZQh*(ac8(^S zT7tvxJlP3TVL^`Sd>tT^q*x;L(_ANRm`D+lt7w3<2x9TFtP!iRx=eqH9}EW(a~c1L zW^4f>%%W~2W6qKKq@TmX&81y50Vnl+@pfFcF@I?CPz-MsGZTW;v?{PUVC~|DWqU|b z$LW%Y>}4W{-~|YVtdNA~USm|i?%V53d&qW?urvxF=#`IYbv zxG=buIhA%`MDJL_Kv&-{jF^0oA?;-OBUBDpcbA8lJme}WOoyZ^riY@+zRMDRu$z8VEc*_7MFc?*V}pgmDxV^ed87g ze=sm<^aD`>cqTp?bp}6>kOn`j4djp6MDvl06-v<$3T_P#2_lqB2H=A%!MKJ))dev?4@wv8kye|Cr=V)AD&yiFKo&yv7P=|Cp?vgkP(B`&Umu zPeigOLQ!Q(T4T{OjVj40Ld^_EghR!w52S#MQD1<_%Zd~R+9X9%#aZ6LwIREb&H+8{ zxz+CwQ{j<+djk5BWN4jayevs6KWP#Tyjb(ZwV`aR&H3)cp+2QvM`>p11PY-GG4ch} zCL+eDz*9n3%pw?Z$81f*w>YtK_XT1P|6bk~6dp5hA*V-=bto`_S?D^5hs}quV4^YA z82iPA6jo?2U)-u69CX%C^&(=<$Okv%;^D=Ww?CbnOp8Gkq@iozg*@c|Ye1N!{JrDgM|HH)Jt6%) z2zvWL>DuuXAGv}M5jdP@VWc&4nxrvLxjHF;0=LxURxuIBY+-uM`iqK~v&DJ7Ml~B= zk8x9wI|;Vm8v=)}f&=ZHDpPv_B(zckD?&S?&J=0i2WitRf7%?nloN>(8A!w=JOeZ# z0euFRzNPe;Du`ON;*md`9gtAmu0~~-o}%N^mjwK^jJYBn7==ysVXa zdNnj4e1Wy(fk^_Eqc!ZQjmuY(w$4)YA&+07an(2EzyhOC(o`m$R%o+K7+G*;9Mq!v zY(hg|WOIxqNrDqB2BgqV+uJN;ETAVghm7a0`=b+;s|ILZh|)H5*RA_Vf&=MQ-lAot zQcS3;U%D?fhu?op0(l|imi_qWNl-o-A)DOF)v}@}vkvnV-O+{k36NWOL@ zk>r39*YD1uns5tS!YumN&&#NHLYMMA_=k=T589Abb zi=hpQ=FOr$wH)rv*Woyrktd#oQDV7y>O`HPOdjE)DV8%kt1It%b5LhHNSKZp6aQ33 zd6>i$S|_01)h1KJCF1U;xaPk7g2HMq-tM6BYZsl*R9Qoy;uvud9{Ouv0QDOlh)9GO zIa#$IvT!T}Uj_Rfk%J|TA};6uTvEqc5AkxFC&k8=uydi+3rVPCm=l|k5FG0@#s28q z58c0sT|VghDCkTC6+GwSa!)|baW(+u_f@DD;ZHap<|I;U1TJFpt1$mqrH=rQQx#>h zNz1fmAt^-wD(To<_G$6U3L_VCRO^w?oo04EcDe0RQn&FZLk08FOn3&LZkVz)CG%f4 zJl~}5SkmqUv+ZQ&C(BwxaWBoQ(N}IbNO| zdBmAvzggh`;p{!zv;*K^@aYP%00-$x89v%}u+%i`WsKdkP4+s*A-f5*>tM#O&Xb@B zgGU_8I*$D&SA#i*Ym8f|*-G^WcdwBG=(V2x5go5V8VFUZ8x z8f#(;oS0sCAs|6H#M3~NWF#@rwe+v0VJxEr`;1iEen6ingQdz2$KJxaruv|A`;(ES?otRkCsGEx7EdcrawY>kY-Jz`vRMt3 zdqM^)`T^md%!snmtB5IMCdQ=5LN54YR(R)Et5>2&%kiE99DWn!e(w=XcLhe6`6`GQ z{*e}k#i(*dL_xC5U}e!;U>6m|uPq3=twAhW2Z5jlVz{oBbze$irCP0q=I`e;lVIPs zPgVEOR(eEoNN?2u$yz90A}oSGJSZrrqM*_wI-v%5kdcLAISZg|H+gM9z<^bLM@{Y$ zol0vRefa9rG*Js(#e2-KQNv2(wviu-kw*)}GZd~v`%LbW9|cS=7rUEg&(%Cfn*cUz z+_`^pa+yc1eV6Cvro@Oi<*pUBw0VZAVADGOk~z0AoLnn`jRir?x-qGYcS5SvEt6I`Ry%1kM3*2`=SfVWs7N_Yn?;AHF1AUa#qGKDRDQ8- zYWL!%h5Y!YafS^CCNA)`>J@>P)ZMm!mxSZtcp>34ns&Mkr-vuP%1Ffij7z@r*WVB7 zXB1vDeO@Ivb7K0c@5`!iVD5 z?4G=2@n!De$<5)dBU4HAKc1fyZvL(5U`81~TRAIlIWv$mCl#L4nXz~u@%aypCY8yR z!qi!#|6%u*&^@iV4X6ihFV!|rHxi)keYsVidJacds{WlH%az^~jePL4 zyTkk9iT}8}h04;V=naO-6?h->gW%lEh+}Hxxl0+!P~kb73*SjbmNxC3-d%qovw&cBjdR|4>K4HU|p6cX^inGLU9h2h0Myx zhw6;SpNA8H5yH%&GrbOdYs| zG=kk7BaeJu! z`Iu&R@`^O;P(bPyBD*k6=7lC4Sz$CxSO}Vfcm!-a@gD{FRt!Bwvg!#kQh;I5xa;d; zR5I}w#n6D|c5;c`Ewk{05+aA1qeRsb@g=0WSbLUOR#2)nEC?22e#1Hksye_ncixAB z-HHgde#Mi84vsEEcbj`4q^WXeF;m%K0I0ZCb%|trhcq2n4*D8=I+B%tqo*LKY5aT- zuL|*=?{9LNhOL$6O_ANJQo>yG0w9=pI8>LYqv5mRjZb;7{N@dut&8TRc>Ic_Bb_u3X#PF65HN zW@4C~V@}qlFm(*xuAZ~jKJbcu0KCN2h_eWxGd_(X4@uw5G7YNpl63ylyy{cwVyIJ40{N=M)Rx^emo zRfmj>VLK-XX#vC2Z21=L8@>T)K{4>W=3O13t-Ua+g`*pMwfZC%JJz`wrCi~gzpI)Z zk4S!%VJIwVPw$QQl7M#3NDc}5}%O>k0>kyDj}T>Pm=|SUg2YgzQK`~5AAmN zV~9YEanmGq7?fQd$VbGv6q};szzM=Uh7_bQ#|8|P8S;@=>L!0GMh(bt$xjF05JbsV zj)V^8U<*2yXhbON*hNBzlg+kBaiI7a6@F?vX2&1KKvKB=fm~2e@Qi3j3PFR;X}^iX z_nrVt97`mGWLrH>sv+WqdTiKgCe)GvYds?Ju)IC&Sc>Ge=YOrv7_EkECz(q)xuQl> z5bSJPflx3Q1mt%IIL?+yieE|OptzVg|K!eRo|=VrC@P)*ZF@%KcXhS&%n82^U1h$M zg@l1`P9JzNu@ok&GRFK&8N=Qd#eIxIeVMIA>6-+C$w!{)5v7qTwLhGp{x_9&j38J#?VW$gny%5w)~HCN>TIv7#nL30zac>Io; zA#AhG_P6%EaexKmXm-i_!ptp!Yelv&`33!%Jo{jsey0*2joPX8+*c z>dB7cid29|+B~Awf1NWCFC!pTNu4o;1jk!sfg%i5GClvDbP$ zXHKa?<3Ii-=YXW~B`|0L2plhE952v=NxE(|Ae^C*c2USBq~kU89|V`jM0Ch(NHERg zFe3{LBwFAG4yl-12`_*^JlsQ2LB~N@ha6>EdF;ZI=~HMx5uGIhJIfnb7TT=H$|+YP zW`V&VDnVUDj~qKp)nWRFxU`6rgi45?P!SLY81WP0$=Rfay1KVmClQ=cZ-9+vTC-@=Q7Vap zul}bi4}daD$_T!A6IQUp2NY>5NWoN{bSDTcP+7UDOrynV1cID@0p2>RLsZbn3_^3Qgc?#q z_h78Eic=Uu*{Nd`q7cB`m~5=n69$dr(=;rU5^1xX7*GnO2-65_?#u|`yeKZnn52ek zIzzRq)Jf`PsVbWD}qGC8ii zH)^Y@Dix;{k({Vz><2#OLqb^R16mk5Ap<%*g9VvJS>0FbsD7vR&6s*_(JzkrP4`PM z&Cu2)ssvWasccJgOT{Ff9hj<>dWRibash9T(1U|ibHPG{zPAh>+hL@!~07rVl9f@UwWSp_%bfJL#i)`$5BN_seedWuaBbVODMV?RrHpUP#2nav0gq7i@P$@B)Nsh?QS>fnZa6y4T z{5LtO1}xPuty@ckzY&u!)hg)zLJjaYNdii=mV%To$y*}f6a?sTj_-vyw-9~e+K{qx zxdMd-WMC+V-p?qZ5?vZA?N_AUQAPF|Af{6zjZc=Zj zSH!n0DyB&9#NfFa+Dik;ljBh&(4bRQC_nZvI)esQ(~vJVR$jz%pPU78*6z%SA_Tb@ z`5r3H4_qcnrKJd8dyBCH`kWL7e-($hR@%}UIfXl0>BdbyxZbI?qj;F|YJfw$V=Z|0MVFqI1|m0fGD7hfyIjAYNL%vErA^bU%dM%Y2B?R3xuw=losC7 zq3xwr$=qRC!J`t6qduvIBQH7`^WEtnow}=oggSs>9FmnYMPUh;g)iq2Y#Ma1hk0iW zR=rL(`D)Rj(FJr26rEa!1L+0kXTg(PVY(U`{WQM_u%sYK{VwdvWA7Qq#%0A-v$Rd& ztb3Tl2L2Fm!<>kXF;278#H;B51{K$6fgDj%U@M+n2AY|K7UZ%4nIu}WC*&_aBTqbaEDqjd5P(|H|h|{1YIY@pc~y^bir@4 z@glwgC&J_nA@)8NA7LDen6a#L6Y0Ro58CZfoS1%iaXLNG0h=*8>yMUXqU(S>1-fI8 z-jQp(^yf-*lUwF3P~ChRP)Q-`gpx1If}|A+P#~&|0#QE|FH_>W!2R*$n*#){QS@+AhR$Ak^`_x+EP%5zsw$V{g^ z#7F!R6wntB#=DczE5l5-AuJlhzlI4|2ovm>7;MWSXktytb%D`a4q+WbMj-?`7Lmgo z^y>%&FC04OG`DSPLT6m!wc(_M1l&~#9c7@aGE(U+Xk4Ma_R8!)R2CY`G;27>wv%sw zae#^<1cGI%6^J@X$SH0KD_~(CmQmpS`5ff%+?NKE6S3?~3t6dCfel!rnFOxUUOj;3 zLH_^&O6vjGCJuB!BwZ3}PX(n!1R4weP@OSOaD+B?@C)18@&YF*V5S)S!>7cj(`d39 z1gwf+=d0BQ7cGK0oZTJ#`D8T=fDqL;c6Jf#Ts;mqq9&jZQ#*}ye9&+y7l}@HSaf)l zMQhp%t#|#yQ%j?&l-?tLm|+H?EV^cP2@_ZWDhJIG!c!s_363F}0s(1?ygZ@~B()or zq{-4@q}hOt$4%}cp&)Ex_C6etzk!8@#oT>wKQWn{U^>P!s1VCRV*#O1j;g$J1Yamz z#!xy?4sX8vfZog(rnK`YSZ1da?5~g~u$LzwAW%eyRVV`;l^%Lv9YnQYZt)I`d9ayb z&5NF+@2>w=4)KvFY@-aXYwH6e4BmPJ-XL^)8RIpy%puOGz}k*5cH&W)y*fG}KYU=3 z$;~6~2qh2a8{dDox6rrlev2Ir zdDU(^EAolhBq8&DmHiFJyw&2^LOWV#UJkO;;?V0DKTN$Gj?BoRuSC!G3leu+K7(Tv zRHRE_1xdwXR<_boQ3*n*UTvlHyJFXUO#4E!YcjiOeFH?(Ugrh;KG*oB;Lh)ET8t8@ zIxLz+=i5(m)J&xonFc=SD+&5B&e(Icouv{vmjxdzy{ zPIqAdA$N>)00bgG^21G?Mi@qd@6hdJtUD`-$`o+AAZ7LzsB{4@`8!OS0^TD7jfOW( z=*eU#&1+qTu_GNXgyLiB(`1FQ2(rSr(0nd3CDyUw8oMGqrhbTii3-D3pxGF&*3vS ziSqEJEpDr%5oVGv^$+nt^uA99lvT|W3b43>tYi{lg|XTR)@Az~&k-wr_Q~oVD`dI& z@ayi}v@Yc_q~)~pU`4^*3F5(C86gGGu07@HO~C}5JJ7`d#oMBjCz1J-V8}A(gJY!P zXzLPURO9&fjsG3&p6CNr<E;1`y|Wm;Zu25So{H|+qb5<$ZLpogK2w? ztOTM18d2N}^mTa-v3Op2l-N zU-F|%*(|SaR=;RGvdg#C9EHs?SsjnFl8YE$bx=2z}3jc&@CZ%{pXWF`q1#P7IR z&}6L2BxNxdb8(5cWvmMphx0&B^I8L$dnQhsobV**0OB9A%-Jgz%SCyND9kcIAK(QB z6M`521i*!{N17LnKm7rSXGM+5*#qBMgR&$W&p^tG^FAs|Qt+uC&fqH}NMrjUm4@N6 zNc0P(PFF3PIrC;S-45M zg~hcGfI|de7#PQ}Vk-cJ&D>jrm7t0X9{aDSoblP8*E#%8 zxS_WCKJ*`HJ8ky!`5GUedV- zyN-dUWZQk; zTaXlr{jbJ_U90ET=>B; z-*+L{2f;5fpSxCa%GKL7Y5DZ4Pf06f>h@ANO$Hx+c7wgDNebJC2myda>v^Ch_}r}`G|ljs3JaTn@s z2G2UeXD>bF&;_St27Vt1eUsK8?$%q@l|gMFGlY~Rpe}`+Woex8i`nfU-)-Q!09om; zbL8)UmmrDv03%39Uo>Z5mNDYHn@@M{T_>XI4R!&DBIrw&J;Rl6)xf3thQo6Xj`wo2 z&(QBPEt|b+>sciguD|q@freXF-oBea+JJ*5$zPuwuXGxF9R)idBur$;X%?{7iVM-M zn&1)YOc;37=6*ldWkYsCYJU|mGUM>nSfdtffya(MWpT2->{|Eed_c87 zFLbO3&Z)lKTdGG>VEcS zV;`63$sZjc%{b2H4&ZMkUl@FnF##?HhdcUTrIr7;OZDuZZ?IJMCW=7@x;#qG&jUhG z^4YEyvLoAHbaG1}TL-KaV^;E#0lsavIve7!@TYdH1I53No4GtRdu-vAzHNN==4QS@ zZiJJ+T6bAW5FGh*r%BOzv++B&U~i~UtCg+07Jz*>3_f?YO7*|nC}#bkq^a=Rn(qgH zsg>|^uNXZ4dO43DW-#prJ9ACkeuCJVJG08dcvXC9rLyQK@QCy_-dbd7)})t=@S4&SepJ1+~bU1j|Sx?5oo!26zXL;Hr18}bS z#f;wmEgXJEegjf~&@g@2O=JscvGO$HxCLj$5q!>_la@ln?a(Ly2*J}c5 zoTO0C44k}MQ-DD zgBhY}Jra#ZX{g+Ev$f;Uxc{3QkVJ}jkYh?5hM!9QBwILdhe&Vaez=j4BcS1%Q+LsO za(o&8c7ojbqK(W8?fe9`J=fOD2A}TJ>BZ(4L$n=u3c2x%&y$~P!&)#s!!o*4AT(^N zb@aX}^L;*`r-I>fE>+;p=5h<(D>Wij|qGFi^%j0d%SS{F)VEV z#%WK+*nmeb;hg;5{ocoMk9Es8_X}_D;n6h{Y)G$?qp!1PO6Jxy-B?YZ3$SA7O8&WvKpR%->F%ck=G4%e2z2f{i+`tH; zohh8blr$QvzkXC~Z2hek{B`bRV%yjSGY4EBvsv(}$?5nCOv?)#+xO6i&rkLzXt3;htV=y!-8A^4pKjk))rC{nBkD1o zM>}W~WU-cM4cD61nfIb=PV7ur<4GDkUCAmipQ=I1cW?gdw*J)kpkxIfH%gUenjtgyhSLY={~Dr;xke6Z-$k zHQ}`(1Vn3~Ss~I>O?veJy#DYSvzCFgavneW)NaxwQM3E{mtW~lX?s@ob`09@dDW{R z+`56@Z6akA_QDDpul)NqTvor$uu4Jnw$X|{>r8_bMKd*dDTX5n~FvJg^ig}FZ<4lH-2%o(IE^{ zR!gDq)r2TzB!kiM{i4-;^6Mk9%+ zp}J@n@G~>RZy0QX9pP@|@E1--6|5Iv(OgP`F*q0nvhnZxJ$j(8=tQY zO5?qpSl|B=ERE{aim)!BP@b->5}+f zPH1yMT|Jzg9Mc)seEnr7tkn>0m4r!dzXT%fPsA^Z6_(i~d&IUpDu|frM(3dPUrKr1 zo1YZM$Va2*FAw{3Y#zPjEd~Ab@c)f7Xm-xfZG&DWNKK^r;niENG4kwjI_3CWji-Hh zVNGDLdUnCq+MY6u=AcyODQDAE_TGP+X#eM{O`vIBlN@#ri>skNfd@TzUyYBQ)t%J- z%y4fZDQHMMn>lr>xjI{N$qEU@#>6$*W4~|q)3gCq%bQx4R~j_xIWSP9FgWstHA9=s720aM5H@Ki!A}$c_4A!om|@d+^FL;{K{iu0D{gDD z&*Zfw^I+n~^!~?Y@$6>jZ*Me?Srtmjfe1ZUY+&>yRjNN-YZYr^}qhS?Vvj>{^T2Jcp26Pyt~1TBcEIyLdP55@@7&$ z+=6}YS<@D4R_=Sm!!kg$T_I?`znXQRMqSYM&~qz$%MxXv$V4#%5=lTRlGVSGWZz3X@8O-L$^cnflMC?^nBT zeKbB%Z9S}dr?v~OH@nRE6NVxzUu~^PAvFHKn^%S93s95`n6~#32r~Q3^3qK2>+yJZ z0s8m90t2pT5D=S`+8sKL8XHBUB;I7}JzD@ReO(pSg?N#nlqvGT{)Ec(|Ip1R>T3<_ zhPPeYBh7rFS?z&QbVGl;=KN%!R-{WQbqz;s3pIn*Y3@%=4vZ?=)5mUl)!#lTY>wsv zNOpE+W^+6`P3ROmEBS4VCHrlR=8t6f-y>z8gK* zL(74$R9cE@p}Ol+uJOyy>qsrFIT3YaZ9R-;w`c!ecGvIQPyOi|R==4C7*F7+$$-hh zPEyf~-ch&^46n@pbSr7Hb?fge2D>dWRWg`&)L?<5?VVSN=!wQ>EHt+BMc0#wCeoVo zuFYebZKyPR*(~!9A8g+CAvNu6rE1ES)D#ST@CMMXPu#ojy)d|jouMi&GPvXbbLC5Ov_Wn>@6q%r zC44JWGohIs5Y#07RghqLne~F(c{QGq4tc9iZVAh+j8;$#otEN7Z+US-k0u=a_jE~5 zhOlXJpwfO>Wy0PQPuN>LQfoN+9&0ZXT=cP)BSUDVK$>|vk!w-J@D|^|a4`E2&t%#IAQOYg1wcqV(_>+su7v~(TQDC)C5qD2hzf~#0c4>?2x71sBubY>S{%G)2U%H$nsJaTqA2ITm%KT?g-Vkd5ZAg7qB@C!C+HImwhZd6}eTmd~S zC(=6l(CnmpU!U&fkhlP#{fPqvT8~B~p%1cJuJJF>zw~5(60c-UR}y-d8;Fj9y%K|j}7Dx8*A=1kb~q>i3^3%`M|iQh=bmRryxIi{r)j_Snq%T*X< z(@Y#r1IEJ9$%8{dgn=^>uChI3-`S}fOFA&{Xn|B@2_Ln|H#H3e;)SWtWb^9`+=w0c z>U$me$J81T?AEprf5wT*s1B+4Twe253eYm$+*jw}L(;BF8bAB$V7H|K!IRg}6Hfej z+|+Mes)ICPuEvp1NDKLX*Y$swI(_I@Zya$$W{P?GaAQDJSfD+;)Qr%RU9gjHtAETs z8!!Ahf19nwi40c82+4=g6xftF;@WI=h+7X{xLf`VtbI2Qw}qx5m*I4!1I&7qq6`cJ z%}rXXWyo;097^f9uKUm_2?^LGw)&uDiPRJz?i>Rl;Aj&*vSesxwi3OrbPR{oph$sa zsZDbtxbAZ&rSEihW7J`51bu?VtXf7@VG24#Z;*#$e-c3tEU)2^;lN$hSKX?l@yp-N z;=h{BU});7)u0ZAw8z9(uQOU?mGL&A%Tp7Gr_93BPlEX6nBKND9{W#E3L9tu%jLM7 z3e(5@*}#D=^sKR>vrnGrg)GgI?BkaI+`50fcdaV0_RsK)P~;cqWR1e>v?B(5inJdK4yxyF{pegiuSUlM`sWk zkNvmow)>lc%CAOu;bWxq!^Io=9Yyc`hF5hv679i*p|!$E|LG9}gD%?i&f{EfBHaS9 z^$#|%=;VaAU~{7h%PMNYvb#oo)lQlqSF1Y6e~jy2J(YN3ngl>my`X91&y<^ zRoU*>CbVVb*fI?0*ourEm7s7jtULwCIqw~V=31^{L|DjQ2e`)fpWgA@dXSHR%cehs zuJxOZAvHqe5KU;DYc{Y|HhAb8tBGT8r}$4`z!3fZpiNtH8(`fY`F~DGjhI#J3{M4vH*=+H8XT$B)aQ`A_C9WsN2?Z62E!?h+YIai41SzH0>CV1YniN zs%xUfP2;t%Y=3OOM;@53!L)oW9rdzKk@coJ7I|QSrU4ze&Sl-OZ=RHE$)-ni*Oh+D4C{@$tWI=2-VeXgRM&8yNxA zf|`~-=jwIAv}XWf4~W+Ld;fM&$AB-hhI-0~__=@Gg1p|Hjd@{~wy}+nSJ2E%vSJ@V znlyNe6`Q`;|K0bV6h^=?u3K!|y(c<)u4`X$;8{W;EX~rG0L}Zho9!RS7Ax8k*S*!m&?{$B?`MZd(_6ADZ>) z^L4@a49B~b;~Oye*F>?l!lfhgPY~-M#{dtxwEj2!x%~nHS6ek77&;jn9~xv6+JF$V zSyxSd+}FKdSUV14BNZI)>1HE!ubKD90Kv+oi<2kcE3H)`X^*3?G%)nS0++Ene78-XIv? z$U;WH;FEnn3_HO;j;CgC%Db?^t9Oy*ZEOmNY3Sn|1s<1u?_O(*!NzcHHgjXe`saS4 zM$_Y7muhTlS>g-pa#_^Bb`mt#fkZyLK-df4)cySC5Pm0bwhIVxpY2&ie z#Co0C8}r`@ENE=oNO*Nu;qFJFHlf5V;pQMcjLewVm=5_yaBo|zWK8Dq2$`@MkLq|P zyB5M=G*}!DNgU5lPx<^?>%_{;PCeUAZC;wVd@*>&^lcMV5u6Sy60`cOO++NWpO?ka zpqxyG?;mN!>nCw(bkhQbh*_T6d{(ZSUzogmbCASBG=JiAik=3FwHI#bJ4hhpbh6Nz4=WI)YE*_jVdkW z7bZ9m@UB~eNhM9Hjh<|NB2c?Tu!!$cMwNuj3pt8UjazlR(=|=V$@pSO;zGVM?g`v@ n_WS(H^d6+x33{(?AqQ literal 0 HcmV?d00001 diff --git a/Arcade_MiST/Midway8080 Hardware/Midway8080v2_MiST/rtl/roms/LunarRescue/col.vhd b/Arcade_MiST/Midway8080 Hardware/Midway8080v2_MiST/rtl/roms/LunarRescue/col.vhd new file mode 100644 index 00000000..9e74a875 --- /dev/null +++ b/Arcade_MiST/Midway8080 Hardware/Midway8080v2_MiST/rtl/roms/LunarRescue/col.vhd @@ -0,0 +1,86 @@ +library ieee; +use ieee.std_logic_1164.all,ieee.numeric_std.all; + +entity col is +port ( + clk : in std_logic; + addr : in std_logic_vector(9 downto 0); + data : out std_logic_vector(7 downto 0) +); +end entity; + +architecture prom of col is + type rom is array(0 to 1023) of std_logic_vector(7 downto 0); + signal rom_data: rom := ( + X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F", + X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F", + X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F", + X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F", + X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F", + X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F", + X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F", + X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F", + X"0D",X"0D",X"0C",X"0C",X"0C",X"0C",X"0C",X"0C",X"09",X"09",X"09",X"0D",X"0D",X"0D",X"0D",X"0E", + X"0E",X"0E",X"0B",X"0B",X"0B",X"0C",X"0C",X"0C",X"0C",X"0D",X"0D",X"0C",X"09",X"0F",X"0E",X"0E", + X"0D",X"0D",X"0C",X"0C",X"0C",X"0E",X"0F",X"0C",X"09",X"09",X"09",X"0D",X"0D",X"0D",X"0D",X"0E", + X"0E",X"0E",X"0B",X"0B",X"0B",X"0C",X"0C",X"0C",X"0C",X"0D",X"0D",X"0C",X"09",X"0F",X"0E",X"0E", + X"0D",X"0D",X"0C",X"0C",X"0B",X"0E",X"0F",X"0C",X"09",X"09",X"09",X"0D",X"0D",X"0D",X"0D",X"0E", + X"0E",X"0E",X"0B",X"0B",X"0B",X"0C",X"0C",X"0C",X"0C",X"0D",X"0D",X"0C",X"09",X"0F",X"0E",X"0E", + X"0D",X"0D",X"0C",X"0D",X"0B",X"0E",X"0C",X"0C",X"09",X"09",X"09",X"0D",X"0D",X"0D",X"0D",X"0E", + X"0E",X"0E",X"0B",X"0B",X"0B",X"0C",X"0C",X"0C",X"0C",X"0D",X"0D",X"0C",X"09",X"0F",X"0E",X"0E", + X"0D",X"0D",X"0C",X"0D",X"0B",X"0E",X"0C",X"0C",X"09",X"09",X"09",X"0D",X"0D",X"0D",X"0D",X"0E", + X"0E",X"0E",X"0B",X"0B",X"0B",X"0C",X"0C",X"0C",X"0C",X"0D",X"0D",X"0C",X"09",X"0F",X"0E",X"0E", + X"0D",X"0D",X"0C",X"0D",X"0B",X"0E",X"0C",X"0C",X"09",X"09",X"09",X"0D",X"0D",X"0D",X"0D",X"0E", + X"0E",X"0E",X"0B",X"0B",X"0B",X"0C",X"0C",X"0C",X"0C",X"0D",X"0D",X"0C",X"09",X"0F",X"0E",X"0E", + X"0D",X"0D",X"0C",X"0D",X"0B",X"0E",X"0C",X"0C",X"09",X"09",X"09",X"0D",X"0D",X"0D",X"0D",X"0E", + X"0E",X"0E",X"0B",X"0B",X"0B",X"0C",X"0C",X"0C",X"0C",X"0D",X"0D",X"0C",X"09",X"0F",X"0E",X"0E", + X"0B",X"0B",X"0C",X"0D",X"0B",X"0E",X"0C",X"0C",X"09",X"09",X"09",X"0D",X"0D",X"0D",X"0D",X"0E", + X"0E",X"0E",X"0B",X"0B",X"0B",X"0C",X"0C",X"0C",X"0C",X"0D",X"0D",X"0F",X"09",X"0F",X"0E",X"0E", + X"0B",X"0B",X"0C",X"0D",X"0B",X"0E",X"0C",X"0C",X"09",X"09",X"09",X"0D",X"0D",X"0D",X"0D",X"0E", + X"0E",X"0E",X"0B",X"0B",X"0B",X"0C",X"0C",X"0C",X"0C",X"0D",X"0D",X"0F",X"09",X"0F",X"0E",X"0E", + X"0B",X"0B",X"0C",X"0D",X"0B",X"0E",X"0C",X"0C",X"09",X"09",X"09",X"0D",X"0D",X"0D",X"0D",X"0E", + X"0E",X"0E",X"0B",X"0B",X"0B",X"0C",X"0C",X"0C",X"0C",X"0D",X"0D",X"0F",X"09",X"0F",X"09",X"09", + X"0B",X"0B",X"0C",X"0D",X"0B",X"0E",X"0C",X"0C",X"09",X"09",X"09",X"0D",X"0D",X"0D",X"0D",X"0E", + X"0E",X"0E",X"0B",X"0B",X"0B",X"0C",X"0C",X"0C",X"0C",X"0D",X"0D",X"0F",X"09",X"0F",X"09",X"09", + X"0B",X"0B",X"0C",X"0D",X"0B",X"0E",X"0C",X"0C",X"09",X"09",X"09",X"0D",X"0D",X"0D",X"0D",X"0E", + X"0E",X"0E",X"0B",X"0B",X"0B",X"0C",X"0C",X"0C",X"0C",X"0D",X"0D",X"0F",X"09",X"0F",X"09",X"09", + X"0B",X"0B",X"0C",X"0D",X"0B",X"0E",X"0C",X"0C",X"09",X"09",X"09",X"0D",X"0D",X"0D",X"0D",X"0E", + X"0E",X"0E",X"0B",X"0B",X"0B",X"0C",X"0C",X"0C",X"0C",X"0D",X"0D",X"0F",X"09",X"0F",X"09",X"09", + X"0B",X"0B",X"0C",X"0D",X"0B",X"0E",X"0C",X"0C",X"09",X"09",X"09",X"0D",X"0D",X"0D",X"0D",X"0E", + X"0E",X"0E",X"0B",X"0B",X"0B",X"0C",X"0C",X"0C",X"0C",X"0D",X"0D",X"0F",X"09",X"0F",X"09",X"09", + X"0B",X"0B",X"0C",X"0D",X"0B",X"0E",X"0C",X"0C",X"09",X"09",X"09",X"0D",X"0D",X"0D",X"0D",X"0E", + X"0E",X"0E",X"0B",X"0B",X"0B",X"0C",X"0C",X"0C",X"0C",X"0D",X"0D",X"0F",X"09",X"0F",X"09",X"09", + X"0B",X"0B",X"0C",X"0D",X"0B",X"0E",X"0C",X"0C",X"09",X"09",X"09",X"0D",X"0D",X"0D",X"0D",X"0E", + X"0E",X"0E",X"0B",X"0B",X"0B",X"0C",X"0C",X"0C",X"0C",X"0D",X"0D",X"0F",X"09",X"0F",X"09",X"09", + X"0B",X"0B",X"0C",X"0D",X"0B",X"0E",X"0C",X"0C",X"09",X"09",X"09",X"0D",X"0D",X"0D",X"0D",X"0E", + X"0E",X"0E",X"0B",X"0B",X"0B",X"0C",X"0C",X"0C",X"0C",X"0D",X"0D",X"0F",X"09",X"0F",X"09",X"09", + X"0B",X"0B",X"0C",X"0D",X"0B",X"0E",X"0C",X"0C",X"09",X"09",X"09",X"0D",X"0D",X"0D",X"0D",X"0E", + X"0E",X"0E",X"0B",X"0B",X"0B",X"0C",X"0C",X"0C",X"0C",X"0D",X"0D",X"0F",X"09",X"0F",X"09",X"09", + X"0B",X"0B",X"0C",X"0D",X"0B",X"0E",X"0C",X"0C",X"0E",X"09",X"09",X"0D",X"0D",X"0D",X"0D",X"0E", + X"0E",X"0E",X"0B",X"0B",X"0B",X"0C",X"0C",X"0C",X"0C",X"0D",X"0D",X"0F",X"09",X"0F",X"09",X"09", + X"0B",X"0B",X"0C",X"0D",X"0B",X"0E",X"0C",X"0C",X"09",X"09",X"09",X"0D",X"0D",X"0D",X"0D",X"0E", + X"0E",X"0E",X"0B",X"0B",X"0B",X"0C",X"0C",X"0C",X"0C",X"0D",X"0D",X"0F",X"09",X"0F",X"0D",X"0D", + X"0B",X"0B",X"0C",X"0D",X"0B",X"0E",X"0C",X"0C",X"09",X"09",X"09",X"0D",X"0D",X"0D",X"0D",X"0E", + X"0E",X"0E",X"0B",X"0B",X"0B",X"0C",X"0C",X"0C",X"0C",X"0D",X"0D",X"0F",X"09",X"0F",X"0D",X"0D", + X"0B",X"0B",X"0C",X"0D",X"0B",X"0E",X"0C",X"0C",X"09",X"09",X"09",X"0D",X"0D",X"0D",X"0D",X"0E", + X"0E",X"0E",X"0B",X"0B",X"0B",X"0C",X"0C",X"0C",X"0C",X"0D",X"0D",X"0F",X"09",X"0F",X"0D",X"0D", + X"0B",X"0B",X"0C",X"0D",X"0B",X"0E",X"0C",X"0C",X"09",X"09",X"09",X"0D",X"0D",X"0D",X"0D",X"0E", + X"0E",X"0E",X"0B",X"0B",X"0B",X"0C",X"0C",X"0C",X"0C",X"0D",X"0D",X"0F",X"09",X"0F",X"0D",X"0D", + X"0B",X"0B",X"0C",X"0D",X"0B",X"0E",X"0C",X"0C",X"09",X"09",X"09",X"0D",X"0D",X"0D",X"0D",X"0E", + X"0E",X"0E",X"0B",X"0B",X"0B",X"0C",X"0C",X"0C",X"0C",X"0D",X"0D",X"0F",X"09",X"0F",X"0D",X"0D", + X"0F",X"0F",X"0C",X"0D",X"0B",X"0E",X"0C",X"0C",X"09",X"09",X"09",X"0D",X"0D",X"0D",X"0D",X"0E", + X"0E",X"0E",X"0B",X"0B",X"0B",X"0C",X"0C",X"0C",X"0C",X"0D",X"0D",X"0F",X"09",X"0F",X"0D",X"0D", + X"0F",X"0F",X"0C",X"0C",X"0B",X"0E",X"0F",X"0C",X"09",X"09",X"09",X"0D",X"0D",X"0D",X"0D",X"0E", + X"0E",X"0E",X"0B",X"0B",X"0B",X"0C",X"0C",X"0C",X"0C",X"0D",X"0D",X"0F",X"09",X"0F",X"0D",X"0D", + X"0F",X"0F",X"0B",X"0C",X"0C",X"0E",X"0F",X"0C",X"09",X"09",X"09",X"0D",X"0D",X"0D",X"0D",X"0E", + X"0E",X"0E",X"0B",X"0B",X"0B",X"0C",X"0C",X"0C",X"0C",X"0D",X"0D",X"0F",X"09",X"0F",X"0D",X"0D", + X"0F",X"0F",X"0C",X"0C",X"0C",X"0C",X"0C",X"0C",X"09",X"09",X"09",X"0D",X"0D",X"0D",X"0D",X"0E", + X"0E",X"0E",X"0B",X"0B",X"0B",X"0C",X"0C",X"0C",X"0C",X"0D",X"0D",X"0F",X"09",X"0F",X"0D",X"0C"); +begin +process(clk) +begin + if rising_edge(clk) then + data <= rom_data(to_integer(unsigned(addr))); + end if; +end process; +end architecture; diff --git a/Arcade_MiST/Scramble Hardware/TheEnd_MiST/TheEnd.qsf b/Arcade_MiST/Scramble Hardware/TheEnd_MiST/TheEnd.qsf index 116faea6..885ec400 100644 --- a/Arcade_MiST/Scramble Hardware/TheEnd_MiST/TheEnd.qsf +++ b/Arcade_MiST/Scramble Hardware/TheEnd_MiST/TheEnd.qsf @@ -18,7 +18,7 @@ # # Quartus II 64-Bit # Version 13.1.4 Build 182 03/12/2014 SJ Web Edition -# Date created = 18:02:27 March 08, 2019 +# Date created = 20:49:14 June 04, 2019 # # -------------------------------------------------------------------------- # # @@ -44,6 +44,31 @@ set_global_assignment -name LAST_QUARTUS_VERSION 13.1 set_global_assignment -name PROJECT_CREATION_TIME_DATE "01:53:30 APRIL 20, 2017" set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files set_global_assignment -name PRE_FLOW_SCRIPT_FILE "quartus_sh:rtl/build_id.tcl" +set_global_assignment -name SMART_RECOMPILE ON +set_global_assignment -name QIP_FILE ../../../common/mist/mist.qip +set_global_assignment -name SYSTEMVERILOG_FILE rtl/TheEnd.sv +set_global_assignment -name VHDL_FILE rtl/scramble_top.vhd +set_global_assignment -name VHDL_FILE rtl/scramble.vhd +set_global_assignment -name VHDL_FILE rtl/scramble_video.vhd +set_global_assignment -name VHDL_FILE rtl/scramble_audio.vhd +set_global_assignment -name VHDL_FILE rtl/YM2149_linmix_sep.vhd +set_global_assignment -name VHDL_FILE rtl/MULT18X18.vhd +set_global_assignment -name VHDL_FILE rtl/i82c55.vhd +set_global_assignment -name VHDL_FILE rtl/cpu/T80sed.vhd +set_global_assignment -name VHDL_FILE rtl/cpu/T80_Reg.vhd +set_global_assignment -name VHDL_FILE rtl/cpu/T80_Pack.vhd +set_global_assignment -name VHDL_FILE rtl/cpu/T80_MCode.vhd +set_global_assignment -name VHDL_FILE rtl/cpu/T80_ALU.vhd +set_global_assignment -name VHDL_FILE rtl/cpu/T80.vhd +set_global_assignment -name VHDL_FILE rtl/ROM/ROM_SND_1.vhd +set_global_assignment -name VHDL_FILE rtl/ROM/ROM_SND_0.vhd +set_global_assignment -name VHDL_FILE rtl/ROM/ROM_PGM.vhd +set_global_assignment -name VHDL_FILE rtl/ROM/ROM_OBJ_1.vhd +set_global_assignment -name VHDL_FILE rtl/ROM/ROM_OBJ_0.vhd +set_global_assignment -name VHDL_FILE rtl/ROM/ROM_LUT.vhd +set_global_assignment -name QIP_FILE rtl/pll.qip +set_global_assignment -name VHDL_FILE rtl/dpram.vhd +set_global_assignment -name VHDL_FILE rtl/dac.vhd # Pin & Location Assignments # ========================== @@ -83,6 +108,7 @@ set_location_assignment PLL_1 -to "pll:pll|altpll:altpll_component" # ========================== set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 +set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS ON # Analysis & Synthesis Assignments # ================================ @@ -127,42 +153,6 @@ set_global_assignment -name OUTPUT_IO_TIMING_FAR_END_VMEAS "HALF SIGNAL SWING" - # -------------------- # start ENTITY(TheEnd) - # start LOGICLOCK_REGION(Region_0) - # -------------------------------- - - # LogicLock Region Assignments - # ============================ - - # end LOGICLOCK_REGION(Region_0) - # ------------------------------ - - # start LOGICLOCK_REGION(Region_1) - # -------------------------------- - - # LogicLock Region Assignments - # ============================ - - # end LOGICLOCK_REGION(Region_1) - # ------------------------------ - - # start LOGICLOCK_REGION(Region_2) - # -------------------------------- - - # LogicLock Region Assignments - # ============================ - - # end LOGICLOCK_REGION(Region_2) - # ------------------------------ - - # start LOGICLOCK_REGION(Region_3) - # -------------------------------- - - # LogicLock Region Assignments - # ============================ - - # end LOGICLOCK_REGION(Region_3) - # ------------------------------ - # start DESIGN_PARTITION(Top) # --------------------------- @@ -177,31 +167,4 @@ set_global_assignment -name OUTPUT_IO_TIMING_FAR_END_VMEAS "HALF SIGNAL SWING" - # end ENTITY(TheEnd) # ------------------ -set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS ON -set_global_assignment -name SMART_RECOMPILE ON -set_global_assignment -name QIP_FILE ../../../common/mist/mist.qip -set_global_assignment -name SYSTEMVERILOG_FILE rtl/TheEnd.sv -set_global_assignment -name VHDL_FILE rtl/scramble_top.vhd -set_global_assignment -name VHDL_FILE rtl/scramble.vhd -set_global_assignment -name VHDL_FILE rtl/scramble_video.vhd -set_global_assignment -name VHDL_FILE rtl/scramble_audio.vhd -set_global_assignment -name VHDL_FILE rtl/YM2149_linmix_sep.vhd -set_global_assignment -name VHDL_FILE rtl/MULT18X18.vhd -set_global_assignment -name VHDL_FILE rtl/i82c55.vhd -set_global_assignment -name VHDL_FILE rtl/cpu/T80sed.vhd -set_global_assignment -name VHDL_FILE rtl/cpu/T80_Reg.vhd -set_global_assignment -name VHDL_FILE rtl/cpu/T80_Pack.vhd -set_global_assignment -name VHDL_FILE rtl/cpu/T80_MCode.vhd -set_global_assignment -name VHDL_FILE rtl/cpu/T80_ALU.vhd -set_global_assignment -name VHDL_FILE rtl/cpu/T80.vhd -set_global_assignment -name VHDL_FILE rtl/ROM/ROM_SND_1.vhd -set_global_assignment -name VHDL_FILE rtl/ROM/ROM_SND_0.vhd -set_global_assignment -name VHDL_FILE rtl/ROM/ROM_PGM.vhd -set_global_assignment -name VHDL_FILE rtl/ROM/ROM_OBJ_1.vhd -set_global_assignment -name VHDL_FILE rtl/ROM/ROM_OBJ_0.vhd -set_global_assignment -name VHDL_FILE rtl/ROM/ROM_LUT.vhd -set_global_assignment -name QIP_FILE rtl/pll.qip -set_global_assignment -name VHDL_FILE rtl/dpram.vhd -set_global_assignment -name VHDL_FILE rtl/dac.vhd -set_global_assignment -name CDF_FILE output_files/Chain3.cdf set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file diff --git a/Arcade_MiST/Scramble Hardware/TheEnd_MiST/rtl/ROM/6331-1j.86 b/Arcade_MiST/Scramble Hardware/TheEnd_MiST/rtl/ROM/6331-1j.86 deleted file mode 100644 index 74f9c119734348684ca6e4c632d7097c96c29ba8..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 32 ocmZQzVED!$cEFzD2D?4Ofg|h;2Nv)!Ffgz)d}IH>P{w`?0FX!tSO5S3 diff --git a/Arcade_MiST/Scramble Hardware/TheEnd_MiST/rtl/ROM/CPU1.bin b/Arcade_MiST/Scramble Hardware/TheEnd_MiST/rtl/ROM/CPU1.bin deleted file mode 100644 index 71d84b9d8bab20a0c44c0a168dc8e5280011d828..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 12288 zcmeHtdvp`mnfN?3BU!d3jbDt7v2`tDPe2Bt$`eJk<&kW#Yy{Q;C2^WlV1J=N5{gMe zR%BxolTt_uO(CIde%o{lc~m(Yx=tFJkcJ;|YSycXJc-$L4B0XTQgAnM2^hyQd05)- zj%4Go*>m>a-#Hmuckbi6-@WtQ?>*D)BscWlO{5}qwn$yEoQl{iU&*PIcF*NMmw(*h zCHAb3%Dc|5E+UFo*=`&82u4$>i&c{6pwy9w3a0z*57@UQdmNOv$9YgXk7wU_^}eS^ zgv5~&Nf<=p(#zhbob-0@V@~?fMMMDgNUY>do$?TyyJ0s@X0wys;M8wGJv|i%r8=i> z10n(y*^<}lG)P{?IZN_T+s)0xw3Cpwn$5$c(=2(&?Zb4E7MMQTsh5=AYD5t80pYeI z1fjfFP2j6n7GTqdq>kt=2cs+^`O#r>8%u~O+bS_N{RD@khY=#P;7QRZ#Kn=1dZ|BA zm#L-nDSC|d=q6atYJc;v`JG!ywB4Ur(lboI^AMFJg_TK4_*)O*i^Y3;DehbfoeOwO zQcS22Ddndml9npFNl&g6gPK6YU}8<&!%F6X-u)!Bl>H?CN0BTR=N5}r*=vau!=H@) zZYkI1V=0BB$PZIl4<{RhtmHz$m@Kz`EF(`Ve*t|F;LoBB0WRcYY2{;*oI?Ou7l6GC zVCUleN9eH@0DG~OKU*@MU(z<3XcH_CL7^ApwtUNoEXw=@ZtKK%_)kO&|MA85OQ1P7 zal2JWthUadgIf-0IiMwq@tQf7vnS8j)I2<-TP=&08kllLxsMW8@t+P^@`g8C$4e5w z$^&E_OT$R&9EDIF1 zMT#w7$T8uTdZD6z7hDU69eq9Mk1dLuMygiMZpHOEt-VnGv4txoOgdI4T5Ogti*v2x zvNDVIu0db5z_8cyJ}mj(dne(n-sjGy-s}5{zZw$)ZOS2f*LGkk^fH|lW9gD7s`K(~ zmTT|B(VIa)NM(#ZIUD_x1VhJ9TbbGOEfX@#K`-K-2!MX!rFzF`-1U+K2>Aau4pX^j zVK&XZAdSkv$5w)n2x1LEbP)tW5>}D`v06hCT_izJ1fe4cmKaCR0`p~|7o>Wi?u(L0 z*tGvqK#rc5RJ46*OyE!qYN(}N(8HEgiV z<*U-j!^7pxyZWphRZ_((QsTRb@BZB|Z8pC;e60-xed~`qfDsx+OR?q4d9O(Kc}eHX zlKdClr#b^u$W$;3nPtrPnRUz-ri*!u`5E&|=5^*@nN!SPm}^WHTf)v~>)2)N?d;ub zlzp0gi9ODqWG}D>TAO{#7E4mwZHreljJAeuT^W#8hJ7o-lK<9lxNUjE{?<^?cgNxt zQrq&y*Bjy6+ZwuB11-$}-PpEbal@~cN{uTPH?@SNZ@3yxwze!^xfqa2jcrhCC~HYW zHLPxJ4EUBeE|$VA%P{&SEh}I%w=QXGSk|h6G*oHpH$2{YrxXq>mKHB>lJ0D1TY6`D zUCGyUTYA3FA6yJ0Pqw-o(>riG8h+j?PPZ319Su*nE^V(cP`YL5~H+`K#8g!)E6rtuh#fKBBs9 zD~x30k>>8cqH4>R+pA^I9A9%AdL#g>9TOO_ADG+A?#rN7(YuY4$lYpUSQ@rbr2{R7 zrz^kJLk*YnEq~>X6O*8ZR1n6F&X|>*d=T35wwP5n7w%O=x;_3c?_X3ePF}=@6j>#X z2$5Z2Z^06J3MFTeSJMGqrg z{>boBmYO?hMwZF*>iP30-v)ssH;l@Nm!dI&uJv{~sqM%jMeTO`Yj*p>U)z5@d_t%k z?hzO->*OWns6kmEAWCvYG3ms+u-S9#I8vWNw6v;-Eh&A#wzEFsc^T{h2z+!{Z=F3d z?^P)Z=8m&fyebVj=KW5JfNIGC1u|0G(~T7ZAoS>^n3Wdql0AeJi`NTGyHR5XR> zUmw7L_=oX>?w-0BfM;2~ehL|SJNKfe%YXUbvpC~Y<3{5@8h>ki&uGcMCEJtz-RxVl zPiCW>x}5qPr2<&Vj!pD(5GDT{QgMRLipG>7kDC}3EEJ?JYF2AeW$x1S& zQyI{Sqln`4U~Lb&7ZV7EHMa~P?ILLxv+ZITK1PmXQ)T*;jDF;=?GejrR=JAU)Wb;= zkl2N;87p@9(Hf~@r~m5!^LF?z-MQ0G8eoS2a%tsGzvoeZGOq{k#BLXJ0DESJnKQAe zQuwO@jMIHjWcq3aT}@4`EEZ^kkK#QX;C{-cU{UUGB(hw4Qect3yw$L;G8ljbWY>s( z_wEY)Zr2F?-0lkcIoAmJ=I#peO@tAk-5NtY3E(?ZWhE@EWciAtfMa2*3-9iXEX&{t znon!+;F)GgC{0X^9Mf|#%eFDB?c9F(M-q5F|;=U%_E*}@U<^i)co zMyC8G|N0YS=>ZaGvOuIu8uKmr4W%Y8P=M6na_Yk1GzR}~#S{eGGeA)JpZ{lo;|Gp= z9`j#TbH;LtaxbkmdpCf(K8hX#o{HE-0T>rlhu`zK|G2=pX%|n{Mi=&VrRgeTux{sW z;MBF?+sEx5%HL-=a5`xju-l`_qo-l!3~=-lU=@uJo=)Hd6@h433D)p|^8MToumdZr z31UfHO&D;IwWLRlW+%G_)F_=?b2`~Gpe|?CB?ef75whMm-KIu$`*`M!0ri0HbhG!I zdVp3F#Y?RD>hhvoAs5WSaQV?5V2e(_4E2VoI+&zb95E7X+$cG>`w=p8Iv<$>JS3wB zO&2{z>GDV}GOagt14nTLnLHP9dw0?KuUC6;wcmkwGh1K!?uc zWp>V~X`Nul>H_NnU|~%QkiaiRAf%pI64*iK))p{>acst%Pq)b0F6%j-70A+*?BS%u zBY)7F6OlN!sMP5p%1KFxAS-eu{1{%^$w=_1tb~>FOaTE|Ip-s-@Ng<@8Cm=$2dhg| zC8{u~1uD@8_vLVZ7u^5=dI1?A(PH~33b@_jv|4lIc8JO0* zjcJ&>g>KAxgrBucaTKT!w6YkK9WqL;8ZaNcE^`VRcTHL4EU?OUSK1iMUssVD ziUatt+yxmMU63l%r8rujDkD-dM=~jX7|-#TAyhJ8-od;HcTlkD*?1l{A{>nk$J9(P zeqb;P>@Mz67EGAdGQuv__*pARM_TuSi!-HrHK&8|Qou}Tj;|J@!RlC6wpJ^*6)G$9 zm7f+W&lP6$?U5qTx5^N&Y%Eqla7&wDW$iG7@9)-jc?xxbqjCzpE@=^-*8l>9{mqyaNTum;m?>t> z7d6c;YedY#UAp<=S{Y-l!FT-R+N>N6qA)E1o=Lz{n1Lo`t;wc`lxOplKj&%Us>8xE zV@W#owI#o44Gr&%HKuk%$}{j{H6V9S>D9y%fvhXGTx&*ozUbb_3`OV31GV2=1UZ1- zIgkcWR}sZ(l#G-ypoK33&3r04=VPtlOfOgOBOzG_lcEX(Jb#}G|j)NpkDr>-v5>Qz@)k*sXmyRjHH-9(D|~b)Mp+5 zQ#owm46HzvJqGF}ZHPUuOP42Y!O90Jqm}zAU#R?3<=M*0xwp^VJ(s8ws>G^L)%U9& zsd}R7)2eA>n_);%uh50Eay;L&*ME5;Kd<1Pf;S3=3h*lW9`p-;v@Xe7$?gc6EP(7o zR@Avja(+j0)`FuP3{*VnuQ=dGs{$4K{pj%0il_ZDNT+f>AMM4ioD=02ag6=RNI*xTqL54qFBH47M$&w~zf$V|xE~@cWq_uMXT7?3w{xJiqWS$med6 zSYn8T=hz5Rb9UWRy^k6ISzN zG^HL-S@o0Aq4g> zAP3%|yTN0TniJ~7T!yJU2o4mvL|`XHO3y2kB7JRAB(Cv)!do0jD@;t0LI`RXHUn7> z4jbaYot=KgDaf7z+{sD&N6H#4N?c_g*bx4WKrGE_w)9?Uv7ED5HJuA&cW` zO-;1#+>q75a8@5*Fyzpx4j-STRZnt~ug^Mst^7x;Va8B=IHw${I6eAC+qPuqLk>D| zD$k_rj@0B@zvQ1)VHHkCusY?QS)hhK*PKCNzM7zx3%u$gp|V6UtF_^l zg7i^pgic;_kg_TggesEoqu@sqvWhnNHS%4=nF_{1ck`5&Qg_lYqxZn9sTFDE%=H!H z7-jhoZyR@_~8OS^ki601O0UQqO z;R=k>DDbrM6QKw=p(v>rSV-ivyrGQZ*)p1^K#fO>A$yvzL15nLN1U%#jq<9<6ZL|w zUdWNv=q#K~^d*jK)et|1ba28#kw{>lk^mP(SHbu>no21Rz!_js2M~j`oY7W9txDmP z0tuAJ^CcI=)6AvC5osl|+8?u;=IQ;mXq}Z#yEM>Rf~`_H5w*Q$cIdFL5Bb&k_@9K3 z4C4QEUI4^B9iQQpfR ztNf+%-<6*z_oE>C9$Jg`pWjkbh$#xoEB2bsn>_xLx(V|mD z4t{y1s*94C`>l>!5noFF2fi}W!47y3Wx82xMd1^Rh9)mCa{5aj4>3{>Yb zRm@zbl9}pyo_T@!HT<5(gEjO5dLiwg7tx#P4tf*)LmHL?1M1cPxI-0H(%1_a4x-@wej6)O4p#J;1i{ z67KxkOz3$_1Mm$p%H8MQ-)A@mFv~ z6|+;&!-L8$CQz(QeK23$QUDy|P(>4oT~}B0u3cU5+628lr`YS*2{LB`v4Xn}j&BJ* zxgv04i#G$uWy3(S%fYE)A%QN;PM@d7g<>IzzMS3rn>nMGiFNwXJZ7DKY=roseyoUD zuOIt_*q|TFXEx}^KPEQm$7eBj>&Gt>_t4{c%suqP2+=`K6fyUb6Q2+k{X{-vp+~Qh z8_7|#?g#qO3344hYSgVG$Hqv&XVR^w$37!BkYm|8z;~70M30+wca!52?c){&zW4g33&`XN2W((B2wBnA7U=?(Pw z7Zl*r)3Co31^c6D!1pE9p`T#sd+CWJ1^8&%qE8XpALK3J50~(RR0iB}2|s*?-&Bcf z_z#D{=@Qo<=El*l7D#JD*M~C`K)Qu$byL2&t^n9ZJDVtok#>ZMLdY;&YDx=m?_0Cs z96YmqY6Q#^h%~G0!TLdYYqqj@_5dD(Fu+SX%Dpr{+oO}P$_0g6FBrWfZ}id!>v)}) zJXohjEEpI7hBqhIxTzs)UpwI3njC<7S06u(S-y@M?^3VUQt&UsWU!~Y>t^fH>S0>Q zuNRu?h5Ny1B-`EhCSP<@ybCm`@=w`6TKqnTsz z%KplM%E8LGz281yAGF6^{jLGmpetV2UpG)USQp>Yzhz*{;Ffr2f9F8wU}t;(SKs##Ndf|e}Df#|6qT7uzzr1aBwhwrvJ>qnZYwNjwQ$O z3CceT$WxjTUQhy{49pn~0eUCxyMU`w1b&UiK$?lc$I2YN5f$*sFzqGNOrz{7pRxGY zc|rq3AzwYb1nz>8Mlbu6f(l?2%YeFRRuys62)etXII3-U2BiyoAGbmJ#8AWnAL-k6 zL3s1yjxxi;`{+pK7AOHW!r`v9gT)=13zp4F9Wv>_Co7mOolT$jgJMN zY$$!Q)Xj2JX`nP%8Y&H!wwE?l1}cM}q#4 z)dlK;b)mX&U3*6lw}LwKp{d1HoW06buL3gH7Q;I2aCv!{PRD)7rq=;M&mI z@Y?pZO&bFngBwE|!yDT-Hf;%P32q5(32$lN($pE~40eV(!=3G&O*;ZRf;&Px!aLe` zH0=rO3GNB)3GZp&)3iUZKe#`%KfJ$vf73I8XM)d!o(VtG{!G*1z~SKG(Bbgm_QOp_ z0!M;JLPx?!+K)6H3mgj`3mpp|Yd_X>B5)#jB6K2rqWwfuf1p3uALfSVJPEzbSTUg`0+VS;dK6kQu>mijLxUVW6v;&F)$7 zJ|Jm#h+AV;CjcR`w!IE+&ZFr;z9h0!DY^cS)az4+3+xvkd8$W@DiKpx?Wq7VT-*Ze# z8X%7c5 zfQLcxF*eKRH_M49Vlukb$FamxEkMeK5O3SiK5Ug_kxVm4CW`BR4YFMjWr5`B+}TOJ zyD*vUF7IsmPqJ7VHR5fmX`@HNV9>q8; zfD^w^(CVKBpe2Lr@}ZaF2GLyYfU((O=uweI(h^_)AZfi&HA79dQceazaU0k zZ}Y{X^S~ihas%bKOVu2Ah3a?LssVR{+Uagq2i%91a6hMxx?fhu+`X?`H$v0oni%Oc zoEvm^Na{LWgK}MXSBo@FHBFP8&@|UH%{5I+P191-wAM7O6B_xXK6*y!Zbl{CY{g57 zu;OX7Bt+<$f*zl&(CU1~*MxjQ_tL^oM1m#!R3v1C4@*4C3O_BlB~D!5r~4UPp3wcQ zIPotIhu`ZVw#i|e0<38xx?n51U^7nk-7xgq;Th zot6YG$&mjzwPT7l_3IRUB+xoX!pP}B=VA4z^Y6LZqvM|q(qsL>gI->Y33)v!6DgTZ z-3W?wau=#X|luPpZqD&u}pW!*2S9O#jEcPZQ5 ztqSfQRdydyP3~UR?0!YHxL;MR?mju1@^b?!$j zp8ZIA1@;5^QGtC&b`{xCY@&-0Yf{k3uEfTxP|9kQvIeEBMJel0%6gPCfKnboDQi*6 zI+U^=r3|2yL6ou?rEEbdTT#k3lrj{`wFcWFpEB4+`M$x{$z3K}DS3u1lZP|xLHV^J z^X0h=>y@)|Y>s?9$9m-P9P5@HdB)}2X*Nv`XW4!7@jPpi@8p>+hYQS-yvUMrpg4La z#wm|3ViYQOT5bLR&z#8kXjNzJ@xMt&P?Aij?7Bh8ltpCWEpvz&Hni~6unP8W1 zH@P78lgS0K>oyZgpkUr;cjQPaG*!FBuA2XCFR?4cOFu7;Jr_|ktf!j~kj6nu3${Uq zeW2w`J#VEl>8z1V*o9)L+|=CC8avns-(+^lzY?uOGeg4lWN0>#kVu6SyZrL@O$z2) z?WriGYwbExdcQrvuEg8MfvJOYl*xrCk!whVTA?JkrgxMD_|PmWUW?!-HQAJsTT*6G zelr#FlIV4^L1IMqrh4$eZxKp!TX{3ocA$^QlCjurTMpAoII}Ez4nOZ22*>`3fGvVu z4sM1)$(tg$xHaq)(d)J$W;vxzEo=JW<4*d>Z=7UoR*8M0*e1nhf2KIP$3$RxQ;}zz zpbRz2&~KxRxqGNDJ z^l4E6m!W&rXwZq?#%d5yJ$mJ&29Ue+jcdN zZ)_J-9~bk^@k_cZ3^~W^)a?uJQiQm&&@?5mWGS=N6 ztDkiI1i@(x9&HhCN_+jkTlfP14iVU1r{LeRI=X;!xA3M5-m0VENlNOGhf!|{_ z0^fZH@HGv&6Y%631AoMdfrkYFzsv&g&;j@^0N+^$zAFNLI)H~MfF~mWk8~$=0KTIJ zd}keae228^xoCxu#BY_JJQl67j1}kbG5SfzPY|3|J#f4k)E+ZMaMSAncC$o~LyKgM zAa6Rj$oEoai8>}QF;23EKZ_bC4-!w|J!r>SXgeDd2M#)%*%dwmC0q`i5k3<_8q)&W zzffTIC+e1xH(T&4jolIQ*|4;OQal$NqCT2(v}|eP8_FeZvdtqe?1w0pH%A19H&+CC z&qLbt1Rtoyj2nC&<;jp|H=1oa-c|FshkQMBfj}kV!Ai!9Ky0TE_yUvQT*b9qe0JXP+rXf{1-S*auUvkBs7UCv;$6 zFW}Epb$t-xwh#KfMFQkljDiA8d+U~73J6;V*v^Q~$)R)75|if?Syw{72;ttuW`SU@ z@x{1#1+<7pYdoJ%(McL!f5X|uuaAC8sL!aI#)qErmWUwfEk#bt8b$)_(QPG^d(So zC!+W(XxlPC_!M6bV$I+yaDENUS~1D2m{hgm2Qw+g7HI@VyP)sPYs_-3iq_$ur) z8@c06=V(*Mht>#S%kZje@mGscrxoV`Pjqa}wSq}q4~G|M$y<-e1Hv0@0BR5!R|jpS zSBLS%P~BszWOcu-Qq@DYGOEH>X7veMrK{h!l~w(rtuobTY?ZB6Y?Z6NV5@xfC0p55 zX{$o@yse7WH*Hm_zGtg)^{TC!s{gT7b2VMygAoq=QjU&cP|QEQ-j;TO4~Ra4L|&lv zzDS`@D!7)tC-W!o&HRCTO2(vPpw#ghSCja9bbVh5W%Qnm9`Sy?W1}eR+W5vzM9a;@ zoGk*KvsHN8fc{Ve^g~3J86eACOrw5GqxJZ5KESu)pv(R?0hftD)rmoVopC7d2E&`00hDt7K}4iauBV1|Lk4#D3kcI>=kF76O2>saz<#ZjkJ2`}od zAx{+9NAkNxd>iBQ1`lXl4GX?Q%#T0a_;wVQ$J>GrLx{&!WH&yv6SHr)KKph?Ad+J= WR`3?Jq8FxqPP3kF{3jm&&i@ZT+Gh3u diff --git a/Arcade_MiST/Scramble Hardware/TheEnd_MiST/rtl/ROM/GFX1.bin b/Arcade_MiST/Scramble Hardware/TheEnd_MiST/rtl/ROM/GFX1.bin deleted file mode 100644 index e34304aa2f3560999b64de2be4cc03a6621ca0e3..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 4096 zcmeHKU5Fc16h1SVB-8C|GP~=;2r)Zdmo^Wzq(77qmU6qUYaa^caX~55Qlv;JL3|Ji z@ivrY&{AS3Mf(s!*+O3|i-N==W!%a(52Y0G!6yk-ice*_6dh=oc<#M3vy*L$iVwbc zVCS5BzH{%)`R=**WUbm-W4UI5EC<273~*xO#^v_iML7TS#+R*S1=Jwuow5ul+`Mw- z%7b4)YqwfI)aStMueL9^E@)>iU;c9AG<-0))M{!QujmA2z zZ`IJiaYML)Hc@aK$v>UfZnyZlL7?k8TNf8^Gyn#HEXx@M8tZ4~4D{m&GXn^Ms#QfJ z2nt-+FuD=cpz1r0gZ>W(7d~d=_kM4mXJhTy53SbkCxOx+pfp@J7=Y&&as}T5AJ-`a zKCC~iS+$4j;CjWP=K`$V-?-7funhQBOrrFjtE*O_U>$hB{v>$(*zbOOGZ+pZc~vgn zqv0^P`EA#K{5W`0fByiBtZv1(EKH@)LFfn)x(UFhl?)JG+M!v%B0#ZS%C&eXDW-{O z_9Z#ZV~zejl?o74Rn0IH^R=h6RCXJt-7CBOrWywPD|KtPKEW5D#6&`aVNmT4JXL++ z1?z}zK5yyaSuVptA1TBACj=u2vU2Qt{bBw3F-VVPbJ?*ppPwq$6wR;(?M$l3GX==B z2bQ5JK<|GwBY$$&;d*;cg_->`a#G7FnU9%HA$JMQ*JklJpZnRoC>}u`j~kMkU)&@BbD!%!<0HFfzHlS(Uv=*LPj}>22K1 zEL-&LpRVnS%2(irXJ$w7*_p#E5C4<`R1Klu@U9twfJ5XcD`h5{Mw;4cTY%-sCht5-jtpYu_=OFm4#d-2DM z?@opcKc!@lvs&ra^e{xi`UP028?O$6U}z#hDSHZ;zSYyRaa81G_x|8jqrQ?Nl%kXU zJyWovMnu9JU|i3<^7V)p2TI*oqL*&G75n)+Wq2i{kMm0~2840r5?wOt$`6|1Y~fvLy+D;e?6ZHadz z{ATLLlXbmZHf^%$h!J5#{XcTkh>%=yPi{{UFHuZX4%1Fef27n5%j^4t>F^Hm`<`Xg z6maf-BAeE3HPUKsJ0CL*s-});_A=4bW1Wm=>u?fn@`}3fc(S65*GKM8Y z@Dn*k_~A~$PXgcMhdTv734D_u?iBna@t7a(6#PVZlAqAW`C;dK3qR2m++qEJ^bCID z0Dx!mlfa+m=db#IQL_e?R*d?81;z9JfXOknr;a_<|JmuobD&LX`)+F`R}$TI@f&d} zU1D|swt?*rI>a`dri~{>=7(5vd)jUM@s|8nX8Sf{q?59nj$xCI`OTuZ-=1@G6!7he zBq{0+y7NwxP35w9JT%y?n3D9a0hAEEX_i?qegOtH@9d;I3Q=67yE@B(9ygmh`|kr5 zBVa^h%-+`={HIHj%ewjUJOL?Fw%5KeUc$LVj rom0.vhd - -copy /b ic56_1.bin ROM_SND_0.bin -romgen.exe ROM_SND_0.bin ROM_SND_0 11 a r > ROM_SND_0.vhd - -copy /b ic55_2.bin ROM_SND_1.bin -romgen.exe ROM_SND_1.bin ROM_SND_1 11 a r > ROM_SND_1.vhd - - -copy /b ic30_2c.bin ROM_OBJ_0.bin -romgen.exe ROM_OBJ_0.bin ROM_OBJ_0 11 a r > ROM_OBJ_0.vhd - -copy /b ic31_1c.bin ROM_OBJ_1.bin -romgen.exe ROM_OBJ_1.bin ROM_OBJ_1 11 a r > ROM_OBJ_1.vhd - - -pause diff --git a/Arcade_MiST/Scramble Hardware/TheEnd_MiST/rtl/ROM/ROM_OBJ_0.bin b/Arcade_MiST/Scramble Hardware/TheEnd_MiST/rtl/ROM/ROM_OBJ_0.bin deleted file mode 100644 index 9bd5d920c41350b24e0a1590f61311ddf4d5f153..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 2048 zcmc&!L1^1n82&9gvf3)H()8eiafMfi4=XgZ6cs{ILpE|4)W<+eFk_5SN)e-nF^qUM zC5SBqPn5uNFeSK24A}UFeoPm1h?pz*mTw!GZlv;*`6G{u**D$(HYhZSs@{aYEQ79Of@7eF@(NWlbwoBvqO<-{g-o!E8ebx?-j_5o4-eneP zjq_uPNh$$MGQuRV3GjY493W8gh-3kq0Ili~?!}NvGjHm;pOPkcY~KGxA%X-&QDip7 zdaKiFI(q`k?q2l#hLQyQC%6BxHpLSVQ%6B*Of5eO6y@w$V^PzOOC8_m7DqlxhUagZ z7-^y^%TMe>`^hp)oXqC3Cnxy+bg`CE^G4K^(?wn>fZU9XyqW>>>Zg+Q{ei>%shk3( zOC@R8OKFL(nNOp3L!Rem@wlJkY+pYfNgj^}lAB@$Do&*mgfKOgV`mfwm5MXaFO{4c z@%uBM@a+8|;bCz8V{}p#@zP1;@bP99_99K2*?M^k4>QY>-g>z;({EqFUoV{><*8tl!~$7Qc>NB)!$zYU|TEU@~vF ztw*}9Bb?5F#wVmwX}q4EOvwt0RJH<(Ad8k#_(jC_(W{@GhGGg-fZ)r}H#rS*(!W*K zzn8FoXAysX(F;}upVW7}J|aNqlgP*P`^Wl-{X(Ci{pG$sqCtc{tUZ|hkNTV*(dSSY z_`)D3EKUq$^~RC#Xx{^Q3#&d-Ht?WGXT+1M28a8B!oSfFjj@@Ll1lOsjN*7IfNi`Dn+>C z#fYU1X4yk&eGtNsW5ML)tp%0G3aDY&d0^^L{AquG|MU;gTE72gYZ-#> zL2EY%Kzp>e_pJXAz8rq%`+XbkZFStv);)L_`o15AP&;;&hevV9rGCB$pFHzZr0HW!(wC`SnY%js1ID%#r#*p z-EU<4_-*TnjI}S``2O4bAVe4nF`63=p*JYzih~{ua85BC!0}nbY@8iK&?}XC0l?Ak z{THp>Cg591B**)At0=jAu2$ogwZ6X2K~eGuMFEbXW${`KX$&PKKL+w+KXybC%XfYk zTmXr)VwxOfjerom1c)|>$X@!%k(3hE4uGjwRlJ`EMa5%xdSEd1QuqH1Nj7d2A{b9# ztWq&-evue6v2(s1;XFx;M37wRX6|Mw2Y?5qqhqJ$zg8N$+3OC5^QpLF(CwLeLjm{I zx708{Ruik{W}{eIP&IYR%2t|+1svJQdO0WJu`hWqsxgBL!S7U2c?uiSH<(5@@a7Uy zy(1^4RZU0BUze4PuBmDHfQ(Xp&C$-@T{O6{DXc@cK+`LZ*u-%0?yR$trUm!VB76o4 zu(S=d4QpuUYAnqt2YpdBR89qs0LW%hVa9HhZ98%?W!vQ&(QbOelVa4H}53+(xvkaiTB$&dAklCeGsKdH|s7wIGS`;tCMi~J^? zk}LEX7XVzT&jkOjK4&oi#ghR110~K!fRbhoO|7(C-G2J?hw8G0==J`B!i?HcX9|;} zr7yP+_dqLXw_a-HfJEC!SD(jQ8E~Tw@E+KMVVm2!>)E&|G+JU3bhMYZwbAyjEXGAH^4&g zH_8#ej#(WY{1WR MJpeGcTH#ptH>7lood5s; diff --git a/Arcade_MiST/Scramble Hardware/TheEnd_MiST/rtl/ROM/ROM_SND_0.bin b/Arcade_MiST/Scramble Hardware/TheEnd_MiST/rtl/ROM/ROM_SND_0.bin deleted file mode 100644 index c7acec5e738945b6e93f3cce90d724cd6bc3a59c..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 2048 zcmah|ZHQD=7(VBI&7HY-=X>nzpu;^o%X)D8p%+);M%S%`vRd5|($dsEchypVH6m-A zK>8sDM)afpWMn@ga0HR9t;C9IZ+XNR5@m~w&|qP6OLEJlWAC{$jOq4CJKxVk=3SEqMpfVlVw z`w1Rsv19YVh@B)4AQG-pJVbtdH321RI=wo1PvvaZ$X6-~>{*3_eHF&B0mku|)Y(R9uualr zo23QokYG{I$>?xUIPfG{Z!(~IVgAL0x+ahyptIV@)vcR5|MfRL5vF&2i2pkR- zw?M^hK-lDwSY(n(alj-O#95P^7lB14#EebOh~XspMy$z@lj3lad?{{Akxxa&As>rf z4tZa^?U19wbje{cmLTtn?JhYaPPybw;it(P;`cOpO>}3-0ce7G1gFl`n_UTw?*l3K zgOmqA$^b}N1yWXnltGZP6{HM+lvN;QHAoo*DG!2_wIF33NO=ULJPK0QM`FMv>%<|G ztPz(?vPukDWTl`33ToX~Fc*BfAyEt_iEW@-^q zik`e{Z+}5X|xMvMm!bu+VUqlY91FX)kxZmaUF%3^vblVrSZXG8K>57YExuQ49a%}` zT-75huN=*YT3wFZ+$3%NP%&akGZhS!E>$!#-E7+|tiE7JF`0;o%m5MfDP%cCxGTqD z9}2wg7dbtFMBWhV3@amEG9sGgeO@ZmYNF5Rg^QrbC1NF70JtN>HIX&%VC8!tv>q+W z@!pYR%!G2}S14HIWHP)Kg;{?dr~IE~=kY#PhMGA8b>VQQQ8R%-DP%Mq}n7%kpVZcFk0qI z$rL3J^mFY0s5&_QeC{vclq9+;(8c3E<#gYT%)>P7UR^C;;HmFcEme0wxm>}*i`ZK! zrmcDeJ-;wz#xtqMm!Vj|Bw%OE8xWO_yhfbrorLDXNQ`8Inf>BBkvulV)E|8Tq;i^naRO;xY|`wO`+|1KVO_*G6{vAeoq&OO{;$Y}|K;Oi?H Q^vn%@;oRW2*sa0;4aW7ybN~PV diff --git a/Arcade_MiST/Scramble Hardware/TheEnd_MiST/rtl/ROM/ROM_SND_1.bin b/Arcade_MiST/Scramble Hardware/TheEnd_MiST/rtl/ROM/ROM_SND_1.bin deleted file mode 100644 index 7cb06c578bac03c8984e4d4ab2e32ff90989f15d..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 2048 zcmb7FU1%It6rS1H*-Uo#W_OcD#~?a43CZS%kW6+rWKD+9h9;(kBn_cymu~VVKGnB? zSU69<`RdP$_#&bp`y_!XQd_9qp#$}YRzxZyG?2Ow1btC%{LV~%HW-C0%j~&l&(C+x z`OY1caZK4qC2cNvGj8t$S@WUUq$&52(wy(g{iJ4{``%tXxM6KMG5ag_iP<-US z)bUM)PY4FS3%c<^_>5#cA87xjKXm*b!RgdJ#~mQgatF!VbBCzr4wIKkXhG8S(g_DY z!P9smiAM4}z%@-P~aPb)qDj2xn3ez;cmM52`)bU53)asValc+RFAgwW!}So50#GK&EtBljn=33& z5|Eq$lHPKe)bn}oiSE1{Y$rn`cTGSs<>WE;=}j7TXZEpc#J<0h5&Pi7?7N)=`=A5+ zCcwVZ5&I@W_LZr?;lVyOis0_+z`i2dpXTaH5F++@Gwu|D9MdSsBdJ-(s`0qD4k{W5 z=j8C5wAkUCLhD*xP9fZ5B=g9AB&Tus2DAugoCteImW(;U_N84)Ug*%5BqGTY(LnGhA`G6?P90^G$XQ^N}1K#J}6HyQ530y2#NdoiAKwC5U?i-g|V*nuLdE^pg))5-D&fB+REz(vP?rC` zP_6#QLM8p0P$~Zlq0;`>LJ2<*s?GmFsEq$usI31~sGR?&Q0@NTLUs5pZE`v6gWOK> z7?!DUXHf*AO)gQ%WKp*9^Vv|LA1b7lJeB!-r!#-)RA3T328Lb_DHcj{5nEr%qKx9L zNHL>#uTW0c8Y`9&9K{_lgWG6P#q+PpD;RXHe3js` zABf#*^}&^JZ7bmD?_luVn6hv!;TZ1C&%AYw$|^N3anIQ5zPyZ3sgl8JymVGcu0dgy zobc~Y@hsXO@j^Alc}F@YmoR!l33sY(Qajn)IoTW}yvB{Gq8IBVf6a7n{Oev^CzW)p z;FHXjldaXC=y@~vGGqS{yq&Rku_ycoUsqL<>r{yLU0y?BX}m3D6+(1fg?5d~2JXJ< c(cQNZHjy2}YXxsnD|}(<4>apTZSUCUAA?e#bN~PV diff --git a/Arcade_MiST/Scramble Hardware/TheEnd_MiST/rtl/ROM/ic13_1t.bin b/Arcade_MiST/Scramble Hardware/TheEnd_MiST/rtl/ROM/ic13_1t.bin deleted file mode 100644 index c59be147fb92a7bb27ab3ab351bb9bc579d74ab5..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 2048 zcmZt{e{2)y^}Ao6lU2m$q|kF%hQC-Ox26%!0k`x@?mj0N+h^j7YzJ1M5u{3MDoqOA zFNZSRWLg!b{chRTeAJ~;j^}SB|kNw7wj(+%Z#vZ#mumO4Z z`}Ztd18Jo)-$Jz$bSR&Z>%RWnH=a9E9uKhkxN?GCb*!I++_Z}z>R~8@YE<;;IsGk# z8`Y00+;=-rgp5;6^~xm;9Xt!sD8fMn4=S!fGCtmXg6>fGL4qR9Pf^{c)KFbeo}n5$ z>JFDU1<_%*yTmANsxhM_uFT281gE%YA=gR}Y6FKyK0v52-HIH@*)jxuk`86Y0z#pK zkrqpC3nR7S->+8IGB`;O6T;L&rsOj;zi=(b{)7ED8{;lXVo#@ax4xa6MdXUJ%G ze%E-3n>xgn897;In5aEy^ItBhCO>{WfXE~dTkv&m~Qj}^ph!NW5DtkfPZ8**)Vi~PCrCf{|N zXr}ZrxfhY<$Y8|N7sOoQI>R&}P#XcYbD(x*Qo2TtMnUbgDa|!5H8fg_c}o@#!QxUU z{SD%Rt=iJEL-XpC^qDG3*XL&&f!v(m>yz^XzP2WZ3IG)VN>wM@o5Z=9tL^QFFY*Jn zDz<~kjl!#}x?j3|QLHZ=^er{!kJp2A)leSoeK!>t*+xADe`lW5#$*HJk6PWTr zu*jgaznH;kZ_zK_v{ywKLq+{*uXxYS%FlPn&0S*vTS|e6adJ6Y=;TPTx^6TbyvfYL zdO7O(JgVa{uZn*0zIUB($u2y@=?BPzD2R1&7Pg$8o`JKP^~_bKCmu*QvvR~LoaDwv zp{d9@t~QIg#vixVH~7W7vvBlo7!am#i<{X-1&lWp%Z+Mn>Z`5Ixp&@5~bdWEkDUlqP7j0i`Cp9sGaJ{0~UToOJJ?h3WI5x3zTxEJrm zuiy-R8=t}F@eKYL|H2Fhdqb33dqN3g(d_F_Mrbk>Or&Uce=23gjbmot?%<1|1hwL! z$3$wcWsI1SXc)A6tVGB-9-}>p(9URz{vR-A%xF9r0;jac0?hbAbXCh3Fnc1wcu$C? zqP>p$UC{&t)4$6ydd;ee(Nf*t_`bQ1rXnF4itnWR3@f&8bzd6Xxo6cr*u6Uhk~ht5 zfwc%a1IEuyb&c*&0>;~BN8k}d2?UHknkzJB#e=;NQOEOOFl%;Hg9MT~nFB*vjruWT z>ywN}Cd#VGaID*k_a|vG676$>F}@rPz^;9%r13Ws>R8pPmUQ=v#_cM{N>HfjLqXE$ zT}v<#jK`w!Fiky7@P^ru{GTE_K5v=|RAmhu)@^fZ;tAFrb6YC1rc@2)uDSh5R(1-z zx~9Ge&-YxpmtEv|3|e0kgXQ&|tp0R3D`WM7x68MzKmF5iwH0k$C}_OK((+57@lv7J z6)xS7UCy8=$IaoATY25I;bNFTt=2F|o^mANvlAOy#rvbJw$>C3Tjcc!w02e&)W1S= z>-c96T^GrPo)yw*)(Mz{Fj{!{iaF+m_gsKEYn%8l&v~>0pP?cQNa7=~bU!qLxA0nB~Nyk7kmC_@-*&(bMc-Sc`N+?xC<{vKS_g&I0a~t^0<$Le$ z`+48@ec$_hzi(pm_(mO1FpWTyQ6pz<@moLLM>hJe=w7<=oBz{*9l0iw*tN^{<;xe& z=CO*${xC@wEl$gPE?-i)2@<14MNz&|l+B+gpDcYRuV1Rl-n^i8QESF;ZITH`v&H6~ zK~CGF3sfBRNZ98Po{q$YM9-^zlSAYB2`bL_e@=@_zSO;q{*$!W+$u|b>67&0K>sN^ zju)Lk`V?*CsulGFHNm0oqthu~+hgj8PR|X=-XS@fHFFtQh^uNyX^;8VxIaXNR6e$l z4pHK#xoRe(p^SzrYLJqR0V@%ZJc1)3uao4Qidr&EiNJ*7n!cWfh8jJwzf9ys2w?&7 zJdZ)(0eP7SZDDqd1)leSfDv9Z$f{XDUJgXy5aQVU8wLhsf94;tIW6%5UJ!h~RcGXE z&Sbk>GzayjiQXB+(Sa+*J$ZQwKIG(JO^15$Pg@h-q8on%6Q zm16%$955E9X0wW-{b-t|K5B?{7oSh50ZLlQWX@R*dsz+!<$x%U#*`LK2MZtIu0^>D<>b?Iee`rOCeR;XJ~6sBdLE`i2oJMUjs z)MJMAN=!nqd(JVhJF`Apdt=9}dLRXEEufa>8Ef%vv|BHrplX<26$a-S{1&x}fO{JR zgF9axaJJz&{fMz*hyM)6Bg>;=er%A-&yXX)*>Odafw<5*4E;^xYgroPvt2k>+&o)q z<<(@cidqJy?lo$|bBfLxv;L`iGd8a%#pX;MW_G~ITR;^z5&8jOf=!^bQb_P$vYw4x zvb$qfO}mDM=j@uFslag6E{2+=dArCrch{TMd3&c|5Bp&auN*jCf7C8|-s$q5p0}qw z^=&B%9?U#fk3S+7H|qSXG6#&W<&=BPi;eO z1535c)n?(o6Yl5jzSysQk<`y_9jS{2I4soOf_kk`Q6H!36V%dV33(hn3a1W>2(l;# zhukR8w@0He%}Y&GLc+eiAkPonuRf5?p-qgBs3*04WB|F`bf5pfYMmRdcF&L2DRQ%B Y*4qeM#0B1>I>2oZK<<2Xz@b;JW#hn8#8UC>&d1tum$-a>(FitZbib;cVHPsHFDL4@=Y9ggV_+EI7YG7 z?hye^Alf{xi?pqO+R{I3nF(uEi#J7mk4^U2vmpQliGjzyzMnlf$_{7Q_eR-IN7>C$ zb|ueV&9hmRbyfCwk-b=Cg%aCUG)wksw$)?11sG7kaIQGGsrp+8t?(0(Eil{qE z7OB1;Qu^%f`K$t4?W+(n1@6UgD^vivtrG}|XK#dZG> zYDz!(QYY0(fqZmF9^H`-`Q?#VXMblu@1R2!vGW^{^B{l4%fs3q`B!f9Cw>0aKL1+a zJ3;)HQr0ua?DTo_DBY5kB(8|#&jy~Ew^z@dDw(fY*ZuMXlTS{%lP4yBHu?7CJCl?9 z9@_WaeQ2sOWlViy>hn`iPkn#t?^EpOS?~ZU5FU#$R5cPz8ui#()?B97Z)P*O$8vAv zy16h)Vx63!?tOi%32le?xdn_;u6~cDf5_5jq2L}kIB}9rtWa{~;KXy3y!7#j)6|3N zWS6sKnKr71PJT#@MAbm>oc%?GoS+a=s5QvF)K3OD&K-bdxo~L zO6pcLhX5mEIBUpd`Ia(_io@ECFz?J^Yg|mfHHXFm4~tR)4g5+t+uWR9q1QflnmU!rcZ_k>=fnO)6Y>n77oZsKvtav#UenTK24QNK@orh)Y~?%g;Lss@x7q{%uN zv)%Mtr%KARIDi8=Rf=l90(683=RRV}R;%-9CE@l9bK>l5XE7YUTNfJ*cV^@G2qq6& zIObHJsLJno&?mGw9IN_=YP6Y9FPnA~0CnCr^*8?~CdajC1-Pw4FO&eUI_tu_^LzOJH$! nrEn*r#D4#N#Ldv<-fn!Z&`q6(Q{^kd2JQ}@XJ-mPRgC@{fVZ$k diff --git a/Arcade_MiST/Scramble Hardware/TheEnd_MiST/rtl/ROM/ic16_4t.bin b/Arcade_MiST/Scramble Hardware/TheEnd_MiST/rtl/ROM/ic16_4t.bin deleted file mode 100644 index 3eb48ce8ad5449b74fe898635b8171a865a04172..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 2048 zcmY*aZ*1Gf73cBpNSAgbS*}$oax7iAq(rMGtYNbP5o;osDL}E!Mb-vEKQtN8+HU?> zZqY(1P_xd5Cg?D<&AR%_zGOgB6$^%ZT9E*S<1K?=RuSM&sWad(v`DipX_BgfBP8jl zy^|fc6?N|(e~<6S$M@dd1&-|TIaHM|(q7#o17Z z=CHpC#=Z=kH@)Z*XD7YhjHGm3ssB>H!jrL9z-v-*(Rs@&IWyh__wk2SIaHRoPySG) zVb2n`C5hVzaa)!g1&A$POBPL9|Cy=d`ftqy=6)hnO(n#pqIV6-x-y7$d605H8MWk^ z(LOu$rpJ2S5$@~U)}>LCjG8?G_aCWdN?YC9d`EpoZ!+T5ie|!=n1nV<9@EHX>h)r| zUH_>WI0&L=)Eil_H6tOv&>mShJA}NziM!#pMMnawlW;4G&OYy&x&X40i9DuyhQA|& z*{_qERS)ip;_K6rZ+sH9i1>EHmL3;>6m)eFLMWw*qNu9c)%gKJ+D^<3$#Ulf?A&VZ zYQ+qA-wkFi_m`agUUb>d7Q@A*aQ`yf@7X9joz0em)sSRwY-@X)w4Qd6Y%>nYJ#Q&* z@HwKR6Q5f0#3WSW>P%%Z-}NDcSb+>B^}E?@ma`W<;j-WZ`7Q`0@^QsfBj-jmV08!_EclU+$n1Q53!Qt4z<#2c=kRrutrK zL*`b#;6?~J8vEZ+$_1LWJfcM=yZ#f=T-*;I&GnC#w^wJ`V+G(U2Yz_PQg`TIXCZf4 zTMC@r1;}{b8roeLdbYqa?!`+R+3v!yVGR4>EVJCtE^Q2#SV7E{g?x>c9+<4?vJW7!aXEcIlH+cCoJUKsHKJ}d`(B(~7OhU0vs7gQuc*AYIim`_8? z^Lc9S<+X>3<{o@8Pd)2;SA+)85xkXusDQ^c#Ac z-l8{YJ3bK6qFPMr)#BPKbefjv6g@29|I+L92K`#7Q)}o0=q9+XyI;j$;cNKc_&>OU zKfI!==uhYa=oCFoKZ0>e7@xq~@OEtBC-F2c;VFC=!|V80 zU*y%dOWf8Z?o&RGO_Ceb_i$g~^Tdqg6k8HKB4oQGMdmxUY_8vKgH5Duj_wlZ92wJ} z6JUECBz#U8(+dLpktgbSQa*>*JyWpugy$N#>Zu4&3f?$pCUV`}en_fcHF&u@02Li^ z$MkQ6!tL%hAUf=HNr_bk`RjfBwTGc&EN({-i@W~tuH(mN_a!9uw@t~sbqpHBfMo?U zf$|ka}BqC=<= zB`>Ls78=J5jf|sa69Hd@OyK4nG>Mws1o*y1Q+OpxUPdb|bUftsNV@nJlPSMJHcr(%e&Ekk@V2EO}p zNo^_kAZ~>+@L?QN+fwI3>k>3c+#w-hh#AHMDKv4RbtyCiPYOtA9g~<>wKWG=-R7fw zs*jI93~ke?jStJHQ^Hz*(UfoQTwTByFQlLjPRiwFIG$ihEA`)r{h@v#RsVTvN!SM5 zXdYSVJl0bVDTpl>Hr!ED%OhRO>D+Aj+(E+;U4bI9SlFt$@(ztr1d{%{&_UR LvTnWFQ7rfWTI{;( diff --git a/Arcade_MiST/Scramble Hardware/TheEnd_MiST/rtl/ROM/ic17_5t.bin b/Arcade_MiST/Scramble Hardware/TheEnd_MiST/rtl/ROM/ic17_5t.bin deleted file mode 100644 index 6c42a736b4ffcd3903b3a7ac48412c3e0d0f61a3..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 2048 zcmZ8iZ)h837{8?LHBHy$PS>=Val3bk>rF1R9M^Xt#CS>1^)?SZ^u*IIq6W5Mv4W8d zOpmJ3ss#TQL8vmF5OLLr6sNARLSx9$JGc)={X-6%bonB~eNd(#sqbCmR=tGW`~3aA z&-47=H|D3*PtQ{zoi!U&@)^Kq%}=T1lR)z1C-ko25isrp*rB4RjOx^!3i{2IUk-960Kme>9ANU#N(-K{&;}nC295vl4Zne_$;K z$WZU`-1@!L(CiB{#I@OXW`?M%RlT~dtsc=y@?YEAuI zoWOe&EHoT+L!)vd`0vTT*j9+=Q-GATw z)co8_Gy4M1y)~t+AEn~64SeQS;`isObZ~ZKd}cCG3yih{Rl3)e0?aUFS9LU_AKbCH zla;q8>p!9kQ86k-<){)>qe5JaOK~}_#MQXKh>XO@jKZjlz=@p1$(+KeoRAjNQd&+c zX*Defq96&fpa`lUNTMW3vZP3=Bq*XHDYBv{sv_jYyp)&oN?y$i)8e!=El(@c>aY}hDE=f!BlCq>O3CrTLv@9Ztj?**5~p6|Fbtgp+RvcjSzKY zmpVONGGSmZqL522k9{7Epx>|8PMmz`VLY(D)qkjZ<^E(3@rNE+pC^9P%~Le^nx9xr zq@vlWP;w--H``&x;pr;HW_)ZkO9t>Qh~nMlD`?q&kU#u6qz+$%H6NmjUsI{*) zk@iDQ=X5}1ITGvN0w6^8W_`P`D_;OI3lGO2mg!@IJa`~-pg*UB^E}5uJO+b0_y(cF zAi5-K`1tp(VTUT?zpg`@qz)xensGLqy$3@;f=@KUhIOn+YK}XRK>SE^f43LxL(ZZ&fF^b zD!vuwWBV?m(~eT?Z9IKqW6lLkBS_smJ8WJXHkZR-h1U|HWMD$;j3sX##W}6>biU|r^b>4$kTjyZ0$YT z+X4fR>ZsnSOz_Qq^t7*H7&ynjJXVZlu-C_m39TJs&0|^!J!p017=uPZ?{-BtaojZy z9oNyT>ApP_7nH<$wi1bquZYU3A!g~9aU3iiBllyFh(RJk{CVkL6@@wA9J=ALV#J9N z*O1?5$F574bbK6f7+rjQG2*Wy`ugit9XyyNaqJ#^6ln(|#9y-;Z@K?UI`nN5-CsJo8r&2f{I^EhsgO4Z4vy(BmEw_`aBBv>VO+i^*3x8so5Y{x0FUU8xGX}1H4oKEYv=>XvYJ4U00&UOYsmFs~F zBTgqHE+->yCp!m_0SO?Y0YoeS8N~)71VDrWh~Neh%pigtMDT!!2_T{XEC$jR0A!ub z)Ue|P(uxcLj0}uo3`NS^6&n?PibX3jcrY?>6j?D8fJMHYWz;m_1rZ!TM=@p`e#Zcm mlK9OHB&`@5*)ITfeKtS&e-w;{z-S1JhQMeDjE2An2mt_1p-+qe diff --git a/Arcade_MiST/Scramble Hardware/TheEnd_MiST/rtl/ROM/ic30_2c.bin b/Arcade_MiST/Scramble Hardware/TheEnd_MiST/rtl/ROM/ic30_2c.bin deleted file mode 100644 index 9bd5d920c41350b24e0a1590f61311ddf4d5f153..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 2048 zcmc&!L1^1n82&9gvf3)H()8eiafMfi4=XgZ6cs{ILpE|4)W<+eFk_5SN)e-nF^qUM zC5SBqPn5uNFeSK24A}UFeoPm1h?pz*mTw!GZlv;*`6G{u**D$(HYhZSs@{aYEQ79Of@7eF@(NWlbwoBvqO<-{g-o!E8ebx?-j_5o4-eneP zjq_uPNh$$MGQuRV3GjY493W8gh-3kq0Ili~?!}NvGjHm;pOPkcY~KGxA%X-&QDip7 zdaKiFI(q`k?q2l#hLQyQC%6BxHpLSVQ%6B*Of5eO6y@w$V^PzOOC8_m7DqlxhUagZ z7-^y^%TMe>`^hp)oXqC3Cnxy+bg`CE^G4K^(?wn>fZU9XyqW>>>Zg+Q{ei>%shk3( zOC@R8OKFL(nNOp3L!Rem@wlJkY+pYfNgj^}lAB@$Do&*mgfKOgV`mfwm5MXaFO{4c z@%uBM@a+8|;bCz8V{}p#@zP1;@bP99_99K2*?M^k4>QY>-g>z;({EqFUoV{><*8tl!~$7Qc>NB)!$zYU|TEU@~vF ztw*}9Bb?5F#wVmwX}q4EOvwt0RJH<(Ad8k#_(jC_(W{@GhGGg-fZ)r}H#rS*(!W*K zzn8FoXAysX(F;}upVW7}J|aNqlgP*P`^Wl-{X(Ci{pG$sqCtc{tUZ|hkNTV*(dSSY z_`)D3EKUq$^~RC#Xx{^Q3#&d-Ht?WGXT+1M28a8B!oSfFjj@@Ll1lOsjN*7IfNi`Dn+>C z#fYU1X4yk&eGtNsW5ML)tp%0G3aDY&d0^^L{AquG|MU;gTE72gYZ-#> zL2EY%Kzp>e_pJXAz8rq%`+XbkZFStv);)L_`o15AP&;;&hevV9rGCB$pFHzZr0HW!(wC`SnY%js1ID%#r#*p z-EU<4_-*TnjI}S``2O4bAVe4nF`63=p*JYzih~{ua85BC!0}nbY@8iK&?}XC0l?Ak z{THp>Cg591B**)At0=jAu2$ogwZ6X2K~eGuMFEbXW${`KX$&PKKL+w+KXybC%XfYk zTmXr)VwxOfjerom1c)|>$X@!%k(3hE4uGjwRlJ`EMa5%xdSEd1QuqH1Nj7d2A{b9# ztWq&-evue6v2(s1;XFx;M37wRX6|Mw2Y?5qqhqJ$zg8N$+3OC5^QpLF(CwLeLjm{I zx708{Ruik{W}{eIP&IYR%2t|+1svJQdO0WJu`hWqsxgBL!S7U2c?uiSH<(5@@a7Uy zy(1^4RZU0BUze4PuBmDHfQ(Xp&C$-@T{O6{DXc@cK+`LZ*u-%0?yR$trUm!VB76o4 zu(S=d4QpuUYAnqt2YpdBR89qs0LW%hVa9HhZ98%?W!vQ&(QbOelVa4H}53+(xvkaiTB$&dAklCeGsKdH|s7wIGS`;tCMi~J^? zk}LEX7XVzT&jkOjK4&oi#ghR110~K!fRbhoO|7(C-G2J?hw8G0==J`B!i?HcX9|;} zr7yP+_dqLXw_a-HfJEC!SD(jQ8E~Tw@E+KMVVm2!>)E&|G+JU3bhMYZwbAyjEXGAH^4&g zH_8#ej#(WY{1WR MJpeGcTH#ptH>7lood5s; diff --git a/Arcade_MiST/Scramble Hardware/TheEnd_MiST/rtl/ROM/ic55_2.bin b/Arcade_MiST/Scramble Hardware/TheEnd_MiST/rtl/ROM/ic55_2.bin deleted file mode 100644 index 7cb06c578bac03c8984e4d4ab2e32ff90989f15d..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 2048 zcmb7FU1%It6rS1H*-Uo#W_OcD#~?a43CZS%kW6+rWKD+9h9;(kBn_cymu~VVKGnB? zSU69<`RdP$_#&bp`y_!XQd_9qp#$}YRzxZyG?2Ow1btC%{LV~%HW-C0%j~&l&(C+x z`OY1caZK4qC2cNvGj8t$S@WUUq$&52(wy(g{iJ4{``%tXxM6KMG5ag_iP<-US z)bUM)PY4FS3%c<^_>5#cA87xjKXm*b!RgdJ#~mQgatF!VbBCzr4wIKkXhG8S(g_DY z!P9smiAM4}z%@-P~aPb)qDj2xn3ez;cmM52`)bU53)asValc+RFAgwW!}So50#GK&EtBljn=33& z5|Eq$lHPKe)bn}oiSE1{Y$rn`cTGSs<>WE;=}j7TXZEpc#J<0h5&Pi7?7N)=`=A5+ zCcwVZ5&I@W_LZr?;lVyOis0_+z`i2dpXTaH5F++@Gwu|D9MdSsBdJ-(s`0qD4k{W5 z=j8C5wAkUCLhD*xP9fZ5B=g9AB&Tus2DAugoCteImW(;U_N84)Ug*%5BqGTY(LnGhA`G6?P90^G$XQ^N}1K#J}6HyQ530y2#NdoiAKwC5U?i-g|V*nuLdE^pg))5-D&fB+REz(vP?rC` zP_6#QLM8p0P$~Zlq0;`>LJ2<*s?GmFsEq$usI31~sGR?&Q0@NTLUs5pZE`v6gWOK> z7?!DUXHf*AO)gQ%WKp*9^Vv|LA1b7lJeB!-r!#-)RA3T328Lb_DHcj{5nEr%qKx9L zNHL>#uTW0c8Y`9&9K{_lgWG6P#q+PpD;RXHe3js` zABf#*^}&^JZ7bmD?_luVn6hv!;TZ1C&%AYw$|^N3anIQ5zPyZ3sgl8JymVGcu0dgy zobc~Y@hsXO@j^Alc}F@YmoR!l33sY(Qajn)IoTW}yvB{Gq8IBVf6a7n{Oev^CzW)p z;FHXjldaXC=y@~vGGqS{yq&Rku_ycoUsqL<>r{yLU0y?BX}m3D6+(1fg?5d~2JXJ< c(cQNZHjy2}YXxsnD|}(<4>apTZSUCUAA?e#bN~PV diff --git a/Arcade_MiST/Scramble Hardware/TheEnd_MiST/rtl/ROM/ic56_1.bin b/Arcade_MiST/Scramble Hardware/TheEnd_MiST/rtl/ROM/ic56_1.bin deleted file mode 100644 index c7acec5e738945b6e93f3cce90d724cd6bc3a59c..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 2048 zcmah|ZHQD=7(VBI&7HY-=X>nzpu;^o%X)D8p%+);M%S%`vRd5|($dsEchypVH6m-A zK>8sDM)afpWMn@ga0HR9t;C9IZ+XNR5@m~w&|qP6OLEJlWAC{$jOq4CJKxVk=3SEqMpfVlVw z`w1Rsv19YVh@B)4AQG-pJVbtdH321RI=wo1PvvaZ$X6-~>{*3_eHF&B0mku|)Y(R9uualr zo23QokYG{I$>?xUIPfG{Z!(~IVgAL0x+ahyptIV@)vcR5|MfRL5vF&2i2pkR- zw?M^hK-lDwSY(n(alj-O#95P^7lB14#EebOh~XspMy$z@lj3lad?{{Akxxa&As>rf z4tZa^?U19wbje{cmLTtn?JhYaPPybw;it(P;`cOpO>}3-0ce7G1gFl`n_UTw?*l3K zgOmqA$^b}N1yWXnltGZP6{HM+lvN;QHAoo*DG!2_wIF33NO=ULJPK0QM`FMv>%<|G ztPz(?vPukDWTl`33ToX~Fc*BfAyEt_iEW@-^q zik`e{Z+}5X|xMvMm!bu+VUqlY91FX)kxZmaUF%3^vblVrSZXG8K>57YExuQ49a%}` zT-75huN=*YT3wFZ+$3%NP%&akGZhS!E>$!#-E7+|tiE7JF`0;o%m5MfDP%cCxGTqD z9}2wg7dbtFMBWhV3@amEG9sGgeO@ZmYNF5Rg^QrbC1NF70JtN>HIX&%VC8!tv>q+W z@!pYR%!G2}S14HIWHP)Kg;{?dr~IE~=kY#PhMGA8b>VQQQ8R%-DP%Mq}n7%kpVZcFk0qI z$rL3J^mFY0s5&_QeC{vclq9+;(8c3E<#gYT%)>P7UR^C;;HmFcEme0wxm>}*i`ZK! zrmcDeJ-;wz#xtqMm!Vj|Bw%OE8xWO_yhfbrorLDXNQ`8Inf>BBkvulV)E|8Tq;i^naRO;xY|`wO`+|1KVO_*G6{vAeoq&OO{;$Y}|K;Oi?H Q^vn%@;oRW2*sa0;4aW7ybN~PV diff --git a/Arcade_MiST/Scramble Hardware/TheEnd_MiST/rtl/ROM/romgen.exe b/Arcade_MiST/Scramble Hardware/TheEnd_MiST/rtl/ROM/romgen.exe deleted file mode 100644 index 4536903c92d1b1c07a29ddd2b8bf3e7f43c410c8..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 98304 zcmeFae|S{YwLg3&bCL{Uk{KXKlpsN(f&?20XyOE!NM?vi;)F=Ts0mmhj;UHo&H>tz zFnBV(nHK;$fAT$IMbH1Oo&rC=V zdwaj{eV^z3LwHWkK6|gd_S$Q&{bQ}Y_MYOqw+m)L5JWt>E(pzd(?2Kw`;Y%HB&W>$ zLyGY7gg0k3o0h*h>n`7ewHfO-eEoq9_dT3(|9y`<@^vZWtLrj0$d6<^_((?Kon;vh ze|_z`>ywj{GNY)MJpJNtzWv$T@5Y{M-uctJ-@y0aiLQ6o;C;j#9ks^dOG7z{3WA5W)FGZ(%#qDGmRKfBb`g<4-g``r@hUuQdsCc<6um z59mDd4^Un&t=lXiJ?R|&aDpz-9m|#>2y3q2u=YObK0z3nPH=!hq`?EcqyL;>$n^%8 z(0C6bvjOLczN0YoatcBVy}$hTB?rFbz?U5Ok^^6I;7bmC$$>99@FfSnu$v z|KR|O2Q85#{MqIGMu8CdvrQ0u8Fr_@PTV92-mnpSLX*qp^)|Yms1ZWNPcRQ+ zly$>`QfEo9HM|Uhe7PL7770Ep5Bd;%#e!g2IlGsl*-Bor4~%zY7T*4Kf5W2*A=lqt z$pn$0r!AD(}wLZyTcgAFEIEC+{uCCJ3 z4)b8qlp+dMjSbU^LoVT~#bqwVRtEH?W z>9Qg@Cs^Fk?m9vcNU`FjWd!ReD$a<4Lst?Hm^v+Xn$1Z|pCmrt^iMIq(_P)cIAyP>-zf%H_H9lY&7M@jCQ;^&;#**-*)*bOy_)b#d z&;qKEuY{o~Z z4m$z;)Ch|d{yt^6v%=SPBR;-EAE4lA`uHY3RM#=DH?&!=@cAgJny34UD10}%>=Z_vh2H+U( zp6$z|@1N4Qku(yO?vqT3N%eS9m<{2@WcyalZ5;(lu_adbxBO1))QfV^6 zk5T;Jvxx&;Ui6Q(^!*;bQUALr+(Y3qc9>p#{0dwPi?OoKiZQK2uswb`zH(ZEu4c>? zL07jfTYb5dZwE4j0*{$>U0HWb2)bUzhe-$X_8|acebCk74F&87VU~GSmyh`@l&uEY zRM!!2k=NF663tU&YY5S+NGej8XbZT}-BkJ2nvB)@jXr^L#Z?iuw(y;??^rVsKwoCT}zFv-PM-?)Kzc2uPzfQa@y>+w+3hb`K?b| zdqwkJkAc4DD}?@Ii(cI4mH!-RLk_k0q$=;LF-H-se(M9(EvlCxdUpHlzq~d0)~6xy zQMG&+VIwpc4MkCYhok(+&Qb2uHmb!|Rerg~G#(!!{{noM8Tf7;#fMN7A3|ph%n0?5 zhWbWBEE~M8}U!M%?It??s=Kyezh(GdDzI-s3W9h(dK<+x^ z_8L6hfS@sFC04L?JieJg?nV$q^R8Gz(bS)9=s^a!%#rsObjw8g#BAGMWI|hEnS7~6=;#Bhl=zjr{2&aCFScNlPqcU0BI` zm1w;cg)pk0gQ;s6nTtg8fxMM{QYyeFy87};=MeY`4^se5Efp&6Ws|7Z zMmt5D>7LFonufi8l^`^<$f;_Hs1ip83N6++DV|~U5UL2GB1ex>IN%f$trpa#z0fk)XGi1=z#0XP;^?O${~gT|_Bm$)N9!D_!!C**#UUCvhR+g?9>9(x zdJa)h1BE;6dz3J2H84L08|Fi8>EP=Af6ARn(aFP+Qy+tm_NTFhGXY zb~L@!xBb=vK|RP0p_E<3>tJ!8+8V4JMq-lhMI^GFNGjh=j19_tYFoQ&7!f3QNo8df z7#I$sh&{wJU{~9b3`SG3t*orfM<{{*FNoSfC|)7fV88)agIlt*%x!8IIcOB&)N~Ow zZ?L5(f!h{hC6Y-404ZpC{4YbP0m4X(+ePdks$&>=EWVWG+15A|(24>eKgeGtS)&M; zo3c|*%GUfC0;}(#&g|+R9dh+#;*@+Pmj~v;%(aWRpMyfY4Q@av-25$SghVVON=wUg zTJl_}>S>T2boEPDv?mCYK%9F{yIUNF0JXdN!7Mb;u$q$VvSUPQ7jGcRk|wJOH1rjT zx@<8YU~?_ev85_T&R)CF{0x=!6U@@llC1w!Ni?3fi`i66bXZ}(O8*>si_mT&5+f$0 zHSeX8axRo)A6t@z*D0&rZ5dyuAQpVQ7EY=KillxQE3zW-b46N(=J%?o zwqe)ePYqI01$PG*ut-$fFg{NRbV`#L z4Tg|ii)ybiVXm5tQ57@y#t9hfYsI2qfr#&O-P2pnb<~heWS<2 zYGMRQOSD`7=ef>Gi>RPC*s~a!)w=$9-xiJU?f6C>lviV_fKdfg53F;Jb~zqPEX@ot z#iF$nO;bk89Mx@z-*sw z$%ZPuigJ)Q@-DS5)kU^|F_E|M$*YsF zT>?X<(H3mO`7vqnW70z37(~`tW3#?Ld0f_4KOK|x_3>$M4vk6s^@X$@)bq+9c^EKH zSIl!;9N|sz>>21`5Uw0`s75f?Ths#;yhR{X8MfVpnW<$SXkccy#l!AE|3MfM7p%AV zGjsXs{2o*lodDdxaGbZvQX9`U(;Rh>eMZf<%!2Y#=e0S)wQ*`U%2}Y^Db5R<4|qc2 z<(^VjK{z*AfOFm%^bz8;XH6i=bSo&Z4D8n>#y$>8T`Z z&~he$uo}TCst2rSwtbVJi*CuZ3Rv?WU_S>CwTN1frQ=UJmih(gu$Z5+Xw{z{TlE9C z(IqeUFgp|=+WknWi9?TIm^xA0sxX>1tZYGR0@n6K3xZam(Oo1@uL#vIN1ab&M8p7$ zS%tMDs8kmUtC78eSOFnGcfXPbZ8n1G#4VL=H8WQmoW5cA0Wqv z!E#IEB<*iJa+>P4DuXjOiH`Q#G)yxG*b3y+;`8dQwH8_>{~DZn(X^Tq42e)T5mi?; zxk+`OjzXuQW6wmEa%R06674Amt1zYs7;!k!G7mlJU};9EG&5M56)K$*EU<PFjdoCY&PoZ9X|7F5aUVL!fx4=-w!g|jm8V+=wr zixUe>{~BFzyc4XqZi-WfP;boD(M~ryW%K#R=o8>kMPMM5pS^LqZRawbcy_0UJ%hoE zPr#D7ME)Q!Ad4@WG&I_3$GKYDyb*$83@|XBo>|a>2Dn)5WmC~G1Mw`T3-dt4ibz8j z{^k`ZAZ&ym=3zszO9I5MASrvdegeDU%k!br74vi>w&_ev1>}&81gW5l_}(VS*#;z! zrH!m{is%{%ZS^cxgJIvq>j6A~(F>|9MMs`M^f+J(0oQWiTmtSifGIliz{SA*1nlO( z>j?O11DK*CH;)3_P&KZXcYtX2BS>!8_XL4IY=Bers7@Wz%NsH7M$V5`WfVs<75OOQ z!@h39G1tIB(W5wGdii$3@w?CC@DLrha2)Ah0gm_5I728p@+vyfMXL680=IGSI|zKI z0Z!485Wt&Xrp_>H7HKL`Rr~A`3`d5lO~J?wXsL3j+PjJAt4Rf1Y=8`_Z5W-Rs`df) zSCq1gcx|ZKbz@ZRJ(NV8{)!4y+W)c4Vn~Z8X+jXqM{tDwOycK z4{<4`iAVM7$%fYJqe3yP>_(;?gk?8zgH&u%ozt?+VXoa{@dTXrNU>Z*4+gMC%0(G= z5mUw~+!T9Bg_~B~^xtO)5n-UrT<3ljfz?%?)47Rd(7Cw?9O{FnO~_e0g(z#}j|hdE z|4Oa#eHb|}rE@Cn6&P^mnLRRS9SH+{GJ(ypkbsDF0{|sP3H|gBt>-0IDoBC9&NFS!> zi;9HK?WS@nE|fE_MqgA;Oy?ep9@||PqleD@2%#T@Ry;=MehU*@Oy_1GkX2!YH%8}v z3F+f>?iUHD_VeV6;20qw1^Fk)RGqAohD@(f{kVFuuFHwdbo7Pm$cLc1SF0E4$TXjw zudgAKH*{os;IQO`Dy#~JdJhc-TIzH1T%;$vtbrEwz{bg#wyM=Ds)Lso6x@2NUTl$1 zn_R%{dtI<@`K$yNl8=urC7c4SNjJBu0i9{YD>A{=y`-O-Pk zt-Jy{CT885gpka<>fo85udeDukKp>x|1?{MpthpwXev_QsdW)-R!LOe#HyG?#ZccV zX}tPQQ_ooS{nPhJeg7D-Tz&uHM^W{i%T*9xkoeKHK+$w>1<}y;0;F?BH31#whfA@I6MMUztG*FDUfD zAjR`ObSZ^?zM;@xgT=I+GgV5c&f7d9Uk=WdV$uQIs-4wbr*FqrE}#qr;sFDA4OfHH zz`y5X6oY?qF)vSZk1F=L;MSEya7?kk2AZFt*v}YcG&JQWu$nRy`)aP)i_}h}8yPzu zQPqAD*V2t9j^_iyKcm}EACrredj(T2GDki%qyoCFAr*hcH?P)o4|@f*;zD5ygb~fF z^#w|P&uPwowwV}D27%v3mHY>gFjmRGjO1sG)FjaNrIq}Ve?rMGB8lKie%u%(|2JS{ zOtpd(iWPAt~4@>Jq#e=0Db~;x48fcwZ$W#zbo>4MykC8b&2A2!AWAvS( zy3(Oyj@n>u#vB9c$+IH}!#>p@En0(g)WAAg11oBf8m&RvXbm{bm>Oj89XM_%xl&!8 zX*GA2#%v`OoH+Y~$@q*K1S*ZAAqw6Gl?j5o{YzIo%9uUXA1Eo9UIvFbN@L)TTp38|6pGbeOx!*zck10CRi7dSn{s3juN9YmoF(BJ%-G*GXS?Cg@_7K;GOz z1Z*S%(BgbkfN)TOFkqHgS@YXyIc(xXFq4Rw;{o_#wLTkcD(RDcq;hNx1!N*bp?j zII73+S8C#{7J{zqP(jZnAHVf(P3Dl;B6}CAs0n{uQOHCssx-+Sk zFxgBVX?%#byxf`oW(o*f$)eaog_mToxcAT>E@tcVV`=!x7#g@aEFI>s$(VM?u$8Kp zThtpdqi7$(Ug~-YHFYeg<-Xx>^LM%a4LhAU_s`F_mC8{@+9@P%#m$G z5Va)yEI^IpAU1(YPh!;wI~r;dEX~Ft*_6zLh8rHVcSW|F^ z2zMF{ziO_BZAD^$R(Udvjp<5t8kk_DthIa(uHN zt>E9RkJQfPds(NBg3)7=g4i3n!xF^0;0|l>PV0zM*KVwVQT8B<)41Vq&RA$rQ*Lhc zkZ1d~kZM?#!EPcv-Kr)N zKropohG51v)nVf#!fyvk4zH}uv{1v&2BttKwrFWP_cq$jEfUZ5Oz%8*2;*yl3X6tr z$NVi^z_Xn2!~qY+yr?eY7Kvl+2|q(Enu;wsEsZ6qO~n&KkTy4F3Tx19Z?r*CgEs)X z`mehF%60&jv1F>Brwr8-Q9V9hJdK2lhro6d;SFP)63OUp6hnI{e}ZZ~W*LA1T^JqA zc3ntot|f{+DaLqXC||ssdN^Deb|-yfHte%^s$w%(a{3>!esR+KZgepCKY{hGT8`NueF z@dZ@haDi$C1&*Wo5)&G4CAI~S5clyD+PhE-FNpk@35{AbI-&IuHTQvTZj0(%0GpR4DmuoPTW&pa$o+7pNxqn`iMUUdLtRIFF+(Ubw-A(0DJ7O4;0>E@=N(@G6Cil+iMdVFRK~R zzOS4&)lbhkoVOCV_pSi$(uy&tngn@=a*d$<9269fQeYYj2FH5HyQY96q;*=L zpUVkkTIdWnx+X$}RMri`RS1TeiSQ(a)(rO+G>5V;HPFI!{w<>I_pT8*h3bpee;YzV z3aC1jf?hTGA-vSn^FASm;Vn^&+KkgT-WJyGaKAp(Y0Z3-LU^O7m=bM&Y6d|b%d z7&Ne84hWi*c)}=#xth=f!pZ#NJ=a|n_W8j#wh-8%@$lSoa!nc=fxUYNCq~II0?!eK za9R0o^82eOXD<=GgQ3FAG!L+*m9vL3Ae9wrH@gM_WnY>ah8$p}g(YN#au5au>+?=2 zo|@?9=M`yTIjh8mbGbUm`e6~#H`>`Eym_7K;sLKs###z3J<-Et?6=VJ2`emeSEh9s zVI_=;tzjQ3@VwI&c$MC9Qj#?T^Jj#%RIR4!Us_H0k|&h2@+=55L=e+!g1#{glM$79 zJH&?8HGGfwAvB`&fLd-H*!!rwP%W`nV2+2M@A+0eUO6#S&Hv+?Zxslk{DWHj9w%g~ z=}vLA|HPyI^N-32{sS4oa_hGGG&%=B?!DH5R*PgEcukZO+udn&7mu1!gmU=7u#~DW zwSdxVN1IN-?l5^{cj(wB@3Z%G9>+1ayHLNvOe^1|hS4n?x(gro!)HS6@I2L%pIN;v zKXWTN4$nl~wiANTzZD>sZRaUuYxoJO&lV!d*6=ujzcgY85QP7)&40pg3*3hu-2;c@ zuV6+#Z<6DBdX>d5pd$&kz+K1~N-jb}{{cfP+)wd6nt0RrL^c-)?k4&yEQ}!56PH7Jlmzx8f@+(T8r0y>Tn}|v9%6RJdH!SRXMBhHU_xOF5<0fUF6lk$EYzI1C ze&kljJ0Xr0Xt4#(p(`HmMr11IjI@|-Ce}QZX=^!^c&;#WnjfFiWk=_G(98{QN?=Yi z+Es`QAY;!*4R6{SvVp&+H$t^P-W@}2mlJzfWGW?n6rs~2J*^CrE&v-kZGp#msmHsI z&Tj!)gSej)!j_)l?w;QWPrcLoj6CgFvJ;FSX{Fe=dw5*jNNf0bchts)!3Mtdw*jjM zhOr=4H0MOxJUgE1OoF1vCkP{K&(6f-}At^o^$0j*XJ2F+`OyvQhWpi}xp z;Dd#27>)!UGHB~^s$pUzWP9?dHW@IpAq#AP>U)(2w_uio?%jt41RM_F!J7(8!;)gB z;0zYS>^K}FurCzA)}d}xP?ys% zFh*T>C_sxxgN%09e(F__f}pEQb=iZiBRERS-6OK~sZPwZI5M(sKMo-c3(~aFwo@y+ zN7<@PP+i@z#@tU#CT0#g#VQoa83jQj&r#ZdQ8!uD)poTlD5GY> zTk@0=%v-LAA)E$@cNAIb<0%=(h;Yn|WE7bQPu=SYxp?%)lu z{RT~rWmb6I^uwLU1w6p9x(XOjUj~&{V1GvBb1!1dV_Oh9`ffPf{O2Dyh4y%BQGxKa z??dUdAkZFf$KONvY>!VxXc7;lA+(D?(h-RAZ)FWc+_(NtC&a?S@?R2!N|HwiBNRgM zT!BbN1>Wz$U6=%6m9z)rn$3vC%vymcyQgNvw;2f5>Nxi+K#9a)2o4lxW~i$y>Ux|8 z)1~VjQf8(!C$w;*YJDlV9Hzx0tFq-K9NL)dGoiiI!j~+}iER2^FIlwk+V4UmqW)n8 zF)$FXRiV3J()gxG1<7``)T*wq=9OBYa8PT9%SvBagCR&uiUz3jz;Lis41Ue(rHzyQ zqyI`5)65nE4I5IoMJPO<{sc@szP4{(hq^CdQcv)epC`P(M)w#}?Ln2S(b@$c%Cv^? zAf3AUzOzsD)QvnvTMPdE)@rnF&%?v-)Sc~lctk}XUOCtq9xb2qwUrnsr8b!g;Qi!0 z$3NxMywa-a5BkVRy}3*2*K_tNt>P~QlWCj8)PiBaE@(I@Wnu=<1!)Ri@Jx);-VDLB z3#~P;H5@7!84Z0l8XAsFnPCi~c+;u(Mcj z=~FGh_FRKXTE*=U-j|Vsy|@gr{D~WX9-M?_TOZ<{>&G7~7Ej`(FX|6J2ed>D12jDLN zt&RbG7Xfb+$_`@TwVnnZ;Wz_qEjWGlJgf>{Zc2r1=z=NL0gF~tec9nh^~!6oP_DvO zJ*}s-1V>w4qT2QxrPRPzal)NI@|=VfDcR{~)rjT6MU=++OU5QxYOY`lQHnRGvw1rx zR;N;ypg2is$$%^@wnI_a@?p;5UC&bDUiFjK!Fc8UnW`9|g`O(*(}Iv}Yi4DFuC!*{ zisjW(WI0k5W<%)9{>383TS1DC%mqOtl@JPe+hAZSw$qT-sva`8IYu^G9D}w;H=}c% zYbRNiQ(Gf(%DZOeyk*1OGe6fepM3rVC($10ZA4MLz?E)-^GAV6wN_!lU z@b9Bg+YNkfKrEw_$3|0-|EDPLF+2@;w&0QQJcMU0o_q1Ag^!;M7Jm06-VASscOTw; zc=zMokM~);&*D9Z_aNTGcn^of5UMSh`^|$fPT=&CQ)t1nA5Smgc3G-ccZRo9ZO4X< znnz zs6C311PB7&z6#;Zc%H@6pO`=XQ=kOLRrkFSOA|amvS>`;U!*+&%^l z)T4IMw))7dd`vBBd-QI|^OzjKeHP^p;}JoW6;CRjbUc}OZo&gTr-RSwc&Fi=hIcC7 zsd(G*w&QKZ+lsdZZ|EJ5i+E$M9osGje>}jq3Xc!Z7Q!8C7q=O;AJY!}8?_zN2B;fg zjJR>_hEBs5oOM>)f7M}SVS#@{0DGnu^&Nhm;!Q{g%mdZu+*YdzXJy=2OQmXw?XFa= zR+U({ov@&9NplTX->V$m>d=Nbbr1(eE&7ej2P6F4deL+XX25yxnCdK=+fkXi!Hw}~ zVmbQ@#upkyzNyru3R1Ef&N+Mz`rFXFPc?Xvaum=LcVb9Jb{Y?snOv56-H!GRx2Sc) z+FX!ZZrcrOT>TvwdH~>+uGc}jJM9io8EDx`bb>ngi$~q(U3R|YQcA2?DGj5P_IbQe ztM&n=7K?tHxH(0g)C9%7F-|w(3_!RP!>@(SpaQ&M?u?G}59Lw;v|u<-Sf4k^mgoJ_ zg6HGp>y>(oAj8u802a_VuIq4HXatwDQZG)nq)%+>74h3DWVZu z*H+yvW`4{yW;J{@4fNUx+Bns37dKB(lep%Z6kfsVv7_lVYzcSJXiroJO~F!8odkrl zOGQVQ1mk^F_vdQ5^mRCTq+-84NzqNP0_bsaW#-2SlXjk5&N4B6nxKw(bFk4^2zDWt z7j;U^90r7z`n*Z9KTrDfzNkKpRx@^k&^W~y^wBsuo!~yqMYpM~xW9!Pg)T!KKoTql zwA{jKeZ8n>gPC?V(L;IcT-l-N6*f*gR-viNkSI+Dc^f8?Kajq4(}ciL5Iqz%T|A7z z-BPZ6Fv5Nc)a7g;OcT}Hs;y`CdcCCcheatx=@e_?;H4gNr>@qp153USGb>nEvLR?z zJ-!7qD_Q-tE2_7ZSS_NK;D0k7Imiq^8%|`SfM`^$=7)yS_V7c73FBW;q!-2yHX8xR zEVrh>1KFMIlx$?pXag6|b$hF(w{WL>^~nT-&FleoU6D2b`bTJ zd4mDH{+bFnW!TvfXp~?gj_agQi}|IL%+HARi6p1W8?fJ4IC%-H)i7iR9_geILmY8d zd?4p&j-JP7;QHbnG{>sqi%=nQ)gqWd6e$}%|8|oMUxn+zQ{G2rEY+@{SV5kGeP=1f z!=^-&qoBXNT(b{VbyRn~-CiABBz12^Y=Z1a;~8JDPtr0G8t^#FLL_ zA)YKenRwFiVBG&-n7=tEbgHl)&trI=CET%j;{UVxTc|=Q#{B(av&EHYnFQfcd@$AF1AI}jy$MBrQa}E#M<$q!R zrovR+j=yPm=HSVvm{_~~znQ@#F z-kGX3#ORz)e{JM6t-g&_E~~TCXC4(W3u)F z@FkaPzgFDh2vSmjs~WcSggE5>J*IsRdjUF_*IUI+8MI4Qy$!ow<0gTSeRhXCW zj?K#laXiFJX<$wRF{i|C7?}^Xe#(u^sZVm|SYOxTcX8$vh<>Ru1V0`RyDzrHkSC94 z3*T@_ag)?+nXg{E}8qI+fM*IGes_@UX@As&EOK?DHH7+frjJ7yQ8+~5w4>fU( zuFqD(yA@{`T}hB7FwI!H;Zl;(ts?Dv5RD}5a^AL9HKm&Fgh0)SqOVe`!l9Wj4ydwl zKe>BH5mZ8D&@5k8&K4O8dbxC?huuYCuXeLC7$;wYWpgZANCCEQBYd+ag^}ZXGS~hX$To#^9NP zcn`alBhnJ+G$Dk=z@&VWP9zWs5UOv8tO;B9Fc{Erb=ZyOAfDKz^kGeF!ATd3cefL9 zp}O8PKj*&UWTnHoV=$v1cjCdypifOh<;ic{a>1ZPRw3}Lkd~dG{S$Hzp7<9`-qM0! zQnjRsO({3ql%{F9hh$e%iK(f=gph+2T1<;9PW~IM`8uFR)2u)5%rB&C z)skVgVi-{N+`r4#)`-3JLp#3Wa{t~8#KQEJ)k-&ZU{Lx#Tuo)meO>;bNJ`87NbW6? zCeaRyE^K?3{yGlHZx#Xlj=Nwr0C=3<<=c`CGmsB|*a6`3t))+aKKJ00)|q4(#3*Uy z$HO3w6hXtLmBDyV@)^eIpw)SctuQFalW08Er)Jp6hBGxCFRX*C{1|F#aIpm-jWfvd zAm{TvI?!;Hu?a}7pN{?wQ`7{5F5L3v;McC7M3kS1x(-F+0;(q{;xUT2oJRzX z-UDkkiVkuz^r>XOS#2!iVRE$AVswn+Z48w8{48h1JVjscnVyLW}N^)&M<5Qg5XQ>Y3b)N#Erqweiz4}rIufkIkvzs zcD{%=?fakwnTXlRjpF~X8AznA+U7~^*-7rJs9 zxi2T(jctaZl$0l^=)($|pyifp*V5oUlQ_(Mzrp2Smr)I9D8k}G0JF476?LVSJf3A2M3aO(x^qtY z-ftivRK})lBebJ0i#aXY#BC#86uuujG=`RH*j(flN`4?40}ieW3K^kS$n+v-l(F+@ zJ>Ur@%2S~5Lh&W#byW~H8n>t=t4o4aaGuJzdHFNa-)O~2HjBxotNf?0Vg05J?v^gAPTe1w!R{aDztM_XU!RCnz^ju zXiz>&rz>GfYB&oEty`_5SQ zep~1*q!9g)*AWPbLCT42IByYY=9zAjuJ;f5qzwPiL(*jb5JseDDZcnKOXP<<@31}c zxDm*R1So0AjdCj7WfsaQ%a#Go557kpLPBt5m&(_0U=FV5FxGIFhg^L$gz<|`wgReY zCc4-%$$~p5i@DqgH!o5!iZ4DzBh~Z)|K~2tw zQi2U)?A;;?r_$6vmjn*m8jj$rTFFJhuOsAiY9B;5WBuU_G_N!C$7bwwI$jUf4cbBn zkrTVQ;J*+#gg;}cG0G(}BnQg|A)Zixvci>k39mi&s&Qz3LA$G)2+-y#>~3iR1}o_` zT<=Mj{Y5OOAnV53!Q05Qw!6*}sDTFyuE+=A4X-Hn1$jr$;ZB-T)`06Xi!{x)M>xdz zCivNCHTgkle)VYaAW|dG0l|)3;slQEL>>on+3Fv90PZZtMOD~)*5&<53wCP}=vRvd zgT>9N6MOWpQVU0G6mm6V2}9ecsyqzur)}^1fk{eL>iTiVToefFU;uat`(zAX7ouq& z*6ZhNoi8V&Ax)t_?gFF0;R+_eZQC26n|u`Zpsj(Jtgv`n!!rngO{7Q^Nu|^Q8$LrG zoQG@p4TnLG>_jeH`Ft(DwfuRlhXx}rBgSZbUgzS$XtPTef36^zo!aSntYZ2cBw zLvHeB8E_5z!Fvpbf>hb$I@0F)Fk38b$Gw(_X>@5?Q51smNDfpXPFuv=Wjb0AX&GK= zLbY1l2R}G?Cl&Vv;oZSoJu(|8NUVT9iI?=5UqV;Gpl}K)Uaf#~OSACT$wkS^Iw;<@ zr{%}m)%>CvukH6O+9#s`7WNCCv6uan-Z_UUMVcNsymi_ZEaPh@o$FQ(3u^zlzY?d_ zPc;#@yL<|H@nZw*19%kl3w0Bst*?o&nx0eJCbr86orI|Uo-gzJbvSajY)zmP$$|aa zAFuFK;7C?WaOFPs_6k~+?ZfgVoUO|(@FKexG0IRLl~ZpvS02H6D!q6R_aprd2y7B)#5>aUbajMM>2q%_--?0BT2zs+P1)1@JOv39tb<7YX;g)QUaP{zLq)= zHiGlC2?OCcX(D1X&^%~JNM!Fk`Q$wL>_FHmPmT^k8d9-%qL`5DLkwBaSUhZj z5jzk@_kp2zOpa{!9?&5NZhjS{0;TS(P)~J-FAFYdu2#)Ufv3Z>lsyXv2|D>!zaUiH zM{bQgo^>0!)%H74w=QjJloVY)tILDz4@N2)OO(b^`Hg6XFB44jRzAb9B*RV4K7P`w?Ugogt7lx08&Z8Mn9M16^mXO z)`iOGeIuOG`dOH_)5@`|NyC%MUclIjkz2Wi?sB23Y$YX(wkxb^_>S@l9G#{^q3N`1 zVcVTh;YO}>c=tuKEun1TJCN;NGWC*KRuM!M7Xl1NKj@y$f1Sd&e+WjrSsj~*7wb-d7v;`G)WIuu$?4#v{#cqx*u^_O&t3vqT%Pl z*bfl!I$pyC`W38+!WhIBVgZHrNz$igBcw8{7EV>LPfAgk+3R1MEee5C(u9@lVW=uz zZ7SANsmj$IF!jT4ggjJX9y2y0Dx+?ZWCl{C8Q9mjoP6UShh9&63Fn4#FySZgg8(8{ zfPIBjL7xEeV=A2o?)PGlwA4&zt9mg41{aaRs6C$Qo`E7l-yujxf9(~1@+wTx+uZR< zI|xQ;v&2)H_AcBu;YvES5cR0WiuG1ZX|EB}3Ns7IcWxJjvqL_yS-`O6=nth|za>uX z&s#)g)UDXF7RU^GhHz4lR*|`iQGPHn)X0l(txfZBgpFoJ%uF*TaEQo(L+PobNb=-L4*_CWR-au|XdaJJg9m z3*Z}z#APleEILNE{2}M4QQ7=%J+!b}E)E=RbBo*L_?m%LT9O(r;*OUse+S}xYQ{kY zNAjmtj1~hoLDW8Y3oX18{3W7Ov(f522|aqa2lkSo!x^8SBweN#+p+s?4&8~1uLl7yv=wH@C&8!!e>Bw$Pqb2fVYWWCi1SOn z*^twkc(0>Xo_aw9gUKY55#x&8hxrEUA|Ugy-_c%P=iTIz35_Z%a&~8+>X=k7u(M&_Hda6iK9V zK|e^cJ&GHEbj=R#N~m+f^G;MUt}$U=ofT^h%t~L>m$5@TQSQT*pJ{aesV%S`bc`gOlJDs0>^ZF^MiO{PPUb-4^su*E2Lks2%p|4uFl8}0u$d(B?bBL3OD@NvVy_e4yq_hVBDdAZZ&46) zBj3apDRsdqh_w_q@FyTYq5U-SJQ5?fU?Fn6o7_^#+tnyAF5GhpFejfn{ztk@_9>&l z0{L*y>2MT+GTe;};iOKvEZozl#ZeY~{2DNk5-OI~z=Ro*DLo&N**?LbDu%--k`hiK zA=1;Uy?4Ajl8n4~5pk<7CIP#MF-pgw5+i>>9WSninTY$ZScWiMYEK-U{|JbNW9>yX zdy@QZFO=5wByqT>v-`woT+fM~enJ6XUG9~8MNiAIp;^j%z>QC+k zHU5Kf{trf8TB3>7uPm8T9sEfZk@%nV_m$&B$9usiAHqkpYDPN=Pc?<8DqSbK&UfM7 z=1kjPY`a^woe-fGsDCwMDQ4Ga|1T8N(`l5`^Io)gMEoZ&_`R;rx?XE~4Fxy#j-jIK zWY@{0eV?a=wSsqWg6|Hq-xC)Hs8M@9f&(;OEPV8jUqx+>{wMt%2t0_tPRU|aH8Cny zrepC(NHBXkF9kdy3alj>0n^*9mqeQJ&u2Hpj-0yvf29741FuosNu7{D>W9%LB@_9+ zUhWDECzgKh3Vc?ZFdBUv-p}~41YG}HoJ!u^#eLXPz`-?7=?eBn1s}SOy9TSb)mh;D zZ|-^6GR7B@oFYjSkDWlz?d|EOfuiTL<2_+Aro(hi()Rk+>fl|O2|W*GCWL<}V0M=h zxKn=kSbQ&x9)|R)w~AMj-~D3xBbkrKg%P9lCBcC~-x@5;Ou#4HL4MXTvGv46e2YPA z=FzN7WnU6dLaJ^RX~AY0g9C?D5D|_ZS}^xyW!@dGR>jQf#i+)W)k<%MG!=b{2dvFl za|kQ~yVBiZ4oO`mHIpw0_Ze6*8hgioFoL!8DDE1%Ag5}C@evxhGf zay;IeiZ0Yi90M0m9Gfu=hEPXuMo$rH+m9uu13=qzJYKvA@N5ha92Pb{b1XTP0Qpu~ z>2a6k*o7w~qa)ZP(IqW08CV9e4_S4c@5HxpIllsHalEiItay70|m}i(J7MSm3Dw@ z*N($CjS^AR8Y(KT=c6c4To3%<(~hU%d|K363pN=gnIY}o=eK+1+eV|_#^eURQRONv zUN4H4vxvWoqCW{$X~7qUSoS*rj)K4$M~j2eu@gQqypU~u`!L4(*J*SIr;MX)6-^1a z#Q?usP`TgMa01J8vY3&UK#x=z3Lm4LTxr`zQAuy#;{Wd}xh?0oVVqS32;4^C-y^M zA7YopzitS3x}6MG_694@($8-NE4yqvt4!`O#D&Q#wuw-VAuh~`gT9R0%v@)6S1&gd zE``PKthP=WD%pCARHY1E2g}lsTe?dbx{Vy2mdiJdxXx%Uf4%8OIm=%kw=m?6lV|I$ zE;`OY_93-jyLQC&iFTC&W8pLf#zJJ6+N)8ZzPFfNf`v zav(z)c_b+BljbNR_rrWN@@=?0k+;Aw7Or5QSL3G*a9nyLec@;YUANvVP2hJN9fPSx z8Tq>HyH!|I*cy`J>GOVDLl!=jk+oReqL14iui>8$)aNQA55fy<CMZKLTfmR6(b6}zC9E@ue1lBb-Ci&YrOWY+32uW; zSH@uF_*sxu8z=V}0~nCwnDQicK0ePBF7TWw7K16;E7U*B*al!B3ca{tdjMiUZEFh@ zBc!|b`>zo~0ctZ`#_c+z%SVc!CDP#!GDyz_3?vHrzY8YQUbGT24+-^<(hp602EoP1 zL_|W@W9w}VWIg4kM+P`DJ^mmT5r!=iDN-i(&>XHlTVM$gj}&VLC1F~Yxn4!*h|s)q zp#&&~WGeSBsj>xrLF{Y~Z8k-Ij1URdRgeJO(@ru3yVq$G->w4Nm=DMeDN}~BZ2=dk zK)0ck5+enhAYiHV75~sG={jX(bJR8_S!%vs6}c=5UX1(7asiK@hRVJt@)@cbMKXaR z)ox&@*{mJBK<%D>S`6XlxSEWR>uKnx>{c9MBI{7yzHiGZ{w0<2gx^*!Yjgb~Lo+w6 ze4{BbzfxMgEPuXS&~R8<++_2guas_FcJrZHv8h!PoAx#xy18r9EZfe*ww?X+K5?tB zt9z9bi7%O2nfdVFLWS{9TheYVk=cU7+RL_`9Zg+o>&401Q~o6oOcS`ui7s|70GTU}9 zPkuV*jldyk4K=5{!e93xDXLL_mX1+>mSm3Z4J;V&lbD_QB08c>Cc=t>)T~T4IRXfDfVq zzYzvQvyy>d2ca0eSK&?5i7}GNkei6f=zm`*O;=EHyt(oFj9{h>lEBCKz~4v^M>flM z#yY3X=SNf-`#XG6(La-8gVxm)O-82Y@Wwebb>*=d(aRJV&C2j|XxK1fbs#J{GM2^A z@geD#2Cj<+z)Fnl@>FFgx2`OT&+KJ6z^B>ZWRZklO4H?T_Ac@o_%R@amUjKvcv==`n6+yL+MM*C{28;P2LVKr+*r;SH-BMdzL=ZR zO=Ybgh=$`dpHfgqs#$2u%r0qM?N*`14Bi@26s;Y3BN(-l;?BLJjJOS}zA=^`;MIaS z&*f6pB}jHi6Mg_Lh>g`_xB*48-)wqBE>!!Q5*k`; zfpU0#Y1mAlMnWIfP+1!0Rl?%crqP#&)#1yCuSJO4>46b<7VM|Jgj|=#FX6{D>@rS6 zM!8;IZ>P<9oGxNtA=?-&t?(U{87(6cV~m0!^cgAn%$z^`S% z;BBFY<#r*KPC?Ip#gW9&^EW0KkN;!a~@7+zyOv`Q#+Zw2oI^ME19K{=o zw=#Iw)(z4ch0T*(3cFmoQDG@kdXX*g0@Cv;hiw7sqd2<@M1s2zv8f+x3JtDG27-XB&v8_^g1I>q2EW_LSEvJR?s%h)d>pR8Az;H|7hG=*t`dW3!Bv*vs`OxyaV$ff zN(aecanCsgzxEc#pDOAr)fwEUeMq%A-jt>e6j&80$q6&IWABzeZHc;2(K6M+nvB*D z;@F4B$pDyaoHMf-zVP{pj?bht^_=7HsBei~4?ZFWAF&1>u>`LVK9WxUb=VPr2>{wi zTE(7>`0rnycMHzlea`~QT^4x9R!cL?jc;gHJ=+s>7lxaT56m(z`m*sryE^h3(N3~iLV&OZIuO7;rXd%@d^+sG+>WZJu~3*+AgTDlI@hqn z#cT=<5_yiTTO8jOw{B9GLN9?Y8FV!0NA+uQ`-JMWhBjl9iDCxpXX+c%^_wu#a4RfV z=Hx4|4@uh@uEDJ*Fo!5E3$_5y3S0MTm`yOm;BQ75&jbQSPpp@-BupmCzAO-lF;5An zM#zrkN8{qh2~6c|4PR>UO+1Pu@(B}nog9aT>W)VIQ-rQ7g9Me9DvRj|IQ%}PS+PtP zauD%V7?6~GxLHH4RqN8p-)St59^7m22$m`EXt4H9$1PiyI_kx(w_vjhzRL7NpRH=q zS%_7FA0DCdHCO|+^czLNQ_M5Ao>W{0iNL-rs%BfN_Dk}rjryc6Bjx3G|7QFgfd`k5 z)2<+XZ^6+j+419SFn8@pjY`5*0|_{WI*@2VA1cgDRRtUd!Y7=Yaoxv+fy6lOy9CER zoGPbogj2b>u%KM>R4)BiL2w--ufbXiey&ihvpeKeX(gOP>f;@oNSLRC`E(+Peuks4 zpNO>j%%}zYud8VJ$ItDcb=gWk_}50 zjBYBK;Q|(^07|m{)n(8Tq;&4e1XnSL4IMpW-{b6qP-O}Dl+6_y_Ia|{Qe@T^sBw`XY9vN7+TU z7aYM)LRKCl&-RkxAb$KcnYu99EFZ-26~#Da5s!VNJYIK1sKG5u{T_C>g(go7TY!IP&Gn zX5^Z(ZL^UpN!g5k2X1JZCw*?r(w&Bh$I5b1{m(BW#ywWS5ij!*CoP6OkKspeux+^T<{{~ z`i+y40|_Q+1;i1!YSWl7n)4*MNw|_mV-6!m-W?P68xQ$<@~H#JEj5%4lX z*f}oOeyHGfn;2I4X7>ED7>$ob@%}a%Bh>>Z<)Pv~MIx+W73|s9Iex90JraW}Cu+9D zzKW>kP4opZbqpkkQabK1!>{NNnwJbT0I6V4;?gp0W-NttU=+YpBua_rza7JS<@oML z&4(lI{KuD!fnxoo+mLQ{}NQ3p5kswX-sHpZAx)BbjoMA%c|Hkm8V)7aLwe+uS=GuB9jxTXPYc|N!K+| zLbCt-Iyjk~cS&Zac1rCi^5H?+8rxFJ6w;$MOv)Br5GYitvG7 zdhLgI`A=I*p-SY=$Irc*i>;-MJPN^uT!icD28pMzW}jh38FA45~+CKFLqaEJMg zQuc%EfB?s{X~RJ>x0zg6j1&-?^3^Kwj&H`FJKAQNU!V-F-7-lH*R1_<)wvPDq=tWd zZbbbnqLX-Z(zs~BOU?^41;!Y#Qhg}Xj&;*@J3J^GUQ6lfBH{*av0DZ{P_>AhH`UfQ zA={79Tg#^9NQ@Ov-Ue3Oo0&_-Xa6_!X22 z_87Xbw=FT-yG)BS4}v-WhrPFfi{i@ihO6lYOK9j;Q8CfjDkd0A21OIFH8w&k8qrpi zj~GQFjX)3xREf@rHffllsdVD*W@a<*Waf!$vg=OfnaQ)8Nth&~AdcWijK)j`Vm>g* zCf!p`f{_3!p`ZV`RRs-x?7qME_kR4|O>0qA=bn4+x!fktnXv%$I#6A80Q{V0y(oJA=}q^j7_h3(x24N3Z@@TRW^pI>~9$R z4^qCM4!RO`=ZU23vWj+ODpf6A&ho7V8fy$-n=q3Zn(6tLhR6*R*=9(0_YWMTxO-+; zTWhAFC5JbLEr)O8tCr=1be167hjxW*8)d9#q7?|wAoQgLbuz5@j)zi3(?OVF(^T6b zAa%`VtrFihgk~H@Q%qHnC&nQZX0ZxNGfr$|)R^tUT>LE*Cn%ptw1~O`*kA)Gs*7+e zjCkLtfRdP0SspXc-*8d|Np5asr>1Zo){(+84W$F8}d>^o{2=kUa>O9C4 z?<4IQe6g77EO>yG9y*vY5s&Wcp%BS#v_#+triaxz40Vy&Ex%S|!35*x9XB076 zcThfEBA>&^DNTGHfA#0GtS4(GdKavWKj&TW`#69|DgL-|mDmT>xP>nQumm6E&2+Yn zUfdurS1j2d1ga&_<|WX+8N#X~(5fZ>5Cp0x(1T07L7*oI6uab^AkdEqG;RrY5upgD zq}T{hfH6|S2hKwsjo8TDBJ-5>b%0>JI7s=$L40{EoiUlX0mCpF0Le?F$QOW~Jkf%` zSxxj;pxh#_^o%*sdc4YzY!<)u8dq-d8odOC8xDY&iGj?-3(3=ppp}LbNTI%d8jco{ zO`OC)W7wirVf^AHf^G%u-oB0{qgM#i$v&^)k63a;AZUj!mqTcMrD&^utsF*h3~Ccd4G{tIJ`x%%&t zri&*w2N)B+x7rZynMUM1cjawq3oQVrXb2qp+{Yqg1ot#(;6K*o9o(4VGhLhvmu`EeR9TbSeg`!0Ub_FhBcZSCc$L_J^z_K%V*e&0b4E0 ziNJE3oXQ}fEV$8ZGrjgfuREAXXk!d^wUCNM7>iWKx~`2CPuIOG>eFR9nQ3qp2Jpca z!$D8mRM&-^HJS-Gl<+X6US{xiz=N5WHbw_R+U)GCWa0%^(xalmmMZrVOLL(zEY5~O zgPIsj&bxFo;olriDv!$8G2l@cbc`g%^z2d3#+Y-mbpByz#YN$08Pv(b9$g;LJuF^n zG=tAo?wS}5dV^qPDOiCN7_+o+KMseF{O!7lSq0qOw_=xqKREK*o5QD6a` ze=@xW1ikwnR6AL_Bcke$KCZUxC>s!kUQ6(nQ6<+bYx{_LM3ED;4hNTDhu;B`Z}=er!JEQ_lUDHGdDUgpvM9$@E6D+ zqG;szJ&P$T*YhX@0JyRO6Soq3t#T&mV6KK8bPO39$HL;I=~5fSZ%HxYb^6mA-)571 z8+}h88ED3WXG3DI9I+9~<>jxjaUZ&!j}k%VWt3!Xn&h>F#!_XGSXi5s@d(Vmq%X!Q zKT_Y)n0`k?RHN^h^2+g+|@t z{5|~QAMP{EwKV8blBS4%Qz(>7aujuAf#f^LRum9cVGo?qmREY~3oxaz!NZ{r9!b9> zkk?CpZ$XuU9101C?`_Qbxw+70c&KEq!xx}WF;tz9V3XQ0^hCLmt)ih}ip)6rrX(C9 zkpoz*(?=uJ3a3F&R*0cf=M&hr{Bxk}su>8&g`v}CJ%*OV<`OO$dO%E75{pDE$oH^9 zI7o+~g$I29fEXZhkd9i5kwyKGZu)AYz=Rx5O(Dkj<`kN0MU!@XdKaSOdz?O2PM^+7 zou81CnvlT09C)mHHV%;0K|9}PV}|!k^)#WeQwk9E;V^Q)Cv`xoGD<(<$*Nwy*SOQY z=NX7u?O7YWkDCJOK?UtT#3J#fi**c8|i~lngd5B4k;zZ;rox@ zQHuQLqXzB(8Dyj4jYJXLi(`+=(4oZ{MFYr{F9v@O6rhXKw=Zbx#OAN=2Km!RzstKCv{sKVl zHA>Y~cNu@Z^^c<7ly-*?D>iE(!UPrGKN+81zVmlc%Tho%nEywL;(G`sV8a=M#XILH zAr4* zFiF>rerySY8tXfXwuS#aDb7ZXIZMCD$GM3a8l#dQ00XV;Wr~s@`Hg^rWfo3JQ-bZOC-j36scz(IK<4 z0Vb{CV+TD^WZyzHzzj!DFnST98I7K)oQxHC^;B#`CbKY4n-ee+5%wscU<)7B6vaM(wUX(_UjhQty4TUCGX~-_j8-WB&%qT*Xt#)%|V;nVkXI6#lWgb3)x#$t4zhy~F7T)vmB9Xw+~&>b$;JUF ze6kt?2}*xQA|Ty^9AWa1|795YdHVdrb`&P4CCXt08{COtpDYQ7AKu0Yr=$f0&a;FP zlPAU7yKi%pfK6RwITS+e#Ad15oD35jVt1;3_p+Wxbr01**X7Qs^>A}4DM|FIh z&n=@?WwzS8#hKQPJ>oRlP|4uwfJs#Zof!dNJXZC+DX9LWj;rk1qo;)QUI$mn$CIMfBCIZ(%jA&Y@%}4@dGUU5l34qglGgUP-%cwOVIqz zQuF&%vz*nNb`C9Xw!_zrnVC)|KE+1DQEh8H8{URTJIn_pvLam)W}OPVxrd&FTSQYG zE#+vI?7=wMX09_l1{5g%m` zLl0>4q*I$G9U-1cQdZ2WRo3gCEH+WD^R2(iDxN0F`D7Do66U9KG-4p*26l$iYd9M` zQDPd(T<2TMgmyGfVs8qDs^mLqk17Nfp!jUZx^WWn=Y|V zfx{M607Bb7V#sFLH;#ck26nY^Lfcqado{pSCzn8CowK>sn*ld<5OARtGVMKVqZ=_q z7g;bX1{TI4T3{l57o(Slu`hqMlJ7+!qbjf%BIO^}-Y{3FHSj085BablRj3g@EdKrk zit`2^7C(lR2_1%+f)YgfK&ZfQBs~6qqazD>n6@Dl+t7(QboreG6>aO z4CHdy&teW>6n8Ns3r?O!1r5hA8NBrra}3iAQ)~FHg9TEiJUOH}nZf+NAeVdZO}3l& z{2O9ZJ9w`e3O8Rk}6Q@kkamBqY z*9@!N*Ou&H8>x1onAhI61n5v}h7^1k&0VH^6R^n}6s z1TWg$*DY034>Rsho&T!u{TTu{U#&NYcN1sxuu=SQ7Yk;AuPS^~IOF^Ya1K&D43uNZ zu8_s1Ia|W;R90o&Rc|c%|!2<$NUV#C=t&J!? z6lg<+X|SaM&sDQsH73})7%E?IHFnC-n>Vc~ZETD@has7Vi1G#JpyF8fR>L5JxOc%gLUAVg2E1Ur z&p_}FG2B(iz#VJ#(nQwg4X&215UHIZ#V_Rwy=H$5%leM#)WS(Lx413p&y2!u>R8l06J z@fX1_;UnQ>Vqnw&(<7}0-7|3Lr6f~gK_YqeC-#J%ySPH`gM&6{!&RILj7RsljFaFk zgN8Nk!KHgK%xtmtnd)f&OIl^HuHwafcfe8;uXKQS&dV4uynB)`;y^8U)}sw+9$bSa zF$p~n=1^ut$n(5wwXsLV^*+U#CkCl0gC;~1BUI#v4XnpXt9a>$@D$R|d3GC+s`NwN zvzs^7(I7*d?zxQqd)f0tgJ%^_W~)~jJnMLsyMo5mVFvdg`fo(doWT}XO$65fh!}AM zkzLZ6^Iwm(4n2aOv3nZQpeoH@c1?pZx< zfX2*z7%0-8^RCemX#N1|M~bRH;oYtCJOp{nG-~WPI+ZbCWqn&5qs}*gczEwJ1M3J% z7l@?U20H)&ocd4jrg*~ODWu20zESe4JeqfAY9+Ch8Urfx)a)FL8dLSww>%=!v|pgYp)>?O8uIw zh4KMXdS$Co40H6k`vg>_aNMrG8SSYH`!LwXUs~KuQ`{rox&$`IVc4_r9(bo|=2xM3 zu9p~EzBK7~8<{k@h(^eE}78iy$u7n zwb=%`aYGR{48ZsX^d#C`J^%<9N>jEsz1w?L>=e|+;i??EOJY2D)m|^3s<*z2`Vw)$J@%Ph=9}liD zVAzf!O1zysx1M-TJP$4 z1MUD@dgMu)d%RPxd!ReAiN%K^LN2__7^GYSe9hpmHJ2A3ZCpLZfYG8MV*<^kV+>U@ zTs0Gh=2A9zU@rBh?N3um`5hUPEfCDXLk62i>lo(%Yg3*XD--IRY4g#AJgaro4WYxb zT<2Lf;7Oa`?_YsJ#Aus}o5{O~N_4Yv4k)9KK0M%kG!dQDj1?6PbO2ziqb1cASm{Ak z&}KU9U8#d03qT23*YYr3F*vl=I)lZO5T=!U)bLtsjaKqrj64|SZYXwaYoO$-vFuS0*4P^w)8^@tD_xTt%R`>rqxQ&5XX;A9xmZC zRKh?&IcTFCtyFd!ylM%r2`XV6_0)UPVg|5|XCst*i38gW0WxPJjyX(Q5Aw7ki)HlE z8rnzD4mVPf-$#SX@OWdRMfjgd>};z0Gs?KcfF`QLNQAx$h1oyT66kk;HxX^PM!pN` z(0GD++(Ej#m!z?`sR!vUPxOQxpa*=)coxzFG;%x%-k-p>8|QucInePekM=^-a*ffm z*9?0+iGTY8ZFnTU>;BGc`sLA8v(Bxud(0RQ$hysUOlbKTmO|OSjR)8#8OAz&tF^!C zPS-R2h!^m#;5}p7Rv5bRQrkvM%O7G}@*XyNAzol2t=Vb^iC1B?nP9SMsaXzEBVf2y zqQIU`F=hySP8trL*-!%uqg86UA$W9#ZJ|h3A<9sU4-Hld*-)oP`O;*` z77T~Y7LI$9>s|_tTH;(xmRi|jQor2HN*)pj;u~MO)7_>(AhM{MiV}O1g2CFhQ}5Yp z#3Cb*0dpv|GG*HRHFRWZ{*8tR=?oN`ps}+XS~yT8_oi4Lp=FM78I|ZYR-#`kcM-mW zIDCxdS{aiN&R{#;^o#=_*0xAu@&*-LmUPmZV%`~QO^L3Ae{lvUe6%GOpKflsYV@YH z$KVYolE>-Ht{KqerUGfR8K_x^lLMiYb@vkbYrzMl+4mwuQ)~l{G)iIX2z8S!Wzm{V zFAv$q>1_ zL95n2!0M-sx7PGmzN=7q0G5ovwlLA3in4~aDZI>?4x66-I7DVW4MvpqL4PaEz0U|E zfzncVJ7T+pvQNrm%FWUhsWraO{Z&lMpuXh`qrTegw&DO5T7bER_94+eQ0>Ck$P%XY zE@e)4;7~}vy1Y1Us;5m<^nS5F%h&r$d@F2(`iimsUFp=`1TXsS#sOCY39tfQhr#0* zc!MeieREt}3acDeI?$HJF);Cc&l1Xn_a{{F*7ho+J2?Pf8ZEs1jkW#G=2E|9LszlFp` z-!1=(cj_c1-ciI$(c)2t8YV%5`|%SdoGFQK8CgasAk4N|rq{x0Cxk{Jw4W8`mVWFY zMSJ(O#q7iZ@96mUh7g?z<0TPn5^|-jK z(H`9pBI&JXtK;Dp@E#4fDmt;*lb94HjFdN11L4r1e0B zLV%7-8UkmDfTry{EEccSLqB z8D#}tHD5W#3f!H#HHq)y+~=mF<{<<2nS`n)#|X0IP_RhsC@c{>SaN102X|Ys{HWyG zkzDlO;g4BO%ZRk1kQTp_j|cB}ZitP>oyXnS_W{lxf51`V)aVl5RqVPoRbXVZ@`43@ za@mrO64LH)U!71n&3!etI!2LxMxxGJCBvTupdKX4gsrt#-vX?1eC^efxGPvZo_0dV zlMrabhdlkj4^SdRC4bmTA96ZW@`K*}G{T|pPsJWEd3M53a2X$X@j6Up`s2!`*9J7e z74GAf+N-qvyys7H>S2nN<$LQz#e86*YCh0wR9q}GqHy1Nv?@B-iXi;<@%r~=U(1)F z(kF@^B9x*bOW7&Ou3C@Mg_vjbPMv%4yny(Cs=q?^D5J43^x+h9y1=K46EaJBWInUx zTUno3(i@ogJX)nR#xpe`v3b+2HsYAfH%r+~&#u}Sw2{7e$k^lVdE^R_g?uhIL6_`*{@4%P}f*p}PDpH5BgqCE>X1_gJXWIP2?Y1hy!Dqm{!R~TI513+;1yoPHW39lQ8dvch^5(+uVcsw;*q$p_Xa4U zS$s1og!;Fo^X(BlGCq8IE!Y?o-1#<3F^I~CSlKF6SUI4nDAA8G_)yuLCSG3AaEEzh z@aIP2zCFz2UJ7}e@pxtgkBkpro)&EE91iY0!*~p$lBA&xm7@~f!r((ZzD+zDHQZqy z8NBBjaWaH^W|+qhDC7*|@tqMoGCq87YQe_N;o#197>_|zp4HHXcvPbAVesK}iN_)h zcbLaB1a}PKVt4|8((qXUWNZPXjtFro$bmtR%;UMpaGz_RkoZa0Y%U1{cVs65d^pGu z$idN6}#U z|NST$9azr)7xKTC(HfQi;t{lve@5$u{4X2D2h0C`BjVnWe;UYd$p2N0Z6op@)J&q8 z#>3p4RtEvqh7#ywZ3jRcFine{w2;lkRz*a6mPQvt`G{nMiDwM`j_bH3JRVSSq$-r!uVh0W@ zuoPiW7Cd%d>^Mga7FiWTU@`W@hmmu!kU+2U>tGQ8IaW9V?$itSEuf%&7^S6{rLhZ? zTnJIHejCm>C3wH0Q7mMAv?q44su?_eh<_ZGr9~IxA9g8;AP9le#kAm|WI9jG=AbI* zzBILSL2PXSKBTb1AB#0sXDkeLPR-qv)4JY$iTH}aSb*;snDwNu&wWC;?;|uU_pyXW zTG6^dD@HQJMj)oJTbcL)Mb1u!fEzN(8LO2hWrnKD!j^zb4x@^zW85cou!ji-DJAu3 zaja|^imYaZMgFK`2m`b*3u=sXV%Y_L{@b+M))2J*|4+8tZ~v2a`|bau?UpukXp9foJ*)Y$wc3x@i{DyWv-IsFM{vR|=Os_*kpPi1)Jt00_ zh=tMjbYW6D^C2`QT`Vgx%ahu|Furi|Vu0a|5$6X&`_x0juA8kJCKB0%n4(}R1dmS{_%$fLe$l*H; zfsOlEOcCkAK?mA*dMe%@f~{3p++4D&ECr1cF0+3IC@J`nbL|2=!&joBH@6OU3!t(T z1v9LngBYS9R5!VBcpnnJh?YW+eGsNw+1_X3yR|h&T3v`^$-eBQ5}mwQfxrzULC9$9 zy`a?8k5Ob+d!e-*AiX>pI(UdQp%4B(17onQ16(1r!~lJ~#Ac@Jj$_W6#oa725NquG zDzO(V_d`1-KVN9_dK@Iyzq6ia5ZQbpprcbP$~_d zp>TF!jOj>s?N?MvC^M9cCn3lLZs!2-w8BEfKXjuQq_eZm6~g%-*}PQ}`!|ryP0tl3 zqzlG$l!FfCfP%Y~c5THn*}zp81X-ok%iPsM{NbvmjXE`Jxe9v!23K^_X!4UfJEpd75;cVxpqj zsA}@PZb*?Kslj##*)eUwvFF#$^`>R%Tw8F$%sI$4WDLNAC-O1Y<@}DkfFfVs3>Zct z6>(~<0}gnf{xxgwWXCAvL@V5}hR?DandUYRahN%xodl)gSd0yZzKf8NW%gZUQa>Kj zwP-!b|MuG*?5y0AMQW_s!9`L0&!Y+|$P;`H;MqJ1U4tMu8a*v`hu z-K^dgS2nC=q8-CLuTK~YMU>B5Y3LG{o;k~YsYb}(T!DiCI10ce)Z%7cx(-V?-yMnir4Zg;Ttgkuzn&=7$Mq<0Rj1;5)S6ea7^TL>}ugK0FDGe(f$RJ3$C0 zpzI<~Aw?xLGrN(;VU;m1cw`n-)EkVClZP1})X~s4gz?rh)N|m}?6gtlU8i~C!XTZ(HZ;%$ zBhY{+hDG4ia>UUsM9;u&5`bi8Bde;J4w*boNzi49T*$P zth<-!te{+|785ALkynW&mnlT#>5xq87SIOI z?TVcl_)#x``gK37FraP6fAa`51wXAf3o}xgjgV++)U;-bV%FH!&?|QMVH?9pC#ry3 zqR*WH-QIqiwFP#GH}X)9UHoKX^Ocvi{YJ_m?et`o=;PZTkrF%$V0q85O8j;UGgpGX z^76|s=dqI`WX%N!s8gtvt}3O3`AeC-=zN+|P&ND}Xu|$nI)#l*^c1cSItM)nMZVlP z3JF#4FXBJwdmpFA+Gm|1<*}3JeGzse?2h5&%qeU$p6|kLI&v$1DAn|oglv-f;P$!Y zixBH4drZiI)B@W82D8U7PDT{o9joIWcKZ#xyR_w^`x5L-P_3C>PY;(m(ez!I{4#hc zJq%~tr1TKe>)5U~E7F2mIk1#Mv6wX1Uf02L8_JJ7Zucbi!qh$r6ve9;fdtIq^U>O< zBqWC&QX&YMgY>-)XrLNq$G`>~p zR%}TEz7udD;Mmu1gGjxH)xNaCXhR~vd6p5jB=-9EAT{gsJ#h@2#UdD88GSDHPR=K! z>S#<3`UgvN{`J5OV3AZE?Q-_PM`e#gf5o>FIArS%4LE+SQQBud{{<8X`a17CK?4Qn zintsBwcdaYdb!YI-`J0xdCxeUmJe7&I1@B_=CQIas({-CZTR;+$=EZt7BvJzOI0ZQ zEHNfFJMe=dj^cV4nTp3ak6D#*{u^-WJ{GH~G2Vzgh*?=f{%H_0X+F@#K8`C(tBl#+ zy}ILJ3H2AGw!PD#{E&E|aU3?N!%Ty-;3-?6A zLRK=|I&lf|w}YJPp?P9xV3|Q4pY8kV2{O|I%MS}t@Tgj3UbS9o%worZ824*YaJgQw zC%4Fj)fi^gaioel@X||#FZm`-gLR}s0OKpjbU5@$JRN)ifAUO6LJ9lei}=$P`WyVM z!_`D#>bf1;gJ{Zy(z384_%wmdS+?|U@f&8ngFZqoQ^uQK?_?^#nC(o+jz=62CRjg# zU*A7)i?ND{J3?YHc}C&|Sne2aJukupIZV^SL~S8#)XEQg|DXdJls=`CE&houT@hI2 z+W82Kr=LcUQmVQB3?>7!{)F^Fi#$D|(`y_L)y5n{EoN#kbV~jNO(No*Lg=lsW&wwH zlVFfnv$Y29QD?pe9ZlO%v+658c?i=N(XI)sLkoFG)Xn-i8Td2R>o zF;fS@(&{%@C1CTan6@HH0xAM~espr8+2@ z+d}CXMau)FLOKcc5uE3=?$PDK~g6edxl zX=XeA4RxWHFwWTEdc3F6{>|}vdb89)j{uIPH(r6h5447?E!ER7`@O|bQ<^OJNu4eJ z5KM)q+!ys%e!Xm2#z=FI2fRn(5#{0 zEG3dJA1a9q2jBjqaf)csrs5@&>f*6S6PvwWO0rNIhci{eB&F)jjn9T&LLv?OEhrm# zDxCKTW+li%L7**v=6ZnwIa^8!3gC#mQY{rM-YTbH;f9f)HFR@hyQ{_0(7-6cBtw4X z1b6=rtD`h>?JmDX?$U^X)L{%k&^m-}1x^W+eCL~s)N4)(a?~y#Di;}H z>qt6GOl}9+iSx$k-fA5NCG86z=7?I!>COCZnuK6rKs|}4_?02cn4yh#Etk)_;J-s0 zfF<;d=ny&71$Pu-fCGe&RA}Tr^%R((L7|Q|8QlG`re}VLhZ2P4im|Ti2?CtTn!~}A zJRcrlb_tP-a~Oy;P~_HG8@*V-sLVsZ zZ7+NVWckFe8S@b%thU3e`{7e=e*zAgs?v-I%o?kLQQ<|ZVpbdSxQe_P`}Wgk4)iVj z50VXo2PCl{x#g{5Ph~XBZm2xqtN;}PG{<0!!>qrI&tlV|tQ*(j3=77;>alQ5JQrrBciz)$kJc$l zIWrLg(H_n*$>TuTbupwD>w>;ceLGf3ARdaeJt4pkfvf7N|C;O-72zLR(ymQS#9(dlZ%sn{>k)e7h7h6C!g zUrX!Je7j{+ z9ylOCwR;i8tVrb0H%EY0F&+e8w$x?A&V)Hk@MID`z1|jvm^3RRS1BoXVtq4>b1jEXe zednZ>OL@hKLY}I!=NS zzJF!y>SNUQTvYX4s;Z+=QFRoLdnU^CYZ@lqL3f@!LL5fMComl0qmoRmgJFCw zBsOPeLG>0-_|V1CB|L2Mq!=4B%)KpUA(9q8cJnh3GmgzMz=Z>Oz99%!Ud`@wLrC2z z4f1yi1V{!Agk=jM@fguG(0&DEM*9Y#k`O6Ma`2G+opOKrb<0IV#7Uf>;sJzVu5$=+ zUo@P%=>D`X;^YYMIX?p2pI#pYZt?49z2pA$yBolvM1PQhePlSSt`+X2aYlco(R|3D zJ@W9itU?VWT?E3|-A2e9X&@e(W0b=FK$4?bEy98&9HvQO`VuBBGU6~@98lc69;P~A zIs{zfKq&kPE6?9y51N;Wh}$wiM8E)uSe7uE55=Cz!agJS6D;D*udS)!n^IV|CH3-)g!-tna4E#@N*0UzZ+Y=B?~#ZPyz zU|9C|q!R!(Uvq4}UMylrI77#DAy=FjLn8@!mf#`Da^F3O2c90T;{mo&+;{ikA%q^j zuFGK|pGf>0fh%ad7xivGC(K?cOj;?7T`At>TB9?{F;bN4Kd!sp=An3pr#{!)2I$F$ z%$Owc7!%+liQ-IHDU4YuPMR?Z8&OfSPP*O>fmnb&=si&%*=!$8$C#siu7SJEY#b~2 za(apG5Wh)?uG?%DOqo9N-d;eWQe2_rHRWIygId7d-)? z_&8;H_UakvN!5(){7Sk4pu7PQhDcNype7xX_->>98ni=_i;xI-AW_|A#I2begh&fc}cfD|& zAwYO-wg?d=7T+!f{55Rk1oAe)QlMgzhqOw^@4W-E`F-kc$xI-&DyyLx^oV(z#^_&s zR5NHgVjpA!;5xiq_;mP{#M58|LMJrBkK=Mio}m`6-ySKmV1D zD>wjdIY)s9dNdfXFU8>78*V8MG-KvvU;hu$Rt9ADSw#lK!H0D-x-2FF1CEPLqp++Z zjsh@Szr{k7Rsng}L83uB8L@CK3pqmQ0g}fuW60Q1AT!69WGIgRe^Ao&t^O=x!Ny^FVDl8S*6KjOi8Eeu3%!>6}*F^(<4v}5dmuO|=kIQZ4* z@rWN{z2)0bLIN109D#3RvV~6uNy&~p%z(@Zh+WvMA!Z}dehns`1F7{9cOnT^|mNRLD>|G zv4JeZm4pD!55Y}!v>8NZZ{u;$aBn#~n3|HjGyDG5BI6eIt|&9;9+$#yd7)srSZWUwfTOy4!U;48~kgUu`k z*!g?p&}W35Iee_4(vG1}a3bO3JA3;+#@YIS=G2{tC@TeK@rPo=RAmxkqXux$S~D-C zE)CSZdiKR9wZRcnF0SPy6i9KyE#YE%x)*MlgczvDV=3XMFA!XEqsq&=#P9yHgowcRq#;MhoeeqVLOG0#HiST$2UX|$Q@&}4@}?D3AUQ%x{ts2c4S13=8J1_0I33heDI`4FWg zF3-kNZBr)e{mNMh91cB=27oaDd=id4Gdnv|83hp5&caM}GtmdyLMa@x9hY1ajkBEO zE6VKq6++-7#2$TsLTQAU28U3Z{d4M$tm_dW|Hp{ShKBQu2+lI9LxUw7GD0FJ*<*u- z28%K@kY~Wpt)D0k6Fo4!VYpKn9{#*Z86J*#>>tuFAY;o@85aVc8U~Rzf1X_Pp-_mC z=R+k08XQ!*$aDrW%YqaxsVF3P+3CRU?)+YRq(QJH*Gx6lkpRsqPThBxlC{xMAdRS} zIfq7;_E95?dc4bPctGKKhRXAYaJo#}cok!?`1vT-!0_|nCp%j- zWM|7^3Nu-mig75)6*^npzhzxsExysHARJ)MMoN}F_fB|6L% zylfFe;}P5{9c68j*``NMjPtE0D-G5&RkSGy8s;sYaMiUOeV1jy|I`D%Q=pIG0E|t> zX-P~ifdr-ztibev78FId{* zpB`~p!pi#4QFA}6>m-VOD|=<D3ohj|OTINcbRFXAH|Dv+=M7=T>qwZwPxk~4WM?_qqP z1wsZ-Dy#(J7|~Z)f}0~Q({_`6pqoAys|+5!eEu-!!Vp#k0Zyi`2c!^6ACtMV44<6? zTM9j?;fgkt`_iMB*0F)*wZDk(E^JgaKf*jv`XKTFH|PtPOUVtA{jA6SIixdiE9$8+ zlk-yx_FJ!x6~bX7QwVikjTH4Ow5A& zjH&)3u?=Fn;Q5fJ&_3r`541Jft0D1@ICP)4ka_)@PgZwLy z^K9(i12JCm-rp*uOm%Oe&p@sx%!8;;m`ftN^{(rwrl&n1<+^U)JKlADo9XEvu{Wpb z8S*(=66SBmh_kjN!rzQLaXA(=O;3}WxJEY@&!A?i|2)4)RCL zxc`2EBzSORC`ScLAZfc=xC^rTDOG4LaUvQ3!@Dvv!DY8ea4Ji1TyTOQ(hx(*{Q*S;=h7@s_rcRF9{hhsvI=#i zs^#b+!~nO*k*SV$@v+Lw_O2bIy2vmqb&;mlBe_m2VD)H1nU(Oe)3dRQG80NH^dgGs zDbk&$vVO*o2RWR|K8jk%*pjh1YyC|SQ&WUAxrN-03HO4scP-p9#*_A8G@KRJzlwP7 z40!JyljHXQo$j@C4_}+(?hiNB{S*lPazN0${q!Bxrg%igDo+)tGT||N=X~4ANOnV_7(ScpaYMKy5Uomv2dl#XGweUlvy}aJXx_IZu+Q zt`lsP#K7q5z|M)Tnm0rD=m+g@qU)jV($PS$h=8=1%#{52C17Gx5gNA;&r;AgUVAh4 za$kfR3+#Jdr=jF?Y^onKCTGAqZC{7p-k+S&CF=F|nBJrMq-h?*$d#D!J z3W4|o%@S5d;JocUPS~1wp^0|)*#2MQyHF29AK~OJOJ0F?Q4Iv(XDOQ8Mr&Y7+*b?T zDrsZ0wE3tc9+&Jmzh#tvpZG4+(0UUu$S-S8AcXH%*s>spyn-nR%-G1_BkUb{o+rXQ zxgmv~6v!(sVT2lo0qT68jhoqT<96dZh-(lRjQVpgk_WN;ri@>@T51A(ALb&RP^RVKFGE5_30mL;H83n!zG!;=sPqnI2!Bj*vpECjCcfee-Og@& zUwDmc3OyUIJ$@p?Q`75xRcHL$TbD2kwG6@{@;9a<$NM@^xo~0kBI*nkT3B0iEwHo_ z6U67sm4L_5Dspps?J>GSPogjmc1RHm9)SY;c>iS#B>=bs6Jb?rVxH-B@fs9Jm!de_ z&THs^Qz5{Bmx(^cU@iReb}%k!P-)3qAmL{Y}u#Fm&tvLtuUY;qt8Yk!*X(9jL5u++ zDdl86@L@tZfgF7F0J(ES8_*@VwuPdobL8{%sjullsajC^rl)>~tYW*&^vplggU{ms z74G<6sJ%{`VKs5uutL^Dec69zqm#Bfl;iFSQI((`znKyg^i#v3kxD91ihe57fdVt6 z0Y&<$suq;GZOAmCLV9SqVZng+uUJywR22%{k*%myi?5H2I@jyn&|+v@-2$5?Z-9#M{ zrcw8q-2an3UiC-S&Zy08A@pU?MSc`<}10^C8XD$uNHGo!x8y(j~@t?H@XPeISECw)kVA`#x1} z$tsk+)x<-t#P@aRAf4^#wSTB1+tZgAfzLtU;au^r@NdnOf2`h$MT$=Y;xxDOQxtj47Z4mopuh^->|by$IjS&V|3Zc>l}~8_ z^fAUAs-~(t3#E*YBiagK=_aGgvnE8!_}sH5tkAPOT)wlg?~^+NWE3COiqRA{iClqw zaEb!!E=>P`wGU(Fo6kYQh51k3nw()2w$;$`{LTJy=<{Mv(a6ANSkpv;9-c5#u(#Stt{=+ zf!PLpC7nL)&3M*7WeNtKj2HdmaBn&^9iOOQt_%bytjqt>9e{NZ>^y@NHCK|r>yl5p zlW4XUJ@rG`JQzIUtPo7DF>HT+@qJ*G;DZ&ly7s`&BBdsC7HX=k&v(IDMj zFpOZTi=gJ2aS4_W{73G>p^v8hgf$OXH^un{!)YR%$eZKv{%VHPML2%wo!o>D@6Tj7 z{0!jyiEuKy-7O3JPfur${x_7nyJfZiM|f;XAiQ5Hc>Z4w2X3LjA_{aN5F39@&(TX2 zdnwu~DCH54f~WH3)%QEq_ipt)LVa&n%kMfWkMNt+a9MqKsNomX_xGr+45LMLL)J4Jd2&S+!gf*FG15%~Y@&6xIz>)}-Hw61JV5~f1{3R2WsADPWRzgeC z((3YOAh4;QfV0%Nm;Arl1eoP+z)VB*8VOcJuh;$pI~1O!5$-66T!|i7>x=3 zXrgxyU`K}V77<=L!CnRo-Shk3_a*Rs34C7y-nmI#b99^;*Hm0_xRP)!$CZPt2-i+r4Y;1g_0PE8!1X?^OSr;7 z--7D_T&18@MCsxBdt5Kz`Yo=vaJA$50M}Qz2608j>$thN7UNol>k(WfxOU=l;d%TwSKaT%-dGL9|1gSp^eLWK%o)&ppCQQ znvw_}aA~~#5_B})4k6w{h<9nea#7k>@Z66}i+3~L7z65`xM^@%@{o=DmsG@Ef^sOY zTC~VnUietCV5xEn%6nmcaj6DCJcjdFet`zs3K~lgmuP&p*fvCdKj6=THmBpbdjU51^pO&{-3Zb z_`kuuxNK*BX>ox?jaN}#RV);jmmwwPNA(b~-o{PGwH0w2aNm#nKjzyw$77Iompxuq zUR`E!?%L+82#UF_YDX8?9{NGgkF$jS1C+y+Ul_-QQNGNaK8ynr7g9!=Qjxl(*P&4HCtwme$7^z!lR#AxpB`mD-X}vlsj1%82y9E zXZ1%E(!DlrJudpuJKfJbZKE6a8{A*RMexD>HZJ7v6ga(a#dC4cJK-<+dnJ4h?)Tv$ zJc9p&3g3sjTX}BYt8=6v$Q1~zNLg9ss4U;+tg0%nT<**l#7d{5(plv!6M*oref=8y z>csg(#~GiHkbrw$-ip<0m!+)EOSh*u@-pqK?Wr5=X#~&6%t~FqAs9~aD#bFPc!$&C ztgI}rv~XNxILB>Ry*4vbfzT_LxpK{lpjR$!ZAQw9N|4kcxB~+#aUJ^ZZEP_Rpf7TS_+YNX?b;VP{e#{gv0S3 zxMjR5q2M<07E-(l6q{vdait*Ums$$NvTa1$vMs-~lqEoXEx0B9yz(;Vu43T_`2yW6 z0%dWCuX)K7Z#duO6;2Q?FRLm?ITSc|7H#Ag@^jPrah9P3%gc74?SUS6O~uQHE5r&0Q{JY?xeRo- z{O!(V<-4GmROPHpoPU2oX({^9?lN5Sa3yesm8c@5Rk)+P05>kLXm>?9I%VFr{Ku;B zURt1pBe;;l3l%VjA}FuK`!;7WC_(`@zl_^fUd2@vmvL23R8qI*P-A7(sp-z%34z`T z2|s{~=ngQu1I+HF<=f}c7=SxhfqW<&5T-JIJ<*LFPh8r&r^m*5anV?`KaNVrl9DvhLt2d&tRfSdDf?mUI=)IuE)#{CCyrRN_`3^3J4x%?I zzr+)jL$?~2-swj)IIJD%_X=p=tX$&|zDvbni0U7rXB!148V(iKjc4r_%-?8Ql;-|X zbSUm~Dl8%8=5*2lzZt(pfD>=@3r@Ei^g3`gk4lUB8;><;@Q-N3sIZ&GAzYUKQSpc; z2VgfVf8tdbg-?CY1z5)@IMFBk7e~SOgZ?WjjGnb$a6VrFoZ`|i7~Xx0bSNMEUBk2} z9?^(VVI8-i*AMv3$~gz|Y`AV#&K0B5AsQ|fcC$Fd3#D;rR6OFTNrlBMyEz|S2)`Lk zs_*_$^oRyOT`7ZIc-DTw<(Hs_YhhHz>7!s2*8$k*yi**)7e?VHQ2tdIeN#|g+ehJ0 zT>23&uZAeRy@fmBv%CPGXqzoc7(-U^&#L$ujP8U>7o|n_xKZ%{;wDV9G5Yvg;c{*g zPB_HR=ONe@#IHxa8}!P1w0e(K?+es>8t%k5rTfZl=xz$nYGT@W5OBZb(y;Ya)( z3c`!K4c=)LkIL0?8@z7d5#8&!Q(p9j6qYY4(}vr`ivb?}EJ659z$Y5*qv8>L!qx8d zOh3Y$8`OJ&dKc7toqB&(y}yXNR&PbOq1zmU zxBoVHp9kSJ-3E^j9Zt9FHh6PYJT{ikx^=!Cz@vO`!kx-+n;MVm&32o3yMRYOmwKmu zOFyDTcO$Oud>cKoAI$^w)553XId_STK?@KS-xq4M;a8ut~v zYxU5rhOtONe~9LDYQA)U$HXdW1);Di|s4XUyFS4Mr&F z57Bgi4-LdqbBF+1c_+r<3CnLe}ROS2^v&;@MLn8&m-fM1o92 z2z%E=Emf?ngzQBF{*h5x?@TF${D^y%y(!O!*>fg@bR2gd#U#lH6R2?9U0iBud6hFA zjFvjN=Rz`_g7TbN4uK35g$X}XC{Pi&IC@`RT_Ql92Tf zVG#o4i4;ogr4$rEm65{T>IXoL<*Qk)J{K{gI6sB;` zP>5Q?c1V<(SgEHuA*nCl&ArCnQX$_HP%@W8vJ@#LtMn*+c4e{PWQF!qssVa(km!uc z;1AsLQn9LtV9Uh9LNp&+1-}DdlZh)5yWkP$`{(aV;QJEz zZ&?Cn8+R|RO?TP28eG4|^%q=sPPcJ+xSqoGCa(4O*|=wM9meIuHSvBMw+vS~u7AhX zhwJViV1L^RTpRXYm)W@Qw6zopc3irRdvU#u``|Mh_v2>UP*JbU9De@CAUGk|*RNl@ z-m=J2Tw3bfjxCSM@*S2c%*b;sW#W#-lr7|$a{EyYz@`nMsEcolh+*G04)Mf;m^ z8i6?!ozWfQZ~fYgIH5d`Ccf>?$~dvCs(5>uvmh=dEp7drIV!)S>9N2eTGjd3yQ8fn z6mc;&Ot$Aa3${DsQdd9BqTk35D>Ev=?anf1C1z(-yV8Q-Y8TMyq7tB%OBNV@i@=C$7Bfr8DH_YdK_f=HpZ{Lw`5i0Y`s!CA;*a;nx zKdLDf9e-A?S-ByP8imRzN-Ym7ocUFyJL8JWs)T!n5ay_HSh*H&8%|b*-z?q2LX9t? zg+_y|zQ-2iRn0CSIJHBq9~EM)qG(NE4-#jWh$Wyf+W7+3gO7=VGl*MsFO_6z{$tKk zv|bApAMjDyC@=KPLX?2$9BKzt@6;~TcB{1mt#X12IbjZUE~>qtwxi+QvfouG-DtRD z3^nA(oZE}31}qpMwwEb`g@%k>@I37M6>rPiiOuEm%D8#+w7O1E+N#>l@4L^Euxob0 zf*j-n`J9VO?ai!=@QOR_U}Kk2u%PFm2=F&AW&Qe;T+1R17F6WG5wK(n3PHi;S61fl zj!U5Zf3zS%v-pSMki^>9=j z#k*M#Q`!}UD(%F|>W1EjIxf8JKTi_+sTLQsn%PHx6G zjSSRY)wW<+pOUd`L0%>s7%Y~X^?1q!=~iidlG+kFWzc}7)lH=n`Zi@2e4^sB9zf!O zu(5?-q2Y|2WwkoJVWw4R#1-GWAU;tmFTBj55}TQWpEj3L8f=!nXLiE8oO|dMKN|cQ z7dNLs9e5ri!7+4YMn*Q{aNSc>yuIkY;yKF1OyQ($Q%rq?byY$sb(U=xitd}ovZnTL zZM0GHv{U__Rr$DSTNtKv?*hV{P+xr~pgKE$2A@)hv#8T{ZI`7BQ&sm9~9EET{l$0n_Lt(=O zGjHAl3$$RaR8fy%@d+QpytZ&Duv9~XNNwYm$97vXiXV5b0?zCLj)RuRS}=+Z^cd*^ zl|yP#O2bNpD3F?s7G8;|rwU>RXF*V)8n;p?wLs0qSvps1cUUWs_74rbH{ziBm6?K# z%WC*zOt%TM`dl=Cp`;LhXkI7XB}HYZO01wVbh5c$3s+dSlr!B$rdc!sP2*!530g=4 zNiBP(FEo4Ga5@lgl@_r0OqHv+36@8pDqKU09-zT*wopp=`4?GgPUt?jNo;(5Fe&X~{3G&fi^SNm9N5 zsv%jVs^PFk!JrsiU}`BK6k{TV-K<_&xl`)+4hW81k&p66)m2)ku`QzUu7GId6qgp4 z?fT#CoqJdnWgf?05R6<gZ{`S#F#zO`Pq-Y+_>IFW_?WiCyX#Vtjbo(dxZ~? z%C?%uQ8&W~Z6b{Os*W`>-)tBej|=S&_KU`e#lDbXf<^L`n@87Cyjf94g&dObguRziPUcXQyu7WK7Bq}Dd4 zUV;99rnVy85Gk>)KGHWO{P7|>7C!3Ulh1cjD{81FM>W%-*2tPS>8O!&R8R249q6e8 zQn}Qr>9bS)7=d?3i)jSzz>p`)I?Ixm5byg_Q!2BZI=9!ej>(GkY%*U$GV7SEac1;n zQu_;?T=`|r(6z=3kk;aJNeQ6$QfB-_)X5V(HAR`%wYOg^sQ+kf^on8!NiJ(|caUzs z7+}BC^4D~_Q^_#Re)OwlH8&5YdLLpW*)8tdO>$~ZJhtUGKOE2k%yPp+yU+YcYr&<9pDad2e<>=0qy{IfIGk);0|yH zxC7h)?f`dyJHQ>_4sZv!1ONXHP<%iW1&k<(vV#D{F%T5zKuU2H6q|r}3*(Of_l8nz z1I0kt1B!Pbr7}{Acc40o%~0!TxekD|AE4z@JOq_dJOsr;5L7-CQ27L9-cOkiQ08Yr zsm~mxJXlfOLT+6Cd?>AZnNq$SDu6gZF%-W8w5_xsv^_dN+pJeK8A|mjfa+5LZBHf` z3FtSvXg(Lv_U;9=z5+nyM*(eL9iaMpK=m##h5RukE2Fn4#oDPOi$i=&8pX(HnMEDd z-&Esg$O@!Zy48nNDOV$P@Lbz99#yz=7K{NCz$74nX&?y90Wsh$upVT9ec%|V1C8Jz z=v6JTr@%1a59WawkPPxb0XPn-!8LFXIDLk@ZNW6~GKd0ifDIrA907j?--5q`$4}tN z(ZCD%gD?;SGQc*l9~=T_!8Py@IMv|k*I*);4d#IaumR)&HL1@E#!tf;Q`ct;Go9L! z2D;S)L@S}-S3m;oI0XtOZ+-Q=M(gJk$p*4%tq^}CuV zG>1t^I-?e%{qa2wdjz@K;#L1pE|L^I+{5;MO8X;de=4szZ!o)>cRg>xk1szd*VtpW zEn~AAe%l~0ZNnQ4<1E{sJKxxJ7taw*QnyTQG63?x#gAgI*c~XvEByeac%|>4PeYrb z6yJ0aO8$Hf?F+4i(vYu&()FMOO4oxApx7K%1RVk`gwl0kFI0f;hSE8-6H3Qu3v?hf z4NB*>4odM;E1`4_#zE=0y$+>wc_Gvp8VVf-oeOn`&VqVCgP>W@AR{N8qsA1+t25rP ztJaY2wwCZJrxUi4nq~LwOnK?g_NM%-Py3nj9zQs#dENG%gVelGQtB$_ZOUrgGR9Lr z_eO1WnxDxD5*^SnAZW2S3MinL;TeRe=!+w_qKbELavyEQlT zPMh_~A4z4tUl>lcPk(x4#W^Xqx@prlx|+aa+Y0?k*1j1s+&letT+=H5l1mwlci+F? zlC*DjK<>4KE4BX^IVM&AjAn$R^S(O=9IsSW-qW-ceE$9Imal%3n0;z$RPAS(eGLb8 zyI$Ed%^}L!K3=~rKB4BN8KM5o1>3xRCVY74M(~V+tKpNY!hJU8Yz=DL)c(FXJMshJocBJtUZZenWA)G zTrs&%{hl~_xg&-x_W5#V`i>Z3;61fo_3CRRuRi$#7)p zvV*ptOkCF@zA(AOKk@1pS!I9wTC8YmtBXkO|4!{+n#D0e3%;6BS(=r7tw!8h8SE3U zIXR&8j|auZCC9S7r|ut8d@4)yaId~xa?EFJ#KuH1B4qyYq~hRJP9JN<`kQ0YdzWrq zJMg|n^bqsE@y;Lq?V7@8#nnZ*%_WOhO*?%lWJ=_Fy=&d)zLV0Psh^yAZ<1?bq-Vg` z@*>}%>(Bf;IdI2^tgYVXVp^!3Tk-WP>kPeos#B->KX&8~@e?n2O?qL)lV{y8jJr8~ zOPIIe_od~pHsmK8@(z!?{Q9B(1#@<3<{xz&=0qy{I;D5=1e*=82>g)gj diff --git a/Computer_MiST/Laser310_MiST/rtl/LASER310_TOP.v b/Computer_MiST/Laser310_MiST/rtl/LASER310_TOP.v index 26bd3a52..9f7eb03f 100644 --- a/Computer_MiST/Laser310_MiST/rtl/LASER310_TOP.v +++ b/Computer_MiST/Laser310_MiST/rtl/LASER310_TOP.v @@ -44,8 +44,6 @@ output [7:0] audio_s, input key_strobe, input key_pressed, input [7:0] key_code, -//input PS2_KBCLK, -//input PS2_KBDAT, input [9:0] SWITCH, input UART_RXD, output UART_TXD @@ -966,19 +964,6 @@ end always @ (posedge CLK50MHZ) // 50MHz KB_CLK <= KB_CLK + 1'b1; // 50/32 = 1.5625 MHz -/* -ps2_keyboard KEYBOARD( - .RESET_N(RESET_N), - .CLK(KB_CLK[4]), - .key_strobe (key_strobe ), - .key_pressed (key_pressed ), - .key_code (key_code ), - .PS2_CLK(PS2_KBCLK), - .PS2_DATA(PS2_KBDAT), - .RX_SCAN(SCAN), - .RX_PRESSED(PRESS), - .RX_EXTENDED(EXTENDED) -);*/ assign PRESS_N = ~key_pressed; diff --git a/Computer_MiST/Laser310_MiST/rtl/Laser310_MiST.sv b/Computer_MiST/Laser310_MiST/rtl/Laser310_MiST.sv index 52beaa2d..029829a7 100644 --- a/Computer_MiST/Laser310_MiST/rtl/Laser310_MiST.sv +++ b/Computer_MiST/Laser310_MiST/rtl/Laser310_MiST.sv @@ -72,8 +72,6 @@ LASER310_TOP LASER310_TOP( .VGA_VS(vs), .AUD_ADCDAT(audio), .audio_s(audio_s), -// .PS2_KBCLK(ps2_kbd_clk), -// .PS2_KBDAT(ps2_kbd_data), .key_strobe (key_strobe ), .key_pressed (key_pressed ), .key_code (key_code ), diff --git a/Computer_MiST/Laser310_MiST/rtl/ps2_keyboard_glb.v b/Computer_MiST/Laser310_MiST/rtl/ps2_keyboard_glb.v deleted file mode 100644 index fdfa25d9..00000000 --- a/Computer_MiST/Laser310_MiST/rtl/ps2_keyboard_glb.v +++ /dev/null @@ -1,227 +0,0 @@ -/***************************************************************************** -* gbfpgaapple APPLE ][e core. -* -* -* Ver 1.0 -* July 2006 -* Latest version from gbfpgaapple.tripod.com -* -****************************************************************************** -* -* CPU section copyrighted by Daniel Wallner -* -****************************************************************************** -* -* Apple ][e compatible system on a chip -* -* Version : 1.0 -* -* Copyright (c) 2006 Gary Becker (gary_l_becker@yahoo.com) -* -* All rights reserved -* -* Redistribution and use in source and synthezised forms, with or without -* modification, are permitted provided that the following conditions are met: -* -* Redistributions of source code must retain the above copyright notice, -* this list of conditions and the following disclaimer. -* -* Redistributions in synthesized form must reproduce the above copyright -* notice, this list of conditions and the following disclaimer in the -* documentation and/or other materials provided with the distribution. -* -* Neither the name of the author nor the names of other contributors may -* be used to endorse or promote products derived from this software without -* specific prior written permission. -* -* THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" -* AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -* THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR -* PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE -* LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR -* CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF -* SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS -* INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN -* CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -* ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -* POSSIBILITY OF SUCH DAMAGE. -* -* Please report bugs to the author, but before you do so, please -* make sure that this is not a derivative work and that -* you have the latest version of this file. -* -* The latest version of this file can be found at: -* http://gbfpgaapple.tripod.com -*******************************************************************************/ - -`timescale 1 ns / 1 ns - -module ps2_keyboard ( -CLK, -RESET_N, -PS2_CLK, -PS2_DATA, -RX_PRESSED, -RX_EXTENDED, -RX_SCAN -); - -input CLK; -input RESET_N; -input PS2_CLK; -input PS2_DATA; -output RX_PRESSED; -reg RX_PRESSED; -output RX_EXTENDED; -reg RX_EXTENDED; -output [7:0] RX_SCAN; -reg [7:0] RX_SCAN; - -reg KB_CLK; -reg KB_DATA; -reg KB_CLK_B; -reg KB_DATA_B; -reg PRESSED_N; -reg EXTENDED; -reg [2:0] BIT; -reg [7:0] STATE; -reg [7:0] SCAN; -wire PARITY; -reg [10:0] TIMER; -reg KILLER; -wire RESET_X; - -// Double buffer -always @ (posedge CLK) -begin - KB_CLK_B <= PS2_CLK; - KB_DATA_B <= PS2_DATA; - KB_CLK <= KB_CLK_B; - KB_DATA <= KB_DATA_B; -end -assign PARITY = ~(((SCAN[0]^SCAN[1]) - ^(SCAN[2]^SCAN[3])) - ^((SCAN[4]^SCAN[5]) - ^(SCAN[6]^SCAN[7]))); - -assign RESET_X = RESET_N & KILLER; -always @ (negedge CLK or negedge RESET_N) - if(!RESET_N) - begin - KILLER <= 1'b1; - TIMER <= 11'h000; - end - else - case(TIMER) - 11'h000: - begin - KILLER <= 1'b1; - if(STATE != 8'h00) - TIMER <= 11'h001; - end - 11'h7FD: - begin - KILLER <= 1'b0; - TIMER <= 11'h7FE; - end - default: - if(STATE == 8'h00) - TIMER <= 11'h000; - else - TIMER <= TIMER + 1'b1; - endcase - -always @ (posedge CLK or negedge RESET_X) -begin - if(!RESET_X) - begin - STATE <= 8'h00; - SCAN <= 8'h00; - BIT <= 3'b000; - RX_SCAN <= 8'h00; - RX_PRESSED <= 1'b0; - PRESSED_N <= 1'b0; - EXTENDED <= 1'b0; - end - else - begin - - case (STATE) - 8'h00: // Hunt for start bit - begin - SCAN <= 8'h00; - BIT <= 3'b000; - RX_SCAN <= 8'h00; - RX_PRESSED <= 1'b0; - if(~KB_DATA & ~KB_CLK) - STATE <= 8'h01; - end - 8'h01: // Started - begin - if(KB_CLK) - STATE <= 8'h02; - end - 8'h02: // Hunt for Bit - begin - if(~KB_CLK) - STATE <= 8'h03; - end - 8'h03: - begin - if(KB_CLK) - begin - SCAN[BIT] <= KB_DATA; - BIT <= BIT + 1'b1; - if(BIT == 3'b111) - STATE <= 8'h04; - else - STATE <= 8'h02; - end - end - 8'h04: // Hunt for Bit - begin - if(~KB_CLK) - STATE <= 8'h05; - end - 8'h05: // Test parity - begin - if(KB_CLK) - begin - if(KB_DATA == PARITY) - STATE <= 8'h06; - else - begin - STATE <= 8'h00; - end - end - end - 8'h06: - begin - if(SCAN == 8'hE0) - begin - EXTENDED <= 1'b1; - STATE <= 8'h00; - end - else - if(SCAN == 8'hF0) - begin - PRESSED_N <= 1'b1; - STATE <= 8'h00; - end - else - begin - RX_SCAN <= SCAN; - RX_PRESSED <= ~PRESSED_N; - RX_EXTENDED <= EXTENDED; - PRESSED_N <= 1'b0; - EXTENDED <= 1'b0; - STATE <= 8'h07; - end - end - 8'h07: - STATE <= 8'h00; - endcase - end -end - -endmodule