From a30aedbe09db51d7f2d76f8b119ad15c5098cb82 Mon Sep 17 00:00:00 2001 From: Marcel Date: Sun, 7 Jul 2019 14:50:28 +0200 Subject: [PATCH] Fix Colors and Black Screen --- .../Capitol_MIST/Release/Capitol_mist.rbf | Bin 272844 -> 272788 bytes .../Capitol_MIST/rtl/Capitol_MiST.sv | 2 +- .../Capitol_MIST/rtl/ROM/col_h.vhd | 32 ++++----- .../Capitol_MIST/rtl/ROM/col_l.vhd | 30 ++++----- .../Capitol_MIST/rtl/phoenix.vhd | 29 ++++---- .../Phoenix Hardware/Capitol_MIST/rtl/pll.ppf | 1 + .../Phoenix Hardware/Capitol_MIST/rtl/pll.vhd | 62 +++++++++++++----- common/mist/osd.v | 5 +- 8 files changed, 88 insertions(+), 73 deletions(-) diff --git a/Arcade_MiST/Phoenix Hardware/Capitol_MIST/Release/Capitol_mist.rbf b/Arcade_MiST/Phoenix Hardware/Capitol_MIST/Release/Capitol_mist.rbf index 2e0f1e3585d07bccc8150ba53cfc8cb05c483044..619cb3acffa045b179b1fc79a75cd58469efbdd2 100644 GIT binary patch literal 272788 zcmeFa4V)xZdGBA<)4L3aSXEO!v@76LZ#6Cm;w&@1-59ERs+SSh&^CB@e`cHq?0TzJ%qt1`Rc~Mu9o&Xt8r0b~b#|pyJ4+Z`s9gF&k`zotCi*y|yD-Fuu zPlL`2{6{(#^%eJ3MtF+!$9pXB9qX~Er^qil1jQIE(jV=3r2I-RU^Nb>fOXwp%jwAEnmEPt=Quj}Qz_H3;@tNF1y&ohBv1B9Ug91G4Tnn%146nMl7K|gJ} zz6cOaI`0Ri36y5f?D-^6>jB*oJ@@4BM?n91|85MSET6(8QuP)+N?Akl`hb^(h5*oK}S(vF+Pg+s-AvO8TF0m3W1`$@~970 zUTJ><6#aiUptOEaS^-76@)zg*bxKwWDCjEMpnRf9Wra_CybX}d+y{IXDC#-V@k>B) zuc%+g%Ycy_`svZRl6CxT;B7zzh&Gk^G*G1Lp058o2b~vCq^)&qt%92X@mwHzuxFkp z8Uz{>0;P)|qF-aK7+-q(e%DDnzVLDv+Z`U;wgV?l$C1syuC0#^V+DLU=|bQEr- z6?GiTQFUJhh#vL3WM6e02~NwQxUV)`0-OQpmgv;ATL)xG(4QoY77cQkNR9tj9VQ=i~2|P z>G*a)r0AaHRAnUxqNRYN$;FZSNcH!F>JwOs?6*y^-yhFGR|UVsV$oJW!Snv3_}>q@ z*MHqlgU;3OYtc}o-2kY*f;P$4zX1geI_mn-V6{Fe#!7K4>O0c0sIRy$+SRrqUF;GK zN-N;eXwv`$6~mL2d89wiGSXB)UaM0l$%hu0^9{RO3kX>G)AVwCTJ6rAa3h@Z2>(JX2i- z+zLov6xW)M3fk5>-VfXeNIrF6^HY(g^t%Az6pv+-2+jwFR)NwA&@Is*5FN4yM5pGC zcjcgYNApYpx>ua*`2XdwI!$y4emaNEeNfcje-!f2Yq2|Tc!UmE4EI@iyARA4fbX{w% z+6fHj@T-8XzX<3!4Tu&U1v7w-1%0Af_G3YZ_^b0`Y!uAUwe**cvWKM81RCp42W0EY zUMTEp9q&B~tk&^g0hCtIQ5;oYKNPeTbcyf311L|yE6qRRgFxp6=vsW~KdwG6iMl4bpD%wjp5u`&4%U1zpk^9{_axr5yegcux*RnsnKY9K?fn0y-9; zTRImF0?iWw(Q8v|TG4mnmClQ!>@uBS4x9(*-pc@`6?5iu0L|wDjbYgtl7XW^QC9qr z97vWV`9PWT7bx_Vj!G}ajgD%QK`}ME-PWAUgQJ-ikph(kE^$2t>n$(6r2P9Vo=z29ASOpIN{Ym{a=v=Z_)UTuJ z6X;wzO!6h&DqSPcxc?hKX$9!|(Lg-v2aQ>c%>q>4TJSwUW3T_7Yze_yX-a=E2c16_ z=*M^b{5S`WbKp1!j+Fz_f6}Kb@-HadoETeYMf%zoi81Du@AdBdndOTodUj1^!xKfZ zRKEA}_R{&%Nw#$M*iL%q|L9{YaJ1Y%@KzqJ@}u2bf5NK7hb38);TFCdy>*G5{`2l+xA7&=TsmzOP#a#L~bj)Epy1x?8apZ zW@%kD#1UW3va_HJ&X(FHHwiyii|?p`KKV$4oZx&VVjaA7Z`|GdKHu59r(Qa{(+YxaK%FHT12*dq>I?-V-m7 zEsYjRU;2y*MeFtxhBe32hVXGMOsF%k%_NXjcmEqrmW7(=YkLj{^ zf%eQr`gdv35rH@)ta5i`Pn`y|(>Z*EKGxeS#L=5!;K8Txpp5n55{4nIHVH-2e3fG{ySq z$^&;I^6Lcat-{ucEN9(xtBGwL_>1-FN)!Eg!~Vz7NE>21tYB}aSwlZ8{c$Dy-&fSh z+JgC^@2+q7__)>mnB?O>{TMf5cVPI*>p-oSz&Z|-(%#3^`A5#2xc&w2$7XWY&A2|2 zHSRliesg^_z>!<^81JAn*UjnyM;IqZNyd)6v-0Gfu8u+2^kW$QhY{J`z*?V^R9ML1%SYq~%JR`2j2p`+3ofyvuw_ftC>v#QBw14r^E$It`Q3BfuJ@6fq( z_;~ctaCXh0?-I}ZPI{Z(-FNi_PuH<8k7<{WedOvGi0)0k`WSK@V-{MuZkD(6L$n?9 z7}@(M+1A_eew6Bt{q~cYu=SHyg8B>V7Im@P6@TDn_wjJ|u}g80^T{mDqVYdmxcdi0 zbaL?LUUb~-UO%%tIrz)_o}}8+J_u6`&?k8O_cqmzncU-q9W$%)j6XCSTQ7I`hihT@ zv5M2$`PW&<)=$MAf24Bl4m(=v@kc5V=k*i8A0i)q&2aS-O#Hz{yESS2Ae@Ecd%OSh z?d@K3L-502d3-VDHIZGu>%2eGU7UMrqItcT>6fJkkGQ!^tEko%t3_mZ~Et` zxXjJu&^zDjgr*PpqJ!z`FvspSK$Ukut48)Zy|hvs*RCKb_0D=f(q}&o2XMp99B(8t zEuzTaTpP<_sb1-kGfy}yHBU%N5zJKMz0$GJsA9cu{Lu<@eH|`D1so$QomaovmRRnb z~^A zr@cS_h;G8Oe8lAk*v}_YZx}fCX7_&LixNeBXfOw#Pw0oCm&>^MsTF$Ho2oeoB$dQo z&`@Qzs-u5L@%^u|G$ruShnb#U4ewHe3W7yM=ilmcD#NB>4jyx=2I$uQljd=)B? z!xXP1%#V(#KS$Ci{r+bi-#4EES8I6`oA*T?lWZ(uO&SB2{^C;+Wky^ZOf9%=$js4- z$fbW%3T7N*M>ovyM$9T8~!47hW@22M>?sOuHWobQn!U1S46X@Ugqr* zTVX`b5^qC5Xc?^aqs+`=sy@GmT*@(!eCwJHgMJt+gfzK>Dw9MGFeANvbodcSv#cmur- z%}Dk&IN4sc;%%!X;qkp|rZ;i#@hJW`QKZX%@UjoE99p&F56N!Tyi&as<%hnEM>tK$ zecpT5ZRJwi6U-Rzo1m?N%gr6gh-Ci6s4c2K0#z%L{YzhZGD>4vxvjXXIfOCCfuVP7 zgU`AA>XpydYhDyAYcyfy`q=RG?)!hBK}MMhF>@D#Yp1t6p$g*slYZ_SDx zewQJ$m>?|jzHjV(HC$M7Gr#ZV8mHI%7C*fxI~2XH+o%DXD`Db^W2SuHPR^lvNCto} zmN0jVgf&TAs^yWd>X8@=hTuXKV^mnH+OU+#h&1S7Zt- z6@WyG_UIHky}!A0sf;-(NbK+UN5Qd-p!d%2FJG)WQyY&Nktut@msh?2uIO}nOW0&E z(M|x!BfAFn z3WPk9-ta{$G8BiHIh=w|Rr{*qNZ^%vZ++MD&AfdnMN&_TXE)< z?!P8y+sbsBY&u7+T!O@9Bq{rnc3HZ$d~(6>ov=p(oEMcWC+bWZ$fnRi5%=p zWtGUS`o1y*z8epPopL1@n3#=D?S3IvSLoh($$=%=5sxoZ=nx$<+BqMXXgz9QD5N zHfN}ckE)OQ-YAy5E#oUIX$K%2Ra=twklJgUv`FvDM|7DskJJ|T)t`~H<*FsG0^ESK zP87-qk#chCZp?s`BWpBMYe)U7#&!(~TASfK z_31=if#{gN;G9;Fv=-146JNH>hqGc5gctn)cWdMDzCC1!-r+}*21z~43J^3+&Pihm z&ROT2s>c1JIiVN)%SMZ$;|fg&;tvF6zS_BuGBs33+RIwnWj-UHK^E$&$S}G_HzimA9=I%s2^4(Nu3hI*8KEdi#wr6=wIfpy}*c2*g!aCbN7Vv$U(;BO4_jb?ECs(O@)lYJ>Y zdo)+fYaD#&|2^&-eY1bLlA&z*i>C3hB)F17_TyH-!AXL4O=q!>Q9fWybB3HeApIR_ zB!76={9yT7lxL#0;w!H0V{BS%`0W3z4k-{I+#SEOJ|Z<#+EluO>l3Lz`~Nv*(o( z>2Z=WFXze3DEU&$N-S$!-Ki&)mo%3-5q-3C%s2_LF?N{v&F~<|!ab(@Rt*i~qU-}E z@U`LZf9|k!h*z62g=F?IyBnZV6t1~Q{6^}+bmDLU)$4N@^iJ1%f|cDCQLmW3!Ft3` zqUFg%!?hfCqEQq*9m*xHV1plp_ubJ5e=r=4;P#pffAGqSeV1t*u@GwW#Zhe0oIywO z5pBtUEic@(NCyn0R!u@f4wEY-XAVLTJOBKKKH`g8R<_h0BV>7UA9Zz%Gf*1#FBP&U z7yQxy{hrggL@eDytBrdvCQ`5>$IqZNrl=f-R!uZUOWnT`^CN$N>98bmEAD|(SZx!j z+FTUG6-WCEI=0-1;YWPU|HelJW!_3NJZuJ~fW^>{SeXtKGeWQlySbrJxCT5TO=DY* zJ>kPfT2yet3Y?~U!#xB!&g(K*)oGH1H6UrEhuZV`n1Y`vB=&(Mjjn{vm|81uiEyY` zothW+N)P_i()!0?yr=O78O{)38%&z|$(GL#IWl|b{ba6nR-|%K@WqzKFwj6F(FPPy z#550b007cFdQN0VoQvqg;6uNFR2hJwpzP}^rBX&- zmTf&qMV;FmWql99SKB89F zj5Jj#hm5-XC~j?Tp(2d7?#FM=d#|%EQBr2j^~J|#l-X=J!I$AMs>ocM+g#KGd17WV zT#eeh@?TFtIFdPkA$7f|w8i($EA-`SRUfb>sdK)2PJ6WOR%%nh7TUHe3@0fwG0Cct zOjw+dc-TFMNwKnvckqvmDaTpm`i|g=?$p+E7maC56!1t6mlqt`c4pyk$ulutkg>6C zPhlcpVPnIKQu~pVv_^er9H*#)bHp4vCKOcajNxQxePx*k%dL*0^PYdAb%tPOF+G@5 znfe4t0+Nvs`!Qn%p8%VFpf$t<7kU0riF>1dGPPOGj693OfPrxTg&Xr~+G>Pkv~XUi zhtmEiGeloSatadq3GckG9(>Ap6aiVgo_MS``zIDJ>_Qi)Nd?HEXToV4$H=%ut*r<_ zl;E}yYe-B$Kn^M$h*Yj!gIBuoyp!N{&Y^z%bwe!W)Gnio$OIMR15RZ?nq>ev#cQR^ z5s))yUVi;k&4QEGooowC=i4^K^#;kXuQfUzl++FKi#UzPr-qzy%$ISxe!M*-m$foM z$ApY2w>3ISdFnh2Scv-i*((>KSnPU0?pN-8AUM~$5kh@!X{9W4!2#52REYD9 zi#{}pf3`!eG7j3*5DuC$4OQ}0Ce_>L@US>!{kleQ)yHI8FTjs*3#?(0h~U|Qbdm%B zZ-`;NuSS~pz*c)}P!_!pqk|>i4L|PO)CotO7k5WxA<6n#qdLdAW>nfrwJmTGzHqsX zt=a;y9|s3=d zeJi~DM0-jAq2+uq26SoB;`7Wfm8Pz{zOT0N`TvEMXSF@yWl7n2@ihUn&ApUTTqNb zUL-wM&|!@q#L)0BLjzGWIpk92r8sy9M_MF&X#WWY5$UFo$p)RWy3IPNWfWD(m@|o@ zA26D8xl?Rn{{8!R^kDeN~1Z4K%@`MSQ=`P8HM2(CU>7e+s2yG z4CRt@*YAOha^id5grW-|Z35#zPVhTmv_>@knlMw2nO@XzZfW6+JN+%_O~1<`G&w}J z6+%>j{+za|OT2$D2X~HJkZSeL&*qM|cFWQ&0VX0R4nZFv{Bz)bWMu?SM~M zM*@uSLM|HAaLr8G&_?G?1((0zBn=lcBJ+8X*&Qx5I)K>v5sQ1RKor)Y)ow$CGgK={XIBD4+{7O^`P2 zwSA{Ppvb@c1#CI5q4TYzG6Iq6-2I^krS{a>d8&HC0I@SkWAX7X*fZGbutV!g7R@-| z3Ci9+HDre%2D;rM$a+erwXcFreyYFF#x7+aVPj&bA%`#yL%h9U`(QlkO-{YjiAUK= z*goIpN!`l|pYefo5C>%N4!n+9aCZ262!H}EmpsH~fAHezwxZC+%n+M!14$;jr zFl?0xs$6r3RLTXx(+KN>UrT-*?e;sLYoE-Ag1}%iK+*xzNNV;PT zsRDsr7_@RLB&JrKz}$=f;6$S{Ow*`X9iz&1HwmWb4m>t9!HeU>%*IUjXf2H{B4ce!X!_c8r&;Ag@tH+p3Q1t5)V|Ty zXx%SCYce%TTX8JaX(jcZc#?NL3R2yf!(G4rw65AyRqI$=L;kF*MOuQTvfv^bTC7to z4-A#X0k#XW6Ec~F_1Y0hmnV&Vn_E!@5BA(N32-hyJ2xB1y{nqqt7`h#S!(u8tf585 zrv!VecE$day9mPq`Y2z+OCfB#d`i+|?^;*Av~M$GL|!F}LDo%82H6H#sv?ng1v1|Y znUs=?B$`zO6DPGKKhP@~-?&RhM3;VV!*w*u`l@CnQA>TUbBR3Fgj&M|m+tD&b(D0R z*HEXXRarkpT`qA`n_gq>1-19z~?%H#G`UYMl z_Pj4lnsCF0!rR`r;2fb!bqtcmY<{Wj>kdU&cqSWH2Ae~ z6O7%ZTBt1}3uY2iv@(N6icX{1H(xkWs3B>5_DorXIbu_V%Glbj*msJkG+<7|e(Nn` z!lsM@XuyHOS0!~63@gw!HJ4Kj`j zHEV^JOh(N|!eRW$R!#0DS1SPYjjL`w!O9ISH`-Z9VL+a-IO;O@OgS^nw;6RK)7KK5 z_fEwK#ZIBrnU3b$5Q-W%<&}a}7GZNCRqzK1r8y#+R16|ZZ)Tg93I#RCfB!u0qu;D| zBh(Ng&d6cJNvz2qi@0V&L{X>c9B0fK1*;g4G|EN&$pCj8XO4e?ieP#j`tp<&L4#9i z`7P0GTt<#dO;;lS%tGvOIE=sCH1N!`u@E3}iJ40$=62pOQ0+s6y3iJr#2KlBPD7nd zw%^gi_gg1gmzKezimZ}U(IqhWxFT7pMu6-cOH2}!^HHk`GWPmCjJF$Ed=@WSVX2r# zmk^ouTL)bxWnSA7GlVKBXI@!VnVa|<7u<; z7m~rGlzL%M&P)zlE-7995N3*=>S5W%1;74G2Feh|!RN`Zii5BT)Nl)+;l$xb^ zr`5=u`_Df)k#ArT(m66F3>XtcOLOXiYiw#a%DD7bE5n{U?S_ORPZ(#cGJUHTBA=&1##` z+w%Q9qf#N7Q3b6lpn^|s^jFRcm84MOBl~g+moul_nor8K_3NM5VI`cgAqD`4(~R<6 zF3Z`fOT+1$TF1NU;Ksh5H>bErE6Q_WyD#Uj2sNkb6nV$0#&%L%jgezw$MF!At zl8gcowbA_{hTSR%}n> zNg{COXum}e%F}*C99&|!N+@iLIAtpb;{XG?a3sj>ZA?Nb5j0@Q*%`B+>%&{Fv6h&aJ((^1iiz2w7cauE!TKP^ zHJ)QyYDH(_7t*%ILKzY!W^3By)`{zzN?uLB13tG4t9mZdFM(qhPfTiCXD1nK&DU#J z{@O-X?8IB+c*y29j#BP9%0RL<5*?Y8DsJvB$;L;uS@%gyre1UpHgaL`N6vhcz3Xw* zEc0-Z;Z(dkJoI~)nu@HKLn^)ihJEhm=vt<{CO5E6;bX}+L-LG-AS8Umd{Y$!F%7En zR&1*>o}Bd-@pHz{ORlq^}hJ4hI@q?Fg;}*#k`ihN$|%o4d%c)0cS}gXJwuU;dBrc(Pxh8$l!c% zsJqvSf+@zAkp!y~lth1+F1C~!=ToPku}tEq?$!foMo2j4o*|=U?}(R1B9!Z;yOKfd zl?9hB+M>$j5}FO3))%tLdeV(vKleJ*!<*=|ssxjLpDfDirr9j1rr<6`3tDW(2b_nw zO9k=r;N_vWe_X!T(mJ5serX#Gk6Z)y;qb>kObr?uNCnXMsqOLclBo`|FC5A2$B z;UYIXVE$V}tp|9k?5VZ@n(!1kNE=&KJ-yeTY)wqB0nQu1U;A^kF!TfId+_g8D zA1)up8|0VE=Q_Wmmr8RmKV826TW`;Qx?IQSRIT?HpZP884*my3(zwVtwRnHFHI0i7 zb^j{fv&IbC=ebF~4J34A4%S?jgJ8^O?xe<~N2$lsA1sfXD1| zuTF@GzgxnwRzhoU8gliOJ$UH`gEPSt(g|OjasXYzA2gb3sD%_c!xnVJhva*i>s**7 zs$G}9d-axPq(GPj1rmF84Brpt&*#%|Mwkj-P?M?NPziooyF{Y8O8<0iKd9BBASqqk zSaYbUOkPCGR64f;Y_S-WE81++9p}Ygv-K6%c{vI!wHE^WiY_JhrCIWXA}RMidyaD* z=5N8aqV>xa6crk~Do-{9E@w%XPZ=v;`tk`Y7vECbOp#L1!g zztganp|9o_49&9$uV7i@Nh4S=V=F&jaUg>6$!$y7%<5htm9}9yBSD+kkpw%HDl zV0IXkG#evundA#TaLOKTtfF$Muf+o@j&fEARemaL7G-J>8;0sE`B(o_^wJ6e%Bi)A zs6YT-q(u4zq!yLMwCWp$mpRVmf7cl8XiCwdx+PbPF!3lUW+OlbnNZ<@HFCFUnZKlK z;t~23j0GFu1|gH|#`{lZQ;8yb#t7C5;4)jRId9lvSqmO8u~TIU3|^&Cxt(>G!c>=u znHZMSkE7ccCRtE2u1mpmE6lWE88DJoeG0CbdEcPT`JNZ5mwx3&r*e_&==~oRmxVjI zJq;7R$2sHu2*x?9Zw(euzwF|4%r;Qxxm^skdJs%DHkFdCxNfYWXu%F+SB@7}s}Nve8|bc0JWsl2YdCE>eIXGP0pTC^u2M7_@e7D|E;bD?{Xyu zx5f);kWMSiO?%4lw5>^|Gbd}7b&y~rC!sW5(%^PEhv31DR;ZC76U=@WLh1V*9 z&&Op^fpFRhk~^xTcip^@K)R&Obc?yLaTIwahUqXTmqUCV5o;O(j-+6dc&F-&1{I$; zYg!Yi_pzT;ml@Q~WhJm6rGK3B}N9GtPe7EjNb@;J+$dVAIP^AdNO%3Qgy^BbgqA88&fZZhH zB1QN+h=tbUVqBr9xB*}9fb~?BWStVimi=Uz*b7ymqMDOsuiV?U`Lex;CrfE)4Z9@A zJ5TiV9X0aLDj$_DRrY$b^kxc}WNI+}7?1tYWR+Qw-MDXqPL_tREFWKxTV7vWb3yK9 zqaCMibxkgnkLiFY5m75s#yDfKGkyQfM|x3Nd@PtV^iUr>^O>idrBydG#`96C+a`q4 zkB~i~HIhwXmf*-@?iNMTKAets@SC48CIphc0&*v&z*`)J*XHk=*28Q?(O>;EmqvRc zolBteSnPo?Lkd%^D(S1Ypm3=SoV4(sl{J_>(O_CeZ)obX3JV&SQT3m_Zg2n9F`xI< zw_K+8#r?|{IvcT6t!m6N16Sr=b=5oPS*ucXxkh2oTd!#;`>F~}dha*?$I|^Ld~)os zkCqR-R2^JfleCGo-bvC|u0XI>VcnCBzXV_UX?a-d14no#=i@_u>`Z%f{KpAyfmX6?2g{zcj+Mi{`dGE$Oc_B;Y zN(wFI1{oaatJPGqgh(6tm*C;oD@tcowPhQ-aFkze05d>R#=YrN*lklXXRxipd#6np%u)O)`!zcxk=W{-p?B#?@ky9PN99D(w_QoVO=hArx0+hK-9@QW52Qs8CE);RjK%v&^)A&RD24`<`K znC#&jw8-aJd77RBrrudz3XhL~}nH2^Y2iTlO-`demD`Vv1vUeLc$V_EywsV2^1 zBa^}VdgXOy#e*jUz)46A5>qJ~fuxvz5>2K9)e=s{ z73C0zgd2S1#cSPn&y^@@P`_ z34~Y@C?p7pMuZ_xAi?%}V<2R06L+P~_CY1^2bp(W$m@@on6Zc08h=A#5 zlca?Xja)+-bZziacv?xkacB8DR*ZO#R*zzM0j#AI63QbP1Ck99ZFWPY?5^3+blYCm z9z!LzqNGhFXr0W<)J(Xm=PXmR6^EGt;&@NlDDM`bC9Ta$;M7kirlPTsb-`^sZoe@+ z@6bv9C{K9+13r9;!cnd%9w{k*s3zoL3|+FQ7^aB}5p}=ZR7I+?9{R(ssuuGl3yLw* zBxE)fVfAz(^-%$SDT;Zx#7W~yqp~Ay)ha=|q17%Z$sT$3$$M#owt#CqG345%W#}-|r+s^(^yFfl<8@fGzN+hRs_V zt)ZDRXjEato1Fe~M2s`|)D(|SL$OH#ZilukJP#IA#_E{$SJba;X(IX@ z9NPC+$t$~cjjIeR!$YIR{cFoefq~of3V$(B~4c_O6%dMHFd!Rk( zrbLo2nRc4f;X*s3(ZOu4eG_zx zeOH07=>d=u)gsq~uz8m@FLhJAoVn_w4_+o+w-k>C4br0BL7v+cz6H9ncmV>Ut2-f$ z0e_$0YF-D&U6nA;sUT8Yk~RaK;P#Mv_q9q+*o72_kKBCH@yPU}MW$=BwbrUX2B>*0 z9NHFHVBv79`PB5{jsY`w6dU<+=|Aki3MPNpYT?q~H_mwbVcAGvs5}nnz2}1qlRP=8 z#d2eMAg_2?=sb*OKh+AZKjue1dtDnBZc7`P>+=vnI567c$;*sspB=H7LVYzSfs8GQ zC#s^!^BFoZ#I>Slo_Y#rc14X0NTrOO+*>o*WeP zhCPhYs)OHHy~&R;oLDXjc${|3*g?^VdltIBA?xIeTL(ZfA4Z?%=fPust1S@o?!4!2%okU(ucz zo|sSTDYKX_u8f!^=jw>NFqaOyLvHX~-nctKQ}(#dxj_pf((9(Bk(5iC8O0+9|MeLT zYjK@qvg!{S54Yo22D_DNI?HP;>CY~kIip*8A| z08Yvq5cy0!oNImC&6j|@@`#*PNdR}DnnuY3(bOQes8lhIIQ!9$u$q**VOItM!4plV zNWI@x6}M}xb-x!(hSf>G5^jJkL6*!#r-7)-5DdfN4Z|I&6eo$&PU;2$Qyv1zGYuMq zLw+gXc~Gj{oQ?+7y1Utp0_JZwa-#cx^rR8^gZ$AR=xueKtb;@44W{&x=cdlRX}N>p zM0=Z&D+ZQ?ow_dbnH!;&im6&1DhR_7@D4?E6a7LUR zn~lUJ=56BLj#*OXZmYq|T7n7M9}JgMVQ?H_69mCRB(>pGMd+L()&JH0>sQIo@L zo~J9+D!gx8epsKBB?A_cX`04+dK>0)LF5zt(EDN7RDviOnn)&cz$O{mX9V53^X)j8 z^gCjT&n%nDkhUKu&2{+hihjw3yWkFYQ%WUeVYw^~s;s_qW_n)|5C^R|McYhD~^`r{vPElg24c3pN;fpm4*B2?^BP z5#E1|aqX2Wu~d*0s9S|yQilww4k-zOh#BO;Lnojl3`7WSus@aN`BG#6DAeE193nvU zPp28JiD*EJs9H?7Fyg_3_@N%)5?-glN-~ao!drIYDw-7@><_|oI&;Rx#Cf5cUhq0A zaN6{mCSjF%EyeU_ugfIi3T1RU9Xf+%o5!~n&?6K3{Oq}5RWjNSRrH!+VjPU@N7*^f zIZ?{%6L{n@!Gv?}_HTXgq^`PC4jQL>RbfGQFkKNwyD4-ZbrGuXme64}|G{|3Dbc zMb%fJ0m`SQjJ;9+GzbZur=x66i=b$~KjbdZ*}N`dYinzGZg5kuc`gc`A641vv&(;0 zG}NwL!E;3QU8p3OTD{=Xr|i9%Zq`SS;Z!!{AK%T05M(pYC}pX$7?!)8B(3uNHP*Y| ziPCnv8eq2vWBgONxz7ig&Xj2X={b99LkK(&%;oqVynwSJo*J$a80bW8w$s$ue|7Np ze->|TFE5Pn_p-TW@63E7ykQH0i`JHHGc#v}v;NG6Pgd{iwm$82T5pUx&GPyF7qa%1 zql>dQYz{uVcj|`GD85iH3T&QQ8|}ttZP_1=&kS9=KdfBh2U!-}9X9=rAMNsA;3T_3 z-b2BI^1(~1ya0Z|^{?%;_c`aZH=A(9)Q~qi;N#cO-qzlV+g+?e>f2K1mRF?7?B8U`?5CS<_vQ+I-=}F^WA?tvL^}J` zde+{%_?r3F-o;Db=u~DhVhJ-Fa3?RDtDF$bkH35-!2 z4_e@K1|?okSaE|hf=c6df0JL@bUV*%@>5$2Ztv!Cg?peWO=tHyu&cEg`NkZ9KEXzapGEwc@62-)?PpdWUiZEu>k_NJLP zn>n54jPF$kTlX;?wfs(VI2fAUJ2SLn@4eMvYVYS~Fz?#iVW`h%*YEe7=Mxfw_z>|u*ILQC@ za_cR`1^#+wL+fqL4Xu4Rxo>kaUc0||#>UpCXErR(zHN7TarP~{2~V&$tL!YK)4ace z7doJeb5EYRl+IAtL2qABP-KdCcWh?c6}%vB(DuI+wa*_{Pq|ir^vqf{j(+}rxX5jo z$yP7LCuWElAn6!lW#*T0x4HA>PIGwU;_N>-i?hF{un6ySP}m`v3Z3DdbPqbRh+uHn zN()Rul@cY^ftDgCZFPztywBf6r;ISc#?hrOKAG&Ty*|Q#r0Bz2B<@3g_aZHWNXjM5 z*irZ1*_qMq;wzueQ2U47;ndz+F5O8iq)8NOw|-k|TXAd#8S8OZW3TUgyj_u&o?lJ(C6JJkXd8 zgO>$YpAfw4jNPI8l6Dqi!UolR>l((u!hY@83*Ls-^n(tDY_%0^+QZaxpceB4br77} z#l*YKKcng}yyED_hn|{8I4}gv&bai&DDjYec)m03Czqf=r?>Jp$NH&5lT%w?7*yL^ zO?YOUfZ->uH;;PVL0qK@TM{?9J*rAu>U|eg6AAILmEwr<^cw*U-nM;HV}zIfRM|^6 zl4_4&Qo8fdNqgv9zk`BkcBGlj!&%zFmuUh_7t*rRA;!WBGY}AtCgVxK1^4ML{&JOph>6FGTlmIrJoutn zOAq=FEmAh7X2v+pI!*^m4=05?EU!b`2$oWMGq21uF&QaQ+agm(7z+iADp5qe5 zSXj|0?5Gj^hXn!|H0rs!t40NClwWg$-XH%gyYTkZ_HJ>`9vmr+KxWOUEgXUWA{ASl zKQ({(Z(Dh!JQ8-xX~T;-DL2xQFpSERKE8E1doX=!cyr*NdC#Qt!qHm1?Y^6n{k2A~ z>u+(+oxE^w_?CA}@uHx?XQ$gwQoiSO-&Ve9Xmev%_g%^UGq;s5uI}IBoHf=xa=z^+ zhUe4{%XglC&mB(psQ4Pr_IFo56qtNpYTP$@;L@kII>BWZy)7I%*a>QTx9xpN+36)GB|qUSXLRY`ukU6)GrK#K%f$4bVZUvHD$5fnuL11IqtIEfQVHVro$O4Dvcx@3z{XOR~Zq7Bp{rcHCVpJ zhcz{age{$Cr9w_~6sBBA8^qWG(>mOh|M~}@b8$?&vELl@o<6DeINd05JG4hD9BHMx zW6M7F#yII*n#H(uJnP_Q(>Ut>24W4FKW19MnlceXH}fu%H;e~@|D6#^49e^)sKPxa z;UtLRNOfT#f*{A6tuY0!0DPgY?!Xo?!tjf%UJ1$tQoE!ZXBzF0at$a6yW>MIIK^Zb zWTc1POzpxdpds*@c35AySxU-v=7KW*f0mT8u%bT1ZXmC)r)AKAFkxp90fHbaQ<{)c z%ps<4q2~TwT9KDQpfBfcWQ_+ABBBdKm12}TtO8$32-P%!Z|KVSRy0Z!6NOSDu(ZO3 z1jGx>1dY$`W@ykrqy!Nj&7x_tdIa|jq#3b7>O%B{tdwjB&F&$*axI2IHq;>WVbY#L zoujiCSQu=8f&6H1F@;D_Y2@IW4mo=?P9PD-mDOb;Ga-T~0tvi}2N|I;%UbFDi0}YW zb0P#KX4=LH)5(T$A~FQ=_tW5vTG@m|cmTTbpZSk3Luj`90ig#r?8LYJw^I@}8bG}7 zbP!R8-yncseNm*8a_B&(DpX)!Cz_P>KLSkxC^dXkeNK28gHsGnV{Rp-3*Vc#W14ij zu&107(8=h1;rIM1@rx>SwJX&PL`ir`R=Wgze^in|dddm!PRjcA8#eb4 zjLyP1YfV8y@(JRB)WkxcqEzLGhdW;Q2qgPbW=Swu!Q3><5lI;jgiI1ZjK?lPjuxc* zqZNKx0BQ5W)SdU==rzjhK1$N4JzTAn>S8hK3eH3{rxivHli;2-Rd=fOPOtq2%b#Qe zVt{y1Yg*DfHGEirv=EsxK`m|WaI`w^XN0NMqk5hMq&T4r2RwyBkgiy?nE#u!eb$DShvi0EXF;DT4FEDN+CMPP0BE= z$~fEZvO1DXN1~7|eXw_ckY36g>`+CB+5Lx}dYv|nF!JTh(x^%~(?&go1liY>xCF_Q z^7QSF~)Px4ODmrZ1i3)^$8PvGTuuuYLvVrgkLOv8!B zY?SX}C5)61638Ok>0!GP z{<43iR~gRQ!_&LlbG*7RdkF#OeSUBfQJ;7|R$6V`X! z?(??Q(Xdi=qi%ER_Vf-m+1$e>niHdXI@u=Tv%Q^;O^?sUt*|xLC92mLyxG6Gt+=h9 z4U9cK9cmK?tG6PoHNTpA{=P8f^(dv65KDU*5ym!A(~VWgI5mA^*zB~!m-x5%ZNiAx z`+hJTS8n!`c5s?|n!j&|b%4{-q3L)yoNlDmc)R}>HTT9(K4tHiHqH#R0zcV#X5F2> zx)e|Q5j~+FFi?lE#UnHUHpf}CDNElxu*sI2=iyAA>10=z2*hy~4E8o3+uyuo1oxY{+cB7#CXQyRh_mxk?J#kXD$jd-cF@G)ZrztgZ1*_ZN07*8j zD8~Q68zyo)O<>a}0KopCfM~~N*W2o|8Dx_iM~~e3v=;G`PGu?(iL>)_gxzLRR=b=| zyXh_xM*H-1#m(kN7jZHsr<-BN#J<>rg2ruzOeqYXjPT?%bWC4KR3(14A2fHK86-QW zh^91u&2P2a?Bxy8%JdMUAR=2Dw&JS~vC13sc&$@8KOn-gDGl1gcp>imh#!_VwITGg zpJ7QUnGDj|4~!DsR-tLcv%G&5WG}o?0A7X41 z@|@v!+4tOzx3|f4h&L^$YsW$6hkG8HOM}uG;aGIuA3e1-N-vH2T>^4l9&&6ooo<^@ z>NML$SRvrvm1v$uGC4h8NhiZn>n{m<&af}0LbPTFp|*C&O6Nu=tGmgmr8uf4JBQA4 zXQrD@Wyi2K$y}RG&yY7Gj5b{ld6N^d!SpQNpt?^yqXD(u9XG0XcwjT%RO4KJ$%fV_ zV&{zeY+~y`)#7C8B80>#i3zGlbv_-Dr#Xc+G0S!uBfeFRCbo{_i&X?+MIH$~)P zr#ZFx*=+Es1ZZr0*Xm&=t0lT{T+s6~$mwlFU&-oLK1{F`{wUPAV>;NxCT7JucSV~% z9J;sp7ZZRy^qJqm09dR}mTssLaEx~o-}4B?z9DPvZH5~b@9R4Ep2lOZ44>K8$Kwy| zO@rYbd*_?)tS!#Yk4CK-{W{9FD^a!8_xp^LXjA*1-7aHxW~kGKkRTh|G>|pl?5c%1@@L;|Ou zv;{d=7>42$31qs&8=4T?EfCBcItQ8{iZR zVM`L)+w4!cp0=2#UjC-19N+r%Xj`A6!A`w8S)JT8xoP$tojW??T+DV>hW#9m4E=xd zhlqcz_;W@&(NX{KeO46UYP&5lm%fCPePK}T-TDhM?Epkau&`|HVj%Wk*!xHQ?(Mt( zraj1*EHG~nGueAOt_@}ur7-FC`}_LefH zf%2#}bu{;YDXvn#_E%q)M%cKjB5_I63DgrWz+Lm)krcBS)r2ul3obr%3g4cK%^S;+ zIZ=snlrf%F8-8wv;aO_BbKvHhbk=HhN83~_$X3p9!Ngk)MoMu?92ECF{Q5UO!tY?< zau|C%!J5qUjDRc58anz5Ap3jah=#zuR{PNv@Gz<64odDA#jVXzUNit~_1XO!@-@XXbECynH!rw}>7 zj~n=9Z%UO!5xq)gJS&_#P)1RF6OV{cjajg!2%Z?VJH6^_9e&6zp)p<=-`Q_Y_}j{U zoTd1U8DR(Z)o;p5w|8SNot&D~PD*$vH!gB^`@yL!X3%*p!ddO0-tf!z4U~RXOWzSr z>|pmM^A(xj?Wl3XWl=6y8HlzLSo$yf)dBAmX3Laz5Z}fSVpqrFB zUT^|^+L?!~ya_5y(=2LEOklWp4Xp5bno0Ub36g~K>N2kpwrk18dQgtNopDy3i{eTd zcF@*n#HHD=k`RX?IT!p9j-?aPP7;)wATESXI5<&P1A5pf3GM-}VbBvr6l7ph zJ0506U{Iwe$QvTLPQ48IObulcQzqD28tFbi=)mr}YxSg-subY(nz60(OcIh(0l_j8 zFoQ*j8;vDpN;5f78Cf9sxrA?c9w>^$8xVyYBVCJ9esLyQI@ zeM@S>EfcV@F(cn|Ph*Ow75Lx~0e%6ku*WcZ_-zuWunTXc_ z>j$nkiw@IJ?yCX%hJzIA{oOZtVK*8FoZKMnB$&aaRE0!z6VW2tqV}24(BNfibj%Qx z`qcna0Jp)OUgrv4MWRcz5di_DId#>(BnZ)M+<~ZM5Zx@GULrQAavs?k$V?K~wnHO{ zyt1L8Ri~s!8V(*l^S+Z<*-Op-cKV80M3~yKIu0=GHu3|#Ny&}_im3q`(t2Ab4F5Tk z8%3B!c}=PgZWB`T8XKF3{?EUfuFzg1O*5``6Z&Zy5Sf^Yd;&>9I2D775YQc+Zl|ju zjUck)ndzlX-?y2t1NI!X6d9?9ZNFU!GJnF~kH5D$RbRFmtus9Zj3bTPD5Y1>DO`sS zw+F{)m>-A!=vc@s68McF!aGEJJby<(aD#^u=)jE#L?fJ>+*A$Op(l~z;RjR`6=GHp z=%h(y#fHKr;Yz|p>{j}bIe&YUmdIOI3pP?aHKQjr4KWiy1ufwbysLgu+w~P6*@}r= zN_K3fUZ0(2Jf1QNxAbNuW$ifKjySKOgNmUD57e*`B1;`M*y!Vrq<$xoB$&4XoymNt z{psj`Dr?oa#MjfAf7ktlzns=6ze$SMUfIe6NX=}haaD7=GD(=gov7|#Y{jWJx$TTn zHow{3jvw6G|GHZJfxT02tA~wUS<0rQU?UGk?cmis9lg~!uGidiAZhMdyB4P6t51lx z&4g!VQ}yPCi?_6gwr^qQb|-G0#7n2P1_$DH9JQhgiOa;hhfCYCZr0e@xS|_;wlNrI zQ71Vi+4j8Pf*VfZ!J$%%ATb+qN^E0kqT&hv@_Vyc9nC&0M`hk=ox|>%doS9^G#Sq^ zArUu*kNjAPb``a@juR8-v6D*3?_+Lg1y`4opwyf`Q%|DsxXtd8M^H*H+l?dbSc&IA zp!<495m&wWVRkfWj|xAds=3g=dbot~luYf=vsd$VGBqg>Y)m#f&5Lka|AF8=ixzeM z`Rx|HI4PpwsJM?4)nb1T%EsGCoMR}bqrOpXnHQSjQfr=t3|5k8jK?)oAeK-%*K58* z1)#mflVIv&HvbtK`Gs#$x|`CtdYGV5YqCB(wRiEf;Zh2J>SZq&kRZXthRcIYYBced zfmR#sQgMd^_U+)!Wl@rCRKTq{z0GN54!q?f5!%Qo%HG)fnbT>C)PBq}JBSl8`wD{J zs$rPo7>WrR*sX3vcT^d1jFpH?zB4n#KwzuW&LIzXak?F~h$VS5!ALr^#|0-BS8_iU@fh$N)hD$N>P;wTx!T%4iJXemR zOa<%HJ~xq(WCn+E9!Ga$3yB}2Ln>~Q%}G{N>$j^Sbvn+ayfL_Qp7>yUl=yji%m2 z%x35FMq3#Il+w{N?W-f6(A&`3yqf?G)z!&0y)8<1ZWH5MKTbzvB;$+kY`_eHDb&Lg zOt|5Xe8RnB6irYi>YBW>232L}4LpO^&UiO)sMn(1)1)smwyM$9yB8a?KLK;IH?TXe z(Jb>qWuGD&TVED`cK&$1lWse;G+%#bEqOUl-nCy?j>oRvaLxm}W`p2m!xGEa5c3Jk zRXE008<^JOEn6)#56@_6nB2p2Si|KTuQ~A!Bs18<4k$gp#31?nuCf>Ed8_%Cm~Tj+!S7dA&uYwH&BF2AuEo3<9d>Z8ZE5Ix!!BAI1OVL)+| z1z-}2FU&CP3zF#{fw?ee6j?4Mjet);=N3WBk7?Cq0VvP}J-d#j=w1 zgBGOFz}?v3)r?Khs>oo8%t0FzEcCuQ##=lstMg_-5P-!fJl<5zk7C)#VZ)_K7+wt* zy&mF3Lj#LzcqA+o#<*X`!=tfkkCt{Z`36&C`eqn+PS&eFjElk+d3X~-04An97oJwa zM#ca{r;`npCE|=%Dr1k(I^GEngqN7nuzOfygaZ|bmMI^>e*9ZKt4?60(g;(nu$IBq zke7(>U3zf$06nJYg?_S$)!h){TWm#*T3acP^kDILyl=wt#xy--vpBLC@a8!EE)W?a z&nF9u@L~zfk-3dLcy7Hcnj;vNfhtX%TffFqrk+V4A4`7xm?96+kxf3>c&QSK&2d) zh!{0Wb7{oP{n{+|?A-Os zrDzYz(wJoAGr229$52fKUxk=@If}WU6ggZ;GLRq8l1t2Bbk@uDY`TFBoiR>nL&Cm` zsRlvH6Yjj>DJrdkBA+iEC}g;2YN5O705T{^D3wc9MLMMiZ8^>(v0E9Hbp5wc0+3CG z@Sb^JOf3-TOu0zno10=6AL{axl-__x^0}2NEzBRxCwQANz+5CG#e*sL-gG@^D8f(? zImXAz`60SQ3II_WEK)zbEJNZTssvyl)bjzWyycOjS9Skm$-%zW{ z7{)e%ex?CxFA%{My3)2Eq=ZEbb`(ydH0NkUN)|9-wFzh=&4`kJ{nM>@5-z!kUqfR~ zh%}c5y0~kaVd~>u3N(^a!*!)I9?b9eO8!K0IZcUY3^Tt>lYl}ujN+^J-M<(i>IX&8 zDREM1B+^Kdghwh2WN81KJDf;`LIR?0m_{a3vFR5p;c7N;P5UIG3p6;@@6blE#1DWV z)t5-;at>w#s#+ivun(uh+$-iYg#obC8rT{g8nq7l2YQsSbAsx_Mk!=jR9XjPukk74DA{LAi74KgNnv=X6`}7 z$Fe;mHr1X`4;vG#R5zkICaNYIU#4q!e(u5sud+cvp*aW?*f2wl>CA7#K%9QbhGC~> zIHvuY=A#A?59m&q7a)zEmbw5-#6msnLThseWgD}p#XoNlCPbBKLnV_rBM z>KJWnMlzhZaqf9y%N5`mY06;Ip9gA3|RL{W9XxD2Im3=sGC?zoDX0<)%l>H_ku+Lw3#LdZO4G z^&f_30;|CP_ToOhB9{~g=UG4k*i*hd5t?C@1;DCBWr6rXchIpdm$D8h7y$-uBE9P2 z29$8G1bN@DEtcfq$o{k>$o7Go(Wmt2w5I^r+vB$3Om5K}`wxG=_KTrOQfPFcd;ma-%%(79EEo#y3!9vy z1c1L86Uir#iXbT?x~1*!aUJfZSwOV=367nZw3S{dhM!L!3)bo27q{Ue*@Lby-9tm z!z;I5T2&5yL1f9eOfc;@+J%IL^5P_mf%$y4!5JcSB4hTT(Kz+GU1ySwz^S7g zCw2md+G9>GOK{nPjzVe%u$`vA_?P9JC#o54z0Kn@(mQB7qDTPD&_P6Oulxb%JG+at zk)VMr%;Hb5laLqIJhaMUX{f=Ac*n{$P{!WcRzaY*^ukn)LD7P%cppyAmHhvEUwL>* zl*wH1uOK~M)sW6MLIatx))u(LUMx0PUb#!FZZ0VMV*wPf;HXgs!$e;nIVsPQp%_av z%(Q85e2NkWa!-fAP$9w-|%aXkGpgyH~ZR{<8b;ZA5%!>JO}4xNPkB(}K|Ea{{Uv*9LfUnUlBgB&kbz>R9vMi;N=3Stq0 zv@x1Y0Wl8zjhp@wH9)7RuVPXKH-#Y>j_43IXWn`2CsPWj(Jpk6lx#9hn8g2aHCptf zTxUFATn5tPLkj-T$2gFP+{F`b@G&9(mwC=Ao)_MuhQf2Oy}khx)ZkY@D*)_z^|C6o zJ&lU>r*+(cq=W;+qzn3rr}1l=t_=iAiLPoQb^iF1jyDNVJb-G%GmP=dX*h;9LSyld zd)vo!75IsmMd=0=x#^QgZz#aSZ8?T7)fp)$&o%J?co;qfK-?~}r{8|>zk~Zg zuGq^0EO8q|8zcPSlz0XN^tlfz0)Yo=3o>(+*$UlHpW=-KYVp0eTrD5o>rUuTpqp=Qbu%g&>J{~bhN*0_3OW>VXuPKYP0 z2~btEhLm!`$Wxt?r{uzk=9(d9eb0;d$ZBL*Tar?w`1o%9Ikk&lJ467)pE`tfV@kU!<;A&JH*=vl z>@V0r(5Vk(!|`w^V>e9`2u}qA(u~H`^>5s+su$c5{zPYjZ4hKl6%bfG2q$=&_Vo-f zyF`Ws$?PVnQLZ2e{5EpyF1}y%G8o_TZ{S++F?92=^_{;AZhaGv!i|sxRVuq@IbBZb zn?^wgVo!Wq1|WwOD2?I1`1!K&3bkQ0L*J;m+fOBK7oDV7hh8?Ebt?l3KC(j{m` z9}F6Fq=VIk>2-Juf(M`Z=+!K=0F^?kz-E{b9mE2#-Q6Eb!5$V;+Igb_->g@ZoDI#8 zs0Xkksq^gXFj#~wMujFFZY18DkRkHw=u`#M5wDpN4cQSyc;ptP-hoD6rD>&k{mvNU zY~O_9)}kq0iOmLJ&B@B9(}6!zuWn&#R4=XC!my-!gD2mU92y5dGkSn6t}wm))wyX> zc<-RtIZAB4iZKV3XidO|9!3BL3*nR_@XQ)ir<54-;0~DN{xPMN888gMnwWamY7!z- zrBYyt6W#d3SKU6l8z0ze0SJf!iUBMx-vwnjIVS3ZZUvuX&ZJ^A0&o4H?Gpf^xMDF5 z=MkZX!pE6iI%8*f%pSOzS6FTZ@Zw>oxmA>J`lp|(v|k`zz3F;l_6cIkv4sW!Yhx%& z*`)Eq`OF0GAl~D7l_B1B#dfK;CyC4A2lhe55Kem;oA+?jP4CGm0IKczA)?7>H(`A= zQ3UKW(L{7tLSWAhqWy^7-{ZArjwu3Ent;f!Xm0Yb*EkdeEw=9PX>r#bJ*Qc0s@iU{ zkmA%YlSOf>GYVIkP zDCD6}APsrH_HNH%wwI_@7-lXZCg$2z=Rd3JKpnANExPmlTiOaHDbyfvM_Gbk0<|`Q zCmUJFDVQW|qqhmml1#FL2q2+xxe-Fm04v`VlowmG0;u|_O~RpSlGvPBUSKfcPej^| zjzse)f7O~Hs-}2#OG!+mGOL=mGf<@Pg6`--E2HFu@h7hqjac4J!aqw^14%i@I z>4%VGXG?Jh4q>3gm0$tXF|&zos?vPq?C;_gP}dQGB?=D2ZCv~EW3Uvsognc*NZuf8 z0cr^tJyI1kwGAB0qO)xq42up^`#d1`(^yb!$jTu_@C=8taN9tZlM0>3G$Le)iU78w zWnKu_CV+PWUxLv(7k~H%NkCw5p*B776#Zfud18W=@Lp7_L3%;zj&?AN9(S9DbW~Y` zG=S=DFoVK*F0<%pW&-kyeE`r24wn&ZpeZ@?0?@hm^6SLLkPzK(R2RZ!JA{1@<$*O1 z7d^g!_&H>yc~St>>4QEt;~47fvg?5T?vIivR=uxtari&5_o?_fWIN}$ zG#-<$I;())scGL3un>|F;&M%Fzd9DX&H`IOxMv%@g4v5hsL57IP}Hf@MFZ)0Pa`H` zsN9%x;smh{|0wVX-DF-Kce~j7iCM2Pd~f_LX?W&g~_g__g%&H@EI$C zb)NMAFobptan61OmumA3%addXn8tVdIS)8s0Y?*bNq_dw$67p)IzRfxhrO@=gIAJo z96Mq!RX%jg-myIS{pVY6eYEke;QM*0@>7R+sq*pO2ghF+9zOpwzjo#Y|5CO6-LE9} zHex=%acrY_r}Cj=#Y>haZyCIy`4@^eG~Z&aeB)*!YhKZOThYun#xDGS>K)C0#U3H2 z=4!{xoE$AijNt}2yyA=MSCGa!2o7sQ3=$N+5$4A4l zQ8?JX75E7B;YnNorcuY7Jq^vKqGM1oeD91Q8CZ+h?Xvb&PuCa@QJ?q4FJFgxcB4SS zDDJVQBteup%Yer$QsI9Sz0nC12>55ZM+tv$1q4luR-+V8d2ZA`gnz=rny%(Po+r+d z10c}j^2M+GXFz0F@?p7z05i(AkLtimDp4$Vh)W6oGsZ{wX;bIK4%FUqS=5xff$2uc zkq^L+A`S~CG_)pO7#(-ID(m(sU6oXpLFXQEEP)(|V%L=@%d z-m1^r+zYprRv^5q&Z64nB((sOHR5Bu7e8^GYMN{TWl35GfCyEcIz+XN(q~@UN(?UJ zM&FGx9WoTfHO6QnF6z6%PKG2#!XTtpoMoxqv34HhajP+VYmIu!Q~WQ`Anb@5a^VyV zbQ<=Dx21|&BlG86eDfUFp(bu7YdG37IK%+XUb?I*X6gvzsxV5tOd%?RU;ova+Kc35 z826b*=|$chTFdKK*GJr5qt$9OLZkq?Ri|dKZg`1UYg9563nrNE2@TA9YU{^N2lVM9GTks z*%E%Pnh@rrA+)~O3?>*GWTnsirr)(wxtpnXP$cr zFu^^6Ip+yr34CZi2eWcgbKP#839(KhZniVxE|Yg=XKT)PzjQSz92^%#N|Q?0&@R(a zI8cg}xum*S5KOSf^WqgXJndJ=knvrzE`tsJ*h4`+3~o8G^}N7N za63P?uw0ELgm-+k9n1QBv4EHFvKkKC?ktyFaR*Mb^{g#rR3OO0I5S4X(1YC=beo0<#-s){>UINU z=YZ8LWi-8|?q-xMLqvu1Fb0DW{sDreIuwbsW+|Z909IvCpZm^rgR1?S?y9AL6ger) z3oB7ETChh0Rq8nzcv>&>q-fATfYT(jj38}c&F);v;QPlHufKvvxue#pZjGrNPn^It(*dSh)se--7^-o zqNFGgK$&jQ5fD;-{~6}SNO8mfC?TY{&alqcHkPxL(l|N;qk|?V3o3$^xq|>)m>-*9Zp7%;wdz^JpW}e$O#R*c&o~V-n zd5MUEqo8v$TRL`m_epnGmlU2)i7<6FDWw+OfBoaw*iHnN80O9Go9XAM&&I*s8Z^Y% z?ys}@% zfvHII*ty=Bz^|%L;jBQu`WANh^Y=Fr!WXSu0|n(;{GAh@BzS^;bfDQ%&E8Yadni$m z)TPRvzwNZ|YA&o^dJ+A2EAxlnvShhlAG4FGOS!RDG~Jsz#;p<^zvK zA5QmoS-bF4hp(7w@j{noxg93!&V0SL@rmCccqC|Jd@Ks6afRg)?QYYp9p=?G0b7eU zc^gKZxO;1S;Bod`I814Nz&h}R#ou4x3#92l}Kyte7&F&)wkoCGB8uD6=5~b^t z5h8_>sS(dw2X&&w`u*GD1|g=?e(dn|r6zrK4^wxFHUX2>VC&a9AU#%Ifn`iuRP#giBD_LqrLS&{)R=E2PTVXE_odF2dy0<@3pX9s{}f4Th?b^ze@ zATr`Z+oopM_xMw-gOA6vFV&or?;<)z?`|0&Z zNY%IrIAxCzzF78QcL2meY5xQJVJbFScpTbjt;ApKacjT2e_L;Mo&6D(nzKXv?p@tp zI{8D0veF?DvaE@K ze7_r?yS^NgoM2PW6uOa}hz}fHV1rafrMJOsX?Nev+JpVvq0L<%AIaL$@T0R6M{I{)b+ua*TbnJ<$s&$y+6)v;3fFj3?UOe%`Y$;NE*?OAfh0RB3v6k@qT<;uF(yCiYdt(%15J z!JShIggc%Eu99;*MGwA{*6dQUS@b}~#f(*cU@#Z(47ADthh?WkWRUJyEYcmrIGGFZ{3C$X}7{ z_>G^%pNTNtMTSD|g*hUKRh1=vZ{GkFk1SnVuQ^$sy=ec7-y$i8iruIckM#YEpzVD;BW~eJ7Y7!6*p>GtWf(2(9Z;ccIZXGO7 zTP0`W6-{+}dCzBwX5t=Bx($>sw16J?u(3x`Ki-&f8%{J4yJSP0xj7^;iRMwy9_`cD z#gF_DT~fblft<+LMRHJz%ITmY8x&^HTS8Kx$KQBC)rvx7{F=r!toBI4t0VSuz`4~A z#U9nEPJ}Wn$|bg9g_!#xp8{$X#8y)_6mTy7Pp>P8(KC%QN`>~k+I)2jnNlXEymm6j zba9RJQ9K+_p_Vl(NrFh0;siH7jVrYmgLJ-DZMA|%C*lFD26}#ivIQ_z2irp|Az+DV zW4ff5*09`6JXNZekEBk$TuYw(!nGP{Q!X+%z(;P2uRsJCjG{=cCy`1Tr%CEY(Vnn7 zP7!%t%j&$e0?79gFAedE8dm$_RwuNX!Xd+DT1eW*97dFZ?S!gUQtD;(;C$<)DG)#g zL-WA!mphR#*FD)y1U%y>JfoyFg7J!=LKRRd1k(BAyOrwoi0}`cQXQP~Xom;~9YE?w4n_ zqHpn#N-?H2(%1>y@TtkOQFvWZW z@6a$w<1YjOXIU{jIE>50Q|)5_N3(z}og9|)h;Kr>q8;irKt5*(`(23cMjguZi6A;rVcduBBMMX@>l%0eLmr^^-9oQVt+^K746ub^~rW6;S z;t;DO5M*V167rzxle?3PU-&8A0Ht++R{O=ed&n&frB;F-aW3Ji#)EU1*;#8?L8IN& zRRb~S5_ZMVS4>jPdE;@uOJWqib1&f-14wNd2jq-{F0(pmTxJ+-yISvNPS;8DYWD;m zlcXM_+{xQD+-1Wgkf=L!1Z{#@;fokpD1PssT{l``ko-&M>g;w`<;`-9ImQJqYZ(MF z*cN)!p0dWcyjBX{sG<7$@)ys+lH{W`@NUAi}o@ zVBK8&t=AE0lWU=sqdL=INj=QZ1}8P8I=rTf!{j!vY9`5b%`o-Ypo0Q(^2DftcJ6>c z^98DCE+?5&8;Z^GQ&KS*0RjRe&||Q9@!t2uioH3(f2gD{Mp5X-AQFD#aPc~(W2QfB zq;smFF(7FOlGH@6OT7tzM8YpZS!pU`aD9%u1uZRj8q5Sau}M3Hi?kwOpyz))LLdK&6B zU_KNjnwI;V_y3wkt-(npdC^cAZOdN>fd?D0J!l^bz>HeS$@ICkocuxTbu!wEPC&-u z9&s$yAL%swSM^ybgjK|Nizzgsul>*3*F>B`(h=2O@BRomhMC4tSBycZ6+~&Yr_73G z#HG_rQlD)&FZ4PIFEhEjw?E%=aCWE_?tM3DkjbI$1$JTT_Re*^=7YV%Hyr#@_F|eI zPRiIldXo+snyJOqf_86C>Iag#9~@}R;quOR>V8W6#sJIC;YQi{;av}pW8sBeJb}X{ zmemE?r+J#%kEuq$7WHi8T;Jd5G#i~-@R(OiYTcnmH|p)r(zPMKHG%`-@4f0VC+OY3 z<{b7P9P9M_{Xdq}i9UX7h{YBO_B%lKJM0&DW!fGC6XayN_4x!`b#F@dgP-Bfm^gWeOYRTe!X6O#EJR$WdC3>vlQl^3KRFsQFw7ezvG2RJIa!3X zy7BSfk7r&W0WzW2#O(1(`*)3zf;oJY6b#!f>@0Wcyp49~T%(fAPh`yl{~7OMW^&m5 ziR1yCmYYlC`yY6$J^uRFo2!l9%%21w&hP!rto;)wA0qr^^T_lrKRZ5B-{#MLb}U+M zJoI=}+t%H`vpjVFfxGgdb6$nmHk;qW9uu{jw3TMi&+0S}93lnNO3vn=w+Lpae|(0FgA&dXrry=j#VR<*pz;KqLv{4?VD_I{Q2O znIQq}aRR^iz~{LzH07q$TFfr(87lQ=Zmw32UKw|Ya<8`#xIMpzBt@;s`&qh2l^-Dx zp08^qKUs=2bqLK7>qv-fmM^Z}82jiyP&r0id^jjg)~_e-zkI z#$ZdcJ6w11Z=0Q&sQu~vZuR<77S-M4KZFo!H)<_vzjSn4smJKpISPku9x-i}hlG4m zOq#COYkeD*sUT~Cuk#^%Ru%$abB0mOfB4(ZF;YTQlDOIoz{5*Tz}Sy~IX0b`d3=Ic zpJez%wL2Wi=hapizeDgmbJ)#KlhFGZBRo2lw9*-`{o2qKc^)}u0E{?#=zjbnaxOE| z&ODP;DWQis#?>TeePEhQfU+-u(SW0Z zZ6$5b!4?dxIY2*j<4WDlduURsAV?wP+EwEEC`Xfo!zJ2dSV%Mpf#I2Lj891nnmLe? z-s(7D!|zVVq@2-!JefLAzPv?r+AYI4Uvs(<9z)x2B5*uKW*EA_F9%W#P*@gdvuSIIZ@UI&Y8KN_X1zhzJAXYaUxVm;GLiR4A$KlVt>$W z+=&)bV#52$DT+*nT)k44q};Z+0zkXCiQMlzD>A5i%ARes$Lrh5FW&KSD}(yxT#!+9 zwVZT2K^ABJ>^dn1?M*>hMM7o|$yx6RAa5vhmFdxXlKorS-Vcrunrq}Zsc;~-t)`L=iWHBfK~^LvfB5`6p$^Sz9#~-s!5%tkEJl?2 zT|OQSeaBwMtx zU_utAolHdRfX~$S7BBK@L+NlblYkOGVYZ&lxCj>8Bh_P_W9)~3*PCpufdh)cJc0p* zXdFV099Q-zEaM~t1ToD5(JrMUeye=(SDqrSOS$BX}>d-WiD^y+2<~}sYbzTVsmM}WYlfvyc8|K8LY)=PJjE{mxr#6 zltH+X$|Ud1Hm0H(W)u+6p!|l}{P0liWVu77?qSB-$xqZW?3kZScN$(&cb&uTC}a(z zBubqr%qR6rHRs{PzX>xJrq2aZVeLmiXYy234IAueLb$WwdDE@n>i9s5w}iB7J?2=; zQ_;rvJq`Cyih^~}!K{5E?7(#5HO`W*@scwo3VrV))jh;ZrL}OLAtnS7x3 z;fS{sJ9EMuksfU@9b5)PWH+ykx)G*~ZmOmC9H&Q#j!BD9%U9Q_3&*7#s51)t_<$)m zkfIuqK5>$29&|cxh(>%G$Xmf9yQaYloJu+SosWN7*SN|LUFxL8h1>vh&O<=_g(g8%FjEr<)5xxUn zeJg*Y^f7$QrE3~W)r=iv9!K2*&YJU?|DMfCbkHmY$HAr)8moVbhxMb-UC0BheQfm3 z)IqGFR*8h6Jbe{MP5dX&oiMui(i-x>GkTCaGA@v+^aTte(c;!;d9xKeLA(8@!8wm- z?BtuK+5;xz{HR;KR+p<~-^W!i?(}_bEnoc28x069D!1wcIaeo~+u?V<#MiPz$itM1 zh#B;^4S*7@va>fS`3p%}ODc2DDK0IzzPbWO7*y_ybbqptxz2)Ddh}GWM}^(+J-RNq5?rT@LMeA@c*gGfj^>sa>xgiGE=WU@kpfv`*FN7w!`^qyRRFXEX{S z25ecJt~Q`iT!wZUaGq(%-WXp+Yu%ojs9b)xQ4}NKENndY?}UA;XwFcsZr_0=fwzRv zJkNM-6}Neic$Rv%rNf5$Xqjc$NvCT}1k)*n_S>k ztiZHz3Q&ut|B95s?vFO`}k{iYv|1o{-qtoAB&;P1NvS3Fve!chK9E-eP89OmG=;QtQPdrll zz`pZiwemen`P36DujNyf<;?qhn1rprt&{w3cqF>5v2%Xxw(0S)dHOg%cMYY?5~LbR zkXOiOAZr|qZHqAIVwkuD8)bS;mp{0`&6hubz5)Mt4R|S0OZbh02mCRxH&(v!m3P*r zR}X=`TaL0U%KEIS zThQktuCG@+Uap^cC%D7ABt|paUb^2_$g46&c=(ie=dp4I1u|YB+)Jp7?@&)+u1=ow zhTS}0Sq*oD$N7&S@FGeKkvUF9J0a#e^cQFbVIY?iG1WpAhT2Q9zCU_7boN9zg8NLz z8^82v`5oD6w%Y5kXK&0aoN-gQhu2ikE>T{ct$0s_k7RlNR2D9~YTl$xtsssx!7zFE zz(R5?a_iK@GCy0#@z>!?rSX2t%Ph}mmSv|re`Mo@PgN_ukU+A!SEHp{Djs?5Qczw$ z6VATYJNR1jcU$LLYunGvF7=)W-^|?OAaGQuc4fd(#0j6m-(EWL9Y&wLKt>5A5RvHN z#w>?w;Rwq}!lyD6^l$|*-RHea@JpvUKmUKkX<8A1d*Op2GwOZRll~*)(%gP&M`xd?B05@-MvJ-q#Fx`Q}h-HVcLlj{*Q*=mut(I!V_7a=o{&yWV>& zd@Oh(Y(0`KPB69{6!Q<~h2(BRMHpCQ?kPACd>}azO!DprScR*73JI|GF?c$x2pPOu z6@eXrv<42a67fG5KF!;VkB7^r!z1DOrH$YCVqDI8F#Hfdt%6gS4R@))Qo5|W6t~jW z>}yYZ!(pohWUUJ55Raj!sY2@i1i+`SUr&z&Ur!@wAr}G(fG0*s+`>Kl2O8uif8NVS zbjN^PqNX6|y%s_Pej^hboj<6~A|JC$In?WfO#BDIKyDlnUH9$?pUKWGZJ?uJ1&)O* zx=dQ(;suvq4!hmPJ|ZKUAvQSA+4J7Arze&&S5DgC2*KNk`?NQ*aij+u1Jmr%N&OCo z5~eM^Auz+e%9M~Wp`qOV4IZ~ohNZzln^7BiA+EXrh=rYBB$`ndBi-l5i< zq42@wjI172PY|5@@{GV3wqHqJ@^XK)gL0zzg-x*e#`aclinK+oHcYOAkW*n}NurE{ z=r3fT<}%zk;^@e|^Es?_3zl5veLv(C^q(UZTlXrx$|8CPPjQ?XlO+^_xo!pEQc1k> zM+gRm>Xh2WF?{>&vW5|8Mb{0f=LogEYHr@jl z*rs3nX@XH1c@m(P>;cUh!!z#Z!j&W8YvJ(ur6XYrbp)_!XTTV zL3yYSHX{B>Lo(1yYy;&ub;|pdYcle#m8^{sAa4)i%@x2+qtV8N`^cS1=2{^l_cn(>gpfGK zcmq9bPmbLg(2P&`S!6319_%99jN8Z!?m3?KWPNS$KzF&cpfDEPm%sH633l!=Gtt-M zL0oVo@zL3(b4$G9Pse5Mgu)_UFCkjGP-s+m z8{R9Nmdk0x8MN@o#&5ksU~_PZ)~khbF~R0>Dlbsuc{qAH>?|D*7duN%W;~^V%k#ms zmlN!0f+6jsW+bI&!-p^TI!I{1#m|Ky9FsjI=L2T%qYf4}O0Gm}a%a|LO@H$Q&d$LV zDLd@Qrl`}QR(0&dxc9oPbl*l8e0cl$C4?QNhnForQv5{)N#S{9)aZj6 z3|=E!#_BWPXs_UN#9aa2;UyQQTPR2jNHU=MSx(+Uojnoqdq?=>AE1F?$n0b#m-;Q# zAKl@!g|n^RJ{}1wE6MEAQ`s}wYMA%#4#kNG7noGGF%=Vl9UL8qKMGWH5r+OUPgQ2p z<0Nlj{We~5g;x-=+A0y)aab`oIa|&!f57YKz4J>Fdz3KO?T`tT zXt-(*I)+lfs9tlHWqOfC*?)*n}x3; zZ4YPJSuo1K%eo$=iBSeM;=bw+Z^p!uMIXu#kT67jx2E_7eCCXC`fF=fz{)rotJ={| zUWQ2{VBl)a!k9ceav?h%p7NeXxFF@A5o=}vL^CEa=EIShKAa8dpvW{JqgLYQGWP_9 zpkrj?&f_+yRqVQ2v|-xlGcsv@o{y}B3;4peB&`Yo5^}~U zZz$V@QbUCx?#St{Zk4mg%amCMPjCGW3+vy%c|0okjpzg#zY3Y zChrR&;F9sd+pi&2M(m4!u-(bmh+`^4vTlqGo))FfRxd0 zAa*#X5rz$;`{54xSn^~GhKAtWJqjy;_+sSP>gtlZMM7NvyMM-8G$5;NHXYKdAzofa zD_ruhraQvS$CJWww;_~I|E=u&^)>TnpvoA z#1`EbGOly~DE5y#`rQMHFJpD~x89MZ7BG6`7AQ>+qUqkUndq`g=wLh+v&@Cy@bSPh z(G2{;D+}QvDTk1`v#3_na3#X}Qw*r(K`~Deu6e+1??_?2@ z^~a4mBbJj=C6y7L)gu^hpaRjXu40bu)gbiWhuB(F5;qP-gK;q?AcOk=pb(~F$d*f$ zG;nvJ%YU&l zTo(Ga6&+aDMfq-^3^H*aCv;?vvzUy#%h6o+t)F`b9xAN7HzcXDiSiPi=Cvta4Pr*3aHIynBW$2Ue4kL?qM-HUMS>3J!5&uTbOJ0@Gn z0UW3TBT3oRxA=;vWljT*#O1IWG!k0q+gMbc zGwcjK?=p&uBj2x=X!35&<@{vW!e8D*JKZaF<_@FD!8ZC0vqc*gAmK>i-5A5~Q~PGC zOv12K+FYtYx=>Agge@B|Iohl$HX`;x$W}Je-(~bmiifh3Hh8Hv@G4>mVTw_oz?@Vd zwzXkB%R5=;b>~uBC>31OHC~ylDr!`knMr4qlM=2=_L-5J-IWxCkN(A0a|>7-28N|o z6roDJpac+#;m?Oz0$P?W<#KuMFr}OmSL+BHfs5l_qlLQ1UP%oHESvP zugYqBS&{@kP{@CqG06A$yu|PzjV%hmz(2v|0v5;{g%I4TJ z3nv+BOWiA7Ig09mBjsJ87-;|)+s7i3#LFOWF3T3qeS;O;NJ74vXPzA8z9cAyl52y+ ztXzPn$lin%IV2_yP``jd7DXN~GBN5Fs!8@81n{Rkbaz2ux`qaRffvNXd1J>r&Oir< z;^jH`!K+Z%%niAG4)k99dDK#?wIt~Eu%aiez9djV4%UNVR+!Tee9quUvJ0RZJ}=cM zw1nLuND(|BsnA0js$dd%EtZ{;>$C!&Nxfajj)b58zIUEUua$fE;3so(Sb&!&oCp3!tQp z$k(x3Y+5h#2CZs?*Z8LCi(axf0|hd{LRJJbuy%v|thC3mJu@nyItAj>-To z}$kEDXicl!|yVdaS1F@DaKqu1E!keH(W(vz8V5*TN{YZCvs~zRSr*JmmQ%>_7HRF3 zIY@`*QgejHb90Bg4Ka3FZU!*-JoOHw1tE)H{|KDp8%|h_2xmtea5vH|6UQxzT^&cK z<=PbfK!m$+=ZCK71;KW6VGBiTh!I-gpS!|mrHV2bEzd^X-_wAJ(Ho5ehvs9ID@`2< zUI`4v;IGJzLJc8Sc!6g`S^$A()=VjIo){(12@67Brkg?j{>$btlMXzI{!qwdD1n2~ z{wU?+g5e_fuS6c~g)tEy%CnNqYouwA1Hwcgc|nmt`ZfQK0w-qo#3~3DTqELu2>~VJ z*Y~!fIyJR8J+N2^@6+3(OIuam(R*Vj&6BMVd956ALHleE|UV$z;ia zaOY|NG`n>$Fgq-k%QAFjVH0akRGh~*1|$4`@{`xBIN=WKnRC3Z8qIFFyCYai%@i5a8Dvp zrQgumVR_!fuo9#3kZbTGpx|Au^O!2aq0Ds@hyhuO&NE;jJRi^2O7kes>HDDU7XB+G4L&TK z(PcOX0TQzm)}eS(1mn@KeM>y-X(k%RsCxPkhsd1?E6ZeU7be0LR|SOpaBDO-H%Cw* z_x=Rh?S1&M^qC<&v^JX1nQWo`GQL>ADw({1i%}XdW2oS`&>AmUnBLBYm=Bjlj%nNK z5fChT^Hmb3+OvfT+zl3vg5G&HYKqH_KU||zI#=H`zH`;zsYxH0Mv7I3g9{~qBqb)` zw|P6!Ck1apR+JU4;f0KJJLJCQhpOE?VNN2ffPeu?%w;K5o#tU+RY)NhhQdATf_N6M z7O^c!=Z~HnJ2#dcVG6b2DLFAO z@HMV1WEoN@p_9TBPnSU2z1ng#r(z=yzxDoW){-?C7{ECsQ0CQH>TksZ;Xr>L@H6p| znS9tt%qv@jPZ2FjLK83Qh+E*bi`b~kPS@o$#B{IPfT z(yoXb;x7!%f}X;KA!bw9h|ywhv$E)FYBeM4Ko2qA0*7?^RyYY&PQNG(xM-v^u!BhT zfRSE!(b9Y2xSQu0jIvU2zQIafp8+gshQHV=NaxiXgwUkj;vfap7NRAhf;jWk76j^*^e&)W<003$-fr>J#q%qs~v z`$aj-*k^$@Td>=TTg7sxygTs4c3$!{REYL$c;i2NClo7UYH$HG{D_6t*3-OsaJ~0t zk{ncAiRS1r=5QyQ2sI%RA^VFDr%**w`QX6}4?Xe&#Ij9*O;LSLk$9^zI!8hpvXkoPiIO?!!Kj`Lhz^~rH_2eE^;m{-qc2Hzdge>v) zDCJ&I22Hf{i`0jhaz4fG6=Ts&uHmF_x5Z&HmDmj86IoTYA^mL!0`lnBX*riBhJ(pB z7?Ti!rR6N`9c%%_YrUFh0Rr)`JtZb0@&_rricwRE>%pjaLm`xVcC0fd7dw&$p)ZWU zs4I~MAM$c^RA-JA@e#$p|LGr*$szLt8VK$NY`cN3K5g8m@5H}*M$igb#qZA7dB*A42A+ANMeAcM2#v;(frkjdz*I)nFm?$!h-}U z=3Lsv(Fk~o^Ky^|Fkq6+SGw_+u0zUtP}?g)623tkQsVM~XY(~80{fSV#dg84x}O+RtG3w|aAR!}JT|3< z^$;Vg4*UKvVTJVAx=ig}7ma~ZDh_U9km_}mFbyw?D|poyG+lrb7$;i584fECXZaid zLggTY49ZAy2M{#9vb3@40DIl9!u{*Osif2fzKN2B5_kI%nyc)gGB+0q(KwQ{ujE$Y z5URrwy2DAB2$v_q;k%`nY^t~rfj;kXpZ~z0gKdV$WJ|Wn2_BVe#1IeWd2@EXx&7&!MHWEa}TQU(tQ9s=!G;9{vkzU}P>T zLTNpVSa1``$&HocU<7@P>n@eV6$1rTw6=aGC^rv6mfROAVv0er@htYnuTn5>|C7BQ zWKmGNIK}VvgyeB`m!K2T&`@;60a~&e=tn9%!$8g?E%tw@>{E05 zeU71jB}@^E$sn84womt-XHxQ0>sc!^xyWGXfa9Iwex7xkq| z>!{B3Y47DvZ0V80WqP!LRkEZ5(a-pGR|pF@K4}iuxyx7FjoKzHNtz2tVEAt)7ZQdm zV5N=pDUPr}51i8?e8QK|l=_&sCsu_LspIl@5RHH$8qecZ( z(6k&}H*c~r9?W##_WOe7wRonlYW(_dItkILQh1wSR$Q1#1{aCrU>peXc;u;mMtD%( zZXsh_#gTz4AyXK=anF`4IYUBV2*Jwi`arUHg*ghQnLZYFQxw9ehsOYWX!@XFXEY5m zc!Df}JTgs~>)7l?GDUKD4wrQmimTFSnX3eVeK-`QDNT0D%ijBCH%4WGC7e?HA4_Bp z1)gkZ-fZzZ{c5-`xf}`RHTNU1eUZFEjGE~fIJG}eY$B|TC^D5oNa9djPH&st;~+*8 z7~E6dxBl1b))K-V?x%kpqIfwjr+Jnp`SF0#tGn?GatiUW0HD&`R!oP|)$lo^N>E)$ z8B(GlN%2c$WvWmWD`XUU<4J2gsi=I!_)9*d>09)?$TXUu;NJQ~mu&{fiSSrK4?uC8 zH6aHs8U7|=t7f5>Rjal#z^6P7NChCMxpYUcBVbUYnfO%f;lMFyQ{IAjtj-(-EwQM; zC%)2zzfl25#Z>T(!UG?1$#nf|zq2LHWpRa)LerJwO1i$Dt{)GcO4c9F770f4j5uy# zKzMM6JQCn(9z}CJVi|i}?BO^hS!tP?u(^!tYiC%h%~XmR-%;Y@?8AS09f&72Z;vq~ zv)0Y-`WoZhcc#03eEV>EEr}ZDJGsTWPVC-rN5GKyA#^H8ZTIZ6>-Q@Cp^TFCOR-F~gbr%23f zc!DxOWjW+lZyvfI4eV|@V+@pioj{xnrcm3LZ=QgIK`IIvp)&JSv?8`%SR-|I>`#l5 zLzsT~WX049ezRQXw;yquPH#=g!XiNF`@9|UlVKvJatcj+>upTqhdNv)6)oIo@sVFd7@Pzur9I?Hr`7E-sB?bdAw2lQY*xv_6Q6z zTp4zvp#gpXk(7x1A^1qz&n`$b3Ol;Y{6Bf5x$NcSx|pUIuR?np@lcVN88oHOfvmi( zcX5@u7a9)6N=T(MJ0#w)Hb9}?p)=Q1Hi4$1f18d0O%RDW$Ydj?inLWBh+gX95eW?a zua>161D>eFwK-CiR=t2F5nHo5`?X%AhXvEvIr}B#QJ;@3L`ek#$ITp3w;5f@74Z z89eY1W{D^0lJa_CXOr1YVPhBYU9FmR(f#5lx2C!LA70jDsXEJXOM_~FX}Zownl5b8 z*R(5;rKnEhITRQmKo7vw=t04)pY>&ZR$OY=a%R^PkPJ1FLpDXPQOVRV^IQaeHa_}U zQ!OU~z(_XZWek-4ke(|JJy#skE^LhqD^gyq@xzAjTp{N~!LuLxEEMHO+S|IP)`s)| zys|Jcz>$=(uGNczs*W&a5tYwKYo))l635r$GknC-(P-D=7!`$6bv7>Mil2O_qtD~7IV@KMwqi`_r9Y@`wi70YTMOd+c z+VU^{??8O>P@L(g>3~X7-#F=dyxZ4V;q;~@o?BzT^)3H29#I+oNJe}N1HdJ>M7P*d6E(t6n6#mKmo)2m^41^!Co=m@4L!U81J0oh zjmQ-%TR}Jm9Q{G_?7)cmUCoU)eKuA1ad5jS?0|<`ta6c|CKQ>Auxsj2gc6!mxgx&J zTv@#S6;0rf?_b`yzeNNO6xehjn?sH(UE;6x`4GDCryXTZ$2rW!A=;Z~?}yybKNi3a z%0s=;MS;CM=c0;TtppxJJ3(J;H5NYlnN(^R4#n) zYJen0Xd*Ep?G}8FmATibOanMdjJ%(sX3Rb5h+YwOT^{9ijxe4q-b=mU z&3;09Hm6DoCc_E}0}kCHecP{fVDQam`$%xAmMUb((75nmFR>={r8gEsih_mdu&yO( zV8VTTMx!}#!US7^9K$u$o*G*g66QDz)ecK;i0BIp&>9d`X$(4B9V(4W1cU{Bz5FyZ zM*cSb;a@s=qG3}%Qnehv>Y+OQ%$6B zb1g6+r`;@B=D3@T4(KLeS9k&_+*vdR+2A(rUsw&lRlCO4E@vk@pFBBhc3-2{(NX{U zkD&F+l6iYx@>SF13RGtoIps(tX@M#y1P1>tpa3l*5j)7_3R_qPg_SR~EA!V#9s&X; z1WSRmFkI&Ehrc0=WBZB&)NqTikgsEX3(}cet7*lUmm6Sw*(PC)x?GLOMe`XhZ~@Hs ztvT5#iyeq`ScN7NNFWClLD!{wtbolb6rAt=Usv@sk*C=2#Lx|!F+<@*D@EQv=)7EK zr?&dcp=KE`cZbSG=sSzdrJ;lVjSPpjG*vIdvXU?%X^XYiZaaX zSfJcjd*a`26m7CP{9nV?xP#PvA$&GL?!& z6`%}|Su~m2U~khqqe zAN}mnJFgh{fM|Ww3F1OViSsd=EAw3R3Px}EI#^lu4 z#H@R!8arNcN8JT)SN{^~+^U8k9h|vwMytFJTJnLcMrJ4i_f=M*x9C30&U`&z-A;}8 z=W$n5wra>OZqx6nRaM5w)L~;N9Q6Can1va*;Te|}JVK6cdkZpzkG^lQ~E9M{IYd1slP&B9&=kx5*kCz!vX)}_EbY`od^ z01&~g@#x6-q5JT2VMM;G#om>QDU|oN%lsmYu;l29S5by0e;99;I6(MEI+Ef)J_g6= z03~#Afh-5&Pbkz-xFFO(IQ1;~8Qge*L_E$B*NQ_0cZ(UEdit}mWo5}h=D^5d_=sEV zQP4U_;!cIts>@-PnHC8Kp$|@s{>$U(V|&mKL)Y{w?1~r|)BZou;xqH*9UJg0ji4)b zh|JN(T&KFdyP^uR&74=?!-k95oWeB13I=*#spG`I8~NV*T-dy zaS2rJ2el|zexRSo#Tms7YrjsbFk{X~p|lecqz765kR~X%9$J`$CZ*OS-lUoe0Js&u zS%=}BAsz8Qg6C|ub|5+86T8QPeUlP~vMF?QDkHiOZG8IuCczdtY%+;Vy?j|MOCb8r z7w1$Lijo+m2_5J!%)HzQWgHTN==*K#V8!QI#@-Pun`Ke{Q<)|(@l|;m4U1iINHG+{vBmJLHM?A0 z2>(Kf{R|Lbee;XS)1`M$|dO{Qsilm9d_q@d4W=UD*p{ugb zyqakVZON}PYjcJx%p5+gH1;JHLLR7VhbMS~enOIHqk2DOq$UOgfH{SpJ_{P=pdcsE z)uvyWeElEFrm4;O8E_yiAd83rL*EfPRDQBp8G0DI3&)D%%Iav)v_27uNL628fuBtv z23#PF*ll{waG>YW*CQK0{ippJA_&ljp}+!m!c2Vi?`yISZq&r#YsLJ7xlq=Y2qNdU zXuc29Es>en!uQ}dQwV~xf8B_)$uEU4T23R|cyc%3DES5o`jaIzpaesvHJ0(x5u)?a z$jypWFxSk{;#2BiKyi>EogfEu6BjxM9@Mw^qqy$y5Wc<~5sBoFKhE?kl&`?>dc1I{ z@Ezfr9pd95kF}C zgOI>+Ni)FuI5y$!YnpN^F2djiW=5iofBy5*!4?xd81^0vIta{?k??Pe9H1pGw(3xr5k9P%uRnIkSa^UW@XusdI$ZcvfK#*KX) z^w%@}-*N*Ex{>6KgV(CaTlER6C#i5_qR4*wZYFgFJAxJOIeZK)x6ZRghDQCj8$~rm zK8F(as!{lLAMu|_;wDZa*i=84F~%imt-2M84sMankYXJOyv;jQFw2$C{W?W$^hquh ze#1`@uBh=MLyZ$T*eQZkyXMXE@1g8 zThmFkV3i#4s~zYu#R-=o{%z+sk%2yvW*gZ!cnaM{Z7$I}8)FpK1*L7}3G3PM?G$ye z-jMBqz}6^JLYg}v$HwXw<`Npyn$y#^Z0Axa0S_}qb!dzt|3>NiVzTNI1~wT3m5PF( zD3eM0h)upe5R_EZ)?$I-gP%O9`f4+FD(sT_&$J3h`s`^O(G9Hu)Z%B!hE%!;(rp}O zoz3u!AdJ5g0N1LyA|eg_OJ`_ZB8LzmOmkKxI|6AS%V0)qZr)iXldzLm*Jg!Jdw=|^ zTFoI}gos`q;$V6guMrHkR4VShNl{hKG7$Vh!9|*xtR%{((7I5j2vvlpq959!mqGWD zF6g*)QA`ei{J-&ytE6oezUHt;zXaXzME6+7-fW&HK7j-?78T3zvO-Sb$X3nC!Llkf z*0QJ_s{R&D#42i?!PuWtyAoHD&-^W()iD4ja~K)nF*teV4{beTPBBl9>NM<-=0A-g zHCxhaq4*zG_*mJ&o;^0(yFfdljfzG21!BIAS`jNoeXQf1s5(>-tFpTO&bZ-b}qgh6VS~*2%NGOGU z;V`q5=rS#NV&@;Aj~l{%bGSR*OfkYuwi>*T1@qR^rbl8Jc%gnZyh%-@BpH8#9}q*9 zp+;^RBPJgir-RKyzxyxVsTH_LjCAS%RuT%#M6FcI+7XF)R2F!$?i38LdxsynWd8z| zfXPYJo{;-am~rc%-ezx6z2m|B^{)(r%ctX6~lZ->h$kt8=eKQGQ`V0DVLOED;O%4+ew z_g<||lrw-va0csNz$>9-#kukc4tc{gqN{w;!a|IJG zUmzQzkdgu81Zn51Ed0Ttc1!zGNm$J<=+n#qsADYd1G(u1>v$UBsCf;`bNOcS@q*He|~L}oI&H?&_Sh!#qxfv4VI7S ze+`THZ^Pe9T*3-WjiyF-&XI46;M$T@YcOpbylxtwm7WD|AOl}Oi;5U5@6q2i72J^E znJ6%)a$Vqd!aEs;(iDyp)tm@n)_lIDby!E)yfQ<>$qIu59Wh=!yLBGx?s0vJVXrO19?M{?G#np!p{Y8WkS_8b2XVi>u1_Pny=taxf zN@x-AE7o?t_Pf`d>88qQ7eAQ+qhEYM@z+4d;+o`W`H-So zcldnHJjuBCniS?j!LW+^$S6#aKpj=OZs!C!5iv0+f|goUMCWWj7kS!;O42n!SZGO; zK1wLLEl@#TsI&C7KXg?u2@v>011Ok9(Fv_c#Xb}6;Iw5it3-^1==!EFh1>AW>amFZ z94mTkdtG@+xGPc|4ZJ$4cmbCgJt;5gYc5kOXw|31!aBOdnO>Cf!(BLX&s7Qt<&zAu z5;6MCScQG%P`2z%V_9{PlX7#m)CAG}poQ5|-uPLrmyL0Ar5dnq3o?TBZxj8Xie!Z$ zBUTPEkQXnRDHsU6`IZNl+EXeKVL4VzV{?h0NAKIJ?IVn0LXTmcUu~;SVDrDz;W?rU ziY88n2`=zLp-M<6l2)#kM`ROK17#R8*sKN6U;R7<)YuTANEGC*zP3R_!4CAso*2lV zk^yfarLfN@ehK!`CGkri7Ig%bXIp1TDZS)r!CcP!?U`|7h(#Vnm11OH`G_Y2tl+3S zN@A1$rkksN!`f2R#6@s67xCix5+>5gPZf}~Uh>TBX>M+5f)Ys(G2Yay_lcOv zFc+!N(juj`!7q7va2Vo$&-;s%3Y#izk|!>MfIM3#%S&+IU%IDVjc7orAvsQXlI0YQ z#~~LE3P{`at<+#@5Uro9FXRR@Ifzj=0U2CY=9xP-{OB{}&pQKU5q|cp=nJ?JrcAOgS&&R=kmAw|XlMg-1#iN*>HSi%Ji(eur5X zn}f-xmkptRR8{JDgiYei5z({aR3ah042O+(_uG7m5d8rGv3+E4O ztdgLu<>hUo^=#rFYlF%fi81SJAlRnAi8z3r-T$<3PsAFoO}1(>RjKsEGE&4#f))h7 z`Uy^4flwZ2$x`-+`?XUUw{h4aLG?XLY|E9n4!+l<0F&Yt8@5Y`$bUEB_zrqEu?_x+ zyLp=yfDJd;|5ori)^nCXA&LG@YCRv(v3(feOVEi1b#;nQel@^BRPNG z;9^#Nc3Vm+$W9Vu7EXWW$4H>fNLsDSD30L-YdOT);0XQAyw3rid&maln6i5-`Gr;f zNq$biAS-F%WQ+d>2m=Cfi7hf7(OoV!HL5RK5wr@T1@~^rA%Ea&7z=~wK!Q-9`dP+> z$liA@G`owM*kOWf+<+&i-|)~zJ-YPY9PuKik03SA}V zG6w|V+lZpZ&;9mKdB^JWCTj=0_T>~iYf>4uEh-53?W(y)1VsWdWdE1^y%FNcEE$W| zQDo^2b_$=7bsA)1Ubr$Dik$kC;%DIL{i25V+w-D9ld&=&W3-5E!TH1+vvKWnuN2iK zkeS3Vf+w?$%N76V?b(CtLCQbun-vcNeS|?UC)v(8_9;pqz9m2k|a=s*ftYnVhwYLHB zCrzV48|Ol_2=SPgz!DMN?v)>`_VA}*`cYJ&&IJD~heVI!bSo6Ek~E)^CJDsPgo)mo-oIi>t6^x_+7e~sLkv= zy-x-OWe^zSJ_7J?ITqysr0(KXzbWpQWtYieL_!T}4kvi6NhHRzTj!tJJh7)1EB_pB z>JcNO^LTejd<-Ti9Fd*b6U9N<%#hG?tcv_S!-emh1X$otCyIBV&3`r$mGyTq+1KDtIdVP6)6%B>nbUD#onGPcSdP;HciJ@0oP+YG+d2D`om(ikx{>MNNB4`-KPit(8^v-bWJwTD@vp)JnrS^yA9WEo2K zffCQ=rfN`PfERmw0pVm6OQi9jq<}L(C_FxLqGh}7k7-AeKZy#qeT)Rqw z<{jaUd&cO>cvJRlTHqjTymz>05aA9`8iIeNF7;g5G|dYl2%3+$PzyIvXoG>pXT}F- zp{kSuz>p8%=1fukbtN@{d)Odj<~(VG?-LfuLF+YiC0i%gLI9%g!+KyjjE;IY&AP{P~sx-Ud9*F7**zSgoCc|CHLp8uhi^` z{v0JQkNS6T9sXQ`EJ-!XHH32no&*PEOTQAR0ND3MmcIZpn^B16WK`Hr2Dl;7GZ5H+ zc)7&P6V;ha8!tuoTQJ~!YmfUD){NBuT5%*bf+#h5obbVOe#DaqA}yjQAibOvFl6Dw zH1UGRs3$G*eXQlN6UH-*UnbY8fGXv;~1obL=ZX1Xxp+O%W|r+D22%Cowq6#QKpy1$F)D z{g)}@IHh{SWVq0D+XC}TyVU0yjEqNrv7($MVC{rnBzj`hVjglg4}&g}D~ymnwuO%0 zh;VTjm1){EFCSqtk>V)Y{*=fF2#d40p5_?2AG%vkBC8(`DGz-pwdHtnzKcwQX;57E z4T5<@hfVYXMZG?VcLPL=C7K+5F1=6sCn7{sQVz78yl37esJ6s9aRV>p7VB~GwPZ*v zi@X@=$!8*|D5i|BqL1%>R9ME2aROXmkT)=AE1U{9xSj#%WNB0Q!z;;mdx$)0Ut37e?K?nxDqL=H>`IEwsv`^AU^ z7`$%#D1bPQqPR|4yNxObY=buq8IH(7k46bvyNRJh4O=+yK^0u_qdXH-lylcD)oG5B z#o=u4gv2tT`$T^Dz5-(*IT1+Q6BA`O&lrV>lVS`Rh@2DKyfcu}LV8Vd7-ToTsrmcV>Vizgay%!e%yv(m?f*0> z7vn&{#26uF6&@8@2|`5xEs->z<2I_mnN2Kx$aUrteaA==>v zTEc2^&MH_dk7f`wjue5F6QqS04)_bNDI=?RW8REV*cjQwG7{oZ5eL~sXCwrx#hRcn z;&LMl^X>`FOz>qeS0b=a{mayqiG-(b*>(DsR>64qC%75JlT~o?+g6LXq`&!QKmW-+ zPf?Kd2*D}aW|oNi{Zn$N5}YA{R&kVf_azR#W%X$V2YF$*T6jNsiqGE3F*$;0M$y^s zrvywj8Y5D~K+Q7}1=#TV<}+CWgRA$lH;ZxB?-4+aTTpU>GkIVYXBRV6V|B!y>I#F? z<_HmeXmC!(CkJ;(sV^+v%h^P1j|LPd*H{ocPQawCD?{oGpd&#M3J}3Giyv0fcCfj` zc_ObHHgp#SKxu2f&_sbhQRvV7v5n1@gKQ|=s@NYQStk*a`^S5*e*OA)_uQ;F zDCcueS2m+z{OtLE)Q=}D#rzK<44^B;7nAcKFvhYbDJ4cm^WyPQBn=@GrGpN*_1jG| zw6bBxk!W5x(ZB4MV`O@?LrW!@tIF^0MxLo$fe($&h4)L;FIEpI~d@`Vn8=B(BL^H;m z!iOHP`z9b+z4*0Hn*w$OjAb!a6G=o~00T{+h3pajJlC3>sN%D}DQgh+wv(0tnJ5m- z%d9#C65mRZnQY@Uc0%Bys>T!wnr%*yK`|%6Q_9ns1a7)dK+kvlkONuHVZ;v zU6G13i8Fa2g&0IWl?Bb3)n_KisvwB-H=^h>fd~Ya(g6iyOz=_w$qkXImZ{o?OQy7* zAEJiHb0iQ8UY_$n0(nTZcttwF?&9colNqrhQe9mBMA25rQeVmgAASfc0g$kLM3WdT zS+fxV6*K2UC4jI;SoMtrzK{brAqUnADos{0Mdip=CTC1akSoe30~TZw+Xgsjr0pXn zD-cx>F96Xo$ao)qeC;RX5w#`)VFeUKPS8`E4v1bwrT|(@4J%3Ry%p=H{F5+9W*1=$ zxzKnwqzCeB15D=a3ATgL73~*+A?)G*`~XQr_W_;0c#wGS{47)Ep9rj|zVwV-$w_#@ zefEPaF3FPZfAI#6Z7fU285>tWANj6<8%O3%Gn@8Sk3WOXzg*r_KWMvbBSC zE?AKghL{0~j(8m6zgvM9d;lC$N>{1Do6MW_~hj0wQt=Xdr1e zKtAGOmd=q(@s7J?jR`&>ouUV(nnvJ1MumBk6?G0YLrEk6;pgPPNc#pfttyf4$i7wr zItN??D}eCe@{O|84DK8M-Vt=nTv5_h2F~gKu$cuSUE^&(7msmTBK&MF-;HoI( zg)iJLhuxb8RLL1VLNNbQG{l?`s7;EkB4kN~k8H~HSq&l%O=X$EQV1!IT-NHCyx{JW zF>Q~iDZG;+BSWwNKy!Oc^Wx!djo@I6w=f|;pUm6O{}`ShaGf(YZrN2$&XFaw7DSo% z8IWum4XBKw8=+QUPyzlBoXsbM44Y(j83jmGQfM8UMWIOiy%i+;gBBbNz!VA6VnZYu z4&H#C=k55l0nhlF@E_vM%M$FyL#WCQlTgl8_{wECE5s=6)tHVkA@L1+8GdbjH@}U@w8c z#0F_5k%IIp@ED)YLlDUmM9C(BtOz(qU0?j@Etn0dI_ms9>kh!f?@U!GKIyfkHzrl`Wh=LXa4^Gf^)3Mp8EfAz(;yun;R4 zgcovqWxXEo3gZ%7^@lFeBIH2RjgOYlR0bp(&nMgqh%3t|70M|Ayg>3ZY7|llmAiL@ zU(ppVSY#K&a&;uJvg{v%EXMXg88cd;jEsh-qDf@IEJ)ZK+HayzpT6tm0^CfNFU>h6 zMrNgdIerO}LsIN0skb1de2Ii2qVl)~_#t)%DD!7I&$KBtFngOO!5!<}D9faCl|30q zmLcX@beRbvWEbvym8|Cw<|x5#nZIw!7Wo~T2oWj<$D)Z^tXN(~^G%&Cp@vyuFy=rA zKvO&@swH!G_(nv)1?DdTLYeygLPq;)Q=?9bPE>)x41{f!ci!2?g#fyKkf{4zdBfB0pLjqHl z!HAfMIh*BNvwEIjr@lwNRW#Nz^I`3)z;_S}7_v_SD;bXnhV;#Vp`Q5hjY`Z4Cb0ES7t?Y}Q7Y+=<-8CCLd0V7 z-`H_e2?lc4A{WCCB z4yg9!Aj($zIdu?~*R!F7C3Mb9gh81TOwbRm-u{1M>kbn9`aaMjm|#Q;+A{T6NIV%0 zbdW5DaD*{p4n;1po<2qOC&TkG?!yDhow5aru!_5o?H;HA9#gs_a^e*xy|K)|D+Xk8pDVPI3%z_4sPw(F; z;h6=1VCZiiPHgP9@g0nCn*>me+MxR|@A8C>%Ggi5r6Yxg&>UeGTF*x?90qYvKQouZ zjR+U{W?*!|!CC(zH26ODnTsz&DWYT&l#x;J%lNs+e^RoGvCS&WL=B7q74A$lVuHq! z#lwe7JIh4WWu{VXhCng|E4rG)rIrFXBxYH%DPa^tL@6g@7d#VlT~`u*-CE68YV+bV z-|=>$6Lo{mMItBO%Rpwh4Ys-05FDw*y$F+;Tb7l_M*uu!77Y?p>6{Kh&^It&k&R(s zdl~h1#%&-O@?sQ-g2Wx>$p@7UxkRL~-Yq#bHjqk?>Z*S&JGm^LiHth1^p)C(0y(^S zNj7I*3}apii)C^+?+u$DFuNrTG1b#FykQH?B_fj;jRtOwL?@ts>eR@ec*Osi&1Q16 z%2?1NQ@(&Wh*n7a@Zw7*EZk&6l=+Qr(P?o4Sf0wq>_`kJiM9#I8O3(=Nw4IVbkAxgiSc+gCY5(!((XV%C5a@vykgoiDi-o=xui8CkVKcWV_FRqOgTGCf=0Xb+MWfpXX z`eZr60f2_q#KaK^npXw1XJHg_g~jIj>pnP^gJHyI5Pmc;O!B}ICVLCPGKA{Qbhak;1(B!|w zyh2aunO+TZBpyMJMTW(dm<-}YDh3a}dB?;qb#@sg6}>=FVU#EcH`O&U2a6JcNn=bR zlnOm$3|BAwW-!M${l86eDh>Bhcz9nmb9df3jO_95KMwQTpHe_H3P;lWrw-bRv zoEA|99rIt}D>DRsa;-_zC1Da=6!L&tL6wcp!fWX{4YZTsZX5}@IH=c$RYH3+g72b? z#5!W?C&T4 z+Xe%YRGUt%c>wr&yl9K1q#bM(YYiAGZKbv8k$XvQ#7vr*OKk~_8Ur<7RoD+jI3*nk z^?A)-?EMu#NTPS~5RH`C;V)>HZ7)2w0`feyY0Cy~CSw*-i4aVm5O+dfvDTQP7Xky5 z88WmNR;D9Kxa4)=t|bX!r9+p)~H5aYVy%v5@BwjO5w%-3^-4qo{htA>|V>kzc58T^y7 zNq57a;X^7ivj6h#&od`aat2XSeni(`k*8%+wZ{r`%gSfzOwP3xYf-U(kV`cg#D>lH zY6A{{R%^3nnY8+gd@9e>uy<3hqv|U0a2~iYX$+jk2=mj@^0R zooA3x6vxCa#n$X#vhF-@69v-h{WinliI%QnMoeGQeY`ja!a#<3wE!n*efG?ke(jZ9 zZ}#$wl<~7iEEizm1y|y%eaQId~kIYo*Ucx0sol z8$8=IEZu(hE2_;MleeU4rux@jyr<}!*T-(Q^%jcCaOm4qRVjIEQOjkni)G0EP)fMt_<$+LO)6hf)L(9d2`Iz8O z5XIJ0|8l1^{P*&@aHR9MX(#$yTm*@}p3N5f;Bz{~$;Y(l9ske0oS4xQw6(i&OCJ zl~x#~oAzW>UfZ!g5+Y8X8&zjS>Ua_8R7fnpc@B;y6Z`h!>HmEncS(Ws5tqkwVduEDBIQF69nUKtQ&4o`z%>eWN2xt zzR5ztm>+}Uyd461?Yqx&jEB;cWqFbeRPTUv$f5>`n}$XwT;n6WG8gcal{*(s4u2 za7tn7Q}@3XIs>L@RRbnOyogI=B27&Y<@wDp;D1+zF%?&lm-$^;tSn=t6XzUPQ{l-G z^Htoy7UAvD*2k}LQsu9@jVudoKTc<2oJ#C3dk5Hl7JK*jZqfC!@@krhRjtZ%iU`32 z7s|6)OL09zZ!a(K_`Wh`a+!0EA9b?E5<9U(a(`!_M)r~wk9!Mg5Vp$W!??H|Bkld; zu+K0ecA(VPD!twwV5pz`;AdXx*(6<%6~rPIdzso1vgKv_eGPaZ#&7_;n29loa0e-8iK%>yqP7|2UvV@VumPowunQojsAgkAno6| z4Ffla)c6vIc%h9%$E!l1Nt>NmKf(2Dn%N>a*Ru4`g~6VquL)*#@f!NY8Kqgdmp65Z z3zmTfk;35c**Tn|D^Cp*XB;al`M@8tWfKiXLu9}q1#S>df=w94%y|Q8)fPrEW#)1h z<{*;aJTjVejyDk-ojZQwrf3|tndI2^a}{g0Si;c>suH0cP&K4T81<<(WDb0hJ5F%;35qlv532jX@EE)q`ldYz(Cj| zazn8rXZ0u<>WpZ!c72~4FkG`zjcQeO`s~#Y3K5`TA$sca2ZMllI&`8?`KXw$u>yvP z-fZI37J(k;Jcm4&SV~LrC3i2UU{1NMQthOnOp&L?sLQK#4a=HndE;ZR!l zX&};3B+BF=@1E1Zlsddc4@Ls9IZ!5KwI1r7LmU`CuOj9~zF{R{F8NKlzyn>J0x`{P zxq$T1Wv(jCI)OaKi?{0QXT(kTOkax>@I_-Xo>aL5G%=NMC3Qqpte64vfe->+3@(fC zwQ(w*gg5+L66%OC2)R`lWV7iMT}jXB<>|$rTV!JJ9ZE!8M+4ktrX}*~`7Zx0t3*JA zc8n}a-KwaOOFWQa>k!u+$sltKyo!dYqacTfhI?kL_TVR5ZKoa}07RG%p4frll+a=n zgc-IwS>xM4o-3l84UKtUpg6KT&N5fBuCzbkVIASH5$b><@vXYoK3c^^t-{n!!>|Pw zWOF{zf-XK6Ymj)|P1Fg^9x{jFBxS7qymLd^Gj|6*m(WS zc=hOw{-_&o75j?Uflpt|Z%bJ{0-eVjGPux<^=Pg<4i}<S#pZS5rWy&iTeDg-m z`?pO#<|>sQZL6q0yUXP{S1xgX`Eh)Rd|b3g4z(vV(TR%VXeM$tpots!dOv9MKC9sW zUJLv_>%YOu`)_Et^`A(m@X&w$rdJeS=Bm+N`=-vxs2J?iRd;C=WOpk4a279Fry5^A z%yUk{D%Y=?iu03!{g&paG1a>(E`!CqS9%}NZ>iiccUSwHJO#J!R{#P*+P>3QPko`Y z=GVRVFVN)ZWHY-nFY|UW9JvP;qfLH>eqZOirwScB5$1v8A2^;=#60nlhgEyrKQp*v zv-_!QW@Yd7aI`0A?_Rk0+dSNd%Df{!@b!wV61Rw1cEe+P@CTKRQ<+4Gw!4(*9x+JbU;DEzK}`yggcw}@++L8 zw1fn(3u-bh% z5tHs5-)!Y!Pi>i;(3@O4FU!&2H8OCz^v=#+5? zpBh(8>Biw8UB7-Q?Vf;0fz#{c$O%=4D^ziwz6F6{RB6{wI6DRT8TbEuw}C()OmQuNcX<2T-mEU&ECTX|6VdoYS8u~5oNMMDF>FQMa&}tee`%8DWri;i=`=nq#AN#h>8B)i}|46wc zDD@$72)hPk)2i|zyUMGquX>JmI>)YBhh~NR;srMlwEP~tfVFkVjj`Xa{m{TGGb=w9 zlPNgQ|Hh7*5LIm`jrF`?Q4ILQYm+9@a3omXe4<1wBJawY=l^Y&Y z$4}j>esuL!%QrmLe(portHK+e>OXhy@gJdRZTybKk2jl7{rJB*|M8&t)Ege-$CdYg z>&C|vKWq8<&5vJf)$iq(I~V?P^2_`7Z?|&wJr9gOxcCWf?>{$Q_%ZJP>&<*~;c4~U z&#b;GzV6ijuXg^Yg{RM%`(O7x$Meo#exQEu;$v#?%ah?;{k>+jcqaZnX@#G!Ydxr- z#KC8O>&GFtggq~L#e@R;iUm!0C0P=oC{J#ZAf`<%00=-`CA%rL;1|!SCy_HOf+17J z1>;}yjCepo5dMQ=$sSlMy?E@aFX`s*^v4Gq(+MdAKF09}JY=Rj@|7D}*c~Ei!ef6X z)`c2&NR-KI)=I3!Y&cf^%-RZzU_4WXxdYxYw~Ms+(caORoGu=#jGBo!_fOLttYvZJqASXCL~NuMurBQ`fUut0$7@w;aXb;5dmU)44KJ z$8_hD_tefP>C~zA!>K6sTdqZ6Bho$VO~;-+l$bp2kUfK$$JkbaSXe=d z8^({3&+6Kn8MOdzesJCM$tXHeY{i3tg{fNstjvETBv+*lt~uICRsReEaEugK}{eZ=gt5+XzQwxQm-(Q42xggygkEwp|!W>R!T8S;^Z{T)+GOntP6*J zl|+sm@y-%TVZ|jWHK{ZXMrR$wO?6apwepv&vUq2K2zXgH@~+TxBs%;Rdur8Js}3SNz}SxJ6h z?2p5xMB9;GCirm4Cqru~S{`~f$fdU$b{62Vz&ySW+f#QbQc#LuepR`uu@-hiT2|m$ z4s=pOy%GkEHV=FroDF4hS=)mFDc*qep@?Hmu0zJQI7ZIM;k4*BIM{!=>oI5yeMp!P zPZ%WvwFG%}$k0jmQ)QD+tA_k8d}3n0qkL^LG16FEhky-0L6X)w+K1OLgApT3NYUlA z83}W~z5M44e<>vpL^f!=;gSj4bWGr zArLvmI+1!12TnvcU~>jzRNTYSZmt~4aF|6=plN_Q)R1ZLPz3UyRz7=%t;J!bYTPQY zN8UQrnpMaa3x_Za+<+M)^^WzbPo~E?hlY5V6KhCreE~B_SjlwJSZtm>vV}1a2qHpf zcL^$x?;`G+@zN+WXMY zG0k+tI7C8#*ev+Yz?h4-Lx=>nA$CEe%0dstoES~l#tfq0#i^S9lTb@ZfOsE`lftgT z@iWXX`}XhsU;LN=1Hf_Yt`q*z(E!wpSrbmAj&y`@fEP|S$MdV-1Ni1{lQ4@?G#u(KC9E2XU z2{Chy#H@A2Ty#1E;^~Zugl0Xrf-!_b01XIb4g0KbJZlsE%VhB@F5hUo$OP$un&_Sy zt-6X`;j!jcF_kt;7d`1@Xch`UfV^EuTqkY${1hAlPz<7hG2y_Fmsz0?#@vmRzVMm< zU5F#td_1Z0Ft8FZU8tB(5e)d4QTq{s%+F8+hYuJOubT1>3aGqxCMdXdhTnnxU71F0 zsj6bskQ=G2uKI<`PEEAL%!OcjI-(W9*aplCexML$+TvHxiYS&onB$su8)8gNhr%B2 z(-qxS^o|9gy;iw^uZg@SUjHpWKvS_9GZchA7={UygF|J`+=3qzeT`{fC?WlDL+Wn( z7|S{*Z$i=8^zvFE8^$yV^>6<8!Q+bjo@1a@*l8E-MtNg}!ngUy;@Poy9G2~K zJoB}khKJY`6&wl=?PP~f#))+RiBE&H@NjM#`Ok0qD$~Sw_yK*h84k!#HNc1Q}BsNi1UH{=npQuM90Sg#7a=zOP%%TY} z016fwt{zR1pF8q(3EKgN!EkZTK(U`21e>`*GKWk5(|%i}{XPIg%TM?0#Ax!)VDfG| zcfogJ0|1Tte=6DNr^p}w%&bzXt>fW^i;-bs^ z8XfpkAL{PiH5(_*pdX*yGZl~S4(w~yk)TMf26M?)!)yT!Ce6B|?k>Ex2BcV@tK#03 zPI32M`z>K_&M|Ffog1R~K$)M;s^t}Xwg1^Sjtd<}l}>gC{b%x6ZQ{UMOL2H#m$%2a z*Bd-utiN{0{kwm9Hedg^MB&9|vT*SqzSHUn!m^j-_P`Q_0#@(H-l*vL*2(5nyaWbd zhj`yw=(iU$g^3Vl)#pEWBc=`MzeKqNzJIZXRuJ{_M0PFYOt_^en1 zosj0Tkb@gVLA?GabC;SpD)2XHh@{{7`X5XeAWFj(up>@}j;!ALoeYL{@*_do4Ok8A z3bX?NvJ%+wO4C*#7?D7|G%s)wXinZFVO}S@%$r?I=nNUD*XogF?*?@0f*xQMy2Gfr zR#}C{@Cn`Us_k;E_~hByV)!S!#iNX05qG04y}c!oBj_bZU~Zbq^Gep3Fsxvc@#vlbQkO5gzRq=&Cy5>8FNjbp(kalnj_ z<~*cH+g$*yPjXKslo_}EXaD)r3^wiVPi$PQ8o6nQAg;tT+YBvTe*z4~e&2h*^rFQ@PXQwZKx;{(snGJuFoxg9uXgf}w~ z6|ll{p8vI1au#*57vzd@Sw%073O~n6r(FbQIO>7~0vzW}z9e{ty2}w3H=TyOKxHA{ z5Dmzp`GU9R(|mo7$pTWsl)xEFoCEw;Y+)&}h}BtIz7NWK|Pc`q*yavVwR*S-RlW)fN?;dz$$uIOnV)H13^6+PD}&Hn0#XnOO3$! z>Me-J-W9wrUuPxrNSh8mbC&t-37vGNibw9ayU9GEiBwyS56=A4PI{EKSLW`q8>A+S zklg8=UbU#T1D=T(U)n|{xzb z`lu@3s8ElSZuQy^kv2qt@l-c%P$OQHi>aQmt~HAE)c@TQq^(v+h;!WscW#A=?afuB zUv}OFF;YpxDc{J#w{IQmlgIM*L1pWtM`2+joXWGx=@Atja*KUhz?TxLw&%E@agUu` zVHbBUdc8ZX##g#-+$gj3$p2b6Yu+g zfok4)&o-Lz5^x2m=GTAcZP&hrAFKS>#zbDTjjc@34BFcF+ytVz)X0hbe*@6-&4o!T zU?DF>dM+U}$4?13@^^%bzE>kB0)rN9Pw2Ysv0P_h9`KljZGdJ17lG8={8KZhz{gC; zZC1c05e!r$azg?6(~<8fCRKi3dP&G?UJ^>9rTBc%stXezKa((>KqAt!9r}P;uScA*ch@(-K zwKK;Wq;BHWy7BGL{-2c2(J?_9d7B$zM8O6W1F`{gu!6Gd`UJ~kr|^vSO`N_2uEJCz zl{(~)X4*Qn_mCQ4g|y})24&*<)bWBtbV|(3Z}gBe&syns93~M>UJ6D8ty?L>j7scb5-6NbbY0c774B*e_u_dm~y+5@b*aDc8` zS=R7=dn-MZwe+#f@Ont>N+p1jfnPZKXT-83*eau@Mbg1&nFq~N%|o3D>z7!WVsAbN zfD@=7Yy!GFiJEi4#+(zl+_}{qWD!Hi6wI*f)!>Rox2nh#(m^!#QCO39KyGh0reX{N zHSqQ~&j)=Zh$vl+9q%irGbds&kxnxx1Tm{fOasG0QW3tCHt}bY*(f+Sm1DwL2p7SH z`Z`Qq;$BA=Z6eeP5al%{5sc&bkR8?BFEKRhhNqyhmMp;KXW}U9W7m_c4gnt<$TRto zw|1b@EIqqykV%03l@U-{GfX;h=p*$3>l|KJC9XP=2Mzo{L#svrA{D{~4YUxcgiLBs zJUrSJmZyMd&iaRUEx8!nSgPrD#@hE<0lTr%YcYM zH!MC>7FkSQB>{N^)RXw#3@*7#zp0tB!+DdIpU1F1}j z3V;Hn0JVUPaxopia1c1&!nCE70*Gcse8ewNg#hsT^UayqL$5&g6c+(Rx;c=P%_ZIx z?a+5l@Df)DOK2-=XfX?Emzx2u89xH=8rg(KwETpW&DBUSi@_<$3=tg&vt*Z$%>Tz7 z%Mu_5dqobOO_N6ZY3`(yys3h9Bj2ck6h_db8kzc81`P8vfLQ=>Mw1(9~fL-ok z7y>yE(lUO)Tfv8<4Ky8KMe7IzS3y~qS}Erof+eai-AQy|RXRpBHG{tJ7f)L8P^5B_ z!b=m(P=E|AZ4pH?I1q(|^gD)w1hGhO*vzH@3YC^i;V94g1CN&}@GY*Sb%Id-Lagak zzxe<+3Z3N|CHyzPh>DAh3jrt;VmcHyMV@lSRPnb0^AsQmK>;YB=-S+tiD&V4huwYr zSygeY#~Q&X7E+3*pw<`feI;j}yy3%P7p-UfVx<_N{E8YH zGe@ft66&q0_@n*I7p^eX+Cq*D7A_(E(~e=#czzkXeRASGl;z?vxvTk zi)+2LP00_mGu>Fj^0K_5mXb^b zdX0w+!`vBM1eKQ!C(_okr^$O%2~o5f>Ol+$k++(`OvJ)**1w&lsdha^O3n={PIRIE z089di`NF9d)lXe|V;NsC%5)m3lVJ$pi|5uwh&)`;T^*{3fJrm;uneOmz0~MN%duj` zV2vWOwe=`nYuc2pGLud`^rjnSI~?mNn$p=p)+Zo0!2MRTb}WnYw(e(a@i=q{3@vYE zZ5Lzb02n#idi9@LqZt8b4E_?!4{#g6G>Ow}YJj%Cz6+cYg8@1-ZP1y0lN;s7WE~LO z$j4g55u&{K>5H{D<1ozn$5m0E&B$xa6=8Vs^Y5@uUd0N3&;&#&LodmyZW&q!%Cb$h z*Xrz{S?UWK{%qqiAdC}pQdzSe6r%+oneKA*desAyIj{sKbNy@U)LE+X?q|uS*4{@A zPLTJ4BHAZ4IAs~dYkk!&9`L#J!>_Kb^Lv8+FxlheZ@nR?e|I;T^y&Tkq|t>a{++Fj za#NF}e%!CrLWl*v?4=610zqIv>s1Z1I% zI1u-GvL*tK&O4(*k?p>VFUe%3@~4a$V;KNfTrnlpN4;KToo-Bz`>IoHvO8=OsUA7w z{-M!Km9H-pn`aVgB?2eknI2sbaBETW;27o0-H(0$W$~z-liC#i=dRaL6zX7U& z7!aZnM-d%+6m|@$tLU!bUZP^l8ebj>Jd<`aE)fs*!C$^CzIqX80I{Zc2S~#2P{lKi zGRK&`C2WuGP)Z^z1W=7GiuIl=WfFzJT4d>YIA<3u<%~cQmW$0TuK4%)bnyrf(JRvR z1uK91@wESifh7$`$=;mjPwo^f0ZWt%+~itHPD?33t|;cvr3JVG zI~&e0aSissKuVCZ1cXAch9#^^-n_zzsYBvzP?Dg#$(|M9uGH2sqL4HZS@1_unJpbv z=MRR?`D0WUjR;eK1-jq|>kx#oyy@u6fM>|4hqU7oX<0A;6R*JS?O3XssO+K813T8h zQi0qQIq;Am7Z^n$Q{F^K_|z^o8$Qg>b+Nfb8JPycE;cFn@%jX)n-yrp=W_>^)TCU{ zMFvi!aPi;Xn|AezAWBk1g;W4?unCt#egI#@59ejFVyhlP)X42vM@55_syAJ9N7E^g z+?+vf0A_rEM zMEc|=@|X7U9vAk_rG4_xn9;;5+Ae5~0>bpPvU1yc5277~>A}EZn~XG zeX_cM%do5mf5H9`?^qkkMT9FcrQ7I>AmCfnl@x*l{hnDIITXeM|ITm5FDan8b76wcyiogc z!@n~XG}~y#cJ0e0WaWF~GcT0T{JWPF;=HsOKoC=k^GySaNbStRC7@^b1euAi{`fXT zbM>X-mu|G63#B~IE|~HcTE6-c)blO_JAGS)2TVi%VeQh8!UG0*dR_Qp zbRk!#6H zA7UXzx%bTYC#zW(w}l3_fP>qq&V ziy@>e9d8kPewGESSQ0j|U>G-0O&_SZ)WEnyEGi{3IS(7qwq!e*P4dTL*X3USpe!Z7 zs`DBzIL3~KNS}$HQ8gj%Rx~;|6D@IiN?9i}WX@GotBMqyMdIq*&0n`^QX=t~5SG~x zr{M%LCyZiA9#l*KDH#n4MSUSL6{(%(BHqDMF$SwnXQdWHCZ;_X=#@lhHuka|RTVv| zhOzZ%lC{EF!s2xH{ImDHUBHHAlI$sxe#@K2*!iqVU|k4dH5iT`*nkJcLZ}iID3_u} zg+&i2_@M43UA2KOWSy7XI}0zjp61Q7%HKVeA7w?I-jgeJn@FskT}j|biZ~?ABuosH zj>u>Aqv2J_PMWrtL4DIHS(gsu4n;h+l<6Q4#X`fOz9XH2hAUKv5)>{Gsyb9+ldI^d z7)~Y*f$R)ehC`6M@(K|E?7M_+{JcG`$%f^K3xN%?Ik& zdvIsvN$eow2J! z++n7)zT<0e?kX}<7T}|JNbX!*%{~F;4!s6+r0IQ99u=pZ%D!k*MY@xA z_JSZCr)37unKiald0~Vrz z5#Xg7wPHJe?(|_qRjLJ~`~@ncGY}aC;2X0h+J@AZbd?;9bc+QdxI=g)^;hKgt|^)k zs}dUsOZO-Q^FJ|(PVkwS>pnB7UBql8uI6gZ0?QFNfw zl08U~1}Z{D$SoNk9muUiHpL)TR!tOpEzil)l8ZMofK-w z;c|JUHfmrk)4c#d6z7pN&QJhAH@2CkM#89!LagwafHJH@Q4hx$HCeZ5g7u}bD@Cw5fP@ExH4;&O0$X|Yc7-*Ixr4-UtXBV z`cb;~c3P*Oedc#D{VI22kxm(xf|LWp5o`lP&`5$3)6AWGxGU7kk7C1UH4{_O84@-*eDB|j3z^oHE zMXVIgjY2@#-O6>gY+jWCc0?YKQQpN^${$MA%(@mb%B*pSx^;Q{_~VyxmBSc7c;SO^ z2p-b{D>QV%pDDFCK2nGPVlC{5kGKL7Q)-AVdv;YJxCJd68GID`t}DhWF9yR5 zyScME>Z}61YT)f*&J2bz1vE=&MrWTwp;0Q0Xsoa!C|X$`_~EFNCI0$Cy0*Gz55lsz zGu^S0kwN7lJ1UM-l-W_s4fZjKK$quKCs(;&w)5FWt8?x=1Xej9Z>x;YA)c%b^{?f) z)2+OHsJ-XdV(smryhaBlobP{p{Pa)1**|h;FAKtT3Zuay12)Kd)14w5IfFz~-PHl` zbw=tiJ6C#Lv+~m5eA|62!E~aWgE4y4hISHrrK^@zud5<9ktEa8##iEvC-yFRz2>v8kYq4sRv|Ik>M*LrSsrZ`hSKrx8a z#_SUW1y^By1GS-SW_wrE3*e1_I8NHT+M?1wxncs`n6esfCu@)|@`(nUe<-T??!p>N zmU91wubiQ7og>M}RI9)5!YBU1de|xsTuZD;?A?H|a(#om#nC|)h~HEzQe@aE8L@xB$m-F;?7&=c8cbsGg4`?|qmrx9i} zSzhCwKXTypb@B&?XU`wIEvnMX$*%VY8=W;SygFm&z$na)>-^yiJmc2Qv;XWAB{I#R zRi2`bBDnd4TU?JBzkwXTf$1!Aj9K1uh3-wqCy!C}U>AQ5R1Qd^11HXBsHgw~7+U8!2fX{g z;4h13&hG@h%i7(w3!grbmJO@8i_vtrbtXNs&Soe&+drCSEGh^2$fYg=oG25BfQp;L zOVow9Y0GevT82e-t(0jLl*?DGUw5;0nNcW4b|G((DN((YR^ zOuR?nq1gztY2Ezn%PFm(#)3sEGGA@oMb6(VO+5>N0Cb?hA}u3f%lpD&7)O{9!pN)( zas$m)1xB#-a%7!6929yeSeKN#^sM}C>y*)eTr6OfF(%>%Mubj$(M?X>)B@oJ8@1%? z=$ilOTV{a-3cV!pfm~6R6jeaQWICqon~DtBX~)SGET_(;VDr7hb8sF^hEg9U0I}c4 z9J+HjF7j)>0hF~gpjy=fH2ElP{~m77InM^1yuU_$$C@`gz$#S~woqR5g~X*W4U6`8 zxTCm2%;#_gi&^AD!DQl-40|uW7(66cu}M!bw1N#uv6b0d6;2r`xjU52LBdihnsPQ= z4Nd|FXk_N1U}-gbcw zG}z6Nt0Q(mAzpL7^~SL^5YdoWYc|Oj3yzil-9)l zQmZ&l;m>|<-)n{c$c^vvxjnHf@bWFl5pUQDmDcy*3DfpdYc3`3XRe*~`ricHVQ5kG z(RR8AYrL?bH1Or}V%pe|o<3lezThx`l_SEz1XC&I8GHwu{E-8e?y!T3Y?q2gvO?K6 z1)0$yhFf@jm)aZ9tIDa!j^FLp{CJ?YbY*QRJn*XzzZAszyX=Dc zd#R22@7u@(xw*6*>!lS#Zly`{S4mP!gt@6NqQ7M60Yo<1~O6$-hWX zhJ-|J;wn;NkQM2`WInWd@UnUD)^5#|vk z^OeSmagc9lvWtWy-A$=Lh=xMf9Ju!DZ`(n!&gvWxdjxmTN_?4s9-h78vS7v?Hn}$& z-Q@(9U>aYNWv_hq4vzP-Vy^LT+;* zHw$e^5PU8$qW1g`zJkb3`Ex9F`@YLim&Kj2+(fHH5LB<1;0y)uljLN%PdNbFwje15 zDP+=8xNB1jL6?~mok;CC%_+2jGUOjJGA>x|x^bpjP4IKm8T$;b)6Xue3Z z`Mxa4O)Rn|>6}ne-bH5E(1OVDd+MaNXfTA}FF>w0-Ds|o=>bs;LsDCi0?C1JSg;R8 zK)_R{LrMrceZjgA@~}*824G&P-w7LK*yt`Akqcb3QMNHC6$x=YR{Ge1nO#|C%+mkIx6y@6*}{LBlo4PE%*Y85mD!a-9>u3&%pBxaR5WpM?Y1>$ z!X)6dB`LMC-t?<4KP7EjCkFfl4Pr$}kYf-EED}gkv0nifF$l&&_8$YREh|Bi5jB^T zmajoLh@`tz0r!~^?*Mr~PI=S7-mpY|_sTy@Z9W&VT+j#$)i zV<3tzG@xJD1mz5xB2OZHQubYVw z#oiQjU`EkUqdO1$!`D~L2|E!^)*3|Cmm&{gVF0`cR9u;x{LUq@5Y8e^mzx!L@@Xvo z!NCnEIoN>tcvlcVBKw9pLeB%AW*2sf`J{>(8i&l07pOXa`%1AuO82tp&&609ltKQ2 znG+?{sa7uLhulVLh$vGk6gI{Xhk8Y?6EJCLD6Yu**6jw~#(Xj+F(@v~<)siaILL;{ zPUg9TGJ%4w5B`EthD3Y@ECxJ0(Kh5@i6%3bRKgng1K}JML1HlxGl(GHb#(735bq=nFsd7U>KUVIjc@@9fap$X^d} zu9S)bnq1M_SLXiV8#LdRJJbosrOyVX##&FMha-w&{ESk=vNZJdBxUal%9&3PBY2DS^SQs(&fm4KEZMm#W?{8&pxmRT{b%%UHZ$En1(o) z424-;50^UfH+8VgWLj~KPD6JFVrhpB_Cs|d$~09kF6Ame1eaN9_JWg{D)*+;iI#f> zxzVf$>_y)FbS((inwqt*$KFkf!~T#3bPUL3pQTSaxF!f`C4#R6e96yCU)y*K*3w*1 zT*6x}ZE4zPl{C=Ht>j2fwn3AVD*!7dP0&+bP9Pi7i2Wpyu#Rp=?iCMiwAlg^1y2MN zY$R6$qkxs9cWM{7r5gdG1h1~;e3hUYJ_B&D{(jl()bqWQ`*cO=R(zz)$1XYHfyL!x zv&CM&idSytvZe3$pCG3#@&gO(iHhPVz9Ixhq55HNzV-VV+mhau`0ecNvNz*>Qh~#s zpCVeI_wGBR_(<3|oe^BTzFvOyn5a1~Pf_M1V!Et5=YjXd zgNCh6uEL`xUr=fkr45%74x=c7zr?xwjyH+@VvQprA124A$OUr>;6es(l42@~z(_m| zkPsZ1EA#-j-3y(bnvO}PV>zUmq94GHc$q_7Rs}|gv9v@ojl=5z0zzz`MU|2Y3S|#_ z>sUn#-~xonBc8ljs+~8Db`)~}MNma*&k7lcduc3Ch51myP=ri8S(j>ynY42o zWO2C*)PQWBC0W^%6ORrD7V}$~Dtx+G>1b$eQ>-SnEnSgSrq>hz%Thf+9d^f@eb9|57!WtOG5~XmN8!|veLQ(dx-X?m3OFP0g3I-CH(mmo z!8)G=;dmeX=A=dT)7b0>DwYTp5Hj{08ek&sk(?99OXtP+qeT(tVF6x)b8*l0Tm)#O z!5*9oulmFJwwN~nY{~D#ZE?w`!R(ohSKhrOBTGTVpc%Cw)13Pw*LUVp312~kgrQJr zn7D+JDW6Kk%L@AYE{MV22fDlq$4HNeCPAK^267yd%yJl6`qoccjVWjYN%&4t6ld~S z0vIx(;Rt6}_Cin3IdQ`8NOo^Mk0dHxf?METgmIeA6i^$nT~75E!Le;6lp;|Y-Sr7V zrsQOy^Z@;qq4S-z&xL>=5)v&IAOt8Q0ho2D1+A!iL8awmkQt=; zuEnA^0%*uEM^jJKP$PfqoTIH4XcT2NYG{2VlftmqRy|@Zzu3xU8&8o-+g4(y4p`Y31OU9bA*na10E=zn}KSQZ8@U0-^|b;tP1PY<;OqI_IZtc?wg-805~*UaR~8 z&>ud8U`;Fs(IN?#6KDt)ylpw>XFM9A|l*PrwEb<1j4#oM?H9t%%qJ!T)Th0Tq{QbNxy!uz;aEY*j zXJkjL#AE$PWlN5txQmQ;nUu;-XVh@m!3(*_$TLb(~2>O!C5i7C;Rstaa zGWdWjDX|eB6SA8#-g)K{2@$j5P}#6U@<>&A>Qt;OX+rA@KN7tD6b^_Ok(kvb-lG+* z<5-1DlFbuy580?9c@YULv>-4e0$d?yilC3u@`)f0+MDBi4doE}fw_}h5UZnGWWUTz zGFUNw^q{Sq*Rlb}I(7F$>sV4z&Rrxdm4G(sgsc>@Az;lJ61X$FB%Gl*h3I-qp|zxV zF3}+IrWLa0<~11$NUcA>aKY_mi9Ok?Vz@)*G()f!rXk_e=%^~!(5RG>ey^XGr^Wm4fkq?Z9XFgZGXq6=}% z02%Y;;s3{buv#?^XP;hLaxShlO+e{u{qFgWs<8TB>;fXj2F9X25_Qa^ z3}0&!injC*C^f6&iV$D3t#0PMznuqm;)tkBt#F`5jCf=)jCupdXJtJG$eZ#{6vtJh z$aFOHtSV5NT3L@K2fA@Z)U1Gm@>QyYW>~13C=#3^T+R z3e9K58ZUTjS;_r6`rZE&^KP*ZS|A4GD*Pof#=BHaSxn1FC}kGN0_FbM+ALhObK9V0 zoXp4dXrL1jbj(1y6ul7n5yHb0F#_;ZyVn169pdA}3LkBg&(j5IJACv1KkD8;Os=cE z^So6m$!*g>)z$6xMQLo`(k)pcc8nZ}IFldTdv$KREZU~JT-_8($IzWBK^P`jUVFl3 zG7o*FTXqZD*dk`u?2yOED`lNP#+ZQ(GdnYJK{XLi zo-4kqpu-Z~M0Kc(0-xNb(J6Q%E0?l3G%0~fAk1}A8M!$A_=I?1rGT;wBU)klh&i?p zqsrK)!EH19N0~GtuX$8lXydO;TPfMtpeb z-){#Vf}32V_z0o`XJ1sHHVcCYLg!LUbC5iLdK)t&cOe~&qhbT8vCjpeB!LmjSEE*F z-xY=C&OoC#bME}ducrT*6Szv_;g=LL2M;lwU>D?s=Zyh8as$&?mFn!h3``J@ij{eK zks-(&KzG<@xjE~V^gzZ|%qg|dd*i>LsZm_gtUP2KZMNgha=g4vTAyivheSXFBVM3z zNr1yv#;R!AQn8#+9hUXn9OwGNvH(o{8YgbVi?gA( zsCYFnKaaLct3m_KCLW1k9QuG)E^wZuzA^8)gO{h3IU-((WmK*h=qWZ1&|giEmFh%N z&@Mbl&M8gn)4Z)PkupP_GsxX$nBHFNx#{d8TaRk9U^Q%gn+2{~tO?;Mr>!`cOT6ta z3y)?IWl%Iszy!NOMQjGSlKb-JxKf_=d)^dD0lfOm*!l+tQ46EGMWk6*2D`H#(b6;l zRKd65|88-JSrnNdhZ%P{@v}VjhKqiHLbwktvqbm`M2sGyPg1LK(o(J%l#HEm&Y%y2 z$n{b_|M$<4dgYZktJe}vvJ{jTm$Yl=F#CH9m<2A?f%cF8OfQ;08)WJ9c;j2$8{Mb> zY<5?WpE!{8cO&ij&3T%egLfo%=Jh`Y-MHfq_gC7Txm!ui@Do2#S)Sd$mv|BiZQc_{ zH=4N<38^c{+`IEPv@@$&)JKJq1v@D9wX#k_Mqb|H{XvX(IbyO8-8lrn6UG_6XXLHl*w#)DrlVB zf7O(9k^l}Faka-WP||ZpXd08PR)0eT8IkF2=H?vq5*d>1HzL_uIg2~>1~ z#w-C5W{##c0be84kNZu-^&dvCD`!RAhZ%~ws26Vd1JDZ?HtRH&&<1kj8FjR$wk6sY zpd?yLXej0!a-Z}!`UEw{{&Au+=0~|>TIW36+ z?0jL15MkO>T_)H@9Ygz{$&ug!CsEI^y;bE_IzW~H)w9=%T|F2DKp`3GTnnWcTYKig zJQ4swZGK_*6cG@>3kbvh?b||zAO<&sfD%)qdChLRv5Y{WFeOA|>ywY&nNJ>IPgaSo zf8ugFKaVh&s0r5rCmNl#aWHCj@1zJpuTJkh76!fg4#`EmXtS(5R`ZK%^KVmB5x~ul zGw$~nG+Tga%+1X?_pq~wt#M%o@Jk&*RGlJmc+nRh91&1}Ncqb@ZJa~xdCLVP0Czrx z?1zR#69{IAf*GW2e>2@}Y~Ar7WH(u_+n)$v;&r87{fhFlD`Sl_gxzQdSfjekj!4jy zU#mu~{Yd1kV#=2AXO026>|&D`P#Z5>fzv$wha+V1z*nW2x4o zi!pj;uw-i`0SG2#$ERP)&_t{8ZD=EJcg8^N<)y6eXkfowwO zNo*f7)mTs@LVXfZ0uKBja_W|zCO>9~o+v~mco>;uMH4D2B$_Po7#>Sbd(|SPadGlY z*;<^Z5ovEc8Yh;)`>7kke{xCI+((C5bFZ~~V?G`Kz-%gy4)^MOYGbd?W}e#b5BB(h zdwzaEoQAUQR$rbr_d-6EONtTPq3pY(Vt#B$&C8ScUfA&S@(eLU@Z_7*!&Ja8@cZR? zW|D(86)>BAUKoNNr^3gt#w3%4HnKTnH)zJ?oIRofqG*sv zni%;n-L^L@<4?%NVM!YEp0>xg7FYofc)=Jc{1$Nu^59f-1*yDB4!Sfho@^ZKP2w@K z+Ku8;t;EcXw@Sqrw6?#*c7qO#fME%iyyC+#MY zGA=jDith3Pwuw4aos4sHl1IG^N2*@g?%uwetiRD^0d0(Lq5Mu{&8}qjD7I!Pgr#ag z>Fx0~g|t{h4`Jg0Uz{wLCVdwdek>lW|K@WvodrnN4(OSN$^E{+Ej z4}S9E(#P>k=($B4OYU#v=t$C!_Sw?|^WrRKIeW%yCs|qPGHV5(KeDsnAb{sPnTfC>pgQM05B<#_R7L-yk)ADH;$5QrOu}3 z$$1p0D2m^Ad#QF=%$}SUfhZkTh(nsd=6nJW!0=dY^a0rB7SB~MVm-5nu6~}N1b?cu z2n+D7!$w{!(DSd`7x(l&3w95+=-dF3B$KmMfP^v9@nffBiz4PRg2zj-s0LuBd_`ow zHBS}@!j*=OL{wl{cAV3!9dgF2c?bMA74Rx>!aX*mS!E!IO?OAzkTbM@tS*G=t|i$p z6ji<=hW#LZl4ic^3eZixRADf3!cZ1QA`?u=HD~>&o&#gVIg<-l(m7N{K|LXHpal>R zXAH8am<>Y64+5z+wh+1{0?$+g+(S7Q0A;An3^=o>(++*DOd|ug^qAWyHy#6eB-DR5>WGw&c_rtpNTJ2Fip6Wb2l3uG;9D3q zp#8b92HDNSc4#2VSPh#z$sJ@DudB^KJMq04AIJh9Iu$w zcw8v79DBqmMB4((TlWp!5~tFkClt$pCX6S%tpv(cv}pnI*txOIi@!Hj5W6BX&2Zs} zLI&p(9iQo>6xUGV zwGz5QC;^?+L5P!Lkk)ZO#gX;vey`QS7TcbQ<=e zPVyipAR~`vgXq8*kPLjdy^0P9Q+Mm$2D=p)@B*tuy!b4RAyMj>fZxq(7KY)KU*QS% zb?G*29sNb!W5hsYi0BqAdL~ne9)~{~0wiiBjIrX+wxcbL9xgqlqOKeQIpD#zBiu=! zL_h@VecCW5{H|`_>R{i3gNyAQ7?m^Bxw7>L=taNSMd6+gcPw!FZSxK%uEm**EdnAl?SIQaVcbK zCl<$a3DgK!&IqDXmrY~N-H{ew8EvpfVyK~_u`4MGS+792;Ntmbe`g=Djg>7%WCuu} zORvv5S*?Hs7T{l9$;af|+d?AXE`vyb1xCriF$~dDk{!idalHnZPOk@se>~LJq)zpQ z*~U4!{@&Z~Bw&0RPz5bMAF#QD(3XjDy8san7BX@@B({$+_L-TY#Kv?hLq2 ze0tmIdGCQYU4CT3H5K>vYNZvkW0joXHTZFf4jRF zH6MzDTI)P_RAfyUnQ(9FmBJgU0H{QEt1qU1i zW(crU9dAF-8v73Z9xJE+(ypF5wI8`~!!QVi#qCiP%Qv=zzWhj`xA2Av=~mxR$-!b8 z&$2>BQqGNyLm_z2mLaM$!fLKn^m^?|()9`o@`m_L^p>| zROYrPcg0)xv>w|(7vH&hhz&pSJr!`5{j+Ybeck>e+bd@#yVI5SH{Oxd`nx9%Rij^t z-^xCs_PKku-n_E^i@nCVueRJQ-9`qSH@HuSvxjSiiMy+85K3D&0{+Z-b=>gzJ9GET z&9P`3snK{T$}Ym~ows8AP2?v zEreV&<|ZyYkh1dTQdW z+Z(68L3Yj>nQ6NTZ6mu&LwF1ELlZIa8;a5Z^JH{$GS(cO&hO$UDPt)%rqdE*Gi57} zVZfxt@BQQ}KpC9rEY|ndlOb7Ye zwCA?>bUoL)==lpjzBh|IKuO!O568ep7 z##v&NiQq&H-AKF&RG~#+T3myoiOJ@Qc19`Agew-wnnqu(e}zbVf(eVgs{P1}KL3i22(HNpiAo@jpI}XTx}$ z0NEy(Ml!W`|7DF?WU9^gmCs!6^u`@_aFxk3%T!mclYs~sYgC-?&Q3=={4MDn|H?6Q zNx|s#MP}}@2rOkc9zSjlF)*?kiYY0VQf+7solfj@ND9-6qeEbBr+VaT1))lU9A)vw z|MRlAL--8bW%UK;ZjN0-;5Fs;9MXNr^~wtdbn&ZcVGW^ff1);#)b9Y4oI7+gaL}0v zNIuajw;!z))>;ou6v7Oa?uA{X9m+!sy^zwF$QmpNsz8S69U82h(9CjavNa#1TYJqv zOiLNrVVeILkv|-eV7m0?bUeL7Ri`G44G`Xq#JkKf0De9N>5I$HwtZftREpXQ0A~y4 zLBF>k49MH#wx7Mb+|K_o$#~DkjmLibgF)lZ*j?ve(KzGysrKJA*~dfF&1;x?p6pqo zUWF;+Gs1|9VJBDCdpHH76*{QbF}1(IhA;!#HMYL@kFc9yau&OYQq$X0j4^#*Q!3xO zHGl2B@PLJ?^bqQ><@qt&hs@o(neU>n@KYp4r$`NlLM(}_5MT`L#!!uNmf{Le_Sn}~ zj1IDw&}B0Z#w7cENH()Vv2}=6Z7&RLjLa5lsKoWwq(W)z^H%~5F}#F!nQ*y)D3cGSF+`Lm zOHVJ2IbECNH~4yQ?2?2q{}p?QfQW{#Li!ui^_}W0v#uB1nU=z zm0scqoIBsR)&BKg`w?IK;0?d@s_T4m$dPE&RANkOfEb)L?5;2m@*o2{!5pcN?e~(n z6cBRmWLf{j32fFY8d)QsAjNeO*D$175_hL@N;wG9N^=RHl>K%Fj3{7zi7he3K4YF0 zF0Yi8uPPbb(mV^oaw~U&uYTv|Q^ZsgRqv5v$s`5rP|Q($r4pGVv2(E)a}Q?$?`@o= zL*6q@8o{W5VH)v5@ZB0Ev#E=g`iw_>kP@((HwM&^bNR-6UM*%1WLQ)kZ{0Z$Dn8fHc%^_>;oqyrO&-#;inM(&sEwVB zO%%27;&t}tiXz?VlKjfmxNq_ z*15e`phHyfJWdyQVW6jkgVs@Ifx1|MMoWWl?Wmz7kk)6P}c}Tpt@cu zf;EVW76EC)Nrbq`i%AT5enw7 zP-MnEuNX6&NcwwHVx;!cnMV;qwS=!=kvcUzF8rDC&Xgx!Q3(Zj;Q%`2?bP3DRT0y& zY7-GLUt=|qZ$J^F=ofGO^WUX@Mirw8rLl2HbCXv)F5x?Sb+*1BR4A!3P{B4veNhb! zA)0@N0T%)RuxSk`G5aFo7kC<_6M4K(APzuzVqQk}h2KzfVbL^sU$8@i&{VW-W^zjf zZO9@Ml9ty>#Bt%4sm;nSMRc!ph`v$}`iP>f0s(XhO~~*IVj{Mne*%6-MWn9C8CL&` z(GG9wJ3s%ZNJ$FhoZ)vtyL)IFx>)_dy=gIs3L1a0vzSpd(FES4DRq!@E7?G}^bA#N zbhDua7E>5}Lbo?!lnj(vWfPSif9lO!98UlYHSvQn4?&EuxUqe2C6bOj1SvUoVESM7h-IHt8#$B-LYY^a}VF|)TFMNwpg)rset8TwT;>|4^jm3 zg~m||XbMGJi<;pGmLzasX9x6|zBG>VQJyR^?sR4S#^#+lizQ%fwwVNm8nkUo!!GbD ztp=pFcC{uHsSNteTLRRNKRpen9n7Jbeck3kTr<@feoT{I>qb?ldZE+!ru>WFBr1mYMXLi;3*Q6Y=s_;QtBt0Y^xoJPG_$q?O~ zA2v-?S0IBYP$`@>MqfD4HS5RUweQ|$K-A!Vc5BQfw*#_+)E=nt4!(+4tIQ^|0;noY z-aMKd>CFbFdtmkr8GtD-s)}n(ZxLY110|`#5U=Z&P9uLLv@XV-m?4212hI)(kDw$T z9=@8(Xsmf}3FFXo2uj7z(tBZhW^!sSVe-=6XWbTe+q?4a`D6dY!-1R)Jc1){552i$ zO8~a%O}OB5v#wXWvNG%LV?(hwG0Ae)ggfi+J+d#{S1BKCvS_jI8MZXBjp*h|<(7C$ z7Am)SNI#=?p>h#fCi7jW9%RE;%!buQwZqO=IxLw)H8rm7m9h!;afPN@=S9q z{BVKrmOoJ%mV2(PWKT_o`wMe-?V5L2n?DIO_bX=B)Y)Bh-XU_&R^n#nKCJq0 zXYbI@^jYO@%ud4bJ#}!YmyEP<*LpZ_feZza0r4RiA zuV5b3w$HAt-LWgk&f#T0m6pQ&t=oBeh(TCybdjJ42A*4t7f~DFW}1-1%Q;e}%$1_~ zz2fu)IQU$J2oZ{4cy_wP%Ct=2m`~1Z2`d3xJuqI`T|?9enqA3f!_7P$WT1`{}g1$(s(` zu#G<8mR2QDRoZ9cdeMBy85Rm!t>AkPkBe2E7>aogtx0c9&_YFopq+Me*OC2z4foUv z=k`__tDOJ@4IJz#=TvxvQ2}W4O}N`(a)G94sF~y)M|jL7h2{WtwDvO7@=EJ@beDjt z#^J_FRLb{tOW{7Gi$?(TijA2tc;jEOVTgTE>O8wLFhTgAg(t#^TMA`b5<7j;1NT7a z$n87>mOg6;4B5Z6HwGP(S2$D(6$FBaJ28Mg<~u(i8&Cl-u0%Wgw}5Lm_Ac_G=}M`5 zd9>p-g~4g8FXn@P`W1~1z#su_N}mfeBrp>NXWxFiQK<2#cndJQGSRB!y&VL*Jk~*8 z9s?@;@Ga!Z{YZ_(HJ^3T=_&NGW(7J&4Yd7Jo1bR&tzifYn}?r@%OawPcdK`Rk0vV3 zm5H|k!cDw&uCaUnErp(W6(&lyHsgNhf!8*2gOToKvmFM(F|f$!L?X=T-QX4{S;VN2 zpLWafS-quxB|SzM5YM-d-x5HyY}65o$%YzedV0D-`_#@Nh_D7uFvw!4M-ik8_8Y{W;yPtVwUMqlb9*q;mg-x9s zvDay$IpMq(tm1@|9t1K1_c`Zer@F^nmVopAE!e`PkOa1YGf)&(vRuJmZGqDmFp@oV zl@qQo!4}R{_D0hwDQH+pBX0}AVQselG+dIZ5ZA+eqTuJ?hV!L+NdV)JM_BuVw0+29 z`%u++ns*`zZb36g+p_|?8m-luvvAAZyts)nP`*kayj+kLzL6z68-wGPp2QV#c-b{5 zu5YBPj}^HW+9EbI0pb)R0^FFzzL#G1--@)@fE7j2Y{uYhq|4pd>oa-*_9)5i-w|oq zc)QXMg-8xuZN8tBmv1}zVejJpzN{^$!uR#Q4`;Df3HB<#hoAPu%Re^EmrKukH@x`D zOV3>VO-24)j`uw9>OH)ji)b0vYA^+{p|PBR682$mdIzSVQJ4l^0-gooAGo)1KQvDu zRt!n@Mn|O}=oq|A9xlmN4{?3i6|Cf)EdF4f;##4xorkbki9dFo4nQdh)sEI$*_VFw zmG}<@%y}L~^rfjV|M-UMV2Ii#F>Q(XiU1XjiHsm(4<)p<~8J`|Z%EaCnnIp)}S?CoYE@Z*gtgk+W@vaq7 z*`+v*_Q2zgiXE6GT~-uwWE?}tB-B$8&4)fBTQK3bnXrdjQZvtFs2x?eLiOXo)&ncq67JEQ=<)k-04rR#||DbWJVli~TIT7gz!>H{$803Zk;iLvSl zZ<WwOFxA(>i9lPCM?4OTEw4m^rMj7&gp{P=T)jS6f*d zjI&~;?h})&pFyDL15^~4~ls0my){3+2XRWr}dj2qNT`w(NK@PIF&IvxG9=OEbs`kjdQxJ2l(Eo z>PswgXaP7DMO-+_FMi^Miwhf(%?;4PhiIZ0TOE$x@VrK-jtU~mf*4JxkXfaak|cu# ze2;~OxWHvqxBjMqb5u`LoJimZ_;W;?vp6It7GoI~6e6r}Sh{_N`z7}jYLj$;6~uCb zS&+9kdF!_-S;vr5B2N*c|*|H*enS!BHH%t`t5I4388&dA2drX=6{licv}sy ziheVi`K5t_Ilsi6=s$H1>Kg@KCSyjW6~!gRKE<=B1-h7Bo|ZY^-m@jxtV0jN;6xJ( z+FZ288cm?LC>!c#z%o8?SG`g!;-vaT(~v%h6_pxyxUF2Vt&C%{e*af+8tnH`Ws7qSvhlPWcrUf35h^dncU}%*mbdnv&_{1uJaiLVYIzMuwzFl9*}?A6^scEw4K`V$)7DA6_?=}&(lf7l4N>YdD66!R4J#h8_~1dIx+pF5HP%)paL*ZOAE0{ z<5`cD+li8yovl>#!$(}H5TsE;6{1LD?{{B&c|b*QAywl-nnxc|yZ!`8ramHgs6H?Z zh(sO4Feom@UEV9i3LrspSO+!KJqSWo($zSS#_Ut9%oLPvn864W*u)TItM1aBx&c>I0p=E*(B2%3Wc{$`jyxR0 z{}pUy`N{01d1FqJH!T0mC5A6q+EJ^{$!31i|dw-^V@yTzX2LxLr0N5r)vNW=nPnF{cPNnkng%c zIvL_Arg7`YNQyUsbBQql35r*cN2_6A@0A-HHTUDeO}qsv3=ZHNUK}g%DKG6|vaty! z@OfY)Fv0rlPsz`t5LZ2Yta;WWInj2BuPLz(Tpl z?|9s{k@~t)8X_E-=NF|7nQ8lARBI|zLQ1j&J@gALU>q}SfGnsXL?M1T~N_4ms4eh+TGDc;p(uxz{ms%>VWtEZcYk*dWH1!D=DW71J zFl7NnO>EF)TNOP!S8%%XBz3WUk4dLg!lVPH2%e^iX=(irpORs+9E-LachkfN6RIGe zC>A;o9xbSO?(V2*XyTp{ty*E?!7HV%V7UOPzg86^>XJrY-#p1%B3n8 z^cUGFh*v&_bD@GwxEvdlSB8)YHE^8kY1}>*LtNI2m+h-^h_FDFeK?W+f@`reP``fZ zU03|~cf0?tzAirdr@|i=B{ABDv$6AK^zNO}KAe~Dd>KIiFUH{y-ud!_)1}&Aiwgk` z!+?$C;Fs^;auk;SkRu*lm&-z@>reoLV`SDKSj^lgFaC3m8iyjEao} z&qF$Zb6mwvXa>rY&l#*+DI;Afqb=Ei0FEz`UkW&~N zy1b}LtsQLdrBcS2{Zoy==(pR|bI#BL_wj!-Hl&eRVf2S3T6$AfB)2UxXT{bUa0sL) z^%81`iz*D(s%^1u_O()G*-IOAmFrIV#m}7Nm;Qn)R50p`f|vrowk-xA>$;l@`oPh3 zw;9ebq1bzKRmH(Zy{KfIYYONG%V%zS>B0hi&=jZsS< zZt(QN<>6nAgrUNng1rz2hBIH`iRFjAg&L{C3~#pt56g`?1uOP{O?=p7)94TNi4>uF z%3)>@EeHp{R1i{P`M`q|y{Rs(($;k=m(h2B_M800Jvvkj&y2ol_~GI5unzr-N~~W= z1L<$68Ajp;*VPHbV)-nW)_?3nsC9Qk1}KuT0(ua_>wuxhpAx)jQuQp>2=9$btb}Xk z(1I}24%vP1E!C^4Ob?>O^a?tCRZTFF3^*m4j121I{I1iIJv}gz0De)&NMi_nQ20T- z$Rj9L+Qv42gku{@vBT0Ox^O74QqTHFM^92Rhs`BH>uqH?&2pX3{WC33V(pC%?tj_; zk>83Do#;Uxlko@thF&Yrp=wnE<9OGo6!o3`uil}Nyw>n{{;6{c5yev_=toP_jIJsk zC>A@uB_1dyJPX)IvEi!)pXIdLJC;c2P;(RRGB^dV&)Fn^oMdThfYIze-I>La2;}oR zlvH;DZ8o4Bq6nMk0wyirDF?ER!<)^6354tYCk@bgL-bfU`|BId2K_%AJFs2nP=@j* zIL$Am17Jr0?W#2sYUkA-)_buz3bj^iJr4ScBJ86hZNURt6*Wd2p_fd&{wM$1-XdpZ z=oAMUtwV=L&vJpmk7zboZk?V)h;cK}LZre}ZH6njPO3@A@HxKXquLX4BvNKXI!OoS z{M$Z0P7$^?O2Ub((tkLiAvCIm8hE%{DqNc{XhfG?8LE*1G4#UeS$pu+ittA3hW^k+ zm2G|GBd_Ep{##C1Rm#KqA`T5JEaO@dqGQx>TFhk}tD!e&O#<68TZFwh95iC2ZJ1bz zr%Myf`mcUjyhJLvo6{nT)NWa}y54ZJ>Y_VruZ`SO`>gy~F){80k%5T@X&1Y-N-e;^8anoYVO7*)n`pn$ zS3~J=G^ieV%@sM^DEjKy8~0d;_CW;Bc{1m2euk+SStC}e4;FYSo)uBaXTa8ih6$Go z3^b(OdAbVm%1EHVL+mSgd;E<{hCt$VIgNp8{Qdo0ra}#OcMs1|9j$q{{KQkC9t@lg z4qH(dU=N}6QL&N7SX@W-n!AyE=!__gH>)ywzvZ2x`KqQwiP~iuzvHE88p43p@Ce-EXEPM`Ht0DG_C^C7mjx*rg`A>OokN*=% z7JuyjU&$l?zgh_Xepm84`5(ipzv(a+UyzCULk{nezua^pf5@#byF4=cFR5_-YwwUz zh$>X_t}rTp(7EqHV$JOWWNEPi&~YM^E;BgU>Rx051!!YnZWt_>{g!JIPA`1 z`z128E2p6tEff>6BY_gs)$}|T9_PWnYdHliV~$Nbq#h8t~S+Yj_=3fg$jlDTol7IC3be-_uY-o87mi3 z9;48WgMH!jbVvR>ZmFRxa}j z77XDT+Lno3ltpQvYK-*Ki2=pf6FNYvm05JN2;*l^|^Eq4K&vj(5%DLvEv-jl$ybvYYJ@G3E)VW z@bCeJ)@RpXRJdew^!F66DZD*kkw@iZj7wcI#{J&X7I=M4VMolf&C2UgHc5C;cYQKv z`;|*6QF!UqABErpW52&5E>bU7fhMaHC#%qDK1Jv2SpYIZzi9%*>LPCeu9`>flW$tl zSOrjXAMYaJG>scM#0H45MhMo(8iO~af6FX>@r z9dn!=Cqdin)oTjjwHFG9;B1ZS-~NkjY8UN?0W@dWhfqhqSS`5GRp#}SS-WE6^xlha z&M9KK;L`MsG9ug{WQe={KViouWeqJUTCfKSpO(JA=roM`P(pjZJUm}6T7_T@O3pL? z%AgF@o{tGU%!>q;|Me?#{r2hR=$Xo+koWYE8#9(01YJ7LvY6q$Hh|I2 z9?PoDi&S~hqYqj(Kbe1!;&~GC@{1n5esuNCnx$;5KYz>j@k<&q<~@XcPcj`)Rh`s+U*lD!P+5|iN( zs!+qG4^w5mv*Du;i?@{6 z;x!)RA##NL*bDkp{fLCUB6|=ZRVOY!9{>2*mDL+29}24Bv^k8seSMOU&GDxE_f}?Z z${+Oo>;siN^R5bZUs?HkM}x`})rSsjJaAk7?stZ7o_V$!n!Em%NB;SL(<7Y=wUlmN z$q&4D^-O+X?08oBtRMUJ=PO6=s+`>EyPvE+lwR;UD<(Wg3Uscmle9w_b(wJxW2lyJ4`I4 zgFP?awm>#mWA&_j@jziCZYyb2YlKv^-(Q<-of%|#mgmG6^FPPH(}ACCOk+Sw!;ClB z`n&+Nhq#U|ay_bZGRuw!g*-ogvf{e_@q+twH7I~+g@LKD%dr%v{*HQmtoPGXtIuaA zEBE`ovG4uw1ubeaPBP&t0k}gz{t$24Z7tOfy}#DZ2YEb*iJQ(KX2T?9yfqBtEv+5Z~wqF13^mXiX>RwjVt`GnSjxC#L$| zn<}d({4D#co7w(KShW;TIbk~rU;T45lkohqh$a>r1y4>eNA9OY8Vm~O33~qv7nE9=0CVurM7DdhNP8 zaAwLIQ!lb9|DwL)f?pq7W-4@#FJ{O3{?jW3$NkaD&Nw0Zr`96%25)W-^R;?0Eu{nR z_%mj91zOy1|D*9Nog()l4Wi-TMqqt}1F;iisW!c^%66TVHQL@XIUS<-bbDYW5^s=> zIcrSOY01eXTo-g8-7os`-ow6=-|w$h5U`bkUprce+$5Q7P19$!W+!bXJu@@*l=tR% z*4*-hf8J+~d4QMT8f+aVq>SK)D&p+A$vhb3*&99!Gtj|#1lsCgY)UhEXG|aT} zHQo}9P`C5`0J`Byotri~j$TyHJ?Jk7CwzbPh`*bmg?bKyo%{ry)SGTi*GM7bwqM7z z+51(Wm-4JGX4##8J+@~27BV|54;2j79|Un+0~PJIs>gTmGcuna~d z0ZbRjy1J5*?`@{8GLV6dqsJyyhTk&Hhl>wnF;_oUSw8Q&*SkJw5YnB5%pLs!C7F1& za<}J~Cf}J&zI5}4*t@IBY{$@ZjDPNAvBF@#YuWAOq_aEb0bp2fQ>@62{oRv6u`dzHK6`GByC0OLO?np0s74v#&(dSdFT zsRy#Yf0e&uF?;U)z+vLOl?j!Iz5wYY)`Q&;X{okjJZ74WaF(;ep2YwZ6F(uA#%rEB4J|PG{;3aCpyGc2f$Rx? zG5bG0QC=9t8n0?Peax=bT64+NimZq>ldN{z!b+cOd0$mnV}%+almD^l)s3mQ&#w9P zDJ(2&kPSPH!OxVRAw!lyAE@-iA?JE`PrRf$l5%sJ7#C8L+(rRs&{liBEI(Gr-}dfT z^-6Ij6(TedZ#^lciJ5LLojXm-2V5jMAQH0K84;Rs;KXa=sG&I4IFkw)l3`WR=uH0g zEI3&?h8nsiD6omOnZ&7?CjdP4+mA&vnbmY0G+kv}Lj%o?M)r%^9~Vb&lidOF_$Y$q>D zd}?js%v#HkHplc;O*R@n^b$LMOdj{9W)^1F+AC|Oz1s6BW<#`89C>>=gQlpz#1I?n z@mcm)vH~jjN6A;fsOhAw!;4)+167>dW=d)4c;QVr4)^;%@g8JyI2B6M)XxY963Pf3!2B9_&=4@7(2zx9 z&^`26Z)$9TvE9nY+stiZ9y zDm!ouuMMsZT-Fd0x+P>sV)In3eTL!Up>6N?{oG$Ye>dBZ7($t~BcRIF8b`;}TGJ^r zzgc*Hjahb_B+f`4RE^{&!hLNS_-XP%)|+9@Z4Y8-Li=oz1^d*9di~jzC;aS$zvKBV zdp=ua^g-HQcMpp4+JO0l-lICxolnd;XsvtR%wr@KTAf|V*AJbONx}%GwfN0yiY8tY z2VM4PA9?|X(ue+xM1DhbM3p*`bUMBEfG(@UF+^PQx4|-XFpXY)!iT86aKfF0cLmq4 z-Q|AB4W*jqCG{cow0`4sDfRu<**-!{3m^EG#aOZcNvu0btu~ify<1GDTVmE)YhVV@ zAX$NJ8+8&N$*eNQaO@dxJcf}Q6Ch<-QTOW)BTQHM^h|yqM!%aKM>(Oo_quNnu-?=z z=wUwngvvj=HudiK<~Y5{XDiwt7uoy*ah3;YSKEULmSnf}g>LfdkvGrffwax6(y zMxtYYWGEARQbiMw3niNz!VQw`bieOTqMiNTx3a=9RP)J-?_cj;L!wL)Hnt9H*|yd? zj9Q`qlg-4R_9_qi%jcha2dmHs>5$)zgj-oQ>dqhTx_7*5t#zi?npyMeB;p^+$dDDV zDD~*uIGvhm&t%Lgxxw_pb-OUbX-vujMclsxcag&XfSg$#^n-Qdg#e;&@J}Uh1Jv>=QGbY zGy{`e162wGA)Qf7gGB~;g9M~v&GAQ^Tt4vCE6Ek0}O|qN#=;@~E zXYnm3{9E>V`Bi>n<=Y>*oD{UG3vZuQa=ECy)y6arpMt2fm?8BnHZjsCT|MM#%wc#T z1qpE(qNW5X{>9o#>ek6t3+^!~D)dzWXYyl}w+A-_Kz_BN32LRd+dLHnab})gc|IJkr-B(3VA>0s7`wcFZcMECQ)FLs{r z|NKW9b;<6qztCk76d|;%xuVyakJj2p4OW~#HJ*-1IyO4R#Hh~5_)~SyEUaP{KrqsO z!T21ibQ+P-WjiEGJ^$GiY;2}CbZPbZ;Q3%N2+PP5uN0`7xVwYg$S4AT@}(*6&K}5S zSKhPZGNfUR*5(@2Lw6<6sG2sdrB1E=xiu=soil?zuP~qymM6@nUH; z1^F8+1B`=V^)tTzdjEd@VLaZKSv4i)c^y7oXpqZV^JuO8u3meUeX*T*N5Q8TukzpA ze$`sZ@&dwOKX@W;6q}lR6Y=>Q_GkcHaaaj}Ku%Fnl$M}PWGloe5@fJB!oEP+h z9TJF||1H$PdEY62}ZGChN zGb>n{JUhDHdQ%-OIzQGsBo}kFH`O+htqoljrzON)N~z~9)O)^?T}uUT9M+!ZggaTc z=E6z=JQke)t9u$V1~r5CMmTa9AYbVJ zTB(C>@ASsjN?0m>dr-z%8(EV~fcTnLs7iEOZ)mA!7w~0z4*toN@)=Ut3KgkQ|C++i zBd&WR-Y;f?X&mmhrsMR`>rON2p-}$X^9EO80>h{E&%8iRh*cnTxPZhn^)WZ2WtnH1 z(}UB^KV6$K3wgvmgFUXXWqVLMw1gPq;_iYRp8NFyuTBLtuX8^uml8^zuTCyH{BW&S!1%-%2V}Ep{UQVX>2% zBylIb`ITOChH;zqQ$(o8fE>yeq)ECAB2~|GCT1Vhfa}r|8@(ub*SX_z0(#FvQnrK9 z=%Tl7fV7j9NrX6>_SoXjj`Xy7@9CE5Gx3;P{m-AkMuy#2@4uWEUf32=vG|OIfl*fK zmTK;FGt6tvLE2hja$%#7^Z|C~kah5lJxSi!+QMM4=KB~uB5rh56pCd2JuKx;r``|$ zjsaF+mVVAfi7`oIyf=3()+Xn_nT5P71hZk__hrf4@4xZSE^7?>q&He&zQh*DIZ=ulDHjzt`AJsSXDzd`Cvt#G`b5pJ-M10sR${qjXp%cWZc~EACJFlb`#h~a6UZ)z9qw3EtGjI$!FFrc52NR=!^CluF0{K8XZ=M zdqWE{!`#TH)WF4ErfG+MwBsY^RU54SEf@Ci4`ddD42{4THRHY2zBl<%`N5y`&!76| zAanG-maif>2pOmjY#oC-IG5+xoH#2Cv?++7Q=~LFkZ;2@9H%Yo%B*5G8x2D7=Ypbw z9?jDaq6q!tSpmU7rDy&%CtP5tofT@sSn3cWmIA#!iZfUL8o5etsf;sS`k#I6s~dvY zq!ZW`Rt(Tp5oS7RT7s~4Xux>nRVT7*FeOH_jD1&F;|$Hj21I%!!<&6Ma%s4^vGOF8 ztowfS&WuHmJKZl=3)f;Lu<5VXT4IM&^M&VWNLpI^TD|Z2xBA)S!^r<5Zz%L|0%#TU z0*hfG6FL0CBV}e@Ty-`IKy$1@6pR@qt);Mm z@%g#N(Ueg%)V4K4S_#9?Y&_z0}9(+;C4p zg4+d6>Nt(}qf&5@7R;?vz1^Dbj{FjNG!|&yP;vvsL95ReF@F*Cu-C*sU+MRIW2ppO zX5eUcQ8rY>9H3=<4Vc0DI8dbj!!cloq#Y7y$vm5UNzR^&tfK&~d!{N=ipIx5kWNp| zpXxR1{WX7KWd^-|2K(kJAI_ft&`$`E(@ZPCM8>eI?yk)zG5N7yS8ESaZ-F;bNzdho z^@jG28GL5I@NAupd+i+H4|%(wCm>BCnk@(5QJ?iOEd@qn^_{GItP)=3V&o}Yh2gjiB#ul~yvV0On!jqWnFum`?b~zS2gH-Lv3Yh592B_mALp+_|)!kv5SZ3y9 zm0M2Y_h70%ke&a?@3H$GRRAO*iU6<>tP)_5ai`l={Jpqw1}Gb4oR7RsObRSUVO!El zpo|*04g9sfaGD}UaY8T3KPG#7*sLd7O0-I^>scGC1_>K@i6^=9bmp%e?7ib|*^<|br&27ZX5 z3-mCTVwT%4Cw+iyl+>Gw@Zu zb`Xo4IR(MPmq4&lVWW}GDx~aQvoEfI9_@7&?_5sv}Wre92FG_2uoYL!k7-rPlFiHAvwcJ zx{xM_1B8^w!i?iVjt|d!tEMm!H0P>UFVI8}(~qkXRjUJJ_b;EI^R9Qp>sdfE7xHNv$PoE5)sbg#vM}4n7*qFe(S_r z${-8ahwCQmgRd}F)z6n`CTN0t*^a{7v)*GBume1$<>0)_k_*T<(Wi^l=RQbE4M_&%yKC{O`e2y0lp$@8@-SUi|AZ|*-2l7=a; z`}_lE%L_R(6^*16Yv=-FIB^&(Iw`n%(@^#rqhBjJ!vO`l35ekI%SbrhbSY!VF{h2j zqDaz+@B!?Qo!(8@C$kt^bZq5A?qqeg8i6u-?qus!+I}6tc6&A5T`^}w{Kr3ox*M8a z3_97igdml%@{$r^!}Cii-f!M_)+|6V6cgr=m@$VX&Rk2iMw(Knob60~sFQW>lYn%E z9vB8Ak2U0V9L%dwMrfjx^mU1VrG?dVy;3@kN?I5;ZLcv{YxA9sskw*h zeQ?@5eb_?_fxa9+|B25d(X3e7=`2d5BrzmAix_>2xyh)qvD(kkcL>-9wkm;^skGW# zz?tya3}EZunDfLY?xryS&@MwB@CkXnMnjBwAR?z)7ju;rmM?VOLk!MXF7H)Su_hX< z&&s2+aVSE%4<&*1Pd2Phc|J_N`S*udLTjzO0R|318?z|Nh`#A9XwqK=wE*E{hQ2U^ z(2FWBm(xaLgzmuKmBO8F(Z`lV3w5!yS+SU|U9na&Yb!xz;2+bH?63b04e?|NDkeo< z0FyBu+kDumxw!r{j0|wO9%IwW^`<3afz^fF^yLBcu_R#9MY9O)qZ3gktARD$^8D!) zIUYfwd~`2zEjWah*Cr=hgVXKZac^ekYiCb*GtaDI=jGY)Xa46`W9NwTBS{K4a6Pf& zz;_Iq+w`3Q1Ipeo8c=rZmu2J%v}m6-n*TD0xCpY)1B?fz5vKEJR#>seH}OF*uMKv_ zcOlxcyB9@Y(rx}$O`eu9rz*!{)*6ra-+EUOv=&JbAF$b~$P~DlF`e4gL1K zhw^gii{Rw9oS0fIXc6LlvjyBMS@Z&FP`Mfh3>g;D=9fbAjl|VU3(iJDgBWC^VF%ZY zldqwx259$Ec|np0Et>=mhk&LZ_|(VrXWi-u5g9l6%*$9Vce?Ifpk%n8)zp~zUA&(( z?lrOPr=G=GFg;e$$NUE#xS}V4X25gMs}6Gl9w=*NakVCayA^@GS;c^G-w0Q<_ z_=_jhq!a!>_`l@%Auj4!xF?sr>@gkU-CuR+1$H*$7qkY9Ix63si3E;fqAP#{UvvtP z1k{INVTTMKc1%F7or)H-{Ju;}qs-Uz7uLg7?j&l2=yLYe1xT;p@j5S%N-|1o$V(0YVpm#lQ+DG7XV6+%{VtyZT1p62m)amC9=Itpo$VIDIOce~~n|${; z_$%=|(HId?VR&5-Cq;~1fD?j87LJ}qCn{oq(rFY^#!KIPPk9E6S#z0PJ|@P7w9weT zNFp&RvVy_S74ooATdEP6@)JYf8nF~W#Msssz)j(YG}Om+I3~s@)B(m!z8A$I@Z7F9 z^xaAPrm*H}#q4m`o&Q32$06n!{MiLGS_%+?JMli=MG&i<8uJo*9>8slyC^dpibl1E z)-Y>&Mc0NsMXv#FGECPNR%z#&Uq=_y){8Y6p&erxrphOAcTtoNj3oB zTE(AAr|zis%j11A<&F`F_qG?VK>XDqXiaq%>I+6RP1kF6V$ye{cHxmb#LJ-+al zw5~G?mCvr6zvn+jR(50*TewAv7oByuJHG^&71r*Uq3_!>STh$o2r$qd3v!DwCm0)j zta>|XsMm&?1KLKqmFqy9n03#ywvYN+%vR|`mri`b#oV!8=Th1_Y}f(W=`id|tT8Y# zmtXotUbzV)hMX6HfPN?}Lw6H4(R|?>&8o1)Qfj73o5F0-MV26`y)LrW7ztS5s8!pE z!;xUkFI*p@ZkQxjQCTc(*TH@VpztHVO%6JG z2YI2lZAY^Pk}|EbzT2H_y|C5-3Z~Dd^wQm7eIR(+IDihW%czi0wP_Zt*;Hn_5$F$_ z!X+XLq83r24nFg>%)!?RvTz`$k&ra7R?Pd2I$IL_@=GTU^B$iRCr^Vs6l%oQJ{)Mg zt=d$w07h-OU z&LaW}w_%(jxD31%!+M^#koyOo$r%WkK_B?v*bhO&)%J*}UKGJnr$hgC4aN(!V%)TN zTaoq7M>8?ZlEOg1CF}gwUn6SlFU<@h3P*Xh@3Tns4AadKY#n6X_iqSJXhC}@!4`mC zVtm7`+HGj_)(j@zL%v(~Kl{sLJ#e`pZ2@sEAW=v)4IRpDT;OSOc6n&zO7R?Lx-F9sEV z<$Uiy0L;WAssOPVi$zB!TB*bI#=M=5$Eb{rVHL{*14AReMU)Jw6sfoZm>(8y)ha0C z8>ACTuoB3%!x|{y2CNNC9?s)`pm%prh4r_jn&QO<3cqPsPNvn? zpD+l}hH)+pDIXcVF0NTljXoqxRvF-7T?HL(j>F!=DCMw=o-6>NCeeC0Qq!>ibTWUI zKmut$11<}6b@l&n*&2MN2l$TxDrihwcw=Iw%3~=b$Xl4Qj{s*ag<1=-k|OBka$LIeASnAV?;@L7BYZAqN1s(98^)MAC>BnSQFO@xN6=g!s7$`Z3OTWu z_iK5JDZT)7;Rm>BOr(opN>IfVudp^i#D4vwER-#uU;WiG<3LBF8ZqexcBdu;YWBot z)4vVxHd10e%>#92VkyJ?A|mjCS$kWqtN>DGbUvGvuMLQAqD=nH??SNvskOl>;V%lr ziC$`5%>Wn*8cX2XJwf0HK`ip8vF(AcNAtJ9(zxt{A<5%o+P}Es4H}}QK;2J4DTZ&C zS~JW6T&QSlz4`G=YSR8QQzVMf!*`7tB{ID>+9_+@fLeR?5_SP5%7w}V5dnYiDA3si znX&pg#PgZzNQ1x_U8C0%De@GcyPu2Drpx zgDP~URQ;2k6e@j)aF)cHhG5}j0#?Np3wIGAbkb8Cm*nfF2Eo~>hH?G*-a#=qgmild1I z0cD72nbN@Cm?go5mD7MTbxm+ozu8w-m!evk*cZ-#%M6elsNC#H2EMh<=?%Pv4`|T` zkKt*MP}J<57}qXx5nB+=gknq3=;?Xjv_)fdpcLa(xC9@1jyiETkF28|nlM=J?O?dx0Vg zn0zj11HF5#mLi<)ba8RtpL!sEzQ4h5D-&P(50?RUPC>NwjjdS|fdHnw zj+K7CE<_FU8_>q1|3`DAoftKF#(lPqBZNr6MVCdP^((DQ%evo&-~0fPpUj%fP&*Jl z4GkHY{4n-91Wka!e1r6os&U}`UVN3;&sbi4^V}=6=B9ORiC`exl!z1tBT%Ma#DVq= zdp}3MgR&w6iR7Zn3!K>H@UvuZf?x9C( zXbkhU!SnGm16Cv?0N?(j%QN+a4Ng&a$pR#zC{0|Xy>(p&)1_gt$BX$SNIA~XT%}$~ z6UN4*!K3m6ftZeiX~})SMklfv)*Nx~Leyv|m;wtC%*-BP&E`oDPO@Af_C5CrEp15c zAio!wf>A?tc^(kLH9*5|G6iO!KUpb=O`H!{x!t@1bEE|E-yil_5E;wv%iP|Wznt}n zW)3Pvw9NccCrr(udu0!$&$3(a&Dj%PhIP8*{Q2L4x6;NqpeU^>!b<>GW3a|s15G;P z12(VGY58d2bD7B!TDZurAv+6P&QVGK561!y~ACikCymKS~Vi8rHhn z7IUOpEW(%n-J=BAOpP-)YGMjC$fQHN^Se3{tLfy9v-BI080m$P4jBfO?$sB#b|&Su zF0!QT(3SMs0}%$?M7b;a75q%geHpuo3Vyh=a-)koBBSYWj83l#ox}gh)7|I2sWriV z`P=T=g8l(>R5xht5hc~(X7I+e_07CD$(9m)l=ckV(oo)j^sy#z5fEF99%XLd)Lsz9 z-x&%^i+r1E6ZyF>%QC{Yo~dRhQT5;wvnUG;K*#OZ)eN}$LfwrWz>l#<{+FT@inT86Xk{WyTG-H4 zxjQ6h!W>OWbFfh%aa&lCShswC0g!k6_7TKNGzzDf)u@|NRIMURkIp`XxM7NAk1(% zvcLe0634Na*s+t1BNSLIK{jNGwldMoW=0W1+FEV0iYMNTzq};afWz#_k>u!`D7MFr zv{uGn@=XkSoiEI5wZH$l)eTUVCy&W~-xeDEsJeCUx#ym9?s?r?2OpCtKD7MV5iC=N zLfM>%#_tRYK0sXZ>Y59<43CBCzMn7LJfwQ0<9mH|)+bIBJ^2S2u|^)MLm;Vu2?fdlm6HpGI?V*DFj+X)G{qR;&~6*TpWeR&XUVu!l_WR)Zu+)3`_0x$+Op zj=+zBjJ|X(l2J37HT?y=?9GFZ8FZ(C?s((Y{8?sWVeNS12j0&F%Y-i~fE5$T$Iy=! zP;jwA2!@52e2{lv2-ftQ=V-1=$00AU?E{oFsVzbQdN=k!pT8pq3FgXHo+2p{>4)p` zX~>B`oy8fZ`1Hw_4t_vGc7XBx^s@y@%<~LB|Nqw@gbnXVSu>Ut<_Jy1+;jv}&1NAV zsbUb8n6Wirc^9l9+7US>5;moX@>h{=x97syaRnrD1`~W?AES=6aG5Zv&Eji%tb33F zeTpfa6?3aHNtjX2{_r*UQVz*~V}Yy)62K9{WEkte&EDQHop3~^cyFvgF`z(g*(3+b znJw7bnomN;FbF{G9-GtTQjp^^%&)~4a3x%c@xx#a;6Pwpb}tOy_M=evQ(ZV_> zv=r{1{hp_h)Ji;tbR#>MaCbLnQDY9H#*f>uVW9K~NV5*Q`vgmmI8qk=Z}J6F2DEgR zmF$M!M`8gp%eWElVAa)8WHqkmdN|ucQLoQlAv! zrfI!FPv8cP=olXedhBXEQjDL!5R&lnnF4F(P_~BnOfAGps+W|$C+>L-)U=8yU@{e5 z#2oV}qt>iK$WFI~xO)eaIP)GjJ2bJ5y&LWjn?pu@v5p@`u@6!LA8K^Rlo*ibjm9EQ z{H3Fcu4#cDVZZwHeDqSiF*0&!@?OFmw+I$RW(_m+VSe)k;W@DimG_aCz>3m} z2|M7`GMei{CK>}SUdNPt&U^?a2RG&1Tq(z=4>WqfaJ`@Fey;d$2wW*1 ziN_bsZx{=!PC5?K(_GaOFC@PWXVYW37Sa8_7DORuKN#R`{GAw=l*FB8*wUJxW*(T` ztu!IMT5wlwq}QlsXM_a7QHcZ${kF{nJ&hg2$N zni#~lm|$m4oDxD|!KW}_SB{QIZ$%`$=X088kO!tqLW)&+QV0`;40uRBjBF*%eEoJM z(LF+=SfBZ-T#_Q8{#9gxNiD&Ka*y9771ee|@qeN#Ad(L^XGm&^U$XICKLqu4zmf(G z##w&Bo8EgNjo}W^yeAg4;mevpgRY>i3@}t~M=)y?cZ?1e|3K%HlL{xTh#o|g?g^w>(Nj@X9YG!hEJ^bVdBu~JWIrF~8%Wu&Wu&q+Kt^aDz96`Hk5;wxT zI*g1*u;3g*_LAf@4kfP==!6kyo?Qs!2-htfqgLG|TcKI^W z;b{DTSqh%EU`lQ)VC+sXaxh+VROA`gU>TgClv*~XN! z4w~dzh7egi9%XZ4KWXlWdAZhsqz9EJ1rI@CnZr<%rcPk!Uj{@8m>QIhR6vz9lWV+Z$+Lfxd#ts|Igj*=eq&gI(WF2LG+DfJu@cYye6?N40qi#ru z*x)(|XDz^e2$fxPSo@1lO-;7{ZluwDUjygpO|RcBw3*88NF<6R!b3em9hW?YA5!a_ zSp}n>oLfxSwN>~>622#C0l&y$LBr%P1%NC=57C83rOr}h+%9A{f<3kzhLgspKl;+a zuWDXxp#F`#x$#*XqEH?IAGg_UyL`?wPeqcWm`0E$)r9YJ(yP>tq^TkU3qnzQrW>3vd^!(SY^ z4_#tJ^AB3%nRon=Jn|gWY%8A(E`v^7u%Jok@Iqb2wkVF-u^&7IRJ@N96E?=A*JrgI z%wc`l%K18(7-J5Gu$6v8h-YV>2VW<_Nosj}23-L74r_~vtX(LHD6i~{qN6XqP77=R z$^;N&;ZW9T;T1)@VPg?A_I$k;co3mu@nag|vk{=D$z%iL{h6HWm=(M{%_u9TE|YJC zE&T|K#9knvD>8$E<qfU&}3-M?2}Jd!ls3uHdMK@26EzyL)i;5ygJDIDW-Jx%CVQT&Ri&Tcx#ghifY-(qiRI^Y3aS(5|8%W?e{$w3kA}B#hp?{Mqec$Z7b%i}2wpnu647wZg>i8pal6zARQj zF8qR9$$3=7gPVMb`w=(Waxi#owLu;QLEA1AhP0QgxDIz#wK>4_GaEOjztTMLVz#57x=UM;@=S7Z91*U6$NS<0utAtVTwbXSw2HH2T1V%)!UG5;z$1#|5AFnOS z1``$datX-NmKABmWr{xpBbLpzI0|KTO^7+`BB*;v4LkBaFJ-b(=O=4IQe%s zrbBG5Z?hb8 zO#`vD`(=X`o;5i8sKIom&c(_ub87~JY%@s0gu!Y#gmmj6C~c>uoS++Ag4Y6Vju;8? z5Y|IPaWjKZX36n5S)5`)te9KY;Fb=v0(b{HmsqFIU)BOGgSSDZ+iOXG7z@~^f~Dy~ z0b`L6)qqNs^p1+BWF}Zp1=n75DS*x{)P*1HL4yu zDkEF!bR6-(KQznw%-t{TJBX%L6o8T`h}36QHx6>!$}$o?Dn#EqOmeU>T`(pSsKJ5- z1@D<0HzkM019+Y^KA7ZmIF-OhFaq2`fpFO}{_Igud4T_EGi3RhD$-jpOH&mIIZDY2c-`!HVroW&9% z87W0XyAb}&z{9f$uH{p#%Vr~?)A-kwN4!acRuki^6a~?D4+|zG;3b47k!E&WVj$pC zNeDbyC5UBUs0J|TI1+7VgEi$Xnd<}ARg%4|3343Tcu?%jUi<7bfelSQVAi$HVGBP-f@ZY zjFB`>y9X!MP%RMJnKnw0a4V10+GPZ}kJ@_qJf=Pp3zhvuqktgY5$=FAET-ai)uApe z_2tsBGpGJ7e2OTr^dR|sCA{#L$e)0fHGSd~$~89-0tE3}ao#;J(Zx%I2r`5i9(zN@ zDLRB)Du!vwJan4QBG^=jh$7e=9evPN&@v`FqcabGthPgAWb-NPq4+pZw3_4D2)mPz zbTRXkMED_fcT11q1LPJ^KrSMfEm-1;kxX5FXUQ%b6HQos(SJOM(vLL z;g@#cra{*eR)7_R)F9Gv%vuE3dP~bCx@bIjR7Hu>9(u#V@Us>TxW*UTtKUMsCqltKT1gEeTtktSF?Er>x87DTgC ztWi?X<{2PyD$y4s3#(gi;uupbKB-+XB#lt)v;s}4Ai4#{cKu45*-}auWZR;6Xhsn% zKYpJl3f24o5Q?^lKIU+U%(3&xuQYdtM4{F)^c3OVyo9b{@BrYPrFq_8xj+Juiovxj z02ma}0vW}`mggm(z~+OALHBEoRQY)S_KkY9snBY(G_z}~D)TIBj?c8_@ywlS-F7;I z7w|mdVyC_SsMyRVJ~1T$OK|gw*tLOUG*uKbK$~G|L)$Wzflh^ulMsK-#*A+U&I*?G z}^lG&mpG3!wY{0yLI9lN3IjXOyN5Ng7PS`SZePf=1hr&i>fjd5_nx8rj> zvL2mX(ITyG)+oRy28o)p>DnYY7tWzzDI?H58qEg-y>I~!BE5hGA>uGX1zK{t6ES*w zUcc+FUz;+M>n4c_I*AC&GK{WP8#QD2wm)lY(J^`dF9Cl$v&-qm*WNeS^`UaO4u&!&!Apd{1CL*G ze6nt0SLvYIy8#VntIW2c1doW3t+LcDnql*;({4%uHA0K*0$$Euf{Gyne6d7vm05;a zbOe0z!<252s5T!;Vr33Q>euxx>bsN=b8WQcik*!DuiNH*uz>?ixX~Px_IH#?BqJa ztPNkWtDtLK)!3mmzB`=J2U0h&)msNATpW{!LLO;IfuVqfE7*+=H%Ke249HJ6DDHx@ zhurk@P(+k z@ULo#p%K>Mv_f(vW=*<|(O)uWp#=#lM-Ng;sX|go)0<#$w>xZswW4^Sam;pvkc@wy z8MUl-BrQw&y4^o0ZY&lf(wi#`-{(d5-e@IKpv7Mt zl4pn=#zX>0odQdCMQ?(O+8|hM!~_EuOgm#KZrp3@k@liTnn4~A2>0R=7w3$fIq^xf zbmi))uMn%~6~W3viO%8qqB(v*Dl*CC)P0W0fV>b-gAVXT*6)@f(J1m145-s$G<6Sk zXwlrYmde8tw`P^Jsw-gDnbSpa3v=36^I)+4_Kyt6o-_0!TD7ea<_j@Pg6MI(K3$xe z{s4OytP#-@G=1qMzpaJCkTcqXHeHnmKypbm(>v%kO5x5Z5PtSZZAL$1-5ovFye0?t zC_bylPVL))cj>;7Yz;l1nDx~1HGedKR*)jh)noKlnfeL9rD=P5x5rl7j}+?-3_Xc5 zxpGQe;A+&L!n_m7j(HIJiPPCfw!DHq)kwKEbncOjnd<|J_I3&rR5jP)h6Wx*~e`G=VCu^vWgrBCe z_DKX*1?A?<&wYk%lv6QM!N8EMPLdtdXPam1H5&&}IdK`_LzheiZYOgb$aro zl+pW5ktAwN(Dk4>%yxT2t3g1~h|8$}z!Rt5VyVxQ&t^x%ZxCxRjqJ?lk9pZD4H^fS z%?v_mY#uKH6tVBXR{_Zw5lR=*OSvR?^kRfHriumdZ`kfrovEO#ieu&O1e!2_Vbuc| z|3C)Lpt#ZI!AG07t)(d1+g`I;*Q|u9o2HaMh+6P8p z&ozdQqa?j@kQFds>)@?DiLKRT#@BBB%!8BAbgx4vv%y2yC@w!2U5V~==4lC}-) z)7KUv%+TvkvpADvD_Q}5Y4<&z)l37=X<(xi{AtaMEhMTtMWr4^QPY5<4blC<$JWxd zwvF=dV~|Sk{^>g~z!q43C?~JKU^oa+_q+sQhR0q11dCiN_=hg_25xlU~Y(h{U#4KUgATkYc=wnt| z%(90-=FLGxrs`en3LN(O?ulDlheb;f&LJf*3d|Ga0_Dif&o-V;2?X7Y>~{Nlzw3n3 zxz>?drRYNGMZ1deE0GgAp=pz)a_~gAerP4_K4*prA!a344xfF)@3GJUBNV7)m(n5R zajgm%B&4Sc2d-=$%-E+2gtTExQIV5uT7g7#$N=LV0hu8ISE)x6zAGc>ktfo99w48K zO*1vJ*W%dFHj6(&?;&&T${8<^Vt4SPr>0lX=a8bermNb*9v5+X_Nkx8c47*$Dp?QI zY+*MkdZn`(58wW3yR!&ndT>>lo}7nH8viyPM;)C)N-A z=qipdt`j~qg!WM7i=Ox=0w4~UL=0-SFoA)X-2@AgL=VZ49oncDQ{wW#goU|(WxCdvx1a&`YVY|zoIzGP>H}7a_cPzo?;?n z+X5~9?`=gPs|1SWK9amvxV9C1!1Q#W;K2w9!A~TB#?YE!@xW4ZpVSqy znA;K}X>ZhiwOiqA^$x?8&R?Juf9Sm^#=o@A1LWwT}nB+B~Bicxr9(XqcfB7A&@U?01nts~8uCBb>`77JxpivRgg=)C?>@7+5`}8~_KP$YX3K zx*1~xT{tG+%1lzvaXUPO=)qm6W!H`R>4fMqmVrGlAnMBjYNbE_$0Br^&~HYX{c`J5e1P=eX6 z(9d8;8y`nih&lZdiXS3PM{9A&?$EaV^+i@X(`ROx&Uj?0m4i*mO>H~R&a$BNgTdoZ zy{4S5mO|lVdM10C9l_ zYH|mXN5;H1Tw%#EOPVWq-Jk>$h*h%F>Hcswj(c%_l_=tYtnua%76^P&n_D){eCT`7 zR5UKUG^>e@5mV&CkWl>++omvelBBbW;nGuXoY=>j(yB$)G!3%TCD+^puu00)YD8=- zrb${;C_0cQM(OP|u`uj+jx^6_Aw2u9-NH6^AqE(C96wCV$%$Ksj~J3>2GO2fT4FJN z+ZEkuED{!+0WCdHCmc$Y*lwyf`L)tHv|ksBty^@l37(~oWm!qKVJQMszl1)kinY&nG3d(Q!Sv1c| z-n$oeBB9wPk*#^npzk3MeF!r1Me~Q4XeaV)4UU4swoY*J1`)GgsU%}_m;;T51Tq>2 zYbNlE&a|T9r2|Wv0wy19G@h#9iDwPRp8LdAm=aR=Br``5z**b$Lx;zbx&~MBN}huw>95|UfifAJM1_Wbrv+E&uYfo z6o{tyTuaJ7r?g}feTuX#wCBdePE@w_!A8B!^e#{Ci|6M!S*U=bMqvp{*$>ahqywF* zPw+UJ^${LMB~%+Dx(+hWfDe*#a8Mz2Hs?{aH=;5uDAUq51?lxnAY00+smu4;IDHN- zpG3MHD$+Lvdszj5tM1mnXLAGAxzP;uYbJ$~c!De+$-xguX8>l~gb``D*%%-I(<)@K zs@N}L<{TUdROlN+MRcG@EyJdi&DnT;VtQo_1+4wE75XHzS zxdmJB_Q||?K8s}7Etknap1=|de858TdkB{o^r(!L;HE z^SBpjQEp@Xz!*ziSATy`_@Su@z_sBZ=E~{9hb8-C0$sDyrR+|V?UcXI10E?IrmHC2@n>R0cQY?5t%#BFV z!5p1M_<%&rLg|e0*npMw8%I*i&OA8#H*MQI$nq+fboAs5=5L%trJ>dWr$FrdeN+ z4w|=()teL3W2ji-P)T;^llRT`jWfifDYVV3`$`rSM`@q0T@Yr!|MOqTyJV#zdk$qc zuimLG^6P)`R-Uy2!=W@mm+C(+C$L-7C%QXZa1@jY@kzs!Ja-4K7{F!-5EF&wTd=it z6evXz0ns3rfI)CM9gOh6Jo4huntOU54`z&>y}3gAucWXHH0BDi2SllFA!c@yjfs^g z2^^3De?7mJATbsJ4S)!Vn4<0gGyptr(Ohf{h+%?hBSBnccEBIP@5Ur$sF zo4Il3^MBwe=^G7#t_mSY3m&AWNjNT=_#^bJsT}8;O_ZD1fVoYllCT0#2+&s`LqM@W zGq3EbSOf)xvh7Ot+{E#QTn7q*1%o#|e;#3Y@W!l>5UlUCIvF$Pz*iOyjI9sCm_-*v znTxL9v@%NyxByH51l+Kt`|uVi61IXDIhmrxTc}SQ$#Ig+i5jbKBB90h|Gw+u3hqw~ zB%8C~L(LNqqlv1EX2dH?4+Kn0FDCR}Zg6P0fb}&&;3xr^in`*WLP!OCcy_baDI*Hh2 z#-W_5K)eZoxaii=@n%-u#AX8NX$AC(`@e7@!=ubYQwi$?iKBRq@jtNq2xw&=C481h zAT`{_JbX*#)KtJ85U(M?0i}1U^Soi0g4EE4?Y*-_8g!Af0P!42W?ENvf1bZU+U|YZ zGO-$SKVy(+QKw|H^kCfO9oF&cDQJi!wvF4gU}y@XyUO#c@1HfzJnL}a1&l^%}(OGv}x*=J(d`7PyQt! zA$STwb6`j*AC#Sl#E;0v56GeE5GC;krrjaT0ir8M7K|Pu6&@Idk}A#FmH{8x1|}3RwYJ zSl2OzSP`aZG^47ZI-(psw~rQv4c2#YFU+nKnnvIMr66v8ihWp-1aiTTLTgJI&mSer z-R0n;Y-F$NqHi)UNGu2^rs>XOIxb9O1GR5^>=esXXC|>?nYg9hmcrTAFr-2lc!y|w z_pH+`qFX{8pc)uI>_ayt>d!&e3oO67k`#O%CX>=_mLp_ZXMZt|`&;Y2kG10@5=7`1 zZ*FLdYw8!bkmZePfx^cvhtZf9X6`;Ejfkx+n8_tQ-8uqcgelTzFgq(L7VRJsQI<%F zNL?3P%-OXu901BV#~$#m%mZkWJ*NnSnBTFO=P3h?UmRhExgQtPlc*dIM;9_L=VA(R z6h5su8CV$4>eFbhR29XNTCA{U^QrOKrRMBJwwAtmWc4ZhNsW8Y{O8kNTPq@rRq+ro-N-&mqZ<1s z@9xe%CAq2xDMP%_S&xKxY&UC64n37K7sy+KyFr$@FyanImgDxkU|&$Bx1xfkc)Y=V z6t}B2oCA$)Ie3tbL+f09{5Q5M70cyu7)v4Vm~ct_nIizakf8zXQ@TlpJTa-)BW7CT zw52wtA7A0;+}t9pb^h=}`18)W08(29{NWTbQgu@Z&)%w;rKcw{Id zZdNMv27lhL)NNd*5;^Rtf)T$?f<*U=lWZ_J!j3aIW0mRmTV0g&Rlz2z!P?b)0P*lF zD`XVrMQvh)d;x&kiUYK8aKdyfji5h(8YEnUgB}?jb*_U>?OM^;Rk7jAyH%#K^&7*z zQ_D&ZLo4|F-=U$CAW&{E#_B>xCe_YZ(dE&~7$a>xQs*4KgA@*&ow5%4H(LF?(e+(X zIu?++7%(GRW~;S6kI>6c;-_70qn4yMq7mYSdHC*uZZ1gMCwu#S*Pqzh@#A-STqgPY!x@K6I9aP-QXdwQ`n2WZm5C@l)j=YYH`Ak)SWT785e#dFmyau36@7FZo^>s$UndeS z@-e%DEgpAOVvmp#CTz92ZyK*xw9)5~k(yKo1sZ;E|d&Qhhzq2_CK9j$D(EX|8Z4xFyU zT4l>w^HQoRR*H1Zb+E=XoqhtZJkGM8`yzYlLUBT|b)WTY(CqHc;UdE0MRIY7Y^W6C)8tciIF0vHiRc?_SLAY(^%GxBmrJ@mo zY$`8XGKA1{x|Xj`>_|n_n_@<;L$MNpffYjP>{zX50NiUZh&q768MXvk|5LC+z@5h$ zzI<7Q%?&g%kQs@T2oOeRfI-1=+&b`qQ^PzgVTA1}wAd8MRq93D!_e^X)zfsEq4w7q z8WSyBa3Y3M3>NEZr2;%gDqta!{J;W9`~=GCYBx5*7q_s|W)6ZnbNmlcPaGw(ACcFY z&&V+FlN}Ui!?YgC6SdD;d%gRc2ARf6SP(dM%RuIBB~|nh;{#a_BGhg{*oDuT;ur~q zkKcbjk|(!u#yg6enz$VcG;7mqURH3acc2HUxx780FY^5KuJGx^{G$O*EmEG5&pa;% zi|6uH0#~%DrKn!MmP}-W!@himkN?kpTB=c6!oqSQRtu-ifq6zk+ZKa`vVIc@yya{< zvZgTp=7I2pZJ&V+SMaw%^_ofPWUWeb5mn))$Q}w&+iq-Zw0{I5cCtuG05$Y7Ka0sn zD7xn(BiT)n@hI!orYV-KC2_WO<(H zJRIAHLFyK?RJ)N4Ysr?i3~$tOnVIB4q5*qz^R;YH3x~T8^6XjyKICBE_2JjCIU`IW zB)Vxl63y!YhU|ne^I~)Qigw0>wuyvT9&|at5GwI+P4TDK+T1U8uzb}%0f1qdzIlSW zqz9MS@MGUC=D{fHrz%JWBcy5LdHg-YmOz-C{gFRKMCF=bQLFIxpyY-qx6xX`@6XEAn;(hQp3p;<>}}i9>BmtWO#=KjM7Mo2SV5x zUdvzp?!gS!V-QV>x$F&ML2My_ckt>aPX}KQd1A4g09$lxoI^8;`{8YAOJ@>CT+6#G9xI70^RR4O?J?!mRTbq&69(>H<`n%yc)~RZSy5mD@nr! zq(Zd+D7&re0T4{Y3xu$$?DP8AsoO~LKrDPVym|G_q7~B1-u3kh)-f0v1^wCjd`%cI z54*9j266U8+}z1lJ~#@B)v{0z9}tB72~EbNeZZx)=U|KNnDIMF4KDIJP(}F^Dn7~^ zmXKCp96@0`g?eS0<-L{9YJ)c>vFHDK0L$Ejl4bGep3Z37*RAaOJ&qyD%6wsRt9Y^* zN)`gDrx^!a?;kRu#$L#YXpkek3p~k56n_WL>9$H0vle^E6WcwAoTmn<_UhNyzEtT! zgRcw_(9PvM?u^bYOkdq(+vW`B9o#A^C7)p*!g_0J+W z&}c}IX1z$gORWD|OcIH1mPNT^2WG(xHihjjKe2q~hyNdv0;x&dryZsf%PeJI=Zvm( zq}$aL#p^Y}r&<(8Thp73!#jnZz)0Yf;Ei&prAw7c47X9TU@s^h*g8D;<=?w7B{sty z2pdJ4a6`2)-dS>pE;*wByD-I!4TWqa00+kA87Xw60IDgL)#ZF3t!TdV413HZ-o0!9 zmp}30Dt45(Q&I{|9=Bb}R(U*D0@O%>a+3h)cK?A3=9(r=DLcfvJrj-Z|=nKMPZFU{yR!iLWG#<{+qo-QGXdMzD zXt9QKHe4AkPPL5NvHuj+H3Bm@coU400QN-nuc(v~v78Q>^VFJaT zveWjakzA~Rod)X7F70txr9;(PHanWmHD^z(Hg~{Dm>`hQLyg9dKi+GYeoNF)D@$C8 zh3a~!CvB!MwvATV0xlUET*qOxwN<4%B!j!Oj)jcZipK_&IAKt`=e_0ty7&0R#psGW z1RVOiH$QXbK5JBU-i;b$tX~W?ebda`2X|l8>i7xUxJ=z^ z6j(aUU_@cWUe@-FTbe~LF;bHTjysHDCZVMoL2C1fo^wm+o4trhLGjsTw6lzKcp^GK zLmMI^z~_+wsz2sGWh6=6;MVzq@2!c8VS*xxAg}>S7j7N|}D7u9Z}8iQN*E@**) zXe|QME2*zh_IThP08_}@`1w!OsyP9LNnkGWROi%Pb)-mmEJ5c=&Tu}d=D56iK*T(O zf%2aBNJK~LiT`@VX0YkfJC?1JDLw>^f$zHlElR_2)qcg|A2^C~Ev>0nq zM1)`*jMHdtF%83(@6~REOc_RqG#rf5CjU0YG}4!UbDL`_XPh#|5394T@rc^cK@_w9 zIr&1`;(>ucVu(tB17QS6H9!#Q!bNe6FWIkW_&Gcr?_SQ8oQv~%)A8~XgPYii024P5 zrTL&*?x{whNAr+N6U`xm-4Lg+9NSW>eGzjCF5wek+eI=nV$RPB;UHt38Fe?Z$Iq%W zn+Nq#yZfE^{3tJ3KUJ$v+F^_66Tes36*X`!epv+!2Zma!q*snsYoP$sk=Z*8b{dE&-Qh?A{1OG=UlG^JP(j znBU~v>C+A?%D21TNHm^<3W_kP*mrwF1+?CXmJkI#xGx7$gJ8{4s{`CimUL&senL)s zk2mIifzEFkd8<`#$pN6ZgUjHPx)9_7RD_(lOT2TE$G}Wa=!9!J@po13e2Iq|oOATL zVke=J63opqWtBpDL^nWIr2g_Dn%Aed@psGKlJ4NQJJdrwH}(^UvJbwoJbJIP5HNxr z_k(Iu=0>tOMDX@b0Eh3?^sc9kUo=cQtZsq85%W)@?i4I$i0C5$)>9!BHJB+T@Ksus z-Sla@tRlTy-S_fcy@B+%6yid!@_tu27rPrC|H;>tciKl>!e~FJ#R&+0^}lSEwptbZ zE2~uz_v7jJUtqWb{@rYXacT7NE>Jm7}I~s(l!d6&EChKtc6*e%%eU@NXS+NEz z34)dZlM#nFQHGa6e97qf%al#(NNg%lu8Dn%)n#eHeAqYp0ELdpGMkg|4eMvyv^A~& zrMTU3N(&#$m-miGC5-A%lIJmW-Do)Gd96i1j_xe#pW-~LLz4O^hDg+D@1CxYrEzq7 zw&-Vb*A~sswFZe`Q^Lbi@oG^kCo|rUTMx86Z)tI`li74xUz{5Eg59kgWk}cP$li%h z74oU&@yW>Bb>@a&74*G6+BfST{ROAmL~}k$X2-ph$`@KtE&bGjDeJR`!Rx-LqYMF2 z`-QL?8|Uk84Z1$Om%n5JoI3lp+xh<8-_(YXtmE7!7}3rVW!V_LS`}0%O1SIh3wI&X zIaOB7N7^3lG;dcL==8nMw~6dS8Fsl4ljit6H8ujb9R zbc*}iL=n)OG7-qQ?gc?stplR>P7U1Pumdm}5F_CC|2vi7wz6VtOsA;bPVt#~@f_+G zHFW0n>zfZ(vqZXz8MJ(V=KU3`Nhj^-x8Vs1!`1nPVYx68+=jl!*nZC*iHLoWtso@z z4|>I{1cprsEKjQ!p7%#r%a^oR0ReRg+Pj<^pDYA@!7p@%_RPC4uePVhz4mkS5Y=p! zh?wIAzw;GP82XLW^TZ0hJKg-Q9eK!OKPT8g=G@|$%0mOI%;G)y}@MA8S;E!GZrqc;lh57nYdrVH zPozI|?7re%W1mRpj@{S2YwV-L!_OZ$+a3MUx%^ju?|-`T3O@Go@xtoa4{^&CFQoQ) zb>sf@?A@y4Y@>MBjhmGmz3%e|&fatQM~~L6mLF$Rrl0xk^{0bn#f3T9)z7v9E>?BxGms0WHt%Ywk{bDSdmc{@f&H1IR3b+21 z^97nM4i(KW9ElWMe!Suc-+n(-+drJv49Raj*}wYV`4U(Q-o|hJ`Ql(qxrpB_lq`Y5 zZwUZ>NrtgfIVFfg_=+94@rPj8X7D;7x`Qve-_Q72_Qmt&^yAF4BfT&Bg_c{bl(Ssn=xKR;<%Fw`LVQVe$~C(2x4*2bstMs<(S25u3Uz?Sw$1JOf%eUF zEDh)k4N<3b=u3^>sKT;VuhY_%C*HdwfpK)W=Jm<%j?Sr@{9A9i$`?}|th8djIMRRyLqdn@0i`)SaY-rADvO6fkbcA#8FFb^0-gQ3(ttRc1G% zUjjT;3ViFr!^38gbJ>+G8vtJB?cLLcxDL>x`20e1&+Vzo@2Sl@n>(w`JDrW*JckkW*Z zhw2H&oDb#w+S%7~wMW`~?H^2ihC(o5#6s0}sDADiRQy#^t{?tzIh1O-cbGO+ z{xHJ^farm%i_mmz1||$p<3YR}RAI(#QQm`VF8x`ngd2J3=uwXSaPSBeYD=mFd+C;b zsw#K%;3+>dF17qW`2%NGmSuV$%xR7Z6g5Fyz$@}NL7BV>IO2UiXoVzo3nT~;>WyKR zp};7`8dBgsd#RPs+vee5a2B=$)3J%`o33V%=l~;}we(I!Iz$;zQO4JH<-a~?=QiKU zj@bzY8x>YWF3!lHhX``CaifkH?9oG`H>=I=;j&@uU5{4m_bcB-dX&*YP zyj9k&@w2Z2i!b^mY|$Ke6^=80veb}kzz6*q=;R8CVu1r!K#5QxJ9*(bM%=#jTCm!U zlY6IuFk@ur)S8ods@;6RNcCu*?+TvC;pFpO)Iz@#c=@K^y3huXnglEdXLU29E=`Bs z9nrsdXKKngA4S;$KBjr{2U^Lv?6nxw*VvCJ&G?qhv^>&$<})a_In3_YghI$tOIg3( z$|6RbjdpQ$F~uj@|8dt2C#FECwJa2I(2535_9eH*j7kVEAr@;PJAC6K9!F}D_y-!b zGb*MiJWiA=VFBK-Dy}BOGp#ftcrqRiKzY&`z)QQDHreiuUZmOEKXzWJyJJ(7u11X_ z-LID>o~gvDJRrr5Kz_>y9a3Kz=>>LQ7$r{;M;LA_WVZd*_1&DUqLL4gLO&pTVu6*& zqhRX@%JUH~NQ1{4+vAfm8!oLhs5p@An2h@9+SeA(5J0F+OfRAN*B66or+L|EGiUF4 zY(IYjHT&8kzMOrHNU^xMI-gxy`LQ6Z?vJrAS?Yk5xJc^2__alJRYB`vePVVEY>ZZJ zsd)R}9cMYujf&#EGuh=?z3%TFynL`dd$=};lXln9l>z3WIA#_+mkPiM28~P{8nAzi z40d{;9AuGX!`R^i$?R@WmrS=HqD1>n5`CLrz`2GEI(>u@l_7|c0^t*$!brv}p-UR= zhks=o7$8jCn?6^1 zKpBPxFljF3(P^V}B?KaQ@!~4?p)B_Oe_A3qznmiATIsHMJwZ|a^%v1f( zGF*m<&S8-e)Z$}KGK}p|{tBy#9rX~E&=F$L_hJ#wul?n=f@|N>f=k<7QX7V=={q4b zTpp7RKW+P+HQJxa76XJ{csVG4W;ev=#aAt*@>%fk+ICjuLFQg^tTgH(@0r7c5+Da4 z+l`NXBst&1*hE*%x%+_@Ap97#gK3#m8!<*awq~vR%%zf~Jk|i&b3O=hP-Ymi8IpF< z-B7DGQu>}VOtlAFX3REF2%=8GV5J)u|237G<=ty4mgQaA&otQw0PC2uG_zP?qZ|OB zU`uazds(atfF>z){TNcp3~u#_fm%!H(mUSy|Gk;|588!WZQY$cTla6At^1SLJwJh^ z_=fO}&ekPUp!@c*7k4|0`D$nCzBpVbqXX;*(9bR%ZUjN2kMHtH% z&90&oSm4;qCtN+4rzz66&$ zXa#vLo6+WLpOTe;W5#>xfxM`deQQmmM;d#5^oMJq*qU)Qh*Hp zc9A%rj=H8F|B2V=oAsjy41$7YRRhqJFPsAEIXBW7AT_N{=XAi695p{s58OcqZ~e%2 zuck?kcg=K~gL<|bcUWug&hj2UN);0DIP}il_JcXsx`Ya||3a^Gspg%eUf~?mQU#(_ z-LU}2QIEoeu8bDM1!0rnN~=~4(-#Wp?tlpS1R@3$Ai0;jnSH>Js&U;j`iW_d;nX>wB8k6ox72ckreHU6&<%D- zE#!;-dxy1^7#cP!wi5QUEJm$gd#eMHvb}@O=&QlUY3$Dgl>jc?+CZ;xIgU^{Up0z%zkl_bG7Sx5p>huv0|mwucK z7_=hfmT69@E5|j>lCnRrJ5_b^?%%p#7cML5Rn~LCrT_lHt!hS1z{L!)bcfy{wsGj^ zE)~((Kp(PK!i_V{5^DR2VrsK;K_q^5&96)hoV0d)EI1wUv^baC@ z*)r9LK9z8)ZXJ{d?;S2=&^9DL3Q}k@^?vI|!a!Blf>y!kNt@jbJ|eStEKj zCmYZmAUHFg5EIxbsy90vkg}w@F*@pnp%?CRWyT51;Sx3Vt^;iUs&Dd91+~?Mcm4Z+ zn@O^4y;jj6dV%qc)o!<0J##g{o(J*5OuuD)bL1bXjD|tz?P*p(l!mb>Y=@nC zZ7K>eDs`$?2Tim^`96b$OAV!UwA~u8Ro=)kt2NEMIdKeNG8xEDMKYzC!iRD{i>0dZ z%!ghdUNn*Jtp^tc)$5bJQ+TAWXWZlK@he;!G=r(@WcP{j#08{Oi;>um4?`1DB2$ET zX*5UvTb$0x;jO+SUD4+LKIQ$3H(%)^(27%^m@BWFJbu7L-j>)MbnE4MWxI9Ff70Oe+RwF-@TiJFyDK zLqgcMAYOOXk6u=dZ+MM2^ph76z`UUnTs9hwCEIQfhmNXF6S9D=EuJir*~3vj-`tU8Z>&EV&U-_n#4_m{rfydCmN0c|e%?8OEGABB zVNl4r)kZn?mauXex&!e^44XIBZ+c>|vxhM2TQBm40!Df$kV;;^2;@xHK`N$+(BVF? z02RrbJ_6>w`6i*UCiV!bOcQZqc9@Jo3hPE^l?lJP9dVQh*)m4OW`K z)^N>36Wlv4qetcwhF>z>`jb7?_`7brq0)hUhG-22oeGlaIsi!GsBs5>FVM@v6xr9% zKMkXr0SC0E_UZTnW)yYMJK;+0gD>M7Xa=D}e(^i_ba4P?y-(>_cYd_d=~mgLD!p@7E6{?VLG7#%!$T-jFdA{)ojlz;Cl-)`^&)u z$PZnb9IO*o9m*?=WjH2Ef}b?7lLxHnoj~bKN4h9BAfAc|n3^3sP@5TF445sCkE-nl zXfjg#5uZe%vGf{({`jcZ^c8z;_wFnffVl0y{JR$v5OAfz*w8JRDU*#V@9l{JhP072vE2#bdbBLv3Huse!D5XXh+|^66skSf@zj^!J4aP{VHv92kizt`zU4tf4ccRkVC4f8Hhf>`%>s2N<7Bq5AfoX)) z<$f~kBSMmJAQ>4T{`%q9mxk@@%lPY0zuw}nA93;5qkGH>{PE!1oIN>wG>{$mV*Q^O zg8e7iw%;=P`pe%s7<~1=U=a74(m4OYt^sxD7@_w8X_Q8u3}2n^9pS^nE*lGOfI$A)htvWT?;HQ{fhDBlXs1qn&|e~2 zY6aU6p3Kt3&zMP5#1^(`3UFAcW}+~IhJH#^Bg15Z_305;5lE785k5j?7Y|^|;?8wJj6^f-hTCb3xjN$p#eHLwEmY#SX#of zGN)j4BEG6g3kC+oUi!U%3@lcJH}pF-WCs^@KRU^aFnApW02mp`HlrBNu6& zrX?VW4Sw23c~nTmJ8DmS%PW6QURUfKu80!zUem&V#?{JZ#=YHC+*OQ z5*Yh!U^9P$U>dG~AT04YB^5(vvilfK$b^`4%b$<=0jc%c^Q`%M?ka668f0WuyV~HN zx|3<@mavagYdDN)Au^?!zHC$V8fLYS&ds6H=|*nR(2I^}jb44@R0B*qiy}!|+mZ@K z{M2Y|8x?~dDVDT_CdbGSeNfkL;0#ktwVCn+Sel+=d_gwQHLHL^2`H&51K2}xw-a28 zpBb+3Zo?2eeHG9tOCzEfeMG4W@()PBMpz_8ZgR}a1b2ruO0f3;cxeyIgZfJrI{FIH$A% zJ80C7r&rFS9<}TKRe^qn?hh(btFfAdq?D^2qj^GPO__0md-K>N3a-Ebp7%??<50i# ziU>2}1ob-6pbBEnidv!wR*1wpkfd;rR7Rxto3X5S`5KYY-n+&IpdDT3M*UNVOJDw(9ZQ0)cUXCN3=UH-K&_-1pRtEr zW4_^j{;51kGmynkYpPc*$+mFLtDQH8U5^73^Rm;@zkJrU_WU^UdZ+am^Hf<#Z8bZy$?8R*iW0&aF$LI z*@exx%T%^r9$F+&p&T2gLoxzj! z8_F{Esre|rC@WNoP_M$cH&pY^f866WuL~BZuqGpFnto5)k8jQ}5jscab`7$P;DVpG zXFh|uGGBj&hZ;qlxtm+z7_rIL;Viq{uaPj&cIgoX(}$whz_5k=~S zF_TCaWeZOWo(ILpr*4SiaA>GC{hczhlGa-TYMHGA)>J*2{>h;Vk6M91<}o-RMrO*4 zpRZkYve z1R)R&C=EGrowLsEZh9a$@*9L}aDH7q+d>||Kt?fN)fpRHrs zqqGa0@03a0ej8!o=>Ss^@UvJ3YeZH?HKW0dE>4fD2@6Iil=$**NibP*gY zxR~%hGxKWHJ=x&1GJJ-?qoQVW^tUNd#iG4ozoNsah44#_mlSejrP+ z%jMiKlAwwAKz>5Mq%>nqL2$-*DfP5aTHrM>fP|ac!~aksa>J7W6--^k!t@}S**~NK zr@SzE!$no|%1nhxOPK48of~7}Kxxkl%2W_Mz?ga8{}-}zqs0nCo=cCxg51pDMH~cJ z<{?gjW6;~Sp1p7u} zzSHgb=J8QEeA*9!`^>_!tk|tGSaV;UADqzq!T2rtbmd$0z^ zlBqc_{B|N{GK#YxOeWivbSR_sH1KA|Y7BQua5*I-+69qM;t-kF3c)JygXsra)c)k% z1{b8U@k88kR`Mz_=BJ-{&5{@q+(85!pp!`$dz?Y)9X3hHKG}L? z`hlm$=R?-_*1xh`c+*?YE5ClrkFD*zS|Mx3E^PexI~PUXI*?6z*PHB{5;7)3lw4G= zpcY^{2es`tWXzR*7@fLb8r`-zcZvNe#c`dJ% zPUxM_U7_DfgVtggWR>*x?D45ea$^weUYm%XiK6QG@-3}T?;iJWZRFKwmfl@V93Q)U z`;$ffYxT90NqTwkLhJNI?Zd(D>i7q4$&QcS9REq<_-O4v7w^8Ck_Wu2ibpo;>E!vz zn3e2TdC%cE{ZKF#rSF`$`#^Z_hg;d=A5T=iBYktf(q7T=Pmk{PDO!8(Zr8g1^#!`UnA+uEyFlpFJ|nz(tHPE8{%8y~N)o$`udK)q_>&X2rurROpER%%09gX#jDG!escA&I-kymPr(XPzE2iS`~nIKZ-MOUe67=U)kp2Y9z ztDZ1zSGipL+F!v%$YILy>Jw#Tz%n894nn9wPzx&-{ZEs$Vlh=CeX60tWFQ4#eb13R zoJd)u`iCD;7f8NDdBDcNM&J^Ss8cZ(G~AGpPC&gu2Df|o4%0N)J{1O?Fl<+beDtLt z2m^F;lTS>(@M(<7kfl*dE=W~p;vxk@mF$r$BFZ!P_`lz-s=`)9VkJ>FCM(rxIYjv+ z)PlP_sd5Gd5;!WU2_ATuuW6WpJ+`gE4Pt$vjWAHjQ7Wt!^Xlk?AE}Fx_g|i(YAa@) zD&KG}(S!~|Vp8ElmFoNy~0mH8uOnRkINp zG}l-Xral8`)X;-z>u|>2#_@|)TaASupv9^e%AEtN*BV#22074Y&H)t($`ljGPDC057Z z@dOGw0O+m-D`{`mpa;AahuMsq+)Hi^#iQ}XY&IqTFDa$rESo`1OztRHT5c0uR3x7q zy%0K=2T@04|Fl}73u-eAP$_;TxdVEwgf(#Fu#;)ktAF7$Q^I>+W4e=r_1c#3s)S`7OZg2E3QFvD$cmv`6v znH~+5M3~hoQz9KZ1Ug_A$RFDQO0N9GFN*frpCmVq##FaDB1Y5q1Ty73G{C_d#3X!! zb-n~3NMFlq?(U}F`$;>(L+X9)`t$b=!r#6oZX+!l<=Ad?Z=gXn2N}*?ZqmzLV8|un zoYyEZe|R!o@0=Q6eydy zp<(|LWN=nhn1iZ`Rn-3|UTRmP6w#SQ!i-G=`i8${FKOh#HW8+vtl%@L&lVc0}!xqI(CO4DY||b!5Kb zlWC66jLzeXK#BuX9OGU=UBioYk>fL*RA6nphpgrh&&?$MkWS6iQh;MrIJ@1ApQw5s zOwsTsLfz-ru?^OX84j++Bho!p!bh4;EJ}T z-U@~Gd=N_I_%>EtJsUSnaXRDG#;V3V1_dS3z@(u|f^-+_U#0iL&ydZ}i zrv-T;ATgT>=rP3HN$KKtk_zZwCDW%wwsxXT%NPC(&d6ax9*n(&=KXhF#?9Mjj`I)YlcZk0s{$Akq=5jL)YlLdok^$D!{0z7-YhZ zbY5qYO~i4WJ?0@rR=qc(8L68KDj{)e4JK-fTo^e87(Yg~y?=Wr-M>BAzh7O+_T$Ce z&xQSv7*jceJG6hs>;04Z09Gx@1y7AqWQ=T>pOn$FfZrQSi=25qceoxBz%2!|ICoL z|G94y$dzZNCXD3QxMMh6nwn<-+s8?87>x>CBlX4rE>OHz3;4SZ?e^CFjX(P$!ZwQ+ zD~$ee$v5-jkg9oh2c(9FN>O4xxI@k5-a2ur&q;!C0O%+HBGWLSx@ z*Ug3}!q&{)IXjx>H(j>PwUfj`Q+YVOiytX%Ti@EpnFiPZ~eikE}XF4G2;sZnfXTU-wuTuG+VHd-whLbp-2vo#COHGUUiq2B4T41Ko_TUr0O@+HSd(P2U!8gyAy|uomv+lrz_4_{{M-ckN z$Io|-Itq1H^==!Y)v)r_H3xew*iW!Y(7XH(V3sjmVZ*%mK~yq8j|Dwh0kvvQC3&2u z2ntL%?5sOK`Z{aT=0Af+I5OaB{+z~)E&@g7VC6m8?kpDMpCbs(ZB1brz`0qSq&@!L z-rlY@Uge;SRcTbC>#tJEM(Nypul{!ZF0sfrTI+$@<6=Qu9w-;~4Y0I6c(V_iWjK51 zpO;xiaqm9&Y2G?(V9R;n4Mh4g1xi+VzSH81>pE4$)|HJPl(TRX(0&yq3pv}1+L8)y z4v}`r8I=@I`g{kpj2Fko-{0pg>C3B&EGxsc-lrAZ`WC$co|iu%cS*0ZXlv2(yZX3^ zEIa8Ku~(M1V*V8o!HymD?)t925#K9v?q^SgFfzs;j!F8sgjO**xoBD{`3w*`qxi%Z zHbto$=%^emVRs6m>tSqdXk&{IG`INg9%9k~ZIu{righvwquC zBK^WZCrzLE{@14Moh(FF)_Nzp)RNj|grXGRSxk>!RiGm0>?V)pHC$<6?fp=$6X&^i>WWz8y9EO+sVR&s39>VR*o|$p;IyM+X9d9bZ zo^{~Et)IyJ%V)-3nrYp+QYWTA)*Mz$|KjQ8v>l&$>-%JYqPNT3zy>u7g)h!5q&k^{ zz|4xY>qLBAhi!T`~C#dK4yXg=z_9AdZ)gF%V)>pPNzC(`7=qu$9=uu$i|wtxS3 zZ_Bb}t*2#Bp&ttCi`wpiKtvs*E2DZpnfia*I~yR$uIj$OZnai}EMR8TyIzSXnx4E_ ztt8}?UBbaB?0v8GS_pfSomI^MCJM`tRRT6-mk-B@sbpuCS!!)0uY?G}MZ^*!Y-~Xm zRIXGxG6;yM!Vy6TSMawbjDtV0yl>(%QQ5%e_doZ(*Y9<|?w*fUvWU6U^WObD_uO;8 z&bjy8`w)z1JP~4m&l8R<04e`-!>JOh5k`Ekei0#Zf&WBpB1Ts3 zh*f zKmLlw{8R^7P0JL~?TY~@&~vD%l{(5OQ$NgD&hUGl1lBE$c+LHdgPtQ#ZjA zMoIVn#QbW4-bwc|GP_mG%U{vd3M^NEh`87c4&BfR78Z1Ldx7q^n`0#|w7Hk1u*t`# zS)QwX>Lg9lZFtjDIxF>r#kcpi`7l+m@_9Z=cCvw-2;-VQx~GU+sj!0%u2;~dI%>Le zdRsaysOncrhc>A&ZkWECMtlwY{Gt)ejcdomvXNiUCFZL6*IM#DNF1#oIsG<&Nl3TR zSJ?ov4zurId}6{l{6b%(#c9n|AE?kQnIIdWz~0o5LkDfM?9I$e2Vh|sFNuW=G0d)P z(kTx6c1Q{=M$-4K)bo4LabNq=e-9l(jK4X3dd8UN@fx~ zST7?VrVX(Ghi_r_O{@%AoTyF_z?I~Xp{4Q95Y+e-#-suW16Pw*YXPeTvN|p78O~<$ zTFI&mSDaq=3C+$TDGTb#B{YZCtegTN1!$_ra8)?~J{5c6mhk!#oS6OKp!i@)n?GYZ zLX@GtsvD#{9|90Ef=I;1*RUz@239J_#qz9W8)M($_$CHbyeK1Cs~|F`Z{C3of*8Bv zV7H{{MYaXt8mVbk;0xJ+01OB-S&PL+Lt6yKdc4#TqXeX&xjM-QDW-32bLY3@a`tQg z!Rx?Td=$xS!FjSqJ~&$}P_hTm(xoW#s7_K92?qgn7OsK%yAwinYRKQahy8 z$|Mo~`fu@z4Q>Q4#lRMydG$r+OQ17W7R7`Gb9JIhrjnc?hlaPR$zV+&nlSB}^xx}P zHF;%3k;4e3%2s`=(deojBDCq2EXB+4l>Un<++vs~z+;2uGC6jy)2CM$upn-vJ!N4_ z6?af(th1jkD*3l$yc@f)RHy*FKnI4KLgQ)Oei|97M~1RVTtN&+t3T+WHLtN zVkw_M3YAbyVefETaVglldHQ7^@u~gGU|a7JUoOu$B(dIp?Z%olAhk6mpcEiO%;E{@ z^wEb<)wTBL{5(WC2+j=sRM>+y%QL)%EG<9M#Z+WtZN$fQqg8xt8csxpg1C<9oc7eA z0H4NZVx=7Q|$3~#ajy-WGIRRxRwv;$)|`!mK&cvyM1OeE+Dv;=v?OzUcY3 zd~q+DK~TtapX=eRx^eeiH;>Bdz~MUqU{dL`^# zc6Qbd5hI?8-rT8O+vqfIT)le*;;tl*Aou;f+JQqv{{C5ZHbR8hyV=`BLH*8GBE~Wh zuSA#5pPE_w(fhOwN48F&lb9FCmHlwh`?c?gK!yy%cBSc-&(%U!v9;gVaXU`hgJN%t zeOdH~vx%_eF1h z#|}uKU>cFo!{L6QBFQ>r53!DJwWKn>^VTMwl%`Q^M&cxI@otNVOFP+XW)C9Y%iUFH zA3xh8oY>O^Q{lWp1R`x+4Z^-#l4u*3 z9Feh&#;%hdSrQ3+r#tl@wYw;X3DH;YyGSJD4qK~Pl~G;PKfsF~Bl%f*O0lv9O7Z;V z^9jxO5Sxf%Ta5FvEjAXBwB*oMatBHz1=?I);`HfTXqDC5<#Vlodk%gk!wxO#TtwZKN4uWQ0nJ?d-mk3eub~8 z09-ya(vuTU{=)NC;qGUbV@iD+TF>Chh9-6TC9eLW`tNUn{|Txw>D)8RRS7gM!tdC% z<5TYt@Y^n*f28%I8r0sMfXU>7M9Ia#ZXN@xt5z2gnL*`tFgmh)D4gRif;<K` zU2DPZyKBsVB{(pOtJy|py7=X7nRS%R%EYcs<~}wBs`eT_muRqBMbu*MYpF6@OAz~BWs zJK-alURgv*8YsE8boh*6N6Krhm6l05bic-GdgjI55wK8(EF<2D;SrJsENG9gmyIA; zU=r`}1|9aYq({rODqz=ISxKV$Nl8l~fvo#~;3?jN3M770F+t9ahm3i^XP1$FZKIw& zm8J0;Ndd=L_VkHw8h8KzhdymuQNWv{Yl07@6I#MR)H5%}TUDrbIb-qJDv#I+Nt%LL zFyH$l1qW7xWtdVr4^1{_61;jLWMS^T|2hN^CPTSGi3QSO1}Qh$I_wnas@kQYMZ#{O zB&jEKAd{qFwd=z3=ac{8A_xvFBvMruLz^JABxloNggic>rWZ9P3)Iso5d&DrLk@$k zfTBrb|6M1Mm0BZA)Xu~vMnDWo1G(Ap&&i<}fK`cg--2oFa0;v8Vqp8|BMj(MI zt(^$H=E(;xvsxkzNCHTxs*zblN>@*MlJvTJf`hv>`3esteG7B2j0AhPR~}j0 z&x6YrFcnS9p7gIbyesnxu~@rtwV!!A3U^&)8(>T3#=q@4RX+j>W>_Of+-@+oZtA|W z`gmu4Gf(Dao*X~{pjD09w*l)QZGG)w%1HE_ELT z<(*#hZ)fQE-WVVgEv;TMZ}L;7{FjXeKEu1@-TaZS7u}hp>XFPEXZ9{et+pTkv56D5 zy2WA^>=^~L#>p_MrO%AWLe3${;Mr-jz3GNg2h&OA61zdUj}Xl-{EVWxQmP?YBd5Hy zCkVla<)G-P&JUw3^3fZCo)lMCF1<^4J?nQQT?SH=y zrYoGOy^#I7S#}@cZQIL@R?F^vrrUC-RuHgl2-Cas&5A%zL$I5y-?;jzRVJ&64xbp} z%R}tDyeUjp+A!I(+zHK`tO&?PQfZxRhP9VXHMDoh*i7;uxOoE3&V8Eb;Tu@Tk&dTl z-jO2wM8R~S!B@tlB{zVGUdRweF;-6`O+cxbQ8N3vB>8jy7x+wAPXMwl3~oA{Bxq^HHWE zi(hY5HPa8hL~xl$v!AE}efT*qBa>PMJ(@URAA<^@GioKc)G*v5r;oGz!$Z6k-x=4c z1V`dmq_WwQA_7m4oFwPSgVTuSDCa@=*SXJgJiJW)&_sL*Qu-IcL^XamP5V{^-P# zO}t$kE_v0pcNsOJ1MoV_Tq$kJq)8CJ-l>_wx&@D@6)dw9+Yc-_{jR#{kyhn2P!g+v zQz^7H&;4xu|Id-z;wjg$9F-jlkc#uR2S5DW6Z)<|@lV{ByPzU_(!@4lqP5j;Y$Dl! zBz%JQ6yrD(9KE}xUL7&0BIm%BF(-w_INEq;qiY;6ADQ9S3+vNt)#1h=wt@~2yI}vE zT>IuVj7s8c+~C1%C#IZWyxbI}iA)&>!IC}cumbJ*9zvazQidEgj=dY0#_ce13SEH| z*AFbgrzFA*lc6jh;NqQVh_%7n#V9<}r4JTdTeM~$__flGf)%LAM?~31Yy^S&f-i82 zRq`EM<_4D64*hf=B{uD`-GE1vPV;5gq@R9U*D=DTNm|pY4d&HxuX(!Ke>1)ts7x?Q zYzXJsXT0C>kM<7x#B}@meMuPV9Y`ON3sl{h>3^NW;b)Alca^PYmT+uKIb!-#KPHc! znB_R$Wph~62=hQCigTBb7$1 zZ?fAgy2Tg*&Vb~&_1E#f2P&$z3Ld$MTGZ|kW+Q#sSBOJAAG-=r!S9Z`5E4Mp6t&A8 zOfgR%zND~nRY==oB{fT#A|4p>fnx-1L`uQzGtKnSN6VgW5xGnGEVP(hc1opf03ls6 z>4*PS(cQZcSO{yiT)P)3jSo+%FbvYu-$%I5vUj<&08Un`5x8*LH3>p7`F?@FC*sF` z6LEhX{IF7kC$fkEplxHE-8{OFpUAEN?s`?(R1P(Yg#V1oHK4tbkH4&s8pN-R>RO`* z(9#grZ<_o6Rx7FNFuhezm+m)bZgTrg(ynvQ zVg=}E?WO-*t=tirB$zCVUOd#UBfgN=vWp~X^S0I0TEar3my}gD>4WcF?k@diVO7=1 z7ES*1%U5m@%gcjVTD_-^UiEyb0%-x#DW}-S?UHG?{HV_c1lecLX_V!a#V|zYWwOr4 z>hwvw+irK6MoHm$#_$3#+RV!&q`2GJPYGu0?l3178g%%)dRww|V z-~e&8uvCs{u5(v!5H{VJ6V%KB0^P6*bk)}bJbmKcfOQEip}7G-Yifgxf}&~ef=?J1 z^|aPd5kIP(yQN06ApyyWh|n_XkqYQRdhf%Qb%X}R ztVdXDaaEMFafq6>n;=n6W_b@pw1{|zsqDnPg-!20ffo^oXN!$mVI;j)1hojMb;$|? z{vB@Q)`}w+KHPSTmB6hx?vVOYUy}4|zfD`TB}ZYSMMbSSN*4sDSJR+lFGI+ZK18qz zESBLq3pcUYsI^*sb&h-wOmIto(0L^;RtOsvhriQTyhC|To~Y44(a+GbiybG=lqM=O zV^x%%ws37dam$Un8mvU3dO_7z#^}7y3R^iA_htASE2zUDmX8iTFIop`x&y? zAi844R50$=hPED8!?p-J{q{GEA1s&NX6`ORN)S1HdFE=42F@CYII+5eI7@OT;-#U7 z$v>=@YLDa0|9F0p3()o@Fr5r!A~Bb!gE6u z2`t(q$^D9!JR@09m-Jy7mgaoo-5j!U=`EIPb44hXcIigt80u)YYXHPeryne&$Z!*$ z!%dE?MYHOnX#qHW@o!}*eL2V_9=@}E`v~1&i-$3=knAoruPmbsK#8+lup0u%KSXN-*qFI1Z zuD`VdHV*#Dt6#I1+|{u))ae#5wRnvnn5v?gAGu01BLo7iIjPUL4G%Y@m`;V$+u&p6 zKWXjLKxz213Q&hCwwA0+?rozTI+l{QLQ})TA8bVhh@gMTMh9CuH=Y}hfUn@(yWfH) z@t%HPRww@E5-$aDMqC>D2w@dk8nADr?|+N0(}&e`ar~iK6!Z6>n19D~`?b2ithHpy z{q6(d!L-ueT&bRM_@1)%gqj2Ncfa!d;Bc2hGA#NLec+1C!;qJ}jN!JX8XgY{>ZZh zIm+K~XT3}F^F_3L-#wuW)Dd+Vs2wgv*nk#cvX6Vp$?vwdk3&fuVXU=IHunFw=Kv7- z#M2&RoMHyzgqF1mICXRJl9Rr^ZJ&h;?`TRD-`%U_Cl3bP#3V||F$hqIFw&(-(%W7y zRdth+`V}+YAk~XjbR`9&HTFwMp}u|)-S+0aDp7KS>O4VVV?u`}q64h%A=gAFO=jz4 z*LB&EgH@E17LxS0r;(PbL04{)KoxzDtkeE?9C|#`(iLD_717WQ>*$X_5%Qbwm*w{T z!X=wi%?N|LRa1bcw+zJe@BZwzv@}XuQfJR}Fx;D#T~yT&=iP16gKX-Xz2@j!yYpOc z*hqJr#h~TLq!0W|cuE=#nl71yCBTaTv$?Kv7NUDI-{go%=iQZr^Om~9^D9-5tN}%qd^gLBkVt9@> z$wEB=EUP3q`&Vuws5{>cfaa1j#i?1@K{8?IjoGM3d87x|x?La#mRqtU{@q2ZJ~z$r zcN;kjPEHBS@%Z(%8Qp*tX6em>#{i>)Exx2VnmI($L{sm6c`2y_+{)pX0*elVs+Q!= zlDHt8@px*X(x2!geP5eQy zvfZUdAk}pfoos_>KF+>>r46K@La}|mQ<-+{k3J++APbx{JTfi7c1GOOuT5^UYpn}-MEKzBwR-_GpD~~}c?RMDrsm0&~ z+R;uruw2#wo(+s>;fOX$)md~Ku>KJMRH8Ea&GaMS2HuiD59G< z>-Uhf-R2}F?ZXV{wXwE#IBV@BApjy88MSz+C`e2al!!(_6c>Av-t$=rOo5YpIJ)om zl;8z2X^HG4_pI`B|B`KG-&d|4Pi;!P{*%+-YNs9 z`y%sAbQ{~tB45rDGg7RoH4n^}6Te&pQAb8o+1SVuTro)Scj7yW&O23>JwkP=tRHoXdjzWdZQgX@Z3}KW9rf@W6UUG zlDObLqbM-bj1mk5jF)n;4k6~9sVnBdFoEjK8ZBa`oMh^qD=t@KQ5{GY_Nu2zLxIFL zMs+i{`&SrM8-Bi2Ug_63uG=-0CCh?^v5hhs@FllsNsB^g{$()Yr-@!S6miScuTI!p zo~0f5P6)B(d7HBxry#&qa_AzU+FQ~MRHy%0rs_r6LitA!ay>>Q#u$pZEq&-NS5xwF zkcO1sh^8@@e~^Y42wR{hsyGwX6qf$|>&eJOs~o#QU_Hk|l>pGdLO%&v@C1Y<8DC6( zLHfR@ax{I017D7}vS3;i2zoNwv?Ff=_)d596D%|QqI&EwFF}rKE(Ozy5LL~{xR)U5 z-+pm6x=Id4mf>g$2rXU@8he+3_+W7FdxOLQ z2gdrm1q^sNOk7$LgRL{p;-2YOpk!$N8J>ENs4OtC-szumr?4V zzU4ir6G7EKBpg{o22V*7J-lH^iyG;dUjUY&4D1RUMxg*Qgxm7W0Kk#kxMqNW09=}o z@aBP0JGB}!irAnPe8JW`SP+1Pbpt1dsSGD?7O$xN>Oo7;ocAV3xhCf_vcwtAMmtfL#AE;V6iz!}};T3{DH z1>wyNckk2kM8bu($z@Rz)(&vC_f0zei+Y-Fj$*aFAVR(A`~13_6B>mTjiu`-p;_dM zwT+|M!2K9+S;rTbY|Yc}@m&3=?p;(z@r@eLj(BCT-j#9OaM{La8=nBHz>fhfk*5f# zFlNWcJ`Lufemu<3mO?ds@9cSL8mEE{H3u{U4f~C+G51On)y`k>d|=wNpsgqXN)j4u zq@M=__Y(n(3RzBuugf=yyVMOUZRtne z!YiuprqLjuQ76)S8?FsEIE(&`rsEIQwomEW7kc@=@15tWPwAGM=Ac1`SowuW{$eEB zC%ky^uM8?k7rNhq?Frhr^Z3q=P59b*rf)GcXcn2A=F+%oV6dMOXe8S(wg^}CSaG-F zmN9-t(cK7>YY#j~8!Vfo?CiP(867%1qe~>aeulTZSW|FaO7bO(hZh-sH6k)s*^5@r zYO9d%LhQ8}hlZz66lC{OEG9>dJLzNp$fe1|JSTdE&Cq#o>10ui5v_F8zERz?-P(IRv-kqPkQy!r{1eGpk+S8p+XN=(0ZO`O+N3ur`xN67XjKWH)E?$xA7l=^y>8QH2)h zWUw{^P~9f|gC%hDsVS;2<7SJRe(CK_0YZShPNNOT1EikerM10)Gq0Oq70$YRA$H%K zQV1Jnom~6Hy}>GbEwvX;)ty3{o!tq8W2^N8>{|^z)-!ywW`O+iEo3!lk!@$Nt5H;Z z8qOdODazSL=si#w0DhySsK+)W;jp0e>2C)otWOFuF>PYyF;qYp0x^BsKABaHAySlm z*6X_Z3w547xZ@RJ8|kKh(5Eb zVeo!szr_sFKRf;!ErL5Zj5_W+m27OX53^CBsiIV;f9j%bL1L63L65X)(hqu`!`)=V zJoxA)`yNR28ZM<+hE<`hW%GF08)YNz(=Rq%gcd+NoK2R|GEJZUUmMAMc-#$KH!uVc z;(*K#f7rdp@f;6gj)M-)|9P&awq@Kd@C!>eT|OsfWXaR-e`#jj`g=Te>F{{AlD|yl zZHkrYG1~QAL$TFhQ`|aBs#OPBhHX$BJ?-`ZMiYN@jO}hannvXq>LPwOSf$arI>80}_*iaHf* z&XsH8sOo>`0^dHCJ^n)mgPOK$(JO<3Gyn23VdmTWe)6iW{(EoOM&0Weqt}O&GM+yB z3Pjg2nRQ^c-khd_^gZ{jH}dFs;~SpXt3LWWTR5NJgXJOMYf&7vQYHq2N|RNMFdu{NEtU}ZqYJPLg0veC~R=FNjQJa>Bq{r}kt zdh_TN&ly+8<>aewnH`hS$T}uaC~x+B$!JS}$2ST*+gah9+dX^vg~jljzN{KS`to1j zQd=k`9$&*4Z;Neyao2@K{+wzTD#f1tf{68iqgPyjjFtwC^gW02HnLUAxHc2G9Dp{3in z-oJNS)n$~b%h<%>p!wJ*+y&@c9q*N%F@Je%OW#vZ{oFWkaxlg@O^$PBd$usIp~xJ@ z11s#{?55OHssSGS`i76NCId111Q#r>-?S9f9+hdHTHqRpt@i+pj6rbqS9CpPZcGCp zcWu;i{Bt>4Gxd!o?u~!=sYd3t46uApBJ=KlZ`9+*J>u%IL`E zMhulp1dA0nqsl6gmun>7J7d`qCevm?cjigk>)-DJ$MM`qV;hyel3xK zwxQ7G{m$JTd1XuXDpua-G&+)YX6~PJ&pr3tbI&>V&g!}6&JOST7X{z`-naktU;lOX z+3$Y;-@gCszyIs^zx%!Cp8NOje)s#|{rMGZR7O&tgS5F2O=O#m#aK46$DQ!WcU}mX6{ey;0cDe`- zV=EvyTjfvsEctRZ01J@*3P@T8jg-cx&>?r(Rr)OVa+P!extFvIBrT&OYcHE0S%0&( zva8gQu@Sm#AgeF=GKNxL(*798WZ)8D!~FKD*D_ldH%|1{qyhA0$8Phu{-F zejE^)IR<W1IXsX+2+clO~}d$o{X*`K-$Y_ z%B~p=a?R+Fdk44`5R^j4VL+~eThg*N+PO;G?*W7!8F!I=X=5?yZ9?(6?FCh4`G?}-pAbG7IeQ$kkP;`nWp(j;AUxZo5Xi%lZ%CSdJpAZZy$me3#|bcj75bjsTCktWExBkN2Cl9%1f^?x+s z^faME;Aflgx)#XVZ@mir0$G~SA+oQC<3nUto-=5jrwiRn@Z%Xk#y;nce8D5hLZ9%ywY`iUxwfXCexK2hsd?dRW`l_S%s}+2fY@jPk}l7(R_y?~oA4We zJd52S*D4@1$W>rFAXiBf5PHOZ%;*sQ%6&FBGG@rL=r6g7JtR6!K<4@-fY`cU0W!N< zt}|zW({=ne07=W}$ga{}D`d1~bP3;o7mzX;uVnoZJ_yKt2J$R?X}z9)pUIW*Ap@BM znVlwmm$ouGBu}0jR@$01!lMmT>sxfk0}uF}r$G(qlv3y`bW)nePrRqVuH1LQtS zljjUX<}wf&%s|=}$mkGtC(jvAPp3orCv9ht(Iq0ZGf&%r^qEo(sqv7CS>^ z;B1i96@G{uh%AZh%eo=!i-0_z3uMg{$jS*G(PbI@0g&k{xk`FAZ{#X{5|H~h0CIgj zAhIGLbjVfavRp+^NSa*bSwQY3EdxoDaS;%Dq>o}hXa2Zcv+>E&UI|D{BukfZy9tmq z0a?G~S@f0I(E@V+4xsVW_-xg!GIr8_D`f2nO&Mfqa+P)jy@lZW zfXuztJh3GN7D|)!$C@DbOMzB=EB7lLSmD452ioO;=s(e?^U9CS3pdZpTHwJxE$x9{ zSJquiYSePS#M_4ttXX1>#f#70ICxs7KQCr{TISgwXTLvBx1YW6xpF_ywfbB|+Ri_- zmu*))v*7X*=4je_;d;gH>w?xO&@LNyb8+)Fr8Q5PE}r+NvC+x-rWkv+T+uyQgCd2;nd@K-PyBGeCfOp%&WXI zmh;%r#seH1Z=+aSMf{Ur`>D1HwpHkYrfj0EF0@g|c;xqFDp_MW%`D=R;ALPvZ%mJ- zs`C0AHnkfjdCd#&4mE9SVW2VdmRw=>=Red2Ic*kM?s>LsB6#Z`T-0V4&Ry(6HyChO zeFQ@jxzL(oAztkHBtGyvuLK#ws44$g9J!_>o^Rsp=l@j}jTZv-#*^zG+5DN{Udy^- z>#Mz@d~Vr1@a!GotL@FZp1pJTyWFYzO?~%vTUUJXKV5#AOWB!wt##?1tEJBTYw?NT z**h-W>c!*GUVJd!(|6b_N)NX+A71@0%eEqGv#o^+r_Ju1ntMF&o8{K}=S@(C;3Y%Ya;=ko z?#kbIAp_glz&wxZOJ*#e2X7Xxav^dI)q}e3Ub8F$tB}nO{Lr#3B(`z#P1_)F`K;^3 z%yI33zirs-3lH6rQf=-2?&Y;^mt}P5!%It@oTrJsVD%Ndd&TZPPrG}*E@4ran4j`I zpJaR8eD0IWqs7#I>z+$iOpm1vM!PG#S}4yz?afb_{q2Y6CoJ~Vx);4znd5g|C>u2M z-A-+G@V3QhUV1wGUq1KxEgxMv0gKgWS1=Z<-d~~vKE15czkD)w zVJ;;iZU5H8$BWDE)|Pu_EZaE0q&CmSUYMY?2<3t%`FSQ{(Tk!vPb>>n_YZwxCEmS^ z@oxL!Kk5)ESQbE6EL)gDGyn0fWg$u-xsaFSW$Wc~tLhcPmc)VOma*kB)m~ZwswEBC zqJ@`vESJsb(Ux0ZU$~Sl+e-5PTfp1M&A$JpMMY}K8Otn#+KN6|(hxLP(}j%C^EGT= z(15pe@&zJV9Wha7NP=QfPMy5&3!KLIOyGW@{(-(vbX&Wgtry?rKJuPFq&>@)4hZ|mP=ilnsM@`83to z`@2@6=V#^i>6z~Aj=wuS?Rh^hE3ykZO1gYAb0y%tL~&bjVwcD;iO?>eye{KPk{wxG z?0CrX1;Sqv$GcxzZu|>m!7{gAT%mOTsmiJHo%E1d%TdoAW7ag@l zGFEJbMHsVa@&(PYl>pVEcB?}?XIC}t<}UMT!Lj8zk`472)N7Tk++4oExwh=OwUy5E zy1$TZnDgq`yvi#>IgcG}Jgji64JOSivcj=>>}ca*`8oC?vbcSDM|IC77v@)A+Q*mq zUC%b8p}iu@FEcOHSq=L0;xpz~OzvepVA7sUw^M34<=))0?58*yUuD})M;0xkzDfDO zHLqB-z)~|_Os1_`4VKzLRsQSup0R|q^XlZnZ9RP3%NEXf9;p{J`&S~}OB&G&c`R2V z-Afuu6^mHD=*>!`o0WOlL~!Mm%JVdt`JO+S4KL8)6DpZn>9OYO_z|`CR?t z?ni&*Gr<$%w>gi#`7^=p@jHW`=HK7E`9wwi`5VC;LvJYV9xXck{F&(GKLT`|vB%=7l<-izo3&F6nwS$pk|FYC9RpPRMrxr?Y0 zvw!j5+AP^du@y784JOSiav^hU`Rtt)`+VM@pZj6C?ehyZnzDQ{c0vF5o#l>_FZ2)U z8^->g0~eoLyxJ_W+)}t~gZUhVotl-t}V-Y_RE(ovH7zUzmSc@ zvyi>CtajPo7S9z{JgodLnew@3| zOPgc!m+|>ei}LQ(Ke1qzr)d~0d@c!Q|KZIGmN%@t#y?hWlcYHmUHaVZ`QE&w*J8!@ z)Bdsbxfwcp(}{(ThqY(P&Tqh;y?y)qlrx`P5x4eaWOmcr&aB^(;^&vr-Pg`6_{ya8 z6O!Depn`_k4gY5gC9SthL?l&@e`Q(!P0zXG6-K_z{`!x+YC*2GcDU_(rsv|MWg@|N zw8ugJr2F84O*iyeLw+-?3l^N0INQHxtc`;m?a^kOyzygNdX^)mrOnn+%X1BP$m-Ml zqJF8aZA_0+<9VMy{iAQp>rV4QBwO=Jd4H(bJ}Xq+PrYuTe^;(X2V~$x+b;=z=-vet z4R}1yZF9_98nkDB>x(UqbGI@;zLn=!lEAE{_gwZ_Zub1;B0ZKm3ZKGu77X*UuKB(` z@44{+13hhyfif&YY?vp#9hm*|L4UCTRmmF92{%gzi)y$p)j{oDafhq9?xK|zJ}dh5 zJ)Ownf_a`Xo&#UFmtoWH4kiRa6T{{w~E&IP%9b14hKks2)^2x7StlhY%7O}Rp znsIXQ>Gt~4PN{|c4+x8knA6XXLhZSGRxQwWql>7twz}DZV0P_-{|p?}1A$@4+=Y!= zDc@kD>#E0=`itED(03Qe@bfNczzD=epHV`exrSwlkx^<(ug$*mHwEz-i>uO#Dz&*n zw8@7nzk0^EtO@=(HlERt%3b7R_EKf)3B%3=R@Y`?n;KmE-Z#(0qEa%VK-4ZSZML#y z0c@%|qcNAIX3gBwS#GZRyNef644#n}@2+h$Sqt{;w-%JcxshNzJ+V-{IW}fh7rxGZ z_UeT*nyE&JyczATrrO8enma4H3~O6LeC6*hUaaY=$oxA~$`>YKkGtS^D>e|wJW!+2 z=7hju+3{Z&8riIE83o2dNlun_wyV8oNIzS?pi%&>MeSSgeT=YoZz}{5UiNazX z7((@*we2j^-t#vLdoOC%HnfvW5=af(^d+A;^zw-e{X*B~c(m7R*FvfDc^#fT`BUFni0&Bw0OL_EV&w|g)h}yWJ1~3T(S?eg zhE=9{_PbE=)6L&*!D*v%Y#5;^INH>)d^8>%}Rk7YcymgE{>9;V(Cw zhbuy1iT7>?!z+K7`L={u`s_dboex8nP-6*2U(k2lR$PbEJfXH-2Q0tVA6bG+^M0C& zaUsb*m1ck7R~B6KPL)`~9pR=i#PAGNtQ3VxZF&&dQgu$;=EI-MowHEeQOR3>LNw92 z$!k|{eEmlXT5)~f_(cBW;~HvveO`Y0&O)%E%TJ^Qr+#jZv``C!is9)&7_GN;o($Jc zZ8ORR{&z0HhDXQsyUn84f9xKAsu<3u=4AfvaBJmY-=(XMrpc@o40QT^=Huas z#md#RE7E%YN^|?m5l4jPk*FdJZY7i9XnRheqa~|oKfLa=jWK1Ib~4A7Q#`&qu#{z44Z1f89V#6K_yV9t+srj_@*;4 zoJI7SS+9Ar1)FNn)(kVpvhJC_;M5HKVsWf3X5JZdWx)n!`!WlsSw5@g+L~ul#iNb9 zW^;E&pBF@^%~n5sMuvQax)JgL2+}3M&j{NEGQt{9EzfklU6_6DG!LseGT=6H@{u`1 z?e_KGJ~P*}&}SeXM&7oKz%p}mTH!V1PJFF4k!la@dBsAOoRL%8L4IB&(PUWK?Kl72 zdFuk-eJ*1Phy*Dx+jJ{6?fQlwN-gO%F%7xe(B(IgwM|>2=^MTYnneMLk!BdHHaB8E zFmX_aIdqR8SEGM!g5z7puwWD@zeJ{@ zc9og)*XEjH2{P~_qQc}Rcbhd9x`+@PC;L9PfY*6zYCV{0rYSp68`q8v7F}agv6K+p z^ZIj_Mq&QeG<+~6w3m2?&$6?1mKQo7xMu#8kS^J_)|Jz`hG4e%a$Wf0Iog=}U8ocD z(qzid9yl~FOB6{!}fsxy0!UBlSNSghBzcZI6k|w&}>oOHHv`xwp6`!bLFt%W(O#A$`w z?ZB1qU6oR<;hZ8GcZU$jmS}8s62C9Qr$CFc>@li5iOOjEaW}U zM>N;+!~HK?@Hn~YSCCFKQRFgt{evO1_-M);K7*<`WDB-CyY3AuTU{+Xcjxd|MP&I? zRv?n`JYe=64?T}s^Q$044Ve9l-yX~KJ1Ih)*aN6ER3U2TjTAT-hL0SMmb}+vRr>KC_P%0|2sx1!(KG{NQE3JZozd1y6HyM%zt__pmfH!Z` z3&q6z1(z(8QpuMI(lD=8-U5i4NzFdY9_S_kht|)mULG@6fCA+$h+Y1GW~KT4Z|0G0 zICR)A%zonEd5HLNg$OvJXc5yu8LVnerVTMg6wJrhNIUf@6CAbl#v_sxsdU^3#pjG3 zrJ{LgP@ADugf++G6p>29X`(h#P5Z#lHoV6sqLxx~MVupu{?A=`Hf@vZ3MQ z|70B(H&Q@7Hxf_Vob(HHJXOPkMez&#V+sk51dVFVPx`CsLhd4PszunsP^Vg=B}PFr z3iaH>y%%di97fr=3$hlf!HAcoFsp4Wl_kw_!>pz44E%xtv1vjJsWg+`|HLaKiZdVP zP>UxmP--BbU#j&<2yPIo%_f0Jr;I$T1k{JzG^VcHWzd}PQUWqrG+Wq{q^FpwY0tbH zN*HZDtE#TH=wqe!8r)ThEm{N~v|uC`I{8f+NFMu{GXwgH#)b}Q6l#2`Z69@e`Y19& zO)#E*>=jxNU~>tP*#OP)vRSGjx(Z8HQH3c^J;(?(CuicHy!~&;@#l3Mb~WpBM`rF+ z8%?|K6tXk!X5aZ;unl>>ZdlNH3XyEaOMnCM7ne>DHzZ01hNnhJx`l zJ_~A=rN*;Ie$=;h-|pa3k49MWTw zdFsF()_&J}iX7Qvr)0f;X~e$Et!e%WoFew)FhEcFKK0A=ORE$0!p6y?X*?mP`uu`* z|5F8+>5W?XVAPh4Cag>*mhdRvd{xeiaaT5B%&z60q#^?0%Q{9$3h^s9(4ca%19+r0 z*=E#eDCMCp!klOi9J#2h(;OV`KC?9gm+Ne;(T+4RuRRrqYwVDzHjPR_8bik+>psoj z|L{ez^iYp6V1#By3IfGGM{c|>+!)v^@jPzHQGHQ8kPo(>WH*a_Crxf>LUma+MQNuH z!_mf5Qm^E0_@$T8Sb4{8S1Zf6RM3|CG;sTHL9m@PyfRR!kInd2I6%$mKyt=5Rjcul z)M+Bo7%mru$cUBIh>0#1QeABej*YlKtE3GXL5vo&$r~YEAiFl6)Tamo zK25WQM0;*;oy?9ilwT9b;zo7P@h%5+`+5@F+(GuNqqG*Jvny(^W~XYY->yU@_iw)!Mvr zx8Af#d+QwyG7EIB;gZ1jh5Rj_(7EvOA%;vAEbYoCUy*8lzdatH7CKWRakLbH3f`?}`Fp<&7L%uK~- z^*gX3Y(FPq@whu4va4bSBoQ8#chE~pA@;V%%9R`z`<12yC8qd&SQ}0OtI)6HQGW?D#5aWRPfyrcRnrcx1KAK~>p`YTa0UT3v zI#XF-k~C4l-9o|v>snE47e&x(|1t=4jPxNd8VO?Tya0R24UDMj1(+;dx*e;nj_RAc zhKLFeY5}ZF=}CPk@ltr_dU5FbJNr2CXkZ>Of-Hh`5?QW?1vfpUB{&~OPoWfcmhzC1 zxEiFm@E<}eh5L7OXr7@%x9qxVMn_Q2Yk`ZgZR7$UBP6FGh+6```eiD(tSKoxjTko$ zRcda7f3Q=z2=TbbkWel$=ynQ=1EEoAE7F6~tbk(EFht;J@i=Db#U`b#W71ze7e?JbGI5 z^ND7I6g(LcnQNk05jr7S35;Yv^P8Ow(a1_FY8wxjyl_f{K~ zc$0{mxLT(gyy>!w5h#Y7Vn_h|k9<9%Xw`}~xo$L?i-S$X=*98VFiOD=R|RKa6euYq zCXjoG6!^l})1cR|nZe1im0jqC|*r zZDd|rn2L;QpR%Wtc$}FbqroR#@swbBuk z6raOx6lo*M$A?cP9p*(rmktKKoJ$i^TK63|N&*-_H;cmj(LcL$XQA@JpwNl z)^D&S8<;LBXdQG!5cnO#Oil#C)P3|Piq(v?$TTXI9E7n}1j2l{<3_3PSdc1=k~XCu zA^_r@Nh_Xw&^7p<|B;;=6B&g79fDUKp(WT|0&Q+srl5*5&>=)a_swd648WC{Hj3Sr%nTLq@@qa-!-i8lU~z&u^>;=14gV!!B!R z_eksoQR!vnydPD=VEDc4qxFZ^4tZO)l-Jr}S65W#NAJ=H<9p0|;=rz!g6jfj=(_1? zEsE1Povud%15qI@)%sv|PX(Zl6@ItX#>I(rDvrRm9y0mCLls&)ztgYuu=W(vA9;se z&Q%fYVJ~na+Z`U|q`w{6QF*HstuC#y<6=|_w-+OC(6__Euv+Tg9x}7zG&OpIu+UYw z$KRe#2Z%>`2#SK~piCnvCtp(LmzUJ7TAvImzuZF_{DLQ(k3k%gG)X+o?{p8jH+`aG zpvJCbb%Pd-qO%$oTaVu}sFl{{TGAwI*!HWQU#kS#ecgI`pOu?_O6rg@EU99^^4rtm zGkeXn7C*X?!mvBFqIuKjR+XcI*0sqzJ~DWt*0q^qsa->%>%Gb@-xGSHH-;Ni?~2s0 zb4jqh5Rcrx#X9Z{t}W##IW}Z(aqI80t2oV{Ev^3Ik^BB?YoWZe=q6sNCtu%LeBI#0 zb%Pt+dhNG1ga`ZTJyzIpPEVUp#Vn zpy1Uz6YsE5Sby7fg)#zP-EM2cT{*P-+t+IGc5S(3h+E2exR2$v zLlca$SN|~^PwA3e{T)T+<;0)F0~yikwF%d)vqmy1_bC@qL>AA5!rNqh7DpG~@kqVX z-!L(BQPcG!(4W;)}E)OB%rWn$KA<66D3&?)h7-PEu!JSZrZM?6tttLiS<;6xD( zjA-K+o;mOKSLLJ8j(FH*gE10T;INL|-VsOKmaAo!Ba@|yJyU+;eGu|tCs*DT(aRYN zhEJ5Np^18!kB>k{q8%0oR@4KXb`3{0pQvDl>uUEoR2UZmj7skQ;d~@}cO@$~QkM78 zV%V)|+oK#_x?%HI?PoxSO(2NgRk4cR&Z#*1~*KE5mwr7X4KktS_Ss zVL0rN<#TG#s9cxBOGo1c%uCw__rB}9*Hnf=UadEIp5J^ z83`vY(&@c6^2EgC#R z8YAmLN7{<}N`wabXc2dqne2agx0652BW)t8`yF08P1&ps83rw38}+_U|I2@0@Q3b2 zsx|HFznBx97}$9G5yFw=Im%EzxK+%Rfr7*Z3oKQ5`y<*&wa?dGgK)y3l72jN^3$bG ze<$mcF&@~6ly#|9$xAGOQ9nAVR!wXYhuM(CoBNDf(J#OH?r;b>RK=s=vqv)A@D2r^lNopoPK0tn(?;vNz=hD zu=}tLCDy_6%nq_F(ijVqIqZ-Gn**{%iyjk=!JmKfw!e5$#tJ^z{lff1j|IL3?2r35 zCE6CTr7~|}i>ZlATUr~KgBCaHM>E79Z~4hleh!q?A)zCFPX|BmpM3lK#1PCtrtBOj z(`oLhotxR8{(&(pDV?@Pq&zOMn0Yuvfw)@cwYo`!fpbNE&ZZ*B_;7?@fklP}5>9#dbnAhs;%NlP)=__*d!Clw-a+k#5EB1UTi30p~cDbkUPn5RZi zsM0ZM0Mj;~h>IuUFC9@RNry;j4$}`a1`Cttg$=kLWH z#I?&+eSMG;IlL{kr9m{o2yD)M(I+E{7&e{r7|#ycOAmhWfxT14e@OWC@Es!)8~8m7fuG zVXccX+~__L`^I9WyHh=`5crBw|-u}{~|`9)TChu zl=Y)3Ft(v|(uEe&h%WNir0xh~<5R`;bq_WPS7i1C>>aQXAVTiv^B~n zYf@3Ip8P1+NF}MvWKv_?NQu;?K?I}Gyb-2keDI%r>t8bjgp7O!mzMbur=MH;VvA@; zLBy>N1&mW9`&>lRgdT+h2@_c|Nb);aIqEbo3}WPoD(5|+-~(FzFK7sDVmukEh?Om&U}FLO8IPMxkTl+ZjC-rlIgLrGQLMjxFJWDEF9Z3N$b{tRbcXYX%c(! z;UtLfz=lgD0LE-|Dr*iIc|vQ>Hm8H}o5|bve>S(Zk{@JoB8&nyJLs~ZqATb!b<-Rq z)BGEx5)c-q z5PDgtJ!F3%+~x6L+m&za+;%jDd05HK-_^do+TY$q_$NzgWOd9vBD<8Wq6~#c!r4}T zAO1S{mkEIZC!b>IVzXi@mz|1E;DEvSux*|EwC+ zjH}w#nmK^)T{RQ`$4u>Jb1aB*UAsfOue`nLzb6VPWS3WOtxVPZYGgRoY1g+mTX);# z?))G}jfW!7t#6KkORGo9owrVIdoYck^o*KU?o5O7X6yJQ2ZgUD=ulo09}(9dZ*+Za zVj_O`%$kG+Yo^n>(t9O1jH(D&R7SLX@$?vaoSg`fGpgH7ZF`W5@cFk_wW_UE%d34% zF;#X4Yss)SGTPw}^`qf%=ieb8opE3JV!yv50R>1C562Mvp36sh7ok4CcEbJCm7V3N zJI{!M3ig80sCLQ3B?Q3@QOlUNakIU$9+#m4vt~QiAu0+yBc6s6+-w`CHX;P@;3yyC zu3zhS{p#*`0-am0FiZ2X96?O)>y(hgz zsdu7c%H6b{dZpao){qJtA6}LtY1}64NbB2i=Q%5lXQ`D}3u_n`<$Bv?(W6+Xq`^)G z1O-Pu?tQ&ppz9UnQ1->`u)xlEjs75p5)c6Va2*db19-Mc997ZpIVIkW0jDwfU9D}G zkr$rhXoA;X9oy(OHG1&~GtbpVP&cS9y}pwjd7O7KF|mMBlp4z>?a6LGZN_h8w23a< z@XV@mRs7^Zo9>B~7k6z}J(Wc1DclzSd3#yPPzTP(W>w=CI_NBVwZn~Z%JU-}0`W$> z!-}xAw4+}m5|)m36R5F=CJ?lGg#ZkuuXI_CNzbgh|wa3m$e?wAy$Cz0A(xu8OResfS_UIwNI>DDTY^o6bj9M1Jj#lAiu9-ukXL zYEO;Kl-TZ15c^3|det3Hdf z-Eh;`C^NhCXiFqoQLH-tgmR=M6f>H)nnOLl4DY-UhXIkUQW#?S=EY24OI9pKk)YtP zm|%t;vGxCz?M=&F5TgCWHV|&u{$LRKY{`*^7sAA4H4uA=YO1KDcVgzzlY|82k_kJ+ zXcs+2N#r|7wVOs(qt~Ri>Q5QNPFZ)91%>W%r2?2!LClmOt|qE3&*eU%v<2}|4zZz~ zuyHO$QdfLV0lzkmcO`eT&phqty}BXrP{Lzj7t?J3(TB<0Yl z7QJ#IVgpY&8dWbJK|w$(hGsmDHZ0VwsK9N2KW<00alg6^->h!w!4wKD!lj?04^es_ zvH}YeFL>C!c$gLf8M(k?waiC6MnnZ*uaSrQrT)kw)Z|+cTio=hGc|g8?MesPgxH;G zAAO#NsCq|`q#SL~U86s=E0zvB1H;h+tXiozQ0WjKC&KjO$u8`9$~{*wq^fz9_tsi%kmiDszu@pv%C{+RU%;& zK5!klWgZ|5{5HT(O@>b(0+9>tnw%41utnyL2x~YN%T$*UgC5OeyoK=%cR{8DRz%9! zC-5%Ne)qKvyfU%;JX^3rfx;GtBFfxK7bS5-O5%6RB}%11{6Rh&K+j~#CCx|U*(>ji zS+_{$?TiTi%2-7jjmWHYNtYOs3j?GEy|4z|58^Bg?gp*#W(L{h$;o1a=fyXWaijWWmki#|5K9IZNi5nOR2<#Z9SrNN1 zCs!FOpN4%lXK`YQvXLOXB9D($F3e(bVQfEJen(;V6KbbV} zgpPaMLrA3{f(Sq8jf}var#dBDGu^RTxVzsEEoCF@^_VhiSTRi;tFw z2WzZ>2UCYc;ZxqZcp79C8=sqGk?ZGpZ6Ye?8rqR?DN_(O(R&Zdbv0iQ@(9^M zIUI5c(-deOUo{H#DaIyX+QJTdXdAIOp@6Ua(Ukxqv*O#c7(hCNFr_|o0`6(IA!hjz z^s>l^#F+qkLp9+_5*$v1$u7YGL?$3E<3||SDRjwvMJD9Ztv^~67Q84Tf+C~a-_*Prt3YG{O3b$2=3zJY-uIuLJ= z)hfcUMO;I>zq-LIhuziPL8qQY|-0N=Tx~;*?BlAZ>!WUOYRG6mr=K5|7dS_ zt&*0im1MZoyAI_XMZE(%D=0SqpJ+y)KjwRe5Dvfx-a8w=)k&65<=Q<+W!dDwA zvZMr~q1^%LwpC#xD@oJDE!Un%12^&*=d={DGOdY{L*?4Gprp(C;{0fyKuDeyFtj_- z5S3cWkPJ^nhfu{+0ZmtWYvWU;+U4np-vMKiE2|gF+34oUgOSm^I+QTz#=C{F^1Nfi zsj~`F&HO35~z9p3dlQI)e+EM1JoYe4Bh)ugb}VQMu{o zhBEakZbBu9DHKmn8G{hPo}7K#biO?FFYLGPxaBP-#}C-&-2T6MJFLF#Z@7B?P!y~H6rBmV`Pg7=p6Yy0jy5f*0fuak1r z6V~1xSoLET4qMk|&)(lx-WmGukMv6XXQTQTC)`U5GrRtblGShEK1J5?X>itSGd~eZ z(RbY9zh@x2C#+jL`fr{nO%!Ho4@nS&zsP#*l9xqSb>-u?Xz@?rbmJa++s%ByaRF|T zRQ$qnwIA1dH-Ul?N(AbFf<`c*$lY(d{yRcaapP-LwrUfj-By7=cm?0J_>V{R^pG1* zKTaVT7f`%&1WNP76^#7ME|?h&8@0Q%Xht`qatHB}*!=o3eVL|11dmi65;UHrbpbAAWq**2c~m8 z@}zW0EBEN}lXM3c*uwL5Q6sgHfKByyQj}6MQ_*i4)+6_-oP;$-38sLuYjA_Mefyw4 zbW2H{F6c*)^D%mXUZcPKZkR_nLGy8~(Nq`=xcT5M>q))41EmtaBT_WX$SddZ(`Be+ zpSRx%YaZ5dSwD=)>D93w*CNQ^|>Z`@%L@Td_b zuWX}_;}PK?9)F}IPrW1bS?uG7$O!_qtxpBcmAJ`Fjlr14B-0aDOYSScV=JuGLT$RF z3-7|(Loy}=1rQcigLq_S4f0D@%lgNz>GW>TX;-b%ZbuEsE(V&BzY&N*#2LS$7C^}c zh><1D>hKO}X}N>mv4SJ4kR~moswtCtSd_ zr6nEd5sC7V@f6$B?QFf=o4##6)c%?k-;GlxxbQs4lS?cKe4r9&T65J?P>iKd(nW6z!b%Uw0=)NTZsB`Y>vl?j$ltXte*8K2|0zxNeLzj1^{Lp3b>S?45|o@ zC5Id&FA3Cp57?76kEQd0Fa6JrguKmKH!1(ISbf1U*C)nL%GWf1C-|TAea#DmLQcMq zBM8cBG1SEqvrOz|m4*q2RVB9=wU~Su_4wc=T=T}yC>~ZY67R+tEyf!pi>+eDRBu>n zSSQ$%43>zzrrr5AKF$eJ`P}gJ-K&l5n*(DNC!$TXl~s~MRQ@W~e$5rj5DG#a`aQ9v z(!i`LHEb;>aRv9ic3E6xf5RSVYNBdPkO4)w9!2*jNH zqX!Y57dSB$4NF30rK;rz zk(u|59k0X4jTlU-kA^y@=fGLE3cN7P!Pp*)lbRKkS}EynqzxP0)opRb7>FVX#7`S~ zf|c$U#Appf=hSUyK&KBWru!61q}~ySj}>3mm5+>7EM?j-{FGDG3>c>KR_URnD8E!J z4(SjM8JZdyLtten3WvqV!$!o9z;O`?*d`VD72lK+Z~(3F#gkrFzin4HYr*JX$ZCvz zy}=Y@u2}tW&|*(4%ty#GDZ`pu>L41$7Q}r+6_-c{@ey<;_Z~Dxc79Y&>FQ-ZI3ui2 zyTWzpE{+u@x}L&@0gUuKttW6mW7Yc3hkrN@N9fab(o1?&8e+eh(e>=uL!L_g^hw+; z&Be$Fjs9wd@H$V1Q|a%;W+@fQ4*ZL-;R9k+2AAnHnqoXT28e@=X0GGT;6vBmS+Az- zbYrepQ#nwKSyYiN6dW%9{deRb3P3%pcp_&IbBG}$qWob7G9n_Nl_K$-jWr@@f|Z%X zu0slEkrpNzCXBrX$3+4+_()_*gn|)+-Pe52ld&6=m7jUn7)f!NsHh`OTrdmNVSXHe zK;|p%beYJ3g~Q4YPTgid9lS`Sj37|~PS7y&!cVkQnj%*s05{#l(ID5A-v|V%XJEqr zqh(L}=rSxMM92=AtDEcq<~ic){p!l1H+dw zVNQX9$rnhpL1YsP4i685m7*eT#*l0XsJ4kv!Ltg4N<$&y9?s8Rkdf6NS5?C`UUn zJ0gKco5L{CWw=Vj0vHgafEPfpqWXOKrdOmR1--PtA`;y(vUO z?nnn_e_$P)j&i74=^?4Ch;013B3~p#{27?Iu+zorPN#?kc?n_*hn=Cmq|VGr5`T@f zBts?wL$4CXickY)m8cS?03xZ>R~pT3a{aBytO%TJ)e9xOD1H@>!<6yVm>~{|NEvpS z&SXjNr9*C-%AHgv`mOrU_(d3ZWULXv;N{WxuJNRTugfLULBg98^fL^Je@l2*CY0(f zSOlF+G{q8yKqMlNrfG#x4t=W2;t~0sL`NggGG5UGf8Rkq>lyoT(Qp+}WyWlV+(Ci` z1Wuq@1_&W=!m52v(O-q$E~Chp0(Xs`OqQlo+qkievTm z?7AZ<6-u1WNP{#F9*Yv!2HwwKPmRKBB;65gF;+CqHM{!?# z*+@N~Fw+xvYBbEJk9+ZD$w+cII-HasrSTF;ZQK~>Jeqv7h{!GSdWLAwp2oWg35&i_DCO(T6CJfcIWwCg)fJN`p(@h#}e*!<0ng-t@?>42m9Uh!|xZo zID5BN28e^y?jjK5d^IdYrQUq}4cX-9HIRKA8Q8xedSqfKD(l`Cr+K%ej`yo?txOc4 z#;Ea~4)xk}**ke~Ks?A?{1!Y(Uc~oCWAbwNLt^q+uie1o#h>GF?prJWFvj;CU~iBmk0qpC=K=FGWv|794i^Y_b&@Ic(p^j z2@XjonPfPj94v|>;sJb*g7i=4mQzKm=3?o!8df3T|j-XzHJ^#>e@(};*{-{i^?fGQJHO`=GKd;>rUVn)zl zoGTz|Q|Zp93E?6Mpb)#jqQCH@jeYWs}USpA}};E5z_ z$$MwV6B!rQQ^FARdI)~Jy-1@~KMZr8TgstHJq8C#@aGv43Q&lCd!rELD%yk&COC_s zbnKYtru8)hK;+G(4y7V3mi#F>O+=p}o%6s)Bz(eXrg3!hTjPkh2q7cBFs|G$FW2%l z6j!l@`#R(U{7?#7U5oo0jj33Oh{nXs#aPB1H=#|20+Z4i;9SfaZ3a`s#quJF(ei84 zSRE)^piV68E)4CSJQ|*W;Rk-OQ10GgX}7x$gmRXk z83`g$b>f;4VS^pJXaD~CczT3GB3(Hr-o#OQ;v|G>et@Gn90ozjBRN`$0~~qv#R@4i z4%II&Gthk^X4-xSE1{C)ML=o~{iGiMjBA{D!Xa$90fH0A8yiFbI7b0Dh&*W8aSYZ# zOG(TB(bxQ4yh^4`YINwC(Xh4=N58MO04|^|VBY zBpsHQsh(uBs~|BFBjWwI0XuZ=;jj!5`xEbS^pP@_Q>Xm#a zyc2}<*!ct3U6gu=y%P;fG($X~yZd>Tubv>7;dJ==SPlc4Ga^PuN*~fgnnXyZ;7zJ= z8ia#!aDerl=#q9+5-}eVLMN=V=Ki0f|i*@8P!8!l8)&dcdANvzb5`+(PTnrttMVJa=Ysejc$`}$O z3ENZ(3IvFIBsT_2DwkC50V=gXQ2qQH){6-PsM3wwMLvCfk&G;Pw)nl{R>2di+9pmA z1j9_x01byW5hDr^irH`HNI;&TS{@~qMlQA#ws}%!Ka}7@MeQU~mN`STR{|5toOkpn zW!oNO8Pgi2UUt4a_-%5B}f$K?Q4ZgX3NCSkH!oiy!IV%+9a9^7 z8jlf-35m4F-aGQHvVEO-!dZe5ybu`zA&xCtW-PpyX2o%{xlW~z_0x=}=y4ft$_q|S zqDra96pr}L0uqD0IXO)NK&l+$!dZmke3Ar*9L_4ZTJ)PH#HI*}10fj0a8_qh-fgE^ zpSH1>-Y~HS1x-@k6CI}n0Cq#$`kcJf;0c9erah69OdoeqxT5@nV7rFjM zR96h$q6r0&W@*|RSvSFC`T2NUtwkLSXyCFSmu!L;V?kvzuUh8=2LTA^*w&yEsqj%Z z`4q<`+2~AT+v`(?etB-n@9i|~${jhPBfWViN&>!4)=5)3?xa}yaM7l3$@JKG&e)wi z^E0cA!QCFMmI?toe^#1`RiYI+AecQ9p00ib}lFA-w_Ns1)0FUhWSHV|w;BC;m1 z9$J}3Hx4Vc0*ghePl4i*OGTqF=H^t>B&BlpsyT_lq}p*HeoRU znikMD47Vl5us)|4+Q<}gNYJG0dh@e(zDOg{HUGdDZl$hDRYObxKd*4BC7#9qW~3}q z=sh(HHH&v>hy?ZJ9GF8hWHv7%`~s1+(H=uXI8T0Yj<80Kd_v#zf<6CCf2f-&4VWEPNf~#CDCN4PEFY17xh5Xw$de|z>J1lzT@V#v7iY4jVaPd zKLg*(;Z#xHv8bRj8p8#eI4QzkF9c~54dQIQ_9r_Cb;Er|$}DyrdL1oA_eN6YZ4>A^ zIkaKXn&cH*^T11s>vN2$J7iwAO6x90zaQ$*@~KqRwba{)FiIwqCG_6VM5-zVnsv?7 z!hc2_G?r#52^TRG$zqDL#nVU>wyM}^CIgfJm8f$$!K)eq0o+l7%H`*NPQF(ziau`g zbqL07E`)1dzYwQgTe(5PQ8+B1u+~vs@;9ShcPj!nrp^3G5LzGPtfiysJE!NfC8t?U z^qVznel|8ZuTwv;nocOWZVn(40_W8^7zdWZQ+if}D#mYam<&c0c9B3?6(ScIm`jbM ztcdp5$#4G_r@J)`B9slRzR~QsgjL#3J}T`Bt?4`@bIG&U{*8P^o;G%f@U#6Imw`0C zT>K1-G#+d)DwWy}wf#rTd+CmQMJVI!4m_KJJDSVB*;KXJN0jWSk$pPu1#)K}*{ens z7Fg$Imx$6lhR@^dnFwY-c>@e+1J0P73)Go?>@OLwK!i6<=Cq#JS#p+5Qb~5kTvx;% zLHFPTLd)`QiM!VvwyrKc z;_mM5^ZJwiM|W?TzEt0OA{(AG;pfcha%b@)2{N z3;juQ$n4=a$po8ks=-;><8+th{SrYG3mB6eMHm@s%Xj$4$|$Kw9T8 zY27*I?4#d%6Z6>rvC%Gn)^>wJcWq)L^mpgGi-Sd1HaUyK(P-p!)_W^XG?~DI;pFDz zC|zRCfsK_R@a@U~N4+qKN#5(Sx`Nn+9@a|=@GjYOLKw7C?%j24Y;eNrc4`xQr!X9> z^@$>x^&m~tI>|jjVVz^`J?K3A&DHzNM<-4?P73RC`^*BI#dmYPsBG`pJ*=fq)h5cE zGoC!?TyF=7f3GU~jI+&C#Yb8W0|uW`OF^ zuIQ+}FUck8-p7;Ne#f#GQsC^*(YuGVLwSI_E%g8$sp*-*3{B zV<(n^azZz1`hgsXUzowTbkD=EqIQ^DF|HMKd#G9uAY-nUue8e{a*Rc637S>ji`^!5p;KS?XIK9;GYNA`(h$w^xY%0Z-Ozf(UkAw3W=5COzvj_lsbz^>_F;Gl@W zA#)#cknn9+PGiQa0hVdO3teDHyBSvcx$orbPacm3qpib9vVIut18*+R_2f8Ln2&cO zgK@t>Q1bZ1N!#w(<&}m0}^ztRhTy`-%qwDRhxpdME9B0DK1T13e z`nv|0M<@+-!dj$GK`N7nG2}0C?o7Ds=kluG`c&`W^q1&|#e_^Ctvh_s;vcc_mTknY z(x?+g)ymY=^`c19o0D{XV)h|24VFY~00}iId-rzj*w#m;A4wSs%j$k-g7$Tpm|~sD z##V%k66jCUB#Isij+jX|qEbLeEoZDTHwZAp?@zI=A7hLjPriNSuN(Sxh~0tYpp$IG zkh8kO-AJG3aUzj8Wdz1TeV~AWxK7AJ=2;xaTqKGE63~WM62y};k0Ks?djm5kNtDWW z#Ay(s82a9U+OZj@cVLUt6Z8oi5GtY^nq&CS0_= z!$3Ng%btfZ)X!F2e|Z;!Kb_QfrOEZq4C8-iazJ>%l42>V2m48%VnWmlTc_+V+~!Oq z0sKUN!3k-TBkbsznB|~SuUze|N{mWDBR>V2{XB0(QF*P94iFj@^qbvAuw5C!nprt2T+EkE1K+(FiJ)R1LKJO}26 zs5Pc+{Lt~})Ar+FM{4VR2`W#hg?(sk<}7bj4%7!u95X8?CRi)b0L;UQTw`r(XcZAU za?Phvz$Yd)LF!58>TCAB@_HL??Q>1)CBzu`q81d2Hab)+_1pTPI>)7Z2OjFRCWm3+ zlrw3H#IjK3P~bv99HIU=mN+pzIf&YwkdCf%xACa|{7vSihb zMt9sY3K`QSbovZ$qdXntSeR2E!Ic42ibcXyMzm`pE$=!uGw`*EPm7fAcVeU}t$)@* zN2yij)Z)7fxlHSS=%Dq|uO|T>O{0KN2yL~AeJnl)5@GS=x2%tT%6WWhaFTAPleq@I zv0>ghVNv54DX-{8!Sqv=>cmX6t1{zM>?(67slx(g`Y;>VQnfMaCPfyiX;i;Q*zB9czqPBTd7>=^2)nsJHSF=OI=v3%!UIVS&j65wDxCePf^)$xl0o)8om{{*PBC z5z>hHW1@+qI4c_>$f@t4Fo>t`s~wx3sa9rsuXe6Yuxl6r79}D?V=e;7k#0`IMrGs$ zy~d8=pO{A-mT(aS^b8D^!Gk^)X%zTOZ9RP zu=UEkRa17V6Al{w5wXscedE$yF`J~2l0MtP{;XEw3IBbJVZGAFN-8R{0eJNMiXNPN z;;R>}vwMco6x~-ltW}?KXsvrZC}I+a)o6Hhs2_80`l%YqWn!j!>`$Eo0jt_kXD_xF zt|tp6XeDYADFw#TQm4z;VlsdY9o}8o>$-HeIXA@WE7vBIIzr;?I+mmi72*CgTQZ0c z@U;#OqpsGRbTaw&b6>iJpz~GUvc~>DD#B@bb5r4&4minOKl3ixmr-%Qh}{RLF9QzkqR`?3>~{ zV$l(7;W0>JOd&z+=`XP^D<`p2h`AqSrj^7f&^0s{Az1!)^fJD3&7 z)3LI=5w&l4>S3@Uqsd+o^v0q|I77BPSnR9Eg7Tx&Q}*>XQ99%aNGs}Jmoy1!$~+TnCR%OB=Dsz@m;Sv%l(nKulRl(DY-seOH~ zbPCls<$!uGhMLn@J;Y$(%~4k2sB+96__QNZhOJh|DrDWy44DM$i@D9#^G8@=m~K;j z_kZ)1cLwEOaHR1*)?o22;Xa0fF?7qQg=HTYwSk#lroblqx+y9-Onn)4vD#sRT3$I} zh{}Mmf9jME<8$pDoWL4m?&a1~O0_Plo!Q$a6ZEj}0i!>=84 zUw4a>erWJzxVB2^2-QK_wgYw+tOj$>*SxYf*K|s|ZiX@|XSIljRs_L!@R|w95mvvw zVWKCBheD$8Dr%)^3}vS>cboIdAm)M3C)}}{v9dtU5_EI=+?=Pd2P-x>m{w`kl=s5a zS9&`xyPFdCBvB_qg)6#hK;9p?v!t{UElPN=oo?^~;eOpa<~7kGz@P(2bwQ|&7J3#$ z$@{LGl=5p?BnZUz1;uqsi7v!s&@(4s);v@dea&m~xe6WRGOZFBA5pjSAJOAst3LCq4GF;Aq$$eVM|cjx-1+gtX~ z&?|zV>uge~smZSRL*GnzK{rvVjc{$cxl@@Ax*Re8@-xG;I0?!(BztY*U(b7Ii?4a% z?O*@v@0Z=(h!^}z$m(kJTyLh zFdqzFE#gl5Jn1qS1yPmZVWyff^v)nXjC7;$tcOXX!E4#)!Zij5G8mc$Z8(HBa1sA0 z;z_?Sbh$J^!TTKA5C0owyIrzo}w=WqAd!h2q1*g)UsE&|2*9w+VeR;GrzlD#v4lx?({S2xxrU1fMAf#dRIIY!Z@eE%$iiK2i+!;jGd=^cKDlAWM18buf+ z@4!fcGq`!pb;Knv07aS7RO$37n!$a$*EgnFm_f4pT)0#8*^pynT$DjD%f6pQ8%b}O z(7(6*nj5<|&Kw0REHnLi=O=!4SC)BInoT>t%#tT+UgHh%#quQ1nAuV+uw`bV2E}E( zXcRR9ft%Pnun>ZFO`cgx`2;y9+#ROF_>yj}-F%@KtYycHOowEVryOD{H9*ewC{q#L z-ov`W4}RsZopSfCmoe~A$_~S~D@z$1h(H@CurIoqkWSBU9E9QX`q@$scht{Yvd`q}?oA&&-5+uKILiNEbxHe z;dvwKc9+bx1n0gJ=mMIiVw!$#qnPlfJOw;D3Kgv}va>1Z8>*Xzg@ZZoDHaJ4-2TdM zU2U0NTS!BrB~XN6w(=BdkR4gOZa@T&#1R;`hu>S^X@aSE{xU?ozy z`<@BUyHF7xY9CoQk|sEE5{+`X38YCt5oEW_tbI(S!tgFuewG93dOgM^{#KJ2p8V2% zhs**?Isq@j(2S5U1gIw=!k5%pv{I#yweviVRP6mu*C8dxc7aQR?K~%*lsL(Lb^-%} zser(4>lyD|Amtm(6tIe7gDfO96N!l?M#$zCp17No*RYRCCK}!kNsgn>Izkpd&h?nM zo_P;puWkL)Pa?-3mhpdfre9TpUwXMnQ^`mWEFj7qeqpDI-@#!R#b}=0yKsWHMxK4K)P2 zn8#BVZnW0D1c$)c1qnz|RbxY6y%uc$)n>8zs36O3lq+PW`EebLi~)2#(i`Z`EgtMS z^l;CI|H}jW%^~I(HXe#0V=;+Fg;Yw;;Wr1YJ!nL+_ZFk!fxyfpewoh~{fAit!aCmi zz8O+CiXU@Lulu^|o8}uHE}Wbnsz68&C~EKkc#u}7nRHvhVhn+XP7y?luNFz{Q^{G@ zk6?D9e8SyXmfp!&pshaqt!#X-9QU*QP^1`Lds(}nXIO=Xtwf1d_Vi6Yir_W5p{VOx z&ae|(KvZE5fES4x3$Y=LKSjc*2+E1lP6eOZ5B`1wgL{}w;Q zV};}neBzg=Q&lo;Abg{9yb5fc56qww>@6nJ5{7E?ivGJMrhTYC|8$oUoGn(tQ%oyCf8s=0G>O~{*ObQxXfTYei;00nJuHWN&k$*_qB z)sT_sr*nVI*!sz3&pU)0XL9jGHH8R7B`Kvv1a`oL)PT8*WBi1WNygfSP9gbZt5cyO zHy>=SqYTQ=ychhRe?AYj8}azhh3_jbUgPO%Z0N4cl5R7<4di&fi}Qc6JjPSO_&`uc zFf_O;E)1F?h(Im`-P}M=x_Q3L7)jKoiSn8qjn`@#vchv*ZHBRnqIUBNX`FJ`IIvqU zzk;VB+afz(pFDXq-(56R0!dbsNPD~Z%`FSFbvVe0rJZc77zVBBU?;)`+N5P7VgS0j zqSz%|MS2V(DMM)GPMX-GZblq~)tusP){rC{I8`?DNCtFclHklk{cEiFvtd$hT??T~ z&gF~;^n8z~E6jIgk4i8X*AZN|c@zM{kFb)$_buVa9wZbMsIfpz48VKEPEX`R*CVS_ zDeLpa2iKhkK{1LSfLGuNR7Wd+V9GUp!t5mBBgFYBj@5Ep39E8hL$eV#<~CqOw|L zLa!kHE{=gmyNsgsRxgXg7S_V+;PD(sb{#|mrL}|nWiQz6q0{L427wSfizZpjt3?Q9 zJoR9Hb8us0*~3d9tgRlI7W{?=YBd&NCVU{jfPE0{I7L}U>CWcw94iN9C|81c9tE~m z*a&t2TQYd-!0R-5zm1z$j;DfiW;|T*S-b2&D^c65Ck58w#7Se17Z9eA;n`vdfP_fz z8B2r|N%Q;NJ??(0K5INH{*ziUnytAe3#F7o4zz*lz3A;Muc-zij^hW*BhC}W{i3)O zU`su;O)d@OMHnt2rsKb1Mr;Sj57AH^GBQ_45Jm_(LquXgxptdZz>Ne+p@yWM~ z=3)_>4{;kb0fY=OgCVd;nScUQHs3$tK4*wr!e;~-fUg{HMbAR`V?|0t-Ordvj5uxr zTZt5trLyuk0xAHCruS&MaRwKG)45IzBtvpi*lO_KTmo%vyjYIM&X*ZA#O9syziGEx zTTu}X@zo-*AA|uxbeyY_&iRep(#(&~%{?CngZbX2^>Rxf+~8D7Jryy*a|{niNUB-w z{P^s-xlJm(*CHU}R-f_^Coty%!}_x12x_A*)Qn04c%G!Qq0v`WKvC=v<|OqGbFfL=p4k*#El*>;jA~ zHL2@(Q~*kE0(psbI#@nIH%!uoR@hmNkohU*l94m-erO*{zRFHHWrxkiT(h->1Kx{N zn|X37ECEkzBOI6ex` z&<`(V`LUDS-fU>*q;ytdmCS;C;amj0(djdL-V?=c&rp`6l$gz+cM9?WM3TIn2B9t& zxr$QrS@JB+QdW?XXU1P73{je*77Jy4khcJq+dn&b%H70$Jr^pYr+Si=Kq+Cf_Omft zu?^yLy|`PxPDY$+C~267id%fcLm~VMRtnp|rdsr%2xQRmgU17CS=c>}PwI}DSa;X5fN#Kt9FL57OkL!SeF6KscIUpwGtF%t^e%~Q zhWDaeaE1~1A?m^`Sv?Vvjc9SS430Fwwl$>Qk+FhGq^mqT1P*c58!eo6FQRs9jQ7Um zDc8FMgXl3C%nkR4!69A($z~SWJ8R$0e0MZ#B;}p1iAt05d-gO`NoLIn`$~imRTr7M zi{_a!f|8P*qIf_s+7>A~(n$t$y#c6azK>A%$8QPaP)z2&Xp%ybJ@67}5ntFRIhWv5 z-`x3^vll2O_j$BQJmfUE&r+lG_s||wUn)0U7IAeTb-V*1KVwx#(+`E~I1f%a+V4Vs z0E>kTBg?+D)m(@}{{b{cBB8fuRO;znaO*RbMWh97`~p-p0DByc0ZtA) zj8bVzd7#QGgTqII&~(q>bG-q)FJW1U6#iP9u3i(>1UA>?Lge)>mk*qGvHmp`0YqZsQ>>?ut^%51bZLcy zV|8 zVX^JRD~*}=G+`7oz*RUvN?&1mp`f#Gsk5(&tlar#(g-@O6K*4c=AuyHXN5giH1SHz zIVguvCJp<`Etu*wa7nErik`nvk4b>uMJv zum@&gfqLmzspt&wjnr=z;}CF4C`XAw(%c5%@pahDoBEX#cJVqCt6K&-Wa zV}~6Fbh~ga0lu?AKTG+`WfKFi?YxHLS&QB2h=J6I241J%nCq=9sIW!t>5qQgZkm4a zD9Tatn!rS2NS1N|drnmhJ#t^|-p{NwqxisdKr%qBi`;{L4I7O}9&>EoK?%GU8R!Tj zcqHeZP8EunYez9EMpIJI;v=~zlwZ8-F#5nWX0QYz9hk#EJN2JD(GE7xy9QQ({{|5) zJGUL@VK!j!{Q{tf29dE(al=iV#Q|TwOgYRNxi3x{Z~=N^J>p_xUnwY$4oE0KkA`Yr z3MsG@+sBBN)P#x9Wk+f(p31P!6(cCVK3??@>vo-etHts zvCb0{#uyY7H#nG>o{HS0J~r1(fO}-Yb4pIK7BE_!5_=uYywcU)1t7-xCRpNVNOgNPRdRc~ zC{sJ*vd1D&k1rA_4(rooO@J>;Y-CTon|aG zpI{3&-}ftxowIdfL)Z@JRREtbA6tSsSiaPxLCV*DsJgE{(}aO-M8#{#vfGrV;UPFr zk0lVEewA}JpCRORqPHxhu|OAz4n@`D$vk{^`5E^bDt40s!HLPoChi+U!^F49xAIr- z;dR;?D22j%b-uc^uS3tzn@e+l+-TwQTevfHxr>gKnq)rgL-5t42(LgK_l%k zi6W)L!dh*z0Q0Ka0a0NckHTg=*u zQFBzIj`Gtu5E{}{6;t7%gulF%^A0eC3RJ-<5i0>g8x0Ct zf+7JBn1_>vXb!C&8Gp&0t=Gy(b3nMtlr)n&fhuw$=$Voa96c~4N)loQ&`=!{1S0vD z{16*S(-=Oht2B4t{l}lSbRgMQn0g6P;5te04oPWg_WKnwTsmouXjwHDgbZdS&E$jG zMXF)!WtziJC5=ZRL@a(-MfvpnASb2;eS+Unllit)Nw`M2H)}YX49#AWjs(Q>6iTHh>mlH$S^l#a=tV9} zN5EQ^gfulnu^)lla+AEd+am00UiEu>BpMIFA;{J8lPCZDJ`_Vz^;WhfZc%rT4`)}F z3i}rx=XI%?FFLVm;00z1#Bx_SGqCAOyz6x$NSDoL6S(&QsfD#mg6MEax)TCYpy>_B z&IvdBW2@FGoKk|ahaC`qLyt1=_x@!@&|SRtOT{msv8pGHpwB+6#lAQka!>Gx!T@ zmEDkxBFxkx8Gyivv>r;40a9ZUPPOTOniyNlwIgETv$iYY>^nEV(GFV6?uJ-`?j$*K zNHvovRN;a-^d%Rw`!)?N2SUy%jJEx0=k z6bfzj-qLhJyc*S5kf3A_15>J{UaRdfqN>Vva(eGtllP3ME=x?v|NGx6yk}ID!zAgS zA>VVxg<3@lpo6@KoL>IGz0q7SL?aN%0vN~Q9?J(TVz~O%>ElHE-TBSd6dhv?e4pCo zbe@$Av40L&0CB-Mp9P4DAyw2BLbE}_j?T^VUnuUF&?>NCr}v2SaWa?ZLOTm!TpoHQ zl=@fLNv1J_0@P^WgHEJHOIRO<2iT3XvlqpmRzSFH+|(SpUI zcoas{ABctZZ9^n14|DvHQ#gZL^yQZfQc`vt{^0FT4z7W3)k~I=1vnC4JxMr1+kmt9 zZg=J=jqKNwv!tr$DJSbUUWBB`qLg%gINQcY;fdMB#^bYq@qj2vQ|KW&Ly1ahm6vjc z0POapT0_7>h@l>Np0Z)GOLaL5;`*_)@Beu&64uxC{`vBD7Hi!ay*yK2q~B=2xu{#< zg~C-|8p&_@DT;Gxo7ez-ECY2Qn#*L%io5D%13}u{&5{7Nl+^Brq*#b{bvjb4=L_j8 zMCdmM!?tqog?L}i`{fhl$YlUw2-C_(tA;Z?bm#VoZ#)Ia+M6Z&Lx?HMOcw3?W`W>|WBdcqoKyVVsF!%H_%k#U#*Jg2$c*1;}tFy(p`<14E>^)l;6w%1)ru z1^w^cPXG%E9Y)xYDrzeqwpd+JdO8F- zE7z4&eTmmbTO&UgMW6@Zm^dcxzncAsq(HiO0<}Hkog!$FJyKnrpeSAF^rZoFy<@~O zz{`cnZ@r!!+e)%4STd+Ei{WGm$7Zq8*q4jC^(RR}Nkf!kU|pyb3h6*x;-%zPwna4B z&1LAjF}%p0r&KS?T`}M(EG9t)dHjrLqa7|+MA=vN_0 z>9D1qWn-t-p3u597liG8*L%@jGge)Cg&vXWVJV^T-UvZDA0@JwK1mavi`~$otw?r=kutBqREm3;F;KCwWVP(KK>RB|#Cq%cS zMg={Yz9_Je@CYgyp2x=oz{o*8y)oSPKf0}y!5X|!rd2!jIsgpehp2e=R66N0bVH#5 zn3{%*I=h+FI?36pc+8EYztvnOOIL}2^p-NY%zzFCv13+cAQQMVlY>0rQl4czvNWjX z;Uuz7A+K0IZq7{p=;nQ>2}D?T5|JY;>o~z|)GQ!QS&zooYBma2DWEa2*8M5^*7vcE zs^0N||J|f*k1^2;PcxVzm@HQ+mnsde6^6$vLyH$X{TGUaQAc6;o=%~AkXLKjX!776 zjxW16B36jd85@bAdxXSIIUc!6y+kznYIQbf|8BL!LW04yz|a}n>1kCS(+{FC69nTl zc}u-6wKF`g5)=MS{z@+&x7LAq*@L(x`4DI2ZIzFupQG(iELLN{^bXBBip{rv<8Qv^ zuDhffR50jsD$;H+(;6Xsj{HV*KpR$*=eFEc-f$6=)3zRNo$80yp)2 zoP4kVD+3={ZspkV|9W$(>=mH2Nb79Fs_mY+7fq2N%4f+f$U|lHG_h(!gkcNN1h~gLOY$(giRT8ja;QM(&hz@ z8;DLs&v_RMlsHrwNCTBz@kfVey08cHcsMc9tRP`7?&_9Wr?5jiu^QpF&anuF7JkTy zy*5P>;QaZ3zDT=5mck}J&>oNYBw~;FQ`=Nlj+JeVoL`0X2+$>B(*f!hbPq&02>HMV z{VW+a)08S+tg(TwNJTMrWTA!~4I+)rK`?4Vpb`+^r#_}wvOUOJYcxuN3myR!j~#ya z_wN(vCYDMLA-R*HSEF9HG}U2>*ANGnrrgpu%UT*sr>i!>k((556@h1Mkg^XQ1p={3 zdA7|UO%B*ZS%bg^B&+SuaQup5_?c+(PWUHV`ac1neRorJ$A#)uMB0)bNO~Q}_frr-%R^5s(y3 z2a|5}+ltKENFL7x3b^%)DU~}OEfa{fq!u>-HAFiDtrvCnC!3w%Zq?a`*w_n>l#|Z8 zvgCG;-Ws2?Gjxy-@tofAh(( zbccl@1RVZJ>wf8Ywo<8Kf;)nxeTOj|$oBqCbGG=lLLendTet-aoh_>HSy?28i)|UO zpn;yta=8;VL?n?{>yA325O#HQ;8JeuB=K6&TGhQzt;bN~7L|Opv5wvPeDWIP?ciDB zvroed4kGL9hENnGqc^G4Nc^zd#wY``O;UtGzHnVwpEo?!87e#spGWl^W)T6}_OJ+f z4G&VOW@jT4BseU**1Zpi4txQfu!3mvEC2ix0Vbuym4EZajA+TZ4?+bGW)@$G*{Eth z+MJ>nEA8I1k%BmeocO}s%jDVhsL?z;Dc;s|k(7A5J*@c|js{Oo1h>wed&rCO-60s= z6V?#n^MO;kqi|$n65rnWalY{j*M)OXhc-3=1*_GWLVksr71Wq{?$;0uljnd4^ zKb@&ljo*k)l)JAKYv_TaMHlP*i5pj>ef?;o4 z1>TPXfp_O9VRn*Dimh&$j7wAfg8%h@{D9dXUS>F z2-cnC36{l)6G0@@8y;qZ4Bkxg=ET8kenQg)=jlwW9z=gqf3-VtpvgissvwcZ*<)qz*Rz2EsucU~&)osJ@= zxRj?4+7AUkOaSH6n5hgNpTP%+)}{4AYi$;=jy$n7g&q=>k>j-Ch{!Z)mw%>1l>Xak z)Y3vE5JrdoXaw%DPtq>DaSHeKAc1+NPIJXtLjpzZJY`=Fa#tKV?ys#?z=kbMjE8!Rl%J%3J4YNLB?qu7bYigaaL=1?)rPWI3w zmjZRh{4qSK<#C(%=T#zkcz3=~H*1Fk!W!)!j@W|q(>BITa?Kpb^aa{Vi$)zt0%L0* zynG&PgtwsUUH6nrD^c_0s5yibKZ&9kLtz2JfpxJ>T3Ct*ZN?x66RQxiICLB;T%EHr z1UXtnk=Et5qc_G!7XUE;ij9G~@KWR%7(qHzKIV&SqL6{02-CIdpa0kSo$gMOH0ddj zbzQg3UZsPaMadJoGi9y@KOs~)FZy6B8ZL9!N-t__T3I3_no`74=~!j;7)JnW%7OK6 zOHwq7)Q)CV1bE9jc z`}n62|KBcW{VLVID;%=xMEZlc zwQN>|RLF=^0S!}c6&|%nK@edJmN{Iz{ZD`ODs`3XeFG6pHJ&kR<%f-Z3O#E67Td%c zt4ncNOQ*zLu!fm3?3Wza16-vjzKB{))R^Bq=Ca*bml(!b1XG1kE~lIYfR{@FTb{gQ zA;gO=i+x53wijco$cEno_1z@4i25nLI`znG{)&mqK>t@KWJX|?ZHJN1R? z{PRBR3%b`M?eW#m!pW@DJ$c>>v*V#)Ir60~ccGD^)t0qY9lC~38u*Q$a2d*D5&lx% zPl`dwuB<@W8ik#3TUmKjnGUBA3^~J~g;+}qpV!@Vc|5HbqX+VY_uYT^KnzW8g9`bf zj-j*10U$~#_k41>Oy_27V*uqiZ+&7dSACCvep(Lci+N$VOnTH2G0+eZu{uWow` zhEXW%wTyzQq&=}68m*j!p%IoW0$k0J+A`XrU?K;q-e0oUXT3XLHHFrwd^k2w3`5;D zyz~pYuO*nOt)5!TjB3BDE-$Uv!l_kEH?IkEI zGQh^Q)^uxAgG&oll z=0M%0o1s>8NvZYQ`Xi=(tmsVmMfKgQ9uyc7ppAh`0{uiWIEA}kray+$q05;4RI1jL zY^TOXmtKO`*%b#CsDvp=^jX_pt-(s}_@^_JCJ_?1obJ8<7yi7^RB;1OHl)QqI#xpr zWw4u4Qf>SIS%agpMobS7YibzP}zw4aadIcS2pB?C|h-!gBvumU$)C8 z@TAnrv(ypLNq-@r5>H_}r0MR2`$SQVWB!8O>jtE;O%h7W0~N|BI@R7a#k}w|u9EAB zNwoJwG-#cQmEqd0Uy0c2O*x1~$$X9uDgow;qyokdhdzp%rb=->OrUleeD}|ZtH}c`7sj?v$*=;E7k~)c92NRhhc)Aq{5B3Vr zPJ9B!kyW3X=mTe!u_o%%=Gh7}|S%d}e)TCPJ=?cvdItm(KEb`^y}48mV$L9{Bdzxsm=zCnn> zVv1k(F7wP|3+br~c{e9B_;8%NN_~{?NU#AdJ<*`mViTPHl-t%$WMF)GO5Cv3FdSe8 zH$V#|nI-U@tEicbYiZhsFb3Bm4P(J1buvLvv&Z{E_tWY_HX(kb)>aj@@(%Zqr35%dMYY(O+vg;Q`4brRVU z4Kn!dk!;ZJV;94Xf9*u+UP%N2xor`4FHXVDyhVacgd~=;lS}O#G{-eVDPy$ znvYDY)M|cXAiUl>6fc*bWvv}n1P_&ZHw6KN2HFYcHt1PNHVp*YF@~2_>cQ@BU@0l6 z5Q5AtHkkv(cLAE5K?O~``d#FQ)0vb%u=1Cz4BS|xwI`o+%)IN$7qPU7EC9^Sxr3kbR;L_!gq<8M?g3{q^JOAd_h~? zVEAcJv?xsXPQ!v`m!(chAu(Be;H?+WOMn`rJ{#hK;UwdLZRMSjHf}Vhlectf^*-CQ zj8W(dhd`FYcnvL=;=(Zr&9h=CUACCJeRR1eS>Ieg1B+a0PWm<(%nbd#oC)!XEoliq z{G=UW__Z486I0GMWy(^x6Ix|WG2w|7v-lF}X~uoozZHx;RA9++1kwoL@%m#^?xl6@ z3U-EW8R$ogLJ-}skU-_J#=0rw>18fZ#R>22{$Sgf;EXLlM?mZ5550OHo}$5cu@y@8 zHQs zOeerTq*#4l^$n|eH+9lV)|QP>O+_#UDV(?}Y;X4mo9;dG(+6-5(m5)1RO&Tlkeg(( zbov~A4{cV|{{_`KVi*Mhq8)vBL^A~-D&qU#~g2^TO{=>~QvnwwK99SF+|=T6pVAoyDcu z#lg%UH>}!Sw%aAVW%CsU8T~kskeds2@I1tVE;yMD{j^|_7E9TVs-FaH+4)fOF};En zkzLHD^bDym&1kf|qjHaSM1LuJ(%qohXquIjYwL{iW#G8@MY=V(1fjd`uq&QbLedFT z^rw$oV;P)=<~(GIKlF-NJ{d1r!nhQy3ZGFO38J6gADS+e3mPw``>YYuZUAS1x=3*w ztz+4|V{w$tE)HZxc94}(qXZ+8F)~8@XRcwbn-<0Ey_+l?UzH>U3qJhfr}aW5k4UqjR`&&{T0}T;* zwMD1!uXW{^J-xv=8V;@OLyYJTFkw=zT>esrrdAjwqA@OIs6>Xn^LqXu@He(fDe{5! zQM5sbNIOD_eu1%8gTJgAm-XA)E8|M2-WSzdBz!Z@HTtQT5 zF_y92sK-DFdrfxU7*03AQ%w$H5{Qa8c4!n(WS)uN9E|QajUSe^iizb{x1adCEOXM# zN9ym^1F1Q4cHdIx$4hf>%2`v4`$d@s!nes%QBVYMoi0=awIY?G9@KvG92qe zGPZR1dtd{7ebYXabsVs4XuOz6E1p`8{iASltrpAp`~Gx7C}LeOV|2*v5l;#Wr9;G- z;pM2Zy~PuBh18D9fKcwVkC=yM!z9kk5QxT@arU5Ody8)^e>!fkm{ZM@>Eoc?0VGY3 zROjAIAcP}GecL1lY-TG5WP{CcDn)ND2>|hAllZ+dQQ|E*@)E@k?Ykk{MkB4d-HUe& zp6S>lG162gwc!-G-C>q2C====kS3>{@DBaw9~07|Fo?qhOF)FyQfEo_Z`T2`P>sb~ zWWZPr`%Zc&C7hiaxsbcv41rfjD^159*=dWt;-DzgW*{yI6ucKv@GWa$w(y2$*8=e4 z!#RdSXnN&qJ+^CdFOp>gVsHKCO&*_KDdH&UD7N_xxO-gW%tRWAWy@;$w{TH8@JYxF zaRc&dJ_;nvL#W|w08Px}HRd)c3zEmUaat-^wi4hx#YQYwzDEaLC*&J@JQ_3k5Y0d% ztwIbZQMmvxCP63JSnO)gM)*6w^=HSZTif)nc8^TJuooMIo%vmhTscXCO~gmI!DB?q z-sCW9yy=(ED0vS$Y{YQ7yjNTCP;IJgTOL&4<-df^_~8|SOknn zJ-x_6+Hmq5TNDTEL1cBwstgUPyw-Nfnf>-p4}XsB^WvD^7(;)aH9*2d8aY6(T7ZO^ zLA-Z@@UjbVCeXqeSsrKzxX_*^)eR*S&5|W7*x-gfG4xMo+(VS~t1x`}iDc<7`9J@{ zr@I}rNA*MN6LE{YA-2AQWn2Ub%~_N02Nn#>G5VsFG4ipJ7rmyz{PSmnBo0@mlQmQ_ z5|@U!{LqS(nU@L?5H{ql*!_7rxrl|c;8m#|Pq?j`xHJC)u_LvRGQ)S8pkc5iVef zNX^h1R-35+h8uaD$rIX`2T5=>XBQr~Hliv~B>-bo7MQJ=rL4e~{pKKQ0$*l}WY~eE zYY{faU!(jOkyjIBK}Zao-LZrgWVI$OisYj>K};^Aj=aY{{DdvMy{#3bDe#qgVnbu+ zops=4QR};rkNqNnBL!8Wa=DBW*u)EMg?3k03qSOE6@IDv>Mfp)T*M9%-MLLB^KyAW zM{F7AC6KaZ(>CscYiDd|8+L{zTlJAfqPvJn7*i*6aheRQUzB1({X}7+7{pF(5H`Bv z2fsi2KKpz?1F36PW!*H%0$!JLwONuBk~)ut2+~E(Ixc&hIvfXJeTS*-oBQ6mnV>e; zg5cU8vT|FEPB8px+(*Pin^EINq;3Kz9kx|M`@|Dq^7eHMR@qeHG`xSR6R~qWNS(d$ z*|*@YeB(7*v?O1VgkTK837;s<{(2p1!N$iNHwFq@&4Zr$D+OZo_{)kW4C8%t0vAkr zHVbxxW-rxIR4SAMHkV^(lq;N{#fPhv`Y!Ad*hI8gcdIqJdDCcnR-USVlkEkO0?%)2k&)RkD%$&#{JKuKaFgqFitX-pS$wWLJWDCnzTE!eM zI{=%@ymWb3iFRc%XdI^ZpBz!GY&((ODg&|qz+Fo1(P1XnS`Qau^JbLU(ttL!a~*xG z)S92V-q-SQI~@J7)%#%ouW6un*F^{brd-h@{!L9d?-^iU7+1+eeTfBtqA@^Y11bdc zbD+cg)9Z!w#Ej5lH$uw2Z?WdiMBT$jm3|IxubBio<2pG7tNP2`y=w zC%Q$O=3Qf0_n)2#D#CLi240I905BWPz{>|pC)g)|OMLJTo?(eaX_0hNnw#o}>@WS| zN4b7ZGM2}Vq4!REC(U`6K2nB&BM52JNQV;8<*+ZHJbQ9v+21g%NK5AD=k}nB9x<`u+l}xYAgLCYBn@6vQsmiV_d5 zwQiN64lb%#V{5cfQaDQ$Ur=l|)O9vhJNd>-*@R|ylvcjyj4SAU^>?fk_Seg@K%OBdve`! zK<;y3EAqjaW)GW02;YA#)%(+TM=qy3SHsOpJ|4kjJWD*spD?;Myn3ri zs?4?rY%Qm4fvDj)^o-M~0Lw3@bjp$ccQjJJ*jvbI1)4-}~TyGwtS~7&VmB=MUvtX|$3ErF_5#X1~E^G?=1t zo&(IXbqsc~roRktD&tjm34^8Am<8aoJP|*3oGm~4<^cG?;MWCCp`zFa-3mQbZPc10 zfeNBWuBG)mI#C|eC(Gf=8i%YM3DC;~6jG1Q2BoWEos~hqF!fvijA3?ql(PU4B>o6i zd9uYJOe!V`W7SHoZZdZEbHMhPR_&SbDjrq(tQ&Cs3hz7@3CTUTA~+BJ6v)Hzf(lbD zLI+wUwHwAh+QLeBu3XtL~&b0g;R1Pbo8#2m}zNg)J;%f zO6}@U8vDl2M^3m53DTM5iddYc9#4e_! zd##i3GgqUV#{8nJ&D=`bJI|7DDplJ9h?wbT0i)z0Yf}uItAI?>Pt$5bglx8#`EfG> z%lB`#F#skJpUFA)LK+^*9<-w*!E1Sr-4{;fIyAJ*6B{ z*s=IZceWokphn6YZlvYX(JGtM@l<$DUXnv-FbKCnF*fWjvIT1vFRh(iFhb6<^r@Z` zmM)C6!<>`|Mi!XHseEE|)Oc%qH*a`xPF!@^7m_ZsFRi`C25BL7fHD|-GqzN??Ep@E zxE=P5*20YryH>o}n8VHXk*OLpkt;idO~aycK0}0gLdFejnd$;q{5m`5YU9pyU4fjc zP}*JUMDZRy6)>Zkm(@mVmP}4NN^N2&Qx=(zZ(}E!!D$Z}YtQdhaPFZ-wyPu`iU(AM z_oz*<42ukmeHHyeGuI`gJ?lMc$vnx>0rjYrf+u8kB;jm+^x_2tHF&VO%jz(X}xwpZraVsX^_`s|LTWyi$j>`;jn`WJE;S_ zdCfo_m!-e(>rq!;7WL*P0ON5pk%cy8SvK%^iB090y@Tb|b(b*07rUUMqcT$a+6gUs zKmjx33uat{f_h+bWuAo`-az({3&L)NFfNzzT=>rGIhr{JJ!mKR=dNCVk{w_0X~RU> zzgo*x4=23DDah~L!l?^pIqVb1cl2pos7S*~*nKv<+VWXdCNV&>Dg4XdZdc?Xl)~EN zLKqUI=uJTJIAJBr6?9<1kTl-p-9deL?S8VTc+9+~eQ&4-=~&5Dsw3zSzxGx0=V$;A z)HXyr6X^WCkm6W~N6;?%LB^1&237BV#osfu2pd@_Oe;$n_;t@?X?rcAB2;b@*MLDN zoHNWQ%-F}vA+=l-cFXL@eFIx6!Lfv6>yzJ_+W}Z8i_vq0rT7z>py<2km7(B545xi? zCTKkQ_s*4|o98##y*J&2{U#%j5|bP;X_^_FAb1>}p-D|LnhsdyEYPa}W0@nJBUhHk zT+e_saA}l8uVRTHA?dC(je*iRN39=noW0u>9n`^k-n;#KufC?$)Ney#VwCiOiMCc? zq+JdT5lZo^xg-Q2@TRr-<^c?y4Fi!DEF?zE<;8`uKP~lf04|6ZQNqIz z)opM}otp(x6)?=<%>fVDW4Fzi;i*ev$|DBd#nsAyX#UFy{JO0~??d1&Yg#p*k9ZJ0 zOFB^2gyjoOhDoT>_HZmiMBu}SVpoe`D3CuJY<8%Xe#oNFI>lXoe$4#^+w!U{K0=MP zUrXL zD5egttp((qz&pfJicV<^cOlzmaSh8<*|YBy7Od3#4euKpS=!bDf(QP$Kv_cO4-8c_ z3Mv(1LpwUacIvn;kJXKC#Y83f@q z{eR`fbA6^{uYFyZ(`Pon^85GkoRdDXg_p%Ks!Qgx+MAq}ATY2G8OBxcatuICc-d4# zM1v5;v{^LVx?TnmG~P9SnAP%BDYGCUskUnqc=A22`E>DtN6r23K7!zg}K2Yxq*o6z??Q+QJ|z zQ&zEMCt#xv?buUbGtWnP0ZmGY;1X;w5GL0VAw>zvQQ>7-^|Dn}4Z`h@!QlgH$jRV` zWuvw@pQ_58;E&oYofW(TsD$G_t8ukp!Cp|cm`B~rYj*t(?tt6rPK_L}NDvYXg^oT^ zJb+K31w*_%u311N{c*nII59`Ntvv8!d!!hN;2009RzanmwmV?(A7gINUyKEaKJE{5 z6Ot)`Tp?YS{n;@=Mk%4+;xXA}h4{)UekL!dl^i$NkvT=!Y_XhCjUjAKMWIYycQ~k8 z-mZX05mlZeJdfK*VH;_{OvJ|mqQkZelecESBaM)aYUz=xf%c&MUh35SFzCWndAv=7 z21g((^I|!CBrYLR3xNVqs!bCp+eEQ5th?-v%C&K0<(N{fVHnb~a<)#R-dS`i)| z42HOQIC9s3;5ppQB9GN!{Pd2Te`IvK$b^5k;-Umn9|_n3q_W7jR~KvSMtZrtS0o-= zD_S{QvT;Z~n8pYl2^D0}rBu@2yAVcVNLEoErgD2^?6yOLGO*1tT!cm*wnN(f%u-d+ zfXTd!lh>mOwyk@Q{e}Bt@C%Q`xKS&uvZ4yY6ar-Zg@r04{_|B9S4sO9!)Q0Im)ZbM z#~T0Vu}BMeLF#oC3xffB-E4UD{J4mRTiDJIX?MunS}Uxv8v{E^obkMte=}s}flK_l zdkWK!1t`#L&}->SVc+n~o&RV`St!89#<_Q3B?{E*BA?m&~;KMTyvt=^7XxDR#s2wC< zPJy8j(E`N_#&p`AG##*pe9uNRaJ0kEcE*slts(1J+wbvq>J}WPaK+O#?e^u zu&5voHceTuY>lKD;MvxpoS|aZfWzd*|N8Z|UNo(WgCs_fz58I2&=W4YfscLdvoFQn))Ra? z8YJz2+t!HGqOdYlq9_4(H3eLh(A@HHYIlzOj8-*9DzPYJ4G;ddLMJZ}2X%pkmfQhMcN|5+s0Z3<$I=k!*J^{ng`o zeknNSHN1ju(%wjbb7#EwiTJBGL++Ht!P=|ItY~}zXvD^@1kke1r@$EmRZp8Rp)SMG z;4nday(}WZXHuL~%V=5$;$F%&sLi^syN2C7VtD4Q-_H?V)MlYx5p2u9_gLsI{Zqb- zX$nUv8UT#SD%*PCF9{c@7R#fy77Tt~?%PAo8`*^8XU~14@Er}XtVGIMGBMOhT zNC~|jDv%xvMjB8eWDfG_3M>%rm5lP90%3y)_c~Lwf|p~iB^9>5LSsnQ+#S^&%oKq) z0OLIY5Vgw|81_ntdf4zRut&xfnH+U1Ezk}1md$Ym6S*vKPA&=vUDv4rgQ1Rw&Y}PE zQ`E5rX=5_207D~UrZC@T2;BuVqt$b`I7$jdaCnLpdPi80wnSDNWI~3u31p-Ysfdn! zz&&A55>hH4ic!LqU`qT0ui<jW0i zT!xim6SGhosj?9xtN|}jkfQ442X|B;m2(C$^8)ITTHuhPyPd}kp!!{E1t?O1lkmZG zI-&R)mkrPIa~R_(>JNrZ?2xI{Y8w*Qa#55JS@8W@ZsW6+%i8j1DgOqtFU_Gki*(>z z{965fm4KRQQ>Fm2Ugabpg4kf}4DMS?UV_3*WFU5FXPZw-*CN~LhbGG{hV-PQZ@nVx zCc@}T(^gB|(z`|tn24V;2I|XZoKkf~5wgkHPLVb`d!hK8)sd_-K7cVU zQNa`EkwRd$b~B5H>tvOhVPJ9_Y#k(&kk|ca+uQCu)IQqZ{nGsg&w+8sJ5==E1ZA*3 zOVT2zBEu!KW8Pqx^!p`8lExS)*78$0F4LEsh+ZjcrPAq;8z>^Ch{=(^JhmD9kX}+_9F~K4h;CbczDg4kO zBa@;RBM-8d+e>3UY}lOAFn;+c*ndb-SZ3m$@Fsj!iri{BnKM&( z#%rlkuf5-;ELZ;12ZDt0s^5&B2sxMuUkPQv_7J?Fc=qKkTX+PmU<3V%&;v3EV5+ds zPo!YjbafwQT9vPBu!a)1hb9M?x$jH7!jTkGK7|OY!lk9dQP@t46->P8Cg>yCw9}F+ zDoC>yppnx^+5Q5jc!js&FuP)*-~OZB<IpGgE88tl6kcZ|@!i zqj;bFG4>aYEqkORx?Xw~55;ROAS$6E)pJ1AKs9;`HNXB3^0)@z)ON|YN@9Yz3L|oR zq7RhM0t$FFt!oEjF&|DP%AcnjwzSCGk(5^hi|O9F zrwce)_&jR9(Db_&^a>nIgVv8*WuHz{jfh_<##1qeCZnKmKT6gpzZ+^D4Uv|@W4dpw zV666-UNk`*1|+V*QFDOY%0@e#ew za!dPyz2kj60PEqF@Q!yAlmfvSpDFJ=m`e_N2js_qWMVW}FNT$&@_J!=og&A+CCxmo z(vA5hZ|2n(?UtT#| z#it|#-n?e!`=G%0Xgy+nLafkx%2$ULG!1ydr-7WgU=l2x9iACtlsc1d0{=XQYi|l^P7Lr`p~G7ZNz3-7Oj?Dr9!U1Kp2o)WDjJl&d}Ee?gdHNEBA8 zhd=jjmwnf-_f7C@8CAZHSv`w<&{i$)86gbLR@g|Rky~==UJe5UpV3;3o{GaFLm;fm zQ=)gsQj?ENxV%!zniZPkV*snr!!lJsoM&1#e_X*u&RSNyXuD_6q)nbHuN~=mfrxu)O)l zQ(@7+Nq|t-1cnG!lcC@>gqCvFRRq+Kyxm{-BRk&`D-?5~=nGVr@=2dI zND={vnXml${U_WcQ_?#(NzuncqxayVfuz3;wD#KojJPn@$A%7)#)*k^3gqPkOWvYM zw34ad1@JHl?;wmXPPuy(f&m(Ix!yfiyUfU%mSu(|%a4kcb-t_?T zS*o1SCZgU}$RBg(t6v;nch&q-$cJF2m|eoq(VFYb93gQZz#@A=^Nn(t1$CsvyQ1W6jNoj^(OIRTYCCcWLGL4JPYD%B#oP(Vypm}Ib= zwOxuO-)4AN3js`yjE{aa`LXV!?CgTtlW?N@5KzY)-hQKZX253G_RUNzQh`0kJ3cnp z7FwwX-JVo+3Lf|{WFh-wLPMx+0w)3$$2W9Fai+We8Tw9cB1_mRQ`j%a}q;>SCYw%gCiKE zev5NFLdvtxnLD0jh_*OWw#~y2oFPLYXTzM-yqPJC)#v*Nlr6ML=Lo(YdX89ZP_P~; zM@%4uZFZxD__C*6izB@(M2LWKk%Z?U!Ik9F=JEdDeh0=)Z#lE$q0gl>A|0f?dPlqN z`%%LF)_Jy+?_y$PRxlEBl6ZhZFgp?W)y}I`%0twaYKeWDh)&TF2=iEkhVCIKBEzHf zVFJQPS=v2--1h#6>YW{@lQeVXzs}KTtkelA!T) zQeinolYrVx#QiZEa*{%Tm@$$=*5Z-uXKi&E{zrC^2kZ+ z6YN_)Q*SR8z!To=^YqgPQeY#s#5fAjlE{Xs!q2J4lI3HqMw#v_NJ*ozU6(9|lCW|; z#?Aqs7uI^&5<3#+pM35rDXIG+An%xpU6iiApbibGk0T*H#8!<<&RBk+*HT78UutH^ zf=|vCcjGunwE)EGLfh4Kc*O&B=5wY{z5^^-P@jrOX{)^^Juq$BcG}IiAcyFUP)Qn^ z42Lb5f$>ZsADakU<|S#RJW%D{Km57s zOtY5X3>Wa3J3Y3`v#HyPf!L|iYEakR>0M=OyUpxgqu~Ek2(Tpu(2N#@`xSb<7CSp( zgDhQ029)gNBilVjXh9F-Bd-H+fL%8OZDC^lH;EdK?a%JJv|vog%FR68-p3eRDn;PG zRX4bY!~CWTG&(>&?>RM(#o&bM42Xv|j%qG=r8`$2G&|_q)hOHNC18a!sXA%m7#^Fv zBylF-O|MUzafZYIJQcw{>+2wPki#$) zT}Yy4h6TVy(c(NM7OhgB^$olTlSJ`2TNsz;gA$uh5r?fyf`XGiNtZ}4WI$}>=Onzd zMPUt}g;0m{Nyjs`%o$MxEF|Kgvfur|r^5!^R-S^DHs`BB&sfh|NVRR|PtP6x=iT`Y zLsN!2)75BgU6vT2jIU`T2Cz}a+Gg1rAr8P!ohp}@XT`VqCa-?o7?fY6DKN9D zMhKgY?eZ~EJ0R#(hQ$lCwdAkG4kn3M6S>OJqEx<{H%8%hkuJNVa0pcRC975x)kpg8DA8f#FR$aF9?xxHz5c zHRx~#w`m81fy%+p_u=mv9;@tw1I0-7bapxtp{8rm$pE0wfudD!L9A;x&wo6o2|*PF zZe{hzvIEt9gy|~mz|3!=2egl%JQxOwq`yJQQvsZ*>-`;77Js+gW>myy;HK@Sd)ssX zy{v4c&3i4_8j(t{ntE|9b}Yz^;x42|H)ujW@NO(Lb$KpFn}!C%aA0ha2x2)x@{b?Y z)6IO2c}D}3QslWE^E!#R+39rza7&&7VCc@h34goeLq>okEdOZC((-zwicAi_tleN6r(KA&DHk`(79%W-Wt&JMBhi|De}jO|f#{rf zSXosRD{NTxLj`pYTsKiq7$1>!mjegjGyZiKhntYK;&atoGePq2-g+4Az)$u@>>t zva8pBF}K7Qf}aRM+pUF@W0K9A*ZPnu+K=- z#*SxK?<2b5v1Cir)|py|Izr=2Lst2_17`$A#9R%iHOtpvlD0s^oHJTsD~K1F0{!m` z+Om&r`)ycbRs~C$>P!-Lb1KFq?pvlo(H--Z)^bP(?Mk*Nyx_8LtkS|Tyz(z{rJR+Z ziW4Ng2#+tHzaSk_`E#&!B=ZQ4XGO)w7JRkxDCJPXiAP;Hi|x+07}7y^uOtBrst~SZ z6L|=nGNKsCF+fh)ik7kTRC4GwbAnUOF~#t&uyPSTvQ&QfU@1>p8hD-Y?0lq;qgw(WeyTc#CNs-^t!98T!^^29G>JRkBezUdGi! zl8mVlG4%2v{}kdHR`&>p3_hn9{ZOdu-~K-jW6$V!IL;+dF>SOChjFA@8d=6+rNfgn91hf~&;6z0yeXnYbm6)9pW5NaiD!`y zUkms{j)=j^iKvky-jCLJ?+-oNn4FX(jVSsXnM*F?L|4u~upci-`A*dq?mbc)!N#iy z5aS_|*5+H9Khh-2+}B3bG^(-S+k&}G!z-h}1*QDBp4*DQhIh=g``C$_4>oUxEWgT2 z3pntMItad*@p$sXqYwD>>g0VsWvi+j*$C4^hQ`nfPLB0>-u=uD=Vl`x%&i}d{D{j$ z*+l+dA<{~d*#zAnz)Tc?zS#I*k2}GC7xKaCC-iecXfIXESJErhcJLojz>m`&j%DBo zNATb*&mcx@L1-LBI({fdN{qvt0{W z=3n{|_+%J~_~{BGb8>0i;h#E?lI4qPxsV?pw@;RSvi)6BN)OEj4y2ZU1eI5>3s)b^gby-j}B{Gimo=lON9-3hkhVRdH zerY)Wzy9&9U-|g|$Itt}{C|~S`e&*hzw}?*|M+=7Zwz`7Qt@ZSULiYP^s`INkwX50 zQ@~GUj1%~1;7G_%@alxhbdzepac+0Y1qm|uM#AJnrULw&PDD4iR;=fxuE7Nt;q#|g z2(Y{U?dh*c@59S$Ba2w-8)=} z6bl36S+xcP&?Gh7&05BM#mbO)9Mp0VHsM#EEt1$Wf`fwv=yc;!6W%Jnd!TJCJYb4RO4ntz3SZv}aN5IM+Xul(M9 z8fJ~sQ2S_RAydc}a{hza|3Jw#dL}P1vSMhvW8k&>L;7?zWyp^??`LWr@QJr~SRFa`w7C@~Z_ zZ@RgJX6C|}duP{Qak+=nspC9z_@Its7(h+E+M3u}uF|g1&_h&cm2!UJ#d2Z9^V`Rn z;t@kiQRDqmg;B!}k4;F=oYSCV6*bM&B2&)O<6RFDfiU1FJzWVnf$)Ctvwd8Wqsl~tnfBPWHzj7)Mr!mYS99$*(WOjG zxxuy5R;csyx|mTKg?Sk+iSN?@_|KJWAs2D$fRmbTk6DT6dVk^_9-j%woNYMyxR7@> zGG~XsGF8%+)`*GjsY#%-V%sf)(Vd`d0*ssn`yrBcd+jEk21aGFQfm=c3;AviePO1!9in7Hc}#4Kb2u1O!QGX08b?DX zmZ>=JU%O%PK`w~-Ii{bpC@qZuB5FGnCW2aSB|FX%s{B%O=9OAr$*_+cZN|&Kxcm&h zD91B*5v)D*Jd7(xVcwH3CufPQupgWK1S7Rpi>QmpA9?!<9jIiM7?*hpS*I5%IC%_? zL2@7)WX9k_`y4e+S-9!@*i)VVj|wx#|8Lsf21<_Wy6>zi7Dg>-L{$}PTBT7Nni^Jv z0~(Y7E~wC6uUW6>)t~`3$?j1DYSS=KeQz2hzX-WGJDJbdCsm zsKAbGeJt%LXICpU)e}NCWP&ukrX9s1b!b~&+u@MQY?#=I_jju?T*;Pwv`O5+^k>!k zxbMFE?)|@e?~D1=#UmRCt+a9duUS2m?U2JEbnL+SJGnx0rSwlWK znjWchI+x)^5kV5l{MFJC9;rLxoKmI&B3l}QHi>Mrtg@S*z5aQ`14>HWLDWp1Q#k`^ z>DUU1!R%AxjGb`9tW6ydA{h#6nL>aSMMDOupkt_&Vd8zx#}MLbG{+g1-CRBf8^1jO zjq5cZs|Mkr`k>r?PZmUnDoT1awXn$cR@u2`7+%{2v{uz5x8t<7w;arKvgngLV*j@A zL*a*`4^`gJCSlllxcQ#!KzmIs)yjttm>~-U{%aw)Se@-*IRU254h&k8k?k+oy;&b> zfVp8p=ub+xzmtiywX-(_j&Et~UtUZ+qUamQ0m& zhjymIDJ)tY2iFHW*nd1oTB0KK{lyxfMbJJ%xtY{P8`agZ8s$|S6GWm^E>=!YU#_X% zkacajwX82h8}Gd}ZSNr24TH7>w$t8G*t?8(PtCM$=y$tIPQ|Cd#(YjP37y19_QR{iHGgrMK$iE)kxGm_!I}Qb><~~`slLd9A*8D(q%k4W` zH(YO~TS*pvF>3Xerk$j^H@MsbUYKrGxqSK^y`?V(_C9P}y|`n^eSEI^2endm=>Lk- z#m~losvsR;idJi1Eu33FtefZeX}yz#l$rb0&Pt=S-odLyXOp-TW=V@+xXc|yOSSOS zTvMkva4BGe-dLHgeqwne39?Vz0S!FtoiXySe0BRXb`f#A(^x2+N#n)s2Hxjtx+#_!5-G|MGdp{s`v@&G7C8$lBz2d z{6Sy%eX+OX4iL)C-csn`w#0W9L@53ShheW3c#53B3}y3DhBl7Q2jvJW?)0jz$s$Jv zImDy5MA5p}Z|S5@3Mswu`~NeZv-Nf|<#+VbBAG&$CQNEu*%oWbPLe*GtioVBs40m> z5G(npr)}2iiRSr7oTgqAaSMs47*erLNmDM$6Jsf|R_MnEfHM`F!SuwSUUnF{bg?!) zWOLrEJ3#_lNg22Q?CaPSF@vkq`kn8fN$2HG1y>z}tN8Qrd(qG7%Z+p-T_vb;_|A?Zu~=d8mvbT`-EG= z>Y;UW&oyy#Wmt7jMb?yx+8>xpTfUV&`A%0}?SVLnTiaM$`t9YKQ2 zC?u>h1>;0AOlj^IaWmY>#E)ElPTN2?G{!nbE-PcgIojpb&(^Gw6CroJ5 zZurX2&A-KbcQIdjwU|$hi#hPAaa-Q=->@y49s1%NO`4Y`(WAMw<&;(kxw|sFf*AfF;b0nUJMa<(~6+RXyw)?Ja`jv^?eeKF%zHdU%>CMJdNvHdlvvF5TlR zsg}A>KIxnGGXEA&;m@BZG|ao*wGkKSl<8< z(oak#*JRGwN8G&Hp3@_eG1fv< zH$+iklDS8~N>~9BOLehkt!w-;yOJt7q0VAr>0~rAx#{UqwO)xPQROjm!COg8!&Y&` zb_pA90;AHH47om}!loHW5;K#Ja&x7U8Yc4&p}2?OdNSIm!~)~r6o?g$ zy$O4D(*t39jjSF+MO);I+*(k=HxQB$J@vM?ObD{WjZ_L5uRLO2^%z8nDXRF*z+P^m zgxfIGimO5D*ghyMEY`OOKwA%UOM+nx>$tG_#*h3SzD8_q(SBeDdBOsBAQFRR&8X1P zjG3i&hsrRrv4L_(Efx0h3GdqPa<$Zi3)uq2ti;Fl9J^Wl;zEiJSH;Wg<}(HGjmWP^i%Gej0P)4-+>Z?my0tLbT;}5d zAO%hZsPLjnU9!AbL#SHRp@u?pC6Uxf3oQ_l5TWn_c;eTuo+&9@67b*xo<*vKshut$ zDuh*1N5?Y39rz?pm@>Jy3}9tdJ99MBW6-4!bb)J`l7JWhhpu#3#uBN-GHaxxC})h` zMPJ|kUN>e|(4Lgh;6ZcJ37w#%CA@`@@ex{wU3fI>MVb&l;jITVnMb)8Yj(G-jTz|_J_`neXxrUX$S=eRYdS+NWVPq0I}Y_n4Fh6im= zL>_1;E7aprG)mscLr}qn=6n1!xr>>r({KN?H%QApXXw?+b=DBBi-|cVR4b(l&uJiK z`HGoOX*qWl&<6tmi%3tDEQ3mlr^YMQaa4mVgUXl8dds@}pC-9PI2+W(n}}(i{3N%b z*Rf2rkES&(6y2Ho$d_g!bxL_iVLgJAsYz63x;U-{El}9O%8lVE*MwTK9zUoK52x4bvn?v7P-y2W-WEWn3t8@REPrT zfh!wgTJ#1+UXA1zol_ZpOEWefz_HxH7xp@w+?CZ^H)ZOzsj^vu21rvo?s>Rw;b`||X@VDI!J?DOKd zxp4TcicNbnl#G?6qQ{kky=%}Bk##5?O*}@TdZYM&KN{y~(W1#AgQ-AR+J;0~3R$M} z99cvr$ncxrxT@+b)!0tARb0)sx9jo1-P;V6ZU#*@Cz90ZCMhCbW3h^>E7eaZQ*A_d z<=01RS#-yoF)V#af_D!DSGan!a(Ha-;lzWe2rKw_)pLdhOa}?$RiIQIk0%yPE)+*2 zga+YkM>sp|rbrF5S3Ysq1+#>qxfhjehx}ndU1PPCRo!McXSICM+#!e$*##V4(nCAa zSJ9lTKy!kie(1BCSdDAeLl>;3c!d&8lj8w4i4@1S86!HZp~VGq(I7Nk_&>t`1^f%= z;V}HdZo~hyXyxqwb$^kolw^lIJa*&*p zi>ub)hwGv@IX%I3H-BfGIb9N6-ghiW*=9&&B8+x5urcjBdZxi)r;D z5;mIz7x?8ky#r5@Y*S0g6}xk)&scm=Ho(|p&=so_c414{wdMO8BfiUv-*ol`aN1 zivPY<28Clnur8#*tX;)SK!0w74b~#`YA5fJI|PVKK#~#dfSIV1u@c&$(G&^tk!t{* zE7C3+BMqY2R$u7EmFOQUAR8M${&94XsO@`TJ0LbmjM#GkY^I#P?_3B}{A_H;c*-(# zY_Uw+U2&8^bup++6{qOAj1LZM!buPa(TQ9I3Xw0GmlRjhKq_Zt7ABf*UDC9`!rN)p zdg80E18E^t)~~i0*>JwIS`BOUJNLxtl-mqq+_!PW*dA8Pv(Lq?9WnW|m1}(-hz%rZ zT1^Dxx6+cLa=}`oIJm{ofHiZhG*izIWkT#w|t*#76Cb7j7Ht! zOOhQRnino@%?{53*o2o?TKB}@pL5lGaiz(U55Stl5)QMXh;s%a*^Wz`coI`YGn||D zh2PCiV_VkA5*7wzfIJZ`vQ#+7w91~Y!N|=O0HmSNh89WQ7ci9Ek!TTT1k0qhWt9dP|`;HH^Aue7xYFBae>CB?E;7$(STRU?@z^Ye{ zzA@{b3fO`>waoh9q~X>aKb#>3QI0JdJy^4VC|6j_#T+%(g{Vlz6V4&ZU1P3iJdND| zv-KyVhE1A6-~Hy7Uvocl!@!62xWMM{eMBdwEt;`|rz7DtCRc&i0-$ z+IwnQf@jc`Bo&q(nN`J}plR5q5J$4@jGbF|m127qUPpUH&pT<0MH-;fDDU{KS6S8i z>zi|_4M34$Q^X4V0J8_xPhc=BKiv7wr}eTC!| zLheSNPUU@YI`rI}uN-bcTjMPa*f{lPy8})0Y1u+;LM*0v<;ETd9(hL<_4J53j`6^? z^y#o~?^L6(j}Jck*9r8~>CxOm|20Exj50@1Z>)TTfm`gbBVf=Uiy>1?a{T9M3eUJJ zsv&OF8$W%Hac8r;(s^uvtq&;ExTB6fE;P2RUeBvNod5;XPK}|pze|Pta*QzS34<6V zBMTxP-v(%`u(QS8U3N1tFWGo4StB-T!?WUTo^l}=RB33u(!I$|l?YWT>c4d2DEEMZ zIDI?CPX-e|7DGqO1l5BT{^W%}Axgu)b^$P%QS%k#AbIy#?`hm{c(2Rto6Y9jdyB2q=XTvy6Y%4#aX1Z#Z zO{Q_1{#qkSZkt#dmvQO?-8T?dLwC=~8#b1yw(bpCe~n?D5LScUK7z@HNNX#7Fu9cb z;e!N@Z(Mi1=XQZu1hA4`bhQ8l^b*56bK)|PgYhTwciWymTno@Z^8Jb(AWeTV&fC%v zI~CZw5FIm_&ob834Gb3Vm7zk4U_u}WAewf$fjxSmMJ7Wa^--w|Iul#2m3~kT0>`ran}3I12-3nO z{KkJp!~6C%wtOY7t@;8mjtrZOl{|^@UA*vY;6I$OH0vy*#}}fAG4WfS#Q z%oTlgS;jq2@VlWDxI2LnXmQaN3Ssd_(iCMB{)W`4^|)F+E;^VxIGi zG!X(6u3FL)>5lXdr)%D5ibR4x@iP*F)TD!ZR83mU_2<&OS!PPp{T#W zE%=N?b-mJ0y+8put@XC1PC+X^r%ZUEWW*eRl1#MI5wov^WT#Z5lKg69zfB-=tIdcd;65+zby_9RGGc71qFyeHV{ zpDkajzKZ@(UXHzm6>-d2rNZYM){9AQ^Z?7si6 zLe}iN&xF_Hd&=~a@VL0E=6zzJ%MXV0YLe74SVwh`aoI5`QHo*-MqY=~6pPiFBUu%$ zc}$GSG91BDp!VAV>ymxKKZZyb-3~W5Q6P^0H=m2u6cvNfYwC5m4VN0V@#Q&o<0mm< z^);=PuUEWUv~w{y>0r?n01A5%w?x&aYUkPH|F`kS{B+u(3-}6mYvmlcZtjG;^Um0Lt&u1b!k9 z`MX+|9`bK3$08;1ZnyeC2!UC5KOb?1nP7n6BUrdmg~nO=5FZ-oh5g9)Iyhm^0A6qLVEh-n{lYKmnSwG|}u;ojkkqXjF%4f#@GZA!Uq zxC5v3JOaQ}uojfbkS*$TWHNU@aBb_Y9{x)Ea(N*jWlq1?^d0%}#KQkaCH zWfj0%1wR-~o*gSz6GXeRe&6EoFtC} z_JQCGgmgIVu%6T(tl{hIU0ku&QAcvjBce2z{wB)<(RlGO$?6GdG)fWK$VwH!$PW-wl(#WKE$eg*mcJltDX*UU*VAtRBPq}g0ZDkXZ@KVo z`JV15bKlxA1~=X|I|fPKHe2|kygA_wULe35ZyTc|uR5gsO~00pmG>3iG2dDkm-JRZ zj_=_f^HNd(6XIi2fGz(ePBwIA3~#(z%A@M|CI`7e7=M)>j7$woa4bURT=^8g#s2^$^(oTPX9i?dPHS(_)?HweX@1pd)j z@@e>Bh?XY$U7~3k+TIYYS}S=^nJ6?7xdkxUA%YbQGfv+KG--uO#A-$=(ngX*ppmcI zqW!H7L-Ve)ESqQ!4&6n6sUgwyF2$an2@)$9Y3Uz2H44aSZrAL%13jay$s)=wVJ>=$ z=pAw$j`yxIXv#Q%&}1XJpw4BBIV_pHf;Je1FYI@!dO~~Qp#%lZvCb7YIs|(ndqcc0 zkkOhIlHSD7o%t#k&{LFT_V59ML>h}Gi+l{C`C(`Uw8|}OcBhcrjO@Iv=&Ob1Cidoj z^e&^{8tJni`80Z>ZaBkS<=PsmyvGinHA;`g&9&yNF$vpfiKgx1cbjy)#AC+yF-5~ywoB>#B_`DP*x3W(9dL_<5wrC^216QoP z^pAhe>SCtxpB}1n-gcMu-X-FRF~o5Z_aO-DHhchqq0pVAvRX9uNjj-r7G@D9AO$Fz zxjMqXRa>2avigK=Opfv&X*sc!)LL~t6QllkF4Z%*`CESDDozE!d1I7!86);4J4sgC zitkQEAB*+cAp8W4Ak87Wb&Lu&~)l;I)bGykmKJp(KW*8>u zBt|{r=xn+XRziler>e{iOZMdFdKPUG4$!x`Q6pFF`d5A3gY$>l0D^##h(%h5eg@%A z2%>27gEkD?Qzn3Ebas&wEyKpKVPFgukB%rZC5L%F#KiX|=iw!6iYQEQ?Kv`xHh%1J z#D|IFfs2Zq;tY?0UAI(HU@O>C3>Ql@9SYJ0(wY$x&#s)=!=4E|i@hb*5ZW4%tun)M znrK}Jz0z)$2a}_35bFzb7{=y^vOaTiODyOJzA@*7+ws<@MUykOIF+HhF;kdPr(rK{ zN8y0~P0&!LC>vs9?P+ixwrQEg#GWZ4k&h_sxE-P=O0p}ZFMwzk4<<74wqL%k_<%zN zlP%ZqI3;j#a}IYmCOjr*BwXr+OeD+dNhfGwj#{SpENsYxE?&jVh9q?T0!AO@a4Awo z$r8Eo)HC8b#Y00N%n+PgnK>>;@y)2G6Pun1wG4b^@Y0yXSY&TWFwepvfmw%73Whtu z(}=r~W?BP{3gpLL0v$R95KB$qH9!uo*4E8GW+Sh`P~t*tNLwWaSaU^yyHjZ>h^LkT zWU5&-Q56A0j1@iPBo84H;g4iF1ji79FhEiPt3ku&SF8nOVWYCqK8Euvz^2$|GEh*{_>xO$H@FZK zh!R8!F}7G7=pB~-xd&etbCFf=Aq~0CN_NV^nw(S>a!Y zuTPzr#^kVDPFt8K;p8Pdp~<^!iUDF}7#LOB$9L2zkF0Q>gjS}QS|*3{4eTjcMb59r zy9q9gRN1`{YB(0mf?|V>Z~pS-fPVxOrSMLX~xb1{vuf^P+fghtVS; zUO{?ji&#SVF?3MJqI+D%ZB4VW{7;D!&mw$;kSCxt6@rY=EJ$Wa{A=UPbW~lo@9`T* z2bK!s?PY%l6kX+I76wvOX+uwPy}OczqfqDhh+m01VEKv~9od~=mex;xa3d{0Q$Ie`oIv#7ygFaOfd zqIMeOLXMGqB{t~*I$XHs&)b@vW<|moEo{li6ex`upfB+U4vy0c(m2J-=GA+zlp$CU zB;E9i;lnAWtNd7(!rfpD5(TQ?RmI=NpZ=&-t$+jEu@DR{ZpXg+-JhLZ$QS>dE%W+< zU@Ctd{9w@e`VM`D0EOoH1vY@q%<4dXz5*`Phw*P{q1@R)BQI*) zW!gLN-@z>)uCDoBfY(4TE?Qc&5LZz`YcdcFGs7XaBSm%?+Zr?gI>ax>qh$gi;BkSg z1N_G#*q2V=QWL5^ARmrH6@CVUz+5)+l)1qPpTCxVh@?~&&1^OL3LNANpva5ohA9p( z20B~MV~m4L0g}_ut1Sh)#Ne%V0IodoaF*75b6L@IF!efxNblUbJ zRIf>a4ZT=nMtGmOL47fwpNAgp(ZGG#=NY|KkTi?MT)R zv=>z-nirL&V$tI|2l~S(ra-jwGH!pA$kNF?^Q5D7mp!whhXkQb<7-I^5VTBsSx3-+ zWUb3(slt(nFOK9xYE>EO>@*mJUXu|6k7VcsQo?)YV-vg~g3E0_Uv*QC4Oq#7i5zuu z@nLJQ_{(I6UVO~j)dKHaDY_=^HUgq#h?VI=tat!C*7Pu>OrReU0<$6(Xq7mu7+SKb zN&1rm0pa?w9ahE_jVV8katP#-@pQ7aDtHlq6FxOk%QWVb1SYzl`-O?DLSdko(fXks zae64&>5v4j*11?G&?|BVf3Qq^)ZmU^j*px}Z?2PofU`9|=8ph&m^`EP zFWWO9P6^0Ua56769ad?UdJryjiqkdL%9XL!%LuR9s6;rsz((~?BQop#Gtnv2@8-k) z@es{^U#>SE{7~ANwX8lXDSd)3K!?Vl&XFOq3a-#u?y#!L_m|OPD_ld_fcgYI=dVUL zx%_4gt&wP!ppDIXfhb*pnovtbU;N0UTfc`F&6~$gD|0WaaiG!B_l9F!=V9<2aesN+ z(eD=_dW-&UQ09+8hKQdc^a(#00;s-K8<$jguKcagr<)$#|J@N(2manLtTDC&AT)Nz zd5eBE4(t8N_v6)b-FLL*Z+X$&7T^9yS3`e!Xn9gepame3vrCFqM7}E&Bl#7urO-&n z-!bVy8pw4c|Mqv;i{YQfs*m&U{3ps&@p#|YUcdSF`+8;g|L(^(-#USCOVCW@=rcwh z33S-#>P^8+Yc}!@L^U_7J-x%u=au*oMBqK24$CWwFzjgbH9Nb3BRC(7=FdbLE5qa&xE_f-ME2!Y+%jX z52)SY#$#WFI-+nwHb;vFtJkb3A*;4yCHIojj>K{Bxl-uDB|U_hPY8u_fnP9LMR(V- zmy4}s>yxoExos4HC>908mwlT^UX`X}t~J{D;4dZU$u&+tr}+$`5;!C#$CF6jVHYsK zn`>d=<+K{7iO76SRJO?58yL2K)*4V&dDiMyn=xCmJ46X^q1P z*i#@I;DrG3jhRK<2KU?xX_1xt@)`Rhe{~femJ0;SM-fi_1Wg&bqKp8NKHJ2C3Q}*W!T+s8}gq>&e2m~tZtXZrL8iWPpmyQSw;O5r6 zZSnwH0K`(tb1{t?4C6QFUW42wJ}HLZQ`Aam#h;K(APq+&@FBd0sY>PAEEW3(^guJt z0IB=!DiMedw-Bb{1R0{96_$de4+SKevsJ`=(7T}&EAB!kSnT6r9sV8(ZL zhoB>4E=qUVGH8}bXq84Xlmu={r6NYx*u+!FmKYeZxNwP`Zj5!(mZP{}ru3DEzj%6? z+oc=ug_a#cOOOYd~Akl9{K}#{9&K1yzY`(w7h|vprYhrqr|f7B{DpKL&Zgu zI|)70V)*D0RA(xRA|cbV@Zhm@^`&3Aai)|8zQVgKu$wzlRh!_R!~oZ&jhc27X=IFk zh4^G%8*FBpOnLc?Ppnn5j;8|d5>Dz;K7Ak@5Lt=>jvh|`BX^b`dd#uFh3MFf}h+#&Df zSNNvbPltT+Ro~uk8(sxR>Ul5!WsA`Z+&D}p!q`eet7Q@UD=}`7@F_g*9iu8Z7-tUI zSYv96d>;*MjnNI)_i43K4-snFad#bQ<%!SQkyYXB|7`dk{Zj8V0M zYQmIKBlTY!n=jv(PNee;l+UHYT9Cq|PRfYUY8u1zH@xhQs37MX1DecimKje|_zy0T zFpjxcJi^0A(1ls1N@iGete6h!iECfy-x#Qav!85}T}H=KwMDa3`a(O_VLsLV@KhKm z{~dYx;IgW^nq?A?7lm@Yr?TI#hnGSUyhgjughO>J?xVv9%;%YU9+RTQJfH@9LlC0! z;az|-a)i7BF>RZ4LD%ZD_6erA8Y+_;l^Zi0Y4cT8=bV-ckw<2(dP!E3MzqU!N9E|l z!NM#5=ev`siFml=AFAe4LA%(^tHy$_xCQlrf!xFWl3?QY3 z4do73?fvRO(5;+9#{3VEk=g8K8zal(l&eZugHzl`Jj_dZE3#$pxq37NsJ@O z?wDP8Rv>CY*;&(v8o#=jO|5x3;lXr0^5t9J+r!d(%mSP>5VEM`6d zRP7xb@5?Uh`cG_Yj&A(#2x4j-++)tn5+(8JEL=SQ&i2&VT61B^oi8;?03YbTWM**# zi;en&J=}j5HQLYPf)*hqqT4xRp|SGhMM8ow)h@3b4|PI3$l;^b@7_17pIlj7#l5G| zI9nUk7u;LklyfZ}q+cQyWbhz}=c$Scg5SL_>G{*OI@b1w>-9(BG4O=1?!SmemboWY z8{dm(w0e8+nfcf4s~-$VwL3Kh9@G9{n484<B0heAH$7 z4I#v6CME+8=WvW@Gf^Saf_Z%b9WA(pI!;CNtt5Wpk=JL37H*U#z9RtPxeK5OOBy44 z>W$76(NzZ*_aV)LY_W$_*$DBX(H0iD81$IFO{zf)<$(HNo^tmoEqi;-H9T6f1xcPm zavU5k&c`Imr88bmLldZyB%oFGs8%=rypZi3r@Wr&pKb5 znCPfzRbhw>TvFJmjZ->pIH|rW(tDB6C)gQx(ofc`Ug2s4hZ#P*h_b@j2)R~-nWYy+ z4H$_=4($ZRLXp_?Hd6e+wh-&QB@h(BTs77QL-V6a6arL)w|#NTcJsHg=={B|IX}MZ zJ8_p+(Hlv`GftlKcZ6j92HA5Y70)<}&J_orm)D8Kk}Nu#Akbzpw`@8aJ-Yw95vePY zUDti=yE6J*$;Go-%BMCP^Qm!T$jMWbvKiNP{%^#G6+MzBeUB_U1ZF+)^6PJURAZvYLsj2=}(*ix~MtW0feO> z2J3=JM25pRM|sWxTSs#7PmVHzUr=O52F-B5ZZ&yCK20DK*mx;nx(1i)-F#o1l~{)Y zj#?S2^dj!on$13sqoYTh_F?+BbkvSTJjOdj-@!SN> zHCI&!$K#Pl?!jgqC}b!K@+jqCS6resx|AfFK&^g2_VzSBCoX2DOTdIW*{wmyzAb7H zh!Z+;4rYhrHkvGUCpKJwyUd-H8JwBgDn4%IQ>64gOsJ)ORuu-v-YL9sWsYmir9Qj2 z{)*kK6Qm~S3>uVy2qfB9f>@~(3gluAV~23S$ZjB{p%u)>{*OetL~xK?9UGi<0JIiJ zm*O-Mtbx4;*lV{sPc+B)$yomjC^CJ_>34)Vta@PX5 z6Eqqh{1}*`OTsYEp*ctpY@otOhRGK6=RS%2sWe$z=B{p+_Yjd zP}IV5!Sje^`mbMfeBF(ckh#Fosc9JA|;Kcn_f`7V$DR}N2T`;?BTsy_L4WJa&JJ)RZ8il)$F}j#sFtovz zLa4s-KRqQ966IX!JXCQq1vCZ$T5Q_jz~Lqky}?9gk3j7O_$-ILyv*?fH=%2o-4%m= zuP0GMy%GL+bzKQ`iPOpT^kl;Zbdw0C1PWvEqH5ORMC$^4I&e`1fDnw(b9b1&eA7=0 zZE2dAn9xsjK6yZVS4JI85GMzIXT&5=s9eRLfC(7&f`M`?2tq73757qdAu0?vd!@@S zT@}ly0jcCZ5aAXn*T>`o(4z^Wy}^Q3tZ)EeLI=zbsx7mUfLRI%a{#Ahl`h-XIT;hN zaRs_neO1CO4V&aC|j(3dc>e89(v=qJ_~FC z5X27yH;31iuc;R*K@i~}{_`r0h2jAd0fv<;Vg|c#?{(N^1=LVjz#zkxk_jQ*MLG?D zb!Tj#N17RK-16;k7w>4Vc=QOVc~5?2USs*X82VM4JLsW{>0#x$#3LHbTP-Ap4xhju zVA)O|gm5{hi~*`3`v6x|LbWo6v^3bb=C<8J4UAU2`yi9yUR_{N(kt3uydbxWUNQ@% zas_v$;yeY>Qit*56)#2}(xJX0D8YiwFK~#CcprJSSN`aBsDknj*@0tJ6+TV5A%Qw_ zqg0}#F9N0EOeE#v6a~w3cj)AN=8X_X2^Y{zeQSZOpzQZKq-+!#z*-!2-lZml{1oLd za;l&X$~1M;Vd3+FI6yX1Ab_rcI>kd^C_^>IN^hDrslky2Q7;2P6G&%bc;+owSpLR; zwtJaRsRJm(D zvBQX8)b0CAO)S+m>8L~THKide1mfG{dQPn6Heqf-iEsezv9PgIqVWTPbCD2ukFa}c zkS00Jj1uoG$ds|wh+1)gtmBErN_+$#qthWIJZYnCLbX!*;yu$MbtsjM1!`1MBOi;w zN-^}u3 zzN0<@rJ^kDrgy0%Pk@aM5!|dNbc0JZ12^d9IVh0?9V5TnxE*n{5lYRT5aBG@ZS>Pm z{3$iTHOUZ5td0jI-VK!4lQnosPbdv3;3V3^(yZ|c9+gW2y|=Qv!m8G+9~oNa0Yt@M zp>qrpyJj>TjaYl2>OHyVlp7zyLon#=%XPUyfEvgYGDyq?i zN`8X#&Hw6`{x`{bLj|6^<{G zJm<<432q#lC5!DW*NWFxaWYt0GAI{lk^jP7fENExRdq&7KNTo)81H47K2`$}dOD1g zeeH0kyVQQ;ZWCW`tfeq0RV&W^mJTj!meI)HSF7an?!D26#nEVh=SB3y7!%S01!%kf z-mJ#ka{)&~#PtQ%X~tT?v1@e!u8fGh>rf`b+TE3BL07#jl9kw%}6zAkQ5+2UPk z=g*}X-(H%?*CTh0=9UjQ!Kv-p)@WbcyEnQIoCv~m4W2B9mX34DV8?UPo5Z>mhB7V~ zmF-LJ&Hs99*3S0i>x-G6XXS17`r=()=O;fnK~mymYMYx(U6n3OprSbQ_;i2{j9aPn zG{665EC?J-NC0_;60m%SlIvN|+1h;73lPi9( zjA=i6CM5kyMCF453=uqY02AXbBorj)i~%YaP~!vfU*EHp)L41*5Jwu=kis?V%4rH< zr7eIWm;q#K;xa&xr;QCfgg^x65hw)02zX2b^w}M7x8bqlqC#=Q4#`oT(UqR_m%ajf0+iaN$<$4?9O>B+dcu? z#TIB%bC0s7u4;V96QPSFzwr`kgJ{QK` z?=HGYtGXrm0RXQgvDVo5Rm9zZ3E*c0#M905y9<$$17+p>6j%fhSDllR-&Q~)AwCIu z(gP&^dF+1d=jsIHo8UUu-(38LP=o=U@K*2udi1Cd41BU1doR7|kB_W$StSGyD)RB5 zxZo>fT@d{OqpsN9DWcL?Kz5FQU@aN37ZAC~08A77nqXQI{IK0DFp?}6qT}NK298vd zeFGdk({PMbu|>~*uU6p;|I+>^MU>iiC0_mqfs8g$ngZ+jtM$1e@iLH6k?r`V@5tlx z-O$q}+B3JA#b*mj?!g}y5n7Wl;(*ZSTq;HCVCh9?CfLpySU?k2octUxn2s=5n(Ci%8RwHSc0hyMe|Rnr?BRD zDOr71m7;o<>6tTNY*t^5&@(|n@==Z^4ZU(K0&%ARd%$?dbm-d}>rG6Ql-*%*n`pAY z$$qBwxy7roJ2;C+ZMAx-leCjiTVeVJOb5@o7FmyO>|#Z*&F2>GYl6=7z+fcVL^vZ4{cQ?J;Qg=VvWS4H&vQP zgBY|x>{m>IfC>d+q}7%+V_)OMPvoz`F?fJ&2)viF?i3zx^fb0qjPBo@Ex1DLvcjA$ zK5-rWC0dJtam(YDew1Z?W8%&;UCILK@t;6myFTi zdJ`7iajKGDSU+9!!;ydiv5I4=*K9Q{14|?+p#@f=lOC$GHRQKy6x@U%gD;tbo~kuE z`kXz?5o*#F9?7it(q~#$*L1bkezyn9aA0}4T|;qKUJc7oD!?zNMmxpE!Q_i!O`4<` zVcEHN@?W3$)`v>OZk3agFkFija8Sss-%oR^%jv2f_&J*4eZu3@;Xg3;5`<-cUx zUt?&ZlhRoYbFd^+AhsX3NU?10Ff&IoE~x`5Bw_>Rqo#B#Xa);8%>&vXA}pXI((F_K zB}`zk*OzrVns=T<>A1u3w)m7ZYy6BYmH>RP zQIw2-klt~*VT$pie5e2Ozkw{xII}Hl$$@u$?dx=l76gYWMlAr&Zk4K;CJbNQY1ZU3 z-gN+sR`a@?&WqoVrp-~T;2aOTOe!)$IO~L+9?Mzaq#f4AEB`*3>C9%etMVtiFv_ZwR2l_G7q|*igD?0JQhDWoSX;RY=X2l#rg)z~4`s>Wl++`Uvk?#n(I`?x zd*h39QXmbXzfuTYVZlK#R?0=MfHUJ2s6?v;hz}09Z6Tvjq#BS z`QB2DQU1Q;5LJzq7aN#+Ji6{iRYgcS7qW-f=gR~ z?F#LyhL5T*ml7 zWqHJ`!^NGfEr=XlT4T*{B*8P2#CpVKT7uq;8-8WU7C$-;jASLzT4rtj!v8XPzXoN_ zaNs6lh%h@=gMbWRO!DHWMd;LnHM*22{Erfk{!ajCks{`Loyjk8?U6b#FX8WMk*CZu9;n;-B)t!5iB6J|3D^ z?ImK6$uCqmn!s4v?H8eY2pQ04(4q)2_vc_t=}PR&aG(T+5#$ z9eJ6s#!r;htQsyMxtUC9=?y5EilzP@YidY9IogXm%%yoO|KLp45X>f~Zway{&gG0Z zJt@v^zi8_Rx;l8S9Nq>H)o|5-xq@$8$FTFIR@5Blc;+oL3<6cIgg;Ulyu7wY5+LI@ z6Z!(6jNNWpWI!g-=@y|ogT<`g{LdwFnGul*Fu3WCW5L9-vZ+{pwff!Rko0ctgbjlt zFbrI#Z{r&w#@yy#WwL^I-|BI4*S+|MUn63qg3Hmt#6Gp%YW}GlGBudqR(rpmGVW6N zn)&D{PBaM1LDc@Nl?AhQ0|a4eZ$ffnGV$oioi6Z&`1G*$iHlXIN0Q^RvOal~f_j+3 zvu#};bPjY2oM-xY~mKfHscC$T_1gF6zO$T^#*a_957R+M{q;h?&$;$$*OlnxiLKZNfE zsG}rc5gNHbPBUT&Z+z`PHTAw@vB@U<=AYAntzPHPmnK-PYBe`WtfXi4_#eYu1vQwt zBAjWINE;;rXMH<5LRW&e&JPZ7&qMyHX`XJ6)r3Sxk&L#FwaoEKPhyrESwN(tV>dP zV&M2&0I@hgsF$IwkfpPl#JL1F-9~6RUM}s&EY&Nh1;oJR8c$L3=tPNMVgtx}Lee5h zCYgOh>%YIBqgHFBF3vWhun>HQa0e0RKP-ZJiKUG_kHV}Snu@*$b1?Oa1XvjkTUEE& z5c&XUiT==pOh6*-^Qge0MlqTamFH3zd$erIJ`0s3%~lggh(OJa-)OP2sHHk|M!M6>$_{fF0IbYWWn5P-BdTGrO=yH%)50&%1eZUE3KwT^ zj5Ik}LVzxEnw&bs)B=Z)St{%g1%zi{K0^J7iptrQ1JzuyZt=m;a2C3(?aS9(Ws=zR z@T~7q+}z%sL7lR!>uXFlC%K2yGicWHU8yNpR?^(PI~^`1Hx1ESn^%B1q&T}p1D@!_ zKb=hv-8C+xJdrf;sY#Of$aCE|0M7$q7?i@BpfFGqCt4975ls(Gzl*`MYWHpHn;)=E z;?+Aqe@0tFJY|@@J7qp!Fv6=G#m!bR8rd8xR3_<)#f658Pzzkl6gH#gP$W1W%80K^ zUrh#P$iAJ+L=tQxog~NI-H8I`2IR4f?6Kza%6;2kPTYTZ2X|jU8;~%qfooBS=TdC} zf~0OA@gOh?^Y=mdMSNe7$KWGMe&QxRn$tC!d4Y1n$sgcn31l%*cX)NV;8W!fr z6p>$etCiSTwm$M7o$ncn_4a?L+C5$-+un}%N$QI+q{9l zEC0ehm;+U(%J~MH|3tctANl5M(tJ4Q=dJurcV?RpquEJ0vu5+2suOQsym>RP8@~aQ z{kM8>1o?_}9NQGTXP`TCh2bKWsg=kB%_FV3Dk)5|*qrl@#RGJXhzt>Rr%F0RX?|4e z9979$aYKTTimS`5+45sVI#hPx%!a8pR>}zaM`dB#812Ba-l#19_f|kf5PGo>NMQ zi|+$vg3(}Riw#B1NWtbZKcRSJPTs}NS5+V|l8M(m zcMZ){ND-$;;|l?WDwVpMVq?wTr@%23@X5{&qe z>)brQ{DUNHUqGW}T(R}Acc2-}*nC*ez)Msl4lO(iGoCJJ=bR?!05W4X4rwFpvepP6 zbo`i!{4Udb&*4AC6SL9!^FOLU60@#x)BmX@dS3k*Rk{McP>vqKl34 z>O=EGQ8ueXkMU-?pzVz*O>4Qug$^p`nxZ#yH)Y5_Zn-iB{5pON>=(8J z7*oz>yNj|DeielZ+D*VI_?6WNDFUtr(HfJcDDFxj#YpS_uYjU;*4sYw+D)p-En)`K z%A&<_Aw8sdLM|3qku#b$&^&Xc(4t4QliPUSd@$*s{rA#uELQF>>MV-n?(rZnhH^ce zA@?NwaZ%w=$=sm=`H@$78I2i3gCyU7cDgo;B=sT{Hx9Qe_lXDGM34&pA>DZMA-@NcG zdl|zBW@UJ^3*A8vkWnO;)UH+li>z*m0*+zAXajaANqC8w#P1@jL9VJyOQB0qaO$i< zm_dPfgET3qmm4iVUlI8#4{xr)>Ro8TQi5bwpp0Mu6yxayyhN~*G}K{wB004p_^06x ztL}hRH4cm47|C zBA5(>#J~{i6(gtkW7IO~z03l}P5xiW-oovC!Y(Mjq_)BZ4vP&|7!cj-VAS!3tK_Ti zc=(_3U#qK=>7k>+g|fE%9hz92B*kvu2?By`ze0Xh!W?MkEa_d`rkcfdB6E{{oJ`bS z$=uzmB~sjKroc$&vFXV zNTnZcly+(k@R_fZA4VIgip}$x7dtp?yO71=O2E{_I zu*Z*L$%x5T7E?=GORn6;QwrQ55`(!nAPEtJFAxAC>&ZVhbA)>!wn|P0xBpJ7F)6xL#Tq`x=dFH z>p9IHWyqe3(_Cffd(2KOL0B@5VOo;@#9n6>Q=F?P1^ajfX;jD%p{%Gj1=U4znB4lc z|3TmvcBCLhuPf=63j_X;2 z)wo)!gh30^LQH{B1lOS)`M>c)Pc(ZF6z_tvu}Fp7Uae7yV3PFA{CZ;gi%$KjB{(i_L$` zZ}IgoBrd)rlb6pOz447N6W;+Pm&(g6tm1U>hMnUU-R;W9r;ZYwJ0bS86Gw%GE!K2U zc1~mDe{{)R`_+$fWEaPFbrOyPC)|icz=Xm4JlDvvJ95X`Jrbx-Zfz)oB`djhaqXx- zy#QsP4z37ze8|!49t^*+mPiJ|LpU4*4>ctz3#8{H9!J7bTqq74+P5vNZH912h9-0a z&M{*9UJ_cKj@EkrFLmz&W=VP1`Bt4dGc*H9P93T{m3A_mYEF~Jh)fgExvMc%XR4tg zLpa^^V28wH8fOf~T!AQSu3qKTbam(nO_*^}xvokk3t8@NA`rd%;GbtREyD&Cg)u?L z-Oa+ptQYm!P0vi!S)VBP^ZmV5=bQnqch_g1$LCg`K7Xp}ec#{v`~UmBe+n;Z8T@&N zI;7zgi5tx+lVo`ft+~jQ1eiS2B#4Acch~ck`o>cS_O3!m7iz=el-8EEGiH-$ z0&SETA$aB;Eu`L#N#7}2E^>l@wwqI#`vAyVRM>9Yt^mGQmMD;!@Pq&Cf`6RY`m3Fx zEk$0lCWRB1{*UfU@;{}L*KBTb{pnwMw8a<(*mT+kTysE+p+gJNL{uV+!m z2)@gDQp;c`H7_W6)|I|;wE16>ii^n66E246uY7+EuMjg1vSPqy69VDcbpcJKgZzY-6E@TE zQi22Fs?GV(B=r74z*fg^N%M97nKe<*u?d8KMYeJBOO13vI~a7CH`S2~!}Cu9LBX`P zjCr65JCX?mF9^NF?^g+?ot4xXUADuZWyIcfFqPB#LH0Lbo&`z5ECAmM6DQfrWD6OE zYv(2!Hh|%IbE=FXw1hudOj^=}4Zar`Fse^EnX&w&b`0n4dP_ub25jge*^%bo{L%?X zx`5-yfKWQk{fdjbop`do)R@0@Cb;R+e6%j{r?W}DU>%hg$isyDsDd%#0=1!ws#k+( z;ILE6XpVdV6L)!VL5V092vVtlL^#aH-N_-a+7tB=&M;P?eHldn1wegAnATFcsrtd9Kk!T*| z3G2beQVOF52PDs^!yWdm`l(pfPUmXe-o)$AT@DYo6LC)rK8fa2&`)n-s9_8XF%(M) z)f}18v)*>S$$=ZB0*(69Hp(;69wccw6R_7G|K0@J|(zz}az zoaOu$N2tM)*RUicBiR0jOUd7S^Do$ZgWV3iv<)x~KWuDL^bmUC%4QH%7r+n|i^N5} zSBK7(2drSY=U$*?>x)_rH5?i4|HRf7J|de>I8fOi0@np;ga$!TR%mtiyHZsv(sFP! ziU--lOJJABqR1?Hq?Ie|U9j(m4+zaLl=>6m?6k>Dpp0Vn$Qd*=+u_hssuVQ`dq$TXGIe<_! zqe?gm+Gn0Z+e?ea*`NQC)WaN3n69ET*rCTy{4fdXVdH#wIfHG&(9eJ`Oj1@G*x`C> z9icCoI|*~hRZEYw3{Avg? znej564vln3*|syOQ1rvL`Z28*!Po2T=2qJJpA1Xns-HuVZ!9?Wbrz= zn0n2Gei}L*CKA$~9Wbsh3aywQV{pdvV z08w>(M^>LD)M!P2aMQ@l!c7EFUk<%JlRgU{yqR_{(<1FD-4s7-D6D! z>luBIoid2M)8xu5y$npWh|NhMWXEg}lnLr%HG=9X7U*5WlW%w|`BNIbUs*g5=jmjv zIJTqt&}TPgqYA2ngds+YhQ2cU6^1y z&9~}h`6;7R8?L5o@$^V?%O?p_K7raJ4MX!YBk|q4Hl=f6w|$q-C^K=oN2oQ_dN%6M zglc`%H?eVT!*u~|x(wJ1+N3V^W-o{jrNkhNk!K3{n8H3&fB2E>>ny2A=5O=b?Z)EN z{@TUgzA3$FmW_wDR1IeYf^|Jyx-owdbLkc2W8+m90P(3VO4h(UzNPS>i|)Im-^ zGh5&If#XT-YQIbK3GP_(=34i`AR!&Ha?68Az;%B2JEpsLIv{57)~`7iW{rKHd*QBspGFb~uK% zY!*SS3Zc7Y^~p+2+{bye>~1FdrLsz1&qrd3uJw!cWC{Z`M`G+GF~huxK3i&M`I?J#UL-$)P4y0!9D4h4Gr8ukm`p%{yVW2GsHOlWmaw z@speWal-2VKMh%boE&iZN5+^@=Sv$*`eNL5@mnR}xP0ruy!l#XZygXrn{y{|wK)86WVrgQ&xkG*d z*S5qu6_Ybk6TpprWSn{9mj6b89C%j+m}d=0-5 zrZX%Trd>!NZSTSvQ;j;+rm4;7XHjm+Bc{u09t2r1*ws`yC8L!*1j^^fw zwqGyoFQlnig6nLQ z;fXrvXx5hExchPX!6YgANq8T(y_OG)K`~;wXsdS_BLv<{Pru0vm?u2xU!Bb_%Adp? zYiC6}&+`J$ovdYMnX z@a+uVzY*V(93)fHy?9A$^;MwOez@HT=MQ-CWwngx>f7H3Hj*%{g`*?v{kPB@^V@9k z-{_>bw8J9Fg3fhM@$i&|#)ZjPcs{PL4b#r}#8Gf85r&I{Wh0jHR#XYK&oL@{lc zslf6%#Y!2`9aU{T21=P)j!(z~aGKfKPkc8Kh!5w%0YA;!6H+Vze~OxTn^UZyw1gc= znguYOqNzZQO_M4~HsPhina2Lcv*14o*$@HTUs-MG=AxCUlRBzKH#Ry<{-zOYNd3?NASe-m zI5$}@*5jfu89jT$Wv~Y;&o>7lDT<66Y6(k~HwWAg(XEPv5DGCzP|5?M;Zfusx@RUx zM^it^=0F@xk(bgvAculGUS*PGfHh$?@l4M3BfJXXGjSU_$Wi7E&F6{-X5X5JyIaQj@8A9Cn zHil~9zymjaa|;q79QmV7L;{I#GMTZE9Jb|_k;hV?oE;m*)2P!R!8$EvDKN03gD;ap zT`YmnS+3I~l9K52Z6tByM?e|p>GvHEu~M8BWT?+L9K~zlu>}hgDN2FC^a)_k3G6$I zW9)&O%%pqLg)}5dkgn``;L8i#%>y&T1%v=uO}Un43vq%g-;9qiu9Qt?G{~&|<~*~P z7CPMycUf*a#gb^AKYNlhNi&K*&@PH&?fh7r5i!h8F0##FduBckCbvv3e21!SFHF|6 zyE^q_dm_M^nY%uSgQdn?JTupvoDXMG9NGuEi;(9+)*c1` zNs!hjcL%kYTw^wwl2ce{k}hF}m>2I8f6_BHt)AV_!c`{n<6kX)wR>9ump7tYzWUJL zyh%H5?%RLSrhWVU?$T12+0>;G75;-XWZc19nA7Zkb~uJc*!KygEHouL+mF*&XBvT5JkqHbj{u{W?{A*^ z{mZ-iuKoZE=l9%o5o7ZUe7m;Oo8LF{=GwK{%*w*lzWw!krv9%lbw-}P`TMR87WeJ> zzJNvQqs35&79GqHlQF=$r`}kI8Fro~vA`ApduCYHJzDPucWv%Y5brWOn$*G!Bc}Ri zWLB|#p?H3&yY@(v$@ZV&j@V-?_Ku(3l+N6IQDfoGQNPVrk^Af2J$F&%3-1+3jI~aV z1JVtCI>!)hsPzCIS&hYGGsrds8uh!`3+H1JyHM^JDGM6fvj>-nXkT>+np-6THiv;{ zUf6sBaxP6qk{SOdU>s+3`Q!4gSnnNQ@xFSxkD>UR1dZF$~F89C8>%W4~XU z89V)+uP_3x83+3&F>E!a%X=@pwch=ToQcu%lZ60KX4t1xlMM=V9WM!)+9Xdz=PT}L z64Hwto@eO@cAc%IV4BPI7-J-Q8b1{N83>|D{!+8h7%Sc1=_Al;RxqIp}V{da0;JuW22YOJ;gqwfx+DG}GyTbvS7QF7R zm=hm%_1FdnY}7gY?A(XTJuy4ipMK$YwejL4)2AogACsyP?HKQ7GMH-yVL<+!;35lB z#X;dHQ8bxNe({_0v-VBD_}jHG(Y++Rs}oQIl`^8dzq4>@w!agyKw5v26hy52j+L(d z7G69BdF?R$Tz>!m{E(;ms-p*(7hg1+Ht~yQ(q`DkCvo$<;+Wh!!ZcJW zej@xH#t4_^Eh+Ltxgh|I7P{Ut7>WSYHg2R4?sa#93Z(Puc;%_&DQPN5mj7Jl|X2N5p}5t-bY>KLG%^gpi5K8U%FwHHjt6x5b-h154yHCBMOoJ2@Vy5y$j` zS_^0Q`JdB%_MBuDv8rkdYI>eUm(d3mI23|xoy4a`sbuW9XZwtI@(}yU$R9r`fYV> zM&KA@uR#{AHtPGbjENpCzm0ESJR8(<9&5U_Z8J^`=1CS5V|HV>i~X2>khSGUn=)=Z zZZ;{1kiuf2NV6kJnu7GO-OUrfo2S`&JMR%R0V(_e61SvuL{=LWxB{U`1ADEQY|K6; zJ#ifia>o&Cfxi_GbQ`@Bo>`{VefXTc{}@{WKD`4aRgRZVu1$c{ayISbK*D#Ih6 zEuuJeu}4aaLrla*2svnnkfh-_*Q-s|y%&o&Qn#6G=RRRkTK6N)S>Og)Ml7w1X#i^(D6f z25gKPpw6TwXp0^_RpXZcW{kH}a7o9zZaR-dgs!CJ8CaB!hIALkj=SxkACkVY`abI8 zBGqNW!(O%7^U178&aDZ~10H!L!kk*K_O2ggI!A3166Hc4M;ioOe_(E+>OAnNUo_uf zEz!}CVzEX%_QEBFFOsc^LXcTcZlRF_+)bUtr)-syQEubzAJ+vttcmK!-~UNT`th1$ zHAa}I&+)XTGy(APT*zg(bNk3CE6hz*?EKL8C=I@yR~`qpfBxVQ_Hh@Uy_9i9M^ z*PMM~g6+xn#)F&Ldy%_~MqHzn@-!T&_TKgDNCS&ixE@T59+~ixiR|-$yrX!$7$N^X z!d+a<8}WSbtz?Pn0uDv}IeD7YBp31$19Yx&5i5w`~)m2DJn`!I# zLt6?FGtZIvp5OAV(-2C_eR{qGD5sO|;A9=@O6m0=u1>-&{NgAo6!KlCHvam$?&>{2 zu8p@K_nq;PE5TE{BM5dBH_DtT$YDFnagfX933i&i)c|yt88Op7rR<1B@NI!)3Lf(~Q#%?=QPA#>Z6pn(aX+>^7mHK{ zvhIO47w(^UBW}Exuwa;$-WtOP-etcElX$yd29;Scxwy)GdP?chz+JxFkae{9Buy47 zY*&-x)1L+thhFC*dG^=V+&#b)_L{fRK3w6f8&kd^OlAjAHIjp@nPwF(=_9Az&6z`` z*P+vG?$bo*L{D|_Mki{N?VqP--}uvfNRLtgY2%<%)bgt1wP(bM?j{PjuqKo5oCRJz5PmLU8 zVrY&%kOc>O>*<^dyMr1~iA{r1HQ<);L3*&dgQi%RR->-$#wTiTp15M{fp}uyHQ5ie zugxy+bnYl-cNSk<-MzCo#1jkd4T?P69#6eF_$O>+_{78myQ%{3DL!&r`^McTCfeD@ z{>O{__}^3HS6;uBzpu#WCm!fr`uSUniO!#9&wTu`cbu2hB!Ni{{h71p45L}>d*fXc zpsYVSm6<45-=w}zKp^W}-FGG@VC zmYw*moolQud3JR@VuShSn*Ww~Iaq4$yy~qJKah9ITaR5<-*uw1`v(+Y*i`$P!?!}bUBA%TQCxnk*li%}el-Y3CvKf;cMR5J zmwn?u{vzAY!<9TlRl5zLg3F_q=Q%%EiaPH1DrV*y`dL3Y@3_|8j@Hd{F+IEMkMkvu zhboHw=BMI!z4uMy>+Hv{oh|d9jB*~)noGBo2m9TR`@GQ_?NVSMCIBa!(2QDrnW9kd z2#>X(NDC+_#ILFWw5)HtIzJ03f zJ^jtudjH-48jL*?-IK4S&#-F)x0GeMT(Ht3;-S*ie?Ms3&J$+FMpVf-zsgovzr}Vc zR6`s2)I&eAbvd1#eKcU-*674)fFkF73AcR2UVM_W(KwCq_yXF1tPWhB#+!Fn?~4xR z{yjM#>)gQRb3CTRT`ySH!!2xYQ{?0MNl^Sugk2mLQ&j!!(Oq_>ICJrH8)3-iBs`6! z7y}BSrQ!{$nT?_c0a^Xwfx7q6d9`>iBmq{4u7ia{tV>%v670>#Ue1q0PM-YqWr>fkt4!Sp7kMD9EdX1xsYW9(*EVpX7VlRV80O_1yJ5ujbnIm64SvBR@yIvB_o$ zIum%nweVexrzd#iXtZ`RU&_VqaArwQ&6o04rsAAu!w_?g`$mcqI{?*UbE9Uo21?zP zvYcuyUpv`rfu}gHuAEps{a=3sUW2LFZ=XFd`e>$}9vlSB-a&ds6Mg!r-_2G`_0UXT zbb=%I=%&vjaDpeJ>1g?|I9nL6uA6vAk6&Bo`G;d8yx4{ba`ZXP#GSpFV!x&H6`@o$ zaLKbmw$2ewPclMZwdd@B`nuhF?8p4^^fp`!@Bk};VqU6BhZSqV3lIvI&7I^Or6OtP zNuRz~@-=7So}N%3h~PBfs|xVIA8MX@=RSOnvDMtqTYxwyC^k9*bvY9ua09XJp){z| z=Oj%H7tmJhz+eiZYK5+upv9;D(*OFsKdylooSzABL0Wcu_YW zXq!GF=vxZ{pcd%7(B_UFz0&y`qw^#l@SuNx4!WL*tVMKDzg5#GNF$ZdNva?8MpCKn zLo>loyL_vfz&(TTC|8=%`9a&lH2oNFUZ_2W4x)4p@^tVMC^hIMJu;|5+LFqt0wKuz zb@%jJ_!ilOykU6Z187TsX@3ZL-~@I({ViWR6!BmvHX34I_Z}6CaQy~V=2Kz-@ilc1 zAritF`aNA5hQtsAjj3O3H>j)UZ@hn85N?l<8~93!U6ikG>G|f!se=I0kJMma;emEw zAZf*6#s*;Eg`N>efW!KAaRK0?Xy^Uw+7vi?Jj$ohd&}$5K`PhX)vb~aM>bjkCwl8& z(@#lKQ4&O@cdNWiLlhPq-lUf_;@^=&n8Wv4mLO`4}ki9o-8!x?M?^l)XrVssLU z1A(NQ=K?CW#XvL#D&j(@}FRk5jauLFeGb#$W=m&_^-Aw_#ih z9#o_ri^Tmd-aEt!h=9|PrmXbf(PdMJb|;rlB6k=Y=J-YGM_l8 zJ>Mxco*6@G5ap4qs-xnU%5~$C^GXh;Q?CuBAfDYDRAcooDN2<*;u?Q zbWm5>v7$(3@s?Exom!VU10nrNWof9E ztEjL6vaxI+*nXp~hCp-tWX4Cp4Qeu^Mc&Tm{$vXQ!@=MgSY|0-5nW7R`z?xiK!8a= z5|HLTEUH096il@AQ5`M$%ZL!wsH4?s5Fb=^- z4N@eMZDF}JT#NID#UP-*I)F}|j~VzLSQwl~lBwhm9=m`R##1S($4v?WdjPd`5#Z(A zDPFJKZEB(ORA<@$1JY!f|pzNde zaY=}_)*((IDVHYc_aBU#`#FFBWK$K)1eT5S2Qcu!HMSIucE0ON0$jv^#;tNF5E zhLR2@-gz#84$hk`8_)}R5xOKIbi%}TPzXAJ3R>^wTy*DIVqfsU&67FRkFQUC;i>Zp zH?KQIFII>mR}V`$sX{vn<_bpA(Res;W+7P_VphfgVt4C7N#Y1i);+{SCrry97A!nX0=q^6i+-ukz$z; z`ONR$(3nbBPtp&R!s6;Oja5}}BheYT1rWR){0d?G_bPNjJo#Y|xJ4<^x;lhbP9hT2 zTU7`AYYe>*IAKWX=I7Sx)@GiZ}mse|g}4!R7~GF~?}oDx_6ZiA!n3t?s8fIW#@L$T$AzH|~hOmL7*^@fYG?stl9*Btl_X zCk$;+uYb@U>O!nLOi$fGbI?L&(%r1)7!i4t#Lm=n_injAJ-L3oz?31TWjdx}{IZ|S zLq}myHzGHzhNu|vG?`EYS%Ct+)q8?0nyr}5vOxI?Si_p+Yo{k(JQ~hC8|g8uMFBM` zpl!Dw_MJ@OxzCw%L)>*CV6o%UXS2v<#>3ixft;rIY(r~T69vvS#=fMO19t^^;t@*BsLRc z@`A^aJ{T2vd>cQpol)kMvytMeI}v;FtR&yzj6~5$k+%+WFZe|*K`nY+Qd(`v`K@qm z4Bm&pNTRx6XtiEyQG_ufIfjc#F5uL!KDcAsc2q&W@@Rm^8y)n8an}i{!v{q!#e05U zIJq6zTZxIemKN{!naB))%YYizOY*DT5e!WZmUdpeyye|NvNLMFluu*J(TIM<4(gNC z98{3-$iFL*p(`K;TONyf&nbWm1B@VQ4QkT%J=(CjIez+Cwsg3WM~?N##mz42@i$_E z63Lbwr1$wjJhqRKd*p#P9|K8JcI7>W5m*QUOUpRj;Lyaoq7X1GeNTZBeK|F<1uqyZ z)_9;20nP0{ap8So`o%n24tDYs40Wz#n4+Mif_rmPs`upg=a@zF9fx}fTPuKsixD0N zI&DK+J7-q8&p2h{(G=-Gq9Hr^VE{FQB9;!8QHC!-YG^3R84srC|@Ip?up!=j7XI;W{`_2!Zs9&4Td^3tRB1u!u z8MpIwk z&9l9VWg@ivU?4dk=wya$7gr$$yFhcAOk~Opc=#kmUO*#(PTlAcKIajg5rGp&fEXPW*%1ddlCLQk4>{QNu{DRVG7v*n*89& zoU~`Yj83J-0eTT>Q$;3QmGV?;8ehRqwO|9wdvZ!HV^I`Tw-;SGeq{Qg_IbU-+lURu zP;O{0h47%!)z0wm2y%^)jLCA#7*y&>)f+-Ux7@#BI)F7h3F`_ml?*^Zh6_dt+FCv` z{n#~|-VKwC`=Ehx`?Dk|93?4G&m?JxB6Mo{$R zMj5Y(mg&kcY-(GL(|hwyh8R)Snybb@f|QcN07DNNtpF0|-LA|&xbwR{+<1TXy8%y! z9}fhfs7P5ycbQYP!W!~{@hup}A!3CQAgf_iZ_=U^u!s+k5Y_6EqByVTw_;3`Q(T(4 zt2#+p;m)4S19Pi;Hc+o`2iCEbJR2B{(jM>>i8Q>6H zupBo)g%T#ox`tmyFp(Mx+Kc8sGdcCCAiIa5CiV%xGa8YfT2WKBU#OLWD+-3rgc%?h zVl>*2DzGe3+^@s!`Z z2Q=^~UqrOL2`Kg9J0@u0NZBB);$+5O9p|{t3>bh$)sQvjV3i)~^HsyFAOVWX!#f-29yBhXFB7LZ#!O`3c1xV%s3B&_0~bv>PksxG2q2{ z6aWtifwf8?$?7^bHRF4H6&h)ZgX$0o?B(h}ZfwdgRY~HjnlV~r+3>pH7I^CT>X~DI z2|B4q@`csp9WFG6b>Kj4lrvE^?U>3IOO=I2Z!&u{Sr6bI^ zrUGOyE<1{3d+0DYw>kD;wDQcJ^?g zOj-#hdF+=)e*18^BSIk-l71=}s-A{`$zp2A;i__!hMp6Lq z0BXMMyD1lVH79|Ewf=;^J0(&ZA^0n8f5nR??UEAfQVSJD=SqA)dO{9jRq3dd0S<J4b?sU3k*A?V%YV4Z4S zgTc6bRxw-?wJT66a8xn02kT-~2`TbZHK>qXw_@Ogh6)d?KvUI_8U=hO19SNYzp{C3 zADQT;~@XK30nm?6-{)59ei)8tD*vzs?+Mb+64_DvAo?Z4ebjA zXt%g3#$y7_hnWVnJw!hrjGf0Mb{eQOp;tN?_FR$_lz$Gl@B_G2v*^9y13+~n7QXMx z$f!zPQ%RA7+o^j#y*Ir!-9?7aAB(2qcwmQ>&tST~fXt?{fp{U4 zvcJ-1eMv2FD`Z)Vymjj8rRQh;-nJ`Fzw%*51#Uo* zoCKl7l6V1d746ZyJ49{72>}#66r9+3ZZqoLi;)o99EKe&E*yXCi!7tGS~>>Q|?&q3jp|lDoQdQ40c8b&wSoa#aOslvmgZB@Ue)YNR2$GaAl+weJJw4ojJ@SyI0rnP!;^!Ftf^w` zI7@DyafK8x$f_^Oo ze#P1H-bA1={2ZV)TJbG+r9l+7il^PNo{L17@9Cez97Fjs1~Ty;5T6PEXh%4>KktLp(|z&4_>unH+gbjVU;4&~5loIKkP7QDP@nZD!;NtQE0-#g2|w z^X1fT#SZ1ecoSUYE#MyoWA{$K_&ff+!Ax`v9fX^KJ#wi~2dOfw4V<3gt`|y67SVG0 zvdrZ(QG$b6dHdo%5HHQZJDv(NMTU{)H6DaBk&-8)^z0}9>QL191Z84R4o}J!!tjo@%_Kwg2pRF=e1(scwC*He&QdJ~ z-k8RnPT%yAmzckzfoWQK9%02MAaG^(g=-#Qgf0QRwRN0a2@yAxY@n1~!-7ayFNF+3 zUa8r{Ws;B)(}}p1U{ShHN6Cw3GjYXYu`qE)@xhBXj}&}de8-S=q_^x&5OZ5qu+g&;ChbVPr8H~PzUNeQ!Wu3*HQTuevg}eWo`5JP}9_~ zD1=ug%dk-?lUnSXZ{VRz6&%nIaF z>SItwj;_#h<8RiRyKSa%fgb@j`lOP9kyjvkm!x+U0*rh$)Qh5@L7i%qbiR0rSHGzq z@fPU+x8IJJ94h=bEHkB)(lQ5jqrwTbq{fC18j^Jv-K+=sP{r!1przLw104b);n-qr zed?ij6En3!@h+L3Wn3d}9EOdi#IOSm%L#~W-VrJ^Hbc~h$IvQ{5hx|#TqMgWZ*J`D z6~Ecom)-ymp?-)z?;3=L^Vm_CQ%QVYkALZUP$DRjv(J ziDH18h?H8ivv%gn_dUKX{mn4G6I)8wK}OS3Efv6uS{NHFXqW*#Q?q>#;6FrCAnV;l zP?fO;FVcuT1VKo2WrRDm4vRvl?a}T3^n&sAZJM01#`J84E$A;bRdvv5%hb^KMD7Nq z5#fIGU_Qo=jA2(vUtciPv>mW)+4q5DAzC>jRnmowKHvXoT(W1)ATvcQVdA>5=vd5C z9j0IvkR^!;T8>aG`fBFh{n7%RT>$m=lVBy zy{rZ|3I3QOx)!g2NOvA*loOUsMHc;n#3B%sWr{-NkduK6;M(VRpX=bTKywBa&EmwK z{k3nRzpy~#M8Snc)78D|wGrLWFY&4XkfuiN5F#+UjxH6a_N|IYRB*3B!E^3Z5_4tX znMy;66YAQy1^xZypHIG)=jn;nC7c(8kuc6~UIL|M2ZEm&keC_8el6Gk zvH?PGG^IFMu{)P4!ZZ{-&_gc9iI6c{-*|%l$(sfg=X9h zV{v=gGkJH&4k@PlaA=4e&H1l%QCTf_OT{*)zVQ7Q{BA(b)aRMKO&NLlX%#7TGo}vi z9wI&`dM3%l$+)O44QerM$pA%P#mj1y^^0Z{-vKiKTUs669raQ$wd+L z;YgyxD)1Ek$lw{tP5bZ+`|>caLN~Wi`EnWfv`f;Fvfy^ebBTv&lsnurtgB9{aqOBst`*UTqEq}%tb3_bA zfQNt3^5QFK^V}u%rwG8OH80&KC1T!r*-?E|tX`fXu8-kPTfGFzwCJfHLvc&VS+q!v zyZ{qn7*aaOs=|iDQ&${6Z=9rv5*haf2 zS3`D>L_#Ag2Xi{77>|g6Lw2{%d_?fXaTcbIpE~t-d^rh$rY(CS)pbw+qWjBc`OxMG zLx-3Fp2RV8!TZ>wC{5#L+{enrc38sRAR2O2c>^>aa`ZQT=6nCHJB!r8 z5rRi^BIp;5^h*FlWpzt_7;3WHNNs}F@m=%kY%pG9jFFy^jG7_q2L9WO&G zVl3kdGr_7+E^H3tGg3!c?mF}A(?>&A0t%AuDnM`=*&cL=LUKIS6|Yq`RRMyrAR}mW z6(|A`AS*b4bMWUNvFfWk9WXo?{4BLdpPl|!FYHan07a6cFvHBr02Fb7aLXZc?wWIL zB79(#G(T3rq5wN>&WJb|5pzfZ3~}y&C!h)w3VN~*%qUsk`K~jYX1)ieaRmzz_ymnYZ%1TkhU!snfIxsb@8jYm{(6W3Tybj~D@;Xn50}3d@ z%x{ZHZ=6sBgxaI7F~keXmwq)dq*TFB@0BLk3;86ehjOEb7=^wFYJVEm?@A4#{6Q;p z(+8L+>wz(qY-XTPF8JD47vIP;(LuuDXbnw!Pp-6qiuX+fX(rjcs5Na~#WGcCdV49f zC=q7jAmVsF;&00gsKt_&QtKo1mYEs8jUn3jLFKy8Gh83Q^~cXV@^6@5z*LW5rvgDT z#<{5;>%2n*)s9UGG;=g%vdcyacDL!pd4@cCO}KrQ0oArpiUa; z;$PmH)CXs!mC8xR7y(-BDMT|aEt|A^dpYXBao9kI$(xW{f@ZAr9N;S*PV#Y5;f$P0%+835-8!_Gs%+n=*)m4;Jlt-fVjekjKr=_ z0h(8GuN&AvhDU2kGxw~k>J~@8ES;nf|8$D3sgnah=o~uqgV%2si7H3aiYl;E1e5zM zXeDT|JzQbWz=zpO7`2ONF$aq`=r~LPW#ObL1O>=0Aptfu;dyue!W#yRWM_B-ycX(} z1@x;%HkWp5$843zejD^vl?jVEz*t|Zl4#17PMY&JytV^JoWr~P|A4anoAt%S70@8Q zEI+(p&}-YeM{1Bw2`TfpZ0d!>o-o_51VZPK6E-oJ95$_1Zi&2&&n@+DbziiCU|a+E zM6ZhRqgF|9wiwZNPR^`K!Krsc)RFune5fMIR+XAhL-cU*AM<=*?;JTp{D^rmK61yH z1eL3Uj_=q>Z}D5(bdMAOu@$P@#2RZi=$YZ!+$+AJ{zSjBRoX*eG|n!6LPvLitgnRM7} zQ)(U5XuO2>RhKJ6S?2Y;jUWA@?PWK(n{V0@LiS+0G&D4UA!*KTne2?;G9hX?UbGQL zwJb^K*8$@yZ!5g$LcdMjkj$R_ySM6#r8G-AnzZ-SR0N(787R^cPIxNWpm$Ef%GQS1 zQAGrSt=5;sR@fAAsO0C~&3=CMbF_#6IA~Vfr!;j@tF>3_emXZTg1y@bWeU??s;H_I zQGx<3e#0vLrc@!G!JpM%ql!VN1wrCjL}nl`7R6bgXkXatnaV9~ywY<5_ZD1(dE01$&m{w|Cxs#Y(mC{BDa{+#-s&Dyrqk{~&uewWONxH@hgGm={2LwVUXv!Z z@wIq_IFlg(8Xgp8%Ryr5HECZ{1`=I&)h0WETqUCEG1BnLHX7G1XF^n22dHODwsK0Q zc~PnmOqcDq!Ef6kSS-XuJ<1Mjri=;j5c*NVX$vu2sYH#m8Zn4Q6hV&^FTPbfkiP1W zXo%;bl|GE-Y$Yt2bWANItJ%T9ZI;i5P;gO+4;vaG$;LzoDVw*y|7iGXd2HY@qE3{7 z!Pi#?%~@hpjUn3nS2k%J$x&_`^tj(>%U_-rBiIbz63NU*(Hu>3C?r>4;`^qqD9#%z zh+J7W$Z|&JzUa>ZkHZ2R$T=7IJaFE8N@BB{uQhQIj+f4hdooHz~g@HDc|yT0g< zv}no*vA=e%VBGG^_b=@Tcg+<_`zuXhYFwloU{5kf4o(_OzEagYEptV*TId~x1l4Jw zA9z87C}eI{1+bZ1MD`FfNoUV~gH1NFF$tEv!4crkx`xlmAdcq5tZAh%f(wV6LsAq6$jUe||cg*g&9-3a%=Fv$9Iw{M0YL&zD>5jI%)xxPUhfKtw-#R2aOk^$$LF2Ls z(*b4UG~+NWEm)Rk=S;|2USYC4fK{@=9pWBdLfff7e5JdaRe4&wq_ih&+Q+M_3)&&7 zM*?j%$9EXCjD;0xPBuq!Fbrr^2wNbkR(Hj#Hn1pDK>mPCuB~;KLt|CL66hs2ZO+nb z8Y1A!5B|mDktV;o5d(OI9Fv3ioVsmxxfl?WYjRjt8#m@ZttIg>Knq<$%fK#Z0yc+G zBc$}{sSyqZfy_qIpd``E&WB!L2J!SZTbyv%EljXFUA5|hc-IDGm=Jh;RfB2FyJKuC z?rXL->a(rrOnC8o(^49+LS?3y2(?04nAJO|gp%3DzC^(w+&FdjzuAIu0eu$iimX zOW5g&ZCco+t@c<@QbHj>3=S-+OeyI;vT(;WYRw*s8raetOzTj|vh)*C7AUZbJOZd- zhvtN7))%mm`uFLUWwENu!Xc`@clt~BKc5{Aud`ZY3tE25)sQ>J*4!sjKHwXsq&rqQ z-k1C@9OhgFWk>q@lyY9gtTGss7O_B8g@#%dgOhJ%00mWn3H#eOeM)>TE7@`?OW3Ti zpiB)WiQk5wN(stO5*XbHxt=(lfUR*7+G801HKG>uAw(a-2sB4)d3vL?3hyxjKv;ev3c8%k(M z(_+6=Z^X<1s3DiMx<&?tv|wZE)W7%@FWcqAAuZlGeDxAH5>iSuUQ+W~pS8xPO_)^5 z$f+;~fCb$0Z#~$vqKJ?v&q-KoNmK_+qB}L*9F077c4Yn4E{q3ap&|wbwDo|8q7`YB zK!DF-2nL!^ar!dgE!rWxhXD-VqF+BRpkzZd0wzokJQ2~AdxMMrC6nB2$VX`p=iOPM zetV)8>iQ%MClv)|EeTVh(6&gXhgyIH&(VZXCx2M>ODiCR5DQu;UFu8W6bDY>12%)P z85XT9|Asg7eg=-T$V(0$PME7nPCVKLouq9CUj~h4`z5AX~~EYuZzQx-(Jd78r0ZKuPm*O zump^eE9Bb}g|LRMl~FqvQF?hx0zl8IM!7*dv=}nV1e%f&stpbwK{*^`N=U@$>U8uy zpWKL;^B8H*!*PaVSHW)I<~Wif08Fm5G^i9X>Pd9s84&mpmIkLPYFLxb5b4!U$qmYc zGNlKO<{BWB;Fn|aVhVAD7?GjF{LK6d-V9GSU@Do!s*jix2YVkuvMfLCA74HF1r`rHD@T2dIptHw;e1;!>^K{?aQo*jGyR)|ZXaW72F;*G zYs$qgg|Q4WAp^JL4KXVPCgD1;IAi9B124mlrZ5oX3fof9@)wynWuE<+^`CtyJ(*HF z+{aQ~EWD{;s{?=(1}IWIDfbUCI__pHEF-SaOU%(vFqj#Ub){~pJD@8iR!jhkB7i9- z8_tGv%hIXWECJ4i0LJdZlJqbV#n>1fQ}4_;3uc#j zn4c(cV8H;}9H1Bltiw^Mu3-Y?4@1uaN;HlcfWE^6Um%XWx~p!gmA2P}60iKmR?Qhh zyEBi$cmeaEHYG<==w(o%wRzfELzrWL3m{6u@RT&=eJnPSqhVO!i>m_}UtK{x(G@Z( zg)v>;mvE^II^H|IuyT~A{N;Sd`;W0hPGg#rtQKWdAQal9BvszZeaEt{any)JR#|gq z_ftb<+!0f#BstKiJJ}g|EdvWc+=FA}JgIf(y-`jVk^PNKqw4No#PW~nl&sA-3L>1a1nf=%objpp&#SY)MP8X{8m zHW#pExx4Ib>d6Q>2 zNLKpTkP@NpU?+`>8_}k4pSx}isb44o%~P5K_3R1y=ZqVx!E+!`_0DiYbTmMj2;{UZ z2AO>2GeK9C<>MR(muS!&l7RlQQk+*2bI|zqWA9~13p&24wE?oh^N4v^+#!Sv=n2|1 zB*$Q%&Fjd^E@K#A3gpqOt+q-Rc7bE)2D-KvmnpiQ2i9e}Zc_$^NiBbFc1nS;%)6Gw zqH2cSJ1t+*1PeR@#dRb~tY><|0i${O3YIV}A(mQ355oV^$cz8UpW(S%uWpzVRLjP0 zDBe||p>xA5oKrV0oP^6xmlX?j0E471<3+g?c;A8MqVmb6#CCFpR4HN-vJDt9seER-i0Cli7XC1eYgZ*$PTd{MPksK^ z#ByS)SNB>Fm;u!EIk%Su4!hh+lcHg3t7?Um3M=GHl~prXPomFpN#Ss0uP*`)ZPCSl zxV5qHy3HdAF37^;XkjJjwgJyBJz6u)V`I?ub{OWXev?L#Ea?KG46lK(5-KxO>M3)! ziBQP==J;YMz^61xwO9yhC@+Av2JEryQ@=QcQ2;m4AlR%BB;b?+ zB)+&((j|sT3X*eXq-N!rf2-%kQ5T+Y6&QI1`l!G#9gUc$gVFAltx~?E&xuu51CT{I zVd2ae%b|OC-6bnBxm-J%Js6Q63^YD~CM?0$DsUKGGm_cy>NA&+`F)KVLw3ZL%eK)?aE#0_WPh2!k6{f>QCy-? zqldNqI&V@7P!0c*O+^@OCiLXTQ0%Eh2_)P3*iXOdo|K(#TrN~LU(;Jrb|t?kfr@~v z2DjyR;LXpiGMYfXHkTeKnL&9u*vgik2_xkpPIHd;lMya1(4h6$JAtZ|1GBrNrnP1S zPO&`4k?9vdw^fRfbf^;+?Gd6ACc>1Fuo^ZnT&xL?PYHE$yzvkFbg4iXe1Z?835`ec zl|V!rddlPOIWV}-F$cR=KQw*eQzui_|Jdpg{Imx_lUY~CzoZeBmX&KLPl6AkA|fC` zXiQKxYSSWQ?WE@_K&rwZ5!uII0(>5rf@Y$0UqEs%*VH4dU9)Dl?}5YIhVjKATY^jRSh z6LLt?5kOnZAp!9sflmkru9d)7cvN-LSj$-u_)cxe{<08henC9Ma${R(Bw8a^x_SmUvq{lY) zEaoFYGaiFvzDl&Dw5!8>8Bi>JF*u7(uS8f)^naA3kn^c?l)3#+F7P~5m^{+b&5+A$ z69)0l7;w`bRj2~0ajfWC)^{Spp;I>Wp^TyahC8YP|Bh+2=G6`xRTv4I9Yt&aGZxu$ z{(FD}MK*+32a|;hm8T_m)wWjM;m?*(JFt4b_mz8J$MV4f_!`o43WMpp^qfK~X_`uq ztcn)=W46AMc+U3nJ`y3aD>aO5?A~YpbAsb!c$DKYz>EA(M+-NS~^}Aov6Pp*%1dp9&NmqQcK9K<%&m>o?BSQ8~&XSB;^|5VeZ2m4L+E9n>iN zsWaB&;ik;(gGdZ$Au?rmh05|D?f>>icx)u1>+JZ;bwbfu$T8+lnW1`P|*lp zKaM8-fpI;U$>v!^G12^!#$&?tTz#_qcLe|MeXTl4wkCYvizuyejoeiMM=Y> z&Dt6+B9-GkW=S@tw8Kv9$ekpnW88db1s#!p1_QW))3w^EbL zTI|!cf$J%n-h$i#D52nvdUHsXtxZBFK=1r#c z;G(}`kVvGk%PdMW=tmK-;MzaFm1GD6z7v}^^xzvN3pMesmRK$b9FyHdQMNyX|VsJ zM^ma(h1J5j=S=f6(4+7?BY8Rvl&i zYNG;Omt-4(Qc7abC5x)nmgWw;E345VoFcRu8}~BkH{70#uw|{Ai20{LBx0kJt7lYnhkSy_FhTuH`o-f?7NCx@}=1V+Xf1%W}`7 zvu%jN^pG^|7KPVNemd#}S#zY_Zp?l-H@}iv8nv%6H!aWB`QGx2oWFL@Z_olI7C&8h z`9uGU&(gX_Iu}lAQEL>VtSFJN$TCfuXc7u|-Y!t9CD9EmfgWbg+e&kmFKbJ2(>oGJ z&6tx^nt3r}1a;C;^|n`_V>oR`qn2-+d2b`z+GdHdpJwg7>qG%P-@6Pd17{OIJ!3DP zdNzX}Q;-3SW8@&EMgb7*2osvam5ZB=1Ad$~>M^riwk8V)t_$j3d`Vi13vy;{Q9h%3 z;P4*1;Q}oUr!=Ukl@DqcYP~e)wpLElcEm9a0S32H%nr4tI|~mW0TX=bN$?F&k~Qj$ zk(Yk!Jd0w~p6+!=#s?+f-obBo%AEnTZGI>9oOi>S-6xc)v6t@KoFT+IP!Y}MWp_4t zYCQ7~v@En!TgsTGmmbp{4cHCCVSs7kOS?OfrfKG7EA<>aaP_i04-U*t=%MqIwb+gn ztflJ|hYO;y18g$Vyd(~^;#7^ap*g&jgbTCaIsY#=8fgDh-tHdVTn>dJ_^oR_yI)!YDPKBfl7nKFP<^+R@O9lTG4Xu+6EF zJZrbTy>Uv3q}EGeEBHHWgz&z1Lo>>v%%jZ4PreMWF%;7V44IY9Vs8uq@ru-{Yqru_ z%ZDEn&+G`jMxAGPbodS!ytTc21_*m|9d6HKM!4bbLrss9om%^jP&JV!`lBTUGL7c^ zx03vCHXEG@pY8H%Npm*ySDKPeF;^VZWIgNhLC?0dIXzhm1r?mtpst)Lxb&b0Ryd)) z05eCF@-U>s6T5McmX{^EdB(0B*c->CJ?>0To(GVmNg9KjK zFb{*u8-9q;T2st`;lxbTsZ)FNI)9h%WzBs}FTVJet#n~)m~0Jj=C8vNCc%7+u2gGc ziL7y5Eof{Byh$~a1c5)(q0&Ho39LzL+Z(MJf5!Bu4O+l4D28w=6KtFvdd18HjkY%~ z`8h8ul3K=M{o;O(j~nCYZZ7R)WqYzl)(pE26G^sqP8$b8|qzR*a+ zdQ6wIq(&W3<**mzNzj?C_55~}M9lMvy(MuP7~IipG-sRjM(t2D%^tq}=iu99mgQ=G z4?atHxEQio$J`lBnjr@wA zIv>^n&)!Tk1JxAE0&z|lCt;^qkF&|TXq&2Xt1N?}Vb&R0L6&OCmPXU}R_bx`mRT0c z)jX=^-~u#MnZ{zfk=4BDnD^L+QlB&G1bHVDBN#ppM@JH$8{4Qt^kn0@`fjj9C);L+ z5_k|DDK*&;Yd7jGC`nhux$a>7Ffzim?4>A6Yr;SyOgke_vmiK^a z@J6n!)8=H;I~2y3H`9OqZrzY27jjMVZ6b}0&{=*t6mb%)hSUnb1jaJ@NKk}rQ;gUQ zbqp`7?d_A++7ctWafD*772b;z=hKm-mL_4X5jOqIOTu)dvDI3DVQYf=v?JkRebw$s(aI0HY*mN_kkU@he}F1@75is# z#L#Uc4=1zKPbF4&5T^8)H|Mi_MlUVlkbl-=*x_5Rdo68P2t_f$x z{5*>r<5@bpt3Fv@nR##Am`mZ}bX&0Xk!OkU)7uLQ3J8m;I~B++{(LVZu>0`KaZ_}6ue z+C}2i?wA)~yMv^|_jgPu^SUC{0#d$(k z{w}L)ve}$PBhOD<;(uG(tnK;H_RYu1Tm1H2b#HWTuDfqPMx`4xviXa;`@&H_jlG#$ zrsKvQuzFYEjox%qci$erku~AT?!LP=i)nRq1CK3bP|HaZUz=|k8!(-y{O+d3OY!6QPh2bXmNi=1fBPP8qvj0 zdnXFfFlNO9L`8?l40cDQd*FaR=<2ej!^ji~4C0rw)9T}>@$#lYK-jod-gL{xC!Wee z$IM%k`y2hijI{2$>slQnTY&Dmnc6K8in3wLxfY7mx|#XDv2df9fBIUz<2pT^m||%l zBtlw&&q}rNjmSKh+SwcvkroV(gYD???QTy6uljt{UGRaSFKfB&Lo=<;%KD)}Q1+ML zWC|C$Zx>NZRcfZa1&HX~Aq}6-c2?4Zb3yi{g}Svs8*~?zY_`YA21+XG%Ibajulc}- zs9FR>i)auS0}=*-kW?ZN@Pw9d?d^G|`EmE|lbzkc%a2`Dqzi6d9-5gY5c7dq9Cz}R~Xv%xG}w+Mazc$~On(T4(u7IY!8=^HO&u*3Y^$_U-S*i24PnDMei z)Z48LNn$c{!*W!~NxT=Rpj8B`MeOZ&ZK92iGRA!UN46ZRA$J&rLL=gn+Xh=w=Cm)v zS{_0?A%5O;kq1&Q-?(p?LJFksDAn_)`LV}ljD%J$BNIo>z=-a&RLhF)ffU~^_7l@?!2qGZTd6u;+=Pu@0$LFsi}wi zPnFF#E#|-Yxz}8I1z-F5dSvyf_w&dVkFX!V&f@O)sbiAIQ;p)bm;4WzNpj#`Z)fqu z^bm$fZIT0Li!bl$Hx=7K!8J$qb_3TeCBEWck1^kHdU4u`)41o?d%imFG*)r1)7$*- z`Wfpg^uIJA6SC;V0@2WNs4Z>Y(QNXI1JTI&Dsn}$<2^UtceB3!-~AXp|IBn~GPL&7 z$HohOHr!Urn#vDU;I_8MR;!)#1M!k(Lia?J#FO!LX4Jqh#1Ss=`r62r)35^H&44K| z&=V_44uHd0BQre1m_q4-I5CuqzvhhdHv3q${93TfoHt`|i~Z(QFY)SUU-B)%rpB>9 zJNQjjfH`37i>!MhFSgLi(IL!(+==5#sZk?*At7G-1(YB^upsFip?nC>B4q`uky3N2 z1{Dg62GGmi_|Kmc+`*@2g&P8(ICwXh&Gaf)rXT5}7B+n$xfFsR1dc}^rN3yfko)3z z8)#8JDTZ{8xFh_)bPMCqRIiO=FPQS1@!9`CC~Q>2Gl=Zc#uasukVw_mO?q39nw`jv zFL16K<04inuWFC+8#>|{;MygUC9R|i+$3yh?S*nFhEiLEgiF*_x^nD+{J7R_FEfp> zAc-%I%!#~+Jp6_@GS?unh*(5{5zlQAB_TM39Okaf#Hn6e?`wld@p2ZR`q6 zu59f4c~VMs{5ZScQSSV<9r4t>57&I>NBuljZs}g_wW#bmnaGhyH>xDaP3$l|)W}l$S0Yg7a8@fZvjI@=dxp z7G_)8^-x>@#x>>fM)1%lU*(G2V!Y+(aPf@|rw){2yEt!gluYmiNZgLCnT(%Xs1QjM zj-4h2$CdiF<6ryHiwaOer{Qjc6##riPVqjATulULtSIT~)S@5} zoy>3p9tBE7bQ#OYj)SoeEE*pd!4rWtV#*IGS4AQX_j%U_3SnGS?|Rn+6&4=v(+}cN zgNQIH13^j@MiiSWkH(^S%rO^*F=1Ho0|}!EiF?wIjXp56bb-5om~-6JU1rpb$3E7Y zC{Su95A+Y@f?4n^;2HlS6Canqx>dAltqVjkBx5M85SpP=^Z^qOQAa=~fefVz(xkb}UtnxE9`mtz zjf{bNozQfwn?EAz355w`BK8=FEM!dRpb+THXUGx73@8#uP|qM{YB~j?mqf)ms;RNm zjWXn0m&LHhRrE#p?Qd*B*Z>ew31ARFh|r6~v>P^PLd}f?)!l4oS{d~(LP)0=9W*+H zi9AR(D8U!*fS!b?9{kLvH}LbbU_J9tLXDhD9wU8EM_cBatoA zNZZLl?J12v_!t4Q9W~Wa*SFNhQx6I3WJKHDTFe;B6Vx*je3ysZfTtA5VEf>Sd_)}XOzdch5WkEfkljQgSToFuL& zIk zMBZ37Q#aiu*({89uufUoagd9~R*dpJVWk&~(;A*y8Rvxx2?K2LR#&K#RtFgV)JbKbi{bfGJdGlk-JNv0W zFzPOQueeU5JvrH*4p^^XX1}*!XP3>|jMe2KWn^>4+8`(f1B}KapmUm@a5k~SpiY8Y z0z|62lE<1Li)B%CK&RoODzZ<;OdO1t{WmyFXyBmYp{KUAvKEt4(MPv~)eDGrj&c*Z9&7GhCQ@9<%_Z80okXr@$;o4CoG?{f=>?%CvnY3WCUbCfeVE}I>l0D;ZCo2*{h3U0wC&8rHFsMuguq}S$Cg%6VZgJR zAJV<#{5YmrNb`gNw}cr7@$C%9aTXA{-35>sOpxo@oHd_c{5u8-#B=! zU#L5JZgzUf&fWvU;VwKc3pigvIABILr-Lhz&FZfY_Q>@ZzGRQnf`WLJ{VQRQgfnCV z=zvOsEsj1C#3HkUV8fq6RO7#iwzB}M?DLjgmQA+Xxz}IujW7Mljdr%au%&#s(*{aW z;3Gqnp`mx3bmw{PT&KC~k(04SfRS`b~ojz*!1Ds0T9&%mw9~=*#mmJ~K8S1;;X4cVffHb!D76QbVAkdCOSb zc)>mYKL9eWYK|}!PAX94s^upuFy+W{g|6(o=HWKD#0&gufMni%1W4wt^5*G#L1^Cj zpVsg7rXK#`&+xVLxL_C>nMn?OUFX5?Z-0Kj)p9WfhIy;e-uCL{ypVsw+gv_4s$q`# zG--#tIK}qVw3Wv*I;lMO%zypqDpAX7jZ$F{iA9e)8-;mr-oM4TkzKKiw!{Q`#_{am z&$#)We{B4lL;jU*EDb*|U7{`vBVW3%hIC~od)czjq<4J4TS)T3iMpN2BoA_izQphj zeWUbDdb4v|tZppa*Ra^~mpsGv1yc2ncTK06Smai7#JtpXfgi@3Y+= zJCXAOxEiAEM>rGpHk}MiK^|?#zF%XkDcquE2AJJ}7=>bzM&c7yN1Wq+>O$p;HvMP? zTWtn}wp>3sdrc=Y(UJJ3_@CZR94$!)bm!e5M16?IR%3AM}1$+JVzxyDP(e#y1)VIBE~mmDJhOC z0ptDtz?`x@U74>HbDhhat%dK@R^9v&r*{R$1{M|gLyFsKW%t4mE6!blcBG=Qfj59T zE*+)^6sGAeG?!chuZJZ2V~ZpK^D0QD@Q7b~%w1;Iyl=|b<64c@H~;2-@sl|m5nGPZ z*SUw1EmdJ+)7ICuE1?-QF3je@rPbd&OAggFeE~NeBjcO-Pa$?lH7&-VOS8IoLT%qd zmf_SDaDuEI-;}bAIMDq%qI6v#+Mlc1*;EVz8k0iODOJRVXd$lQn>B)6@!6>*O#wHk zY(An%I4stKrcp$SV^kGgpyVw*ham{+bt?JbDY_o{@cq?wR_k%#>Hs%R(8v+<;Ssa7 zu1Bm%p>M{nOSEaxNo$~;cuDs}ctA;`SNH{%V=j87IvD22T5}3H9~BvS0Bug;zU}W! ze^QTA6f8PKKf?wij-BJM;4S8%k)Ilm_4Sa*yeRR<0?LwOPI12Kb8 zW~7;tmXoS!z@zb`&i>+Ga-UL@2{0&q3fH`zJSECeg#~rt7l3JxNJ}o$B}jsSEAb@y zSftnJmXV+?VHXF^R3K^r54H(nhh@NwFb@Ns&$;oIben67Da)KSCZeRnDot@rv*~od zOtdkBO>{@$hH>7DVz@MFksuV7DZu!qE=P2P^fkE}IA?!%L0@&oj769Eb{iU8%yu4# z<4|A#Bo-rx#)^S~19Aduq#9B&eS}p_AV+c(CXh&~!+-QA@67n^AJCGBE3pqVTW-ql zSV)#f#E_!8LauHr2FF+$oQBW}!naTrr%~>9QnCx@%4fsDI8@)>HfZinLEDi>G;$KjFQcxo9 zQUc5TZ?`8=7UZUNFkN1#3Z)ooR7kc8j>?0vR6#c}KXi>l`5jAqABS#nE<>6n zNn?T(e;+}1iFR{mP6SJxM8%yY7o&Z~{j}R5t}k)jg(O&Hx+=v_cRZ!6)x72Pl2up5 z#?lSI&cR8V)>f)zhjH9Oa6osp7`z2_PB@~U&>ez+=3YcL39W#+0)nGT6KoZ`l_W_w z^p>9Nh5oV+?(TqgZ}2w!BUsGj4Qi3<-lDGYiVdC1Zv96V!9#zw_4XW=5I#n6byu`RUWyLWJP_UDmav&UZbYgk^;QEE}C^o@0 z$ez3PLrs|8o-+BQT{wgUs$%9v%rBgFPhw9L?bY?bEO7Vp5aLohOrei(gM^qDL{XZJ z#*ey6hYwI(D6s^WPNe6Fxytn+>panc6^ll;P6+R@6O0=cV)`umh`$-~y>SG{Gaz ziQV1)7QZ(*^RpLVFPS=m`jdnp%oz)vj(FV}!)s9| zR;#{1XhrwOXXDzwJ+R}&LFN)!(OIz1EY?H@*3xnMf~<8QJb{{V6Zzl1dMxm06_(bS zvTpD8JzHf|Jxah#G?!aF)ZN|$4%}D7=wc#+v8BnP5MY2TU=l7qQ{+WTM|N9z>~)9} zzZ2~!jy@WS_z4>20Lsf-1_X$b4>hG-c=YIEFkfplSbp(I*IN$E;QHJ=h88v41}H{G z2_2*NlXkJs6+SR{tWjh`X~-n$x9_l`d*%$L;t$u1MWeuMu+PO_P;_XV(cTN)#*#X3 zVh=({Ykuu;Qt z-S5PmUcgY_ZLbnxNn{5;6AN_J4&p0orRz7`hUpfAVO{m(qOKbI8%aA(;>7gTzR2xd z9l7Jkls1rq5Gz5(Xz^2w;6>hI;8PF1qB5sL%{iGmL_!mjPs7A#C7JI9mjtdXQmJ5L|v@=>qgHI!huEKAjE% z>DN#JrpQIu#Cyi6I_ z2t;5pIuHO>7_UR}A zINN5@ip+1Ha+3?o_<-s*T5&h8k*}a&|HR4GKp@G$u&BvWF%(_^9 zJoKCJM;A_cU|+&C=t8LFlVK`gJT#a$W__S>!f3TwNI`us*yniLtRaDx&KM0V51DW= zVt_@dlCQ30NQ3FhZv6G{NR=s?Vf#XI-t5S+zN|k2I;;3Rvhu_=6n+u}NU!!CncS2N zB`T)StxC!}$x1R0zk2z_@}xdSo+{JnqYI(_rbL`@=_x@@R(8(JE%nGnav@QKPee%{ z@|7)%iDX>mJ)h}iTb>YaRGcI#Kv$%D>lJTD&+Rft1Rm80nxG^5n;7Hvatc+*K9VH?xIGs$p04W>#Uu0TvMm7o`qC z1RX2|fLQJlY0=cp3V)hKkq3pA5w1V=Uw@6DC}ME7$ddxmihmB3F}h6&Nm?~Z9 z*i!@Dq7+lFVN5YP3-Rw5Ju8R66%&MBXm+S8n0%&{0Hr0z8TX9 zl3LnA^5KBEE@Y!nv|vQ^b%@Bdt_`H!ILL%2BDjaPfMvoqSR^Y<3Y|b0S3Y^?TLcX; zRff-vsYd=3#tI9B$l0Ps36VcDM+G@7ij;QH4UAw2@Zn2tlpq6$lxdw5*5;Cgz!Lq} z#U(%cNB`~if?&JUto!O(w;toIu-2*$W=25X7#-wofR5b+k;$42#@P|aix;Nhb8ElZ z^4gtl(A!r}k{%8@!X9}IH`yPX?mpl5%dy-o;RIedO0IRFJ00eMaN`s@B9dQuX`RfN zKf%er!Z~p0CAWPU2~P?9bhFrBu#ACYE_iO-iCqkddI!&tHB!+ykU%&9r?M0QZYjOk zPyBe!4kOiSZs7|v7{S0InD}OF>`tTX(GWEMNr%5S z4afh>ufMNIffGjmY1q02yion2IkhcMV2y|!>=rEk!;kA2@5nz9r4;E$^NW2!YdMx$80rRRxTSZAFLRcUc!3Cv_bNStpCxIb8^cURU@dpKO! zGOS+^^&eqj&Up40%zCdZY>_$^A8tIG3=3j5xdLvZ8Fh-j4o_O<4_slUwvioVCcU@c zP=vU|F8_U56=KY~mN9G6bp|0~jCzcGx4WW@Awz;=4MBMnXu}|2#Q^j1#2)oO>M*@- zMtzoMmk5!l-;!#RTB@x%!Ar-2Y?|Pk67!mU=HcDh@Fy}wPg!CXUm_(eV?p8_xL~v9 z&`if^EOare7XWLK7lDC@hUo!LS=p`ojF?hmsspgFB2YS;%rO=_<}fmcC^3Rxn;4;1 zk%tWUA{U+Qswy5-%l^5Ius zO&eWD4Su6#=D+fqNr@xVBC(Y)1tTA7jvz6zg&(a3ej^QLK&2J@>Hmz5&fexXz}k!f z9({TV_!^+Wk`eBGHxz+!u(*Z=5434YC;==o*1yyw(t%YS<-a`w3b)Rg2oRQ4vTm22|dIPAHbTW zd8l;}1S|n-S$V-w_5Qbx?7%rTwG^X#pT9* z$Ecyi^bzOw(C=E`$11*SjKn#t0z2Uu|LDki)yKKdUZ@tIks`7dSp)JMe@e`oXa1u@ zKgSV0@}O8s-#W<&UptT58>J&(q)BAYM@`l#AA^}YA(5K$zsPYH!qlestX$C2mKUd05+wFyjw1A~12k>4g`57~RJgZY0$%x4lfc8YZg zo}f)m(RO%4+Au||19_NEh`lFDPl-M@&b(kOR+0l1(Fr7xwlyP{1*}pNsg;M&SD= zA-A#ZR$M1WjYBkuaCjh`JKI8N}!VSZmcqr}q+W5}U ztTlpUTOAlsg>4Mx|gI>f3RZ2+}x1QRMt(ZCW7!%;2fbLxMq`J_2Fe=;sFJ z2Uc43OKTv>Ip!nV?DeBs6VNV9!W{(?KKHzsn45W3nFu&!7=LD?da4VUej*3Bz~?2z zb4Clw=1dSHQ|Rc?lKVWQxCDvkA1E_eNZRmkmvqpBzDXSkXoI~1>g2;hz?zihA2Ck@ z)dF;(VtFd2;o_nPB!Ji;O6`6gJMHT%%2VXP|GX$q{{mlpZ>a2BI!{@%rF0F1AsT3q3KjaM z@u{2Pu+pu(NURb$a4+zS5vxf>6pMhXG9b}Ttf@z4SuVpT!c?3PPQz2mk~UP5t1e8c z2ik;q{%gS|g;gABjf^0d?qlAK)cQUZSfiLSK}LjFC@tvVj$PU+Cv#Hg{O90@ zh}Xt}H*#V;e1fVxo74+uL){>w=HxBb2)T#n`Bisa- zvqzgt(1$RWiaz`Jfxq2)Ou7R@d39I8D}gv8LRLbaLUkzADKiYKjtx96M8Zpn*ynrI zP}GAx$6WLp#4wU;5#XEqQln5;&cE%W79GrV(c3bU4vbjfQdw?Mx0Dn@pjXx$7PM8X zw$w;GmfnPlmUPA!34aQm3H&pgW_M*7O96#cC9(@vp|F5JIuzHcgF7t8x$_gRC9)Z< zNjt}33_$kh@B*!9E|VP+>`oY_Y21G)WE)S6jW}l-hCAvC=T?AySk9ih! zWa;j?Jn7Y=x3>FgPj98PZeKa{vxjTx!N;AxVrAg^&a1uRmA=YWKKvuQSwJ(r3 z<{dEIo4kx3Z}ia> zu_t$Z(pvA`FjLmR0?JpvJphkLSt7H8kK`3*+9h3gz)m4-9U<@46mOn&5l{v2VX=S< zcqtv{#>V@8On?vEboQrMLRSi;&?9-<4o@z^t=D+j3T4E!=_dslSbr5>H|W%K>UUSt z!zwy3flxSy|05wKX^@xQA7b&&4Dl0*#p;b~o&I%oyFB!HKDKwf?157(+e0+q8RLWO zEiQ*-2uMRL$#wvPTO`csQ$Km}?Zp-XHKMsGXf;%a)g#cp6$}exE+k;e&dZ%V*BA#X zkywI47J-~%fT?9~_|gq-#D)^ZqaZ`++#K*2aU_kgjJe&i|GHVbY~0{Qz55BmxkZ@7 z*u*<6WZ?+J5c5IkMbT;qEyOfz9Dc8{j}VZK8_fZ{5G~>KQoX2SXkPVrbYna5)ech+x%HmWBxZY-JtA*0QsonKjGad;t0V(?(t<<53qd zWBo9A)4ZMipD=4^#PtiKgRc+ryncyQEYv-gg_X5BZF)~9JDqosiduGh6{Hp(t>{-y z$qFfWqQwS04cQhReXLuP)h(%T0gf<^#E2`3SRgf|H~Slhs}JKaKNY$`@? zVe6KaAbT9NF6|<7WtWhpwEIJTb}M30e+95q+RLWDb#piB{>Pc@!Pb-&2UJT21X@{CX`cTZoCPDm6|qguuX@Z!_PA0>Y6)b{cFj=|_t#6lU-!RAqy1(DsU zX;3sPYZEcUDwSirdAVs6vu43&HOp@Q9W$BiS5mkOTk?f3+-MKq0tEM)r0U+H7wOcD z-|P?G63hKlWqLbJ6^kjkryA0ZS-|+sW_^=(Jly? zEcPO2Mzn(<4C{DIe3Dw?c4&NHj)rD24tYmN5FJ+EEs5V_c>I9af6{SzSay4~0jD^T z;2i>j^mW7d*!GLQ4@~{TfGIe3{vk%G%)e9z_~PbGZM@MW*hDnv=&Igeb~A;s@n2qe z?);y9g0H-yvPCRUgk2zUY;2qstTRINjA+hdzcwq>#jtuD%Pj~a6C2ZvOIJZZZc>g1 z5a+BAZo623tklFt2$uSZ7-~gKPS{&zFNrJbb!`Gbl&L85o|voRnbkUcVZ4(y)<1@?aEx0%@sAuB&cVySdU0f{fB+2= zRR>m9WMU+b3|YCzZw@6N&UnPrQ~(4mAS|fuP2?EyS&<#Xh^$aFOyBHE63G}sr+Vs7 z9_6I&*-Nkjd>#9R>M}cvc}&1ExuCewXxQJ}fED5!1e{|1JMjUwQ>g{S<_m`dCX`x< zLbZtBfD04S@vtle1Saah3NTsU{t+YCA)FE93j0WJfFPbD^}uGf8J+b!FZalg4=!t? zj#6_BO}U~aPi$^l83ywc&Nk33Jape7BbaPk`xNG|fE$bpq}c}NZo?vu5#@!0PgN70pPkxOutW|F5u)Uj14Ny-sY0?re6yD~*UB5Yyk z5OpA#K)W=rfCF6B+e~m4aDMjZe@L>c4lFtjJ__BYfv9TaMjq#c3@Z96V;*{WF{j5xF;pDLnOCHf5EbkH?7X_@Q%z)l)tTE#aWxxQ+ z#)DvvrG^~j&bV_xHM+}T5fRvGNaMr>LO~w~(Rkw5C;VfS;)i4{jLZ6xG9>V}PcRK7Mi$&;pR&()A>%5lyU#t8Q~DH6xuBWXYY^ z6M_%}V#&OIMu3=KntYsKAqG#APUHsXP^#;&R*HOVRVK34rpgs_APE`jgppJs;2&rY zE})1V5-%ki!NyPjoe&-x%;u3Co>lNjT+spt@uUSRdHN8vN74fK7}PMbEBWOTmq{Gv z`ZJr}_*ZO{stk>UMW&)FWG8jwi==B>Mw#-Ec84`efTm`X4c)lx$^|!2=Y~slahi2cRY}E=`~hu2yUMUC?arJ-RCx zv|WWL$may(;yIw90wYqpSO?G0n1)!m*)kC~R*^5^7xpClg=Ls4awBcDaWW8PS)a4< zTR(&!%KH2I9iG{kJ?J_!;0)Fs=I|1~ej>>xTWmo(`La@UEnU0SBxKiFY_@X7=HZ<- zz{2{@@%{bN^R@Lk3tV9<4kRz6d?l|ZVL!)fkNC&Cc=9?sWM(1x`Ez zT#TdF4=-~cEUEvu^JMnW8+{$0$cWl%98?e|nh2CU1cCzT$UYI!7mR>t8%*r4vDpIAuEc@J8mQD}yPGX#e}Ty! zwwu}BHiwi>46mq-hMmXAs=+oPMjmAI>pQHJacmt&drh%n(>S*Zs-9xOv0cfjo%900 zq$%QK+EW$-?{LXR?TB^(c0ljT4e#v#)@9L%$2F}UIzR>aiipZuKTHC;V2HG0x59)j+s~{RLyWl* zwgArX{%>Ht%VsIWG_iu}2wUgw4vK|W0u=mv37J85Ac43K9jl^i!!9jJpZ#(l+c_TM z<*y%RpBNvaRTyM%fP^8f(mzdy=&v!P68QsuKw?X+!;ZrkvkHCSfE&`X;i(aXu|iIS z69JKYwgZo|!K^G)0r$FQ4?*8SzW#GSe680c&ck1CfZd@FjC_595EV8vU0K_=C+UM{ z&`aK|qC;~k`tsLn?D?}bdlZ(Z-f~P}f7$i+B*-Gtv&R+@AO0f5on8mx**SqGv)B?9 zts}lQEc*cX-+PTAP=x?x!t!|Iu|F0cAaU=E8L^WSO%@;%g~Z;b2-4nTv8X*jk#6&- zNhRMlZ7c@GnZzy@TXuMBE!qJf5(K%5gZISS0TGisvAcsJ7ihqW9)1(5{t@s;n{`GX zXaFHQeU|s+Ma%bU&>I>#MHsEEfDA^qd*k!>N3uxgXtg~E7D99)G5n6K(J_oQKwGH5 zo|{Gym%tzlB6nd^K?TF>(M}sd1(`rIlQ%AI!SW%JAnSyzJN>Jtkz`;8E^$LfN5;0R z!tXEB`R?0;5c*O<1u$J{ttAG@cRK`K*hCa^VLyjhAUWUiQ@Z}muNj53XxBng{4?U43WgB&zBTVE~Oy;mOPXrhMV&4tp0G35*wIMtt|cuZ*fs#8^W8aZY?Y1jQ=Ev zb(O?5KX;I^;zuFR1e`27wKePs8BcItaRnRpP_ko^)o{M~YdD+#dYzL@*_^hSbqmjCL^LN^@d+P-V54x9mlX zVkZ%wm*@nZ&f`_#A%Kc|)Pn<25Fut6GD8aWCY5AM?o^PntPGHV3#1}X zITgks^TIUj7`atkwWzPbaK+AzewCaDD4auSQPrn-h!ntqSLMk`5a>4GOejTAi7t?q z8yy?>TTNm^ymBnvgOtRxTkVOBCx5f@m??ulCa+nj#!xBR zC0mv{31K45il6-HmZW?sq~JfIB(v6qGz#En9!otr!ZjGc88o%NdFd>nK1eC`pcKAj z2sQ&ql6FM&vO*AJhlEg`21*mthYf@LZlc@FIgnV99c;YjrH)ct z=%$M48?+j$4tNGRb>Cq;!}^e*`PWLdu|X2-hh28nQKv?Cid#=S4wg)1KuYQ`ji%Ciw1R*N42u09osb%;W zF?cD~Uj3UlhR3cw7%q4Vuj7SNJq82N)_8xc+A(Wf>lU6kbwj2o7CBRDwN!`ODi7Bb z@g{4JVtB^JAP4no`#bE_N3(YJhFleE!?i*c*_DOL%PHl}j~7d|)wQBnU1}ei&Izoz zmnLbolLL+I?H$SCV#!);9sY&2lWTYXyXUofmuHvPd)GKOObOtU1-#RVH|uzveOW+v zz=8lqfF4##fM&*vgl*K)_V)Sh0*aLEy5;-5Vn|p>`!J0duBx=Xb=8>{e4^~O3nPED zh?J+MdRIgTST`FKt@)(Z;#GOwf@wUj+iqq&Q=lsMTd;Q|uSG@b# zH+6k04o$SZ`RTnEp&dhB7a@x|7~t`{jW3xJtst9Hmh>x`iIzW^h0j^?5EQbx;$u6Q zb7gypC0o|6F!>zyZ{dy|WVkC96X5wNdSPR0_CSf^!27->$Yr8B4}+i>EXi~?4ZyaN zC4Op%_~USuY!z|aA3ra}Upv@jY0xYyFhN)+@g=tz`N_cP%j~r#NdTA#hf@<(3S~51 zh%>AK5%#_mf^qb6=3GJaaHkK^@D01{VybpmjP?F;M8HUIYO=Omr}z7kfc;srX=M!Y_HGJ|^@bg;Z)XBpLyjqSCj60=Gfp33Qru$M zV)Jo4b?6u%xA>aEqbJ^MN5nR~ZYE;WRK|2(*uut{U=#`c)MQ{mb-=_BHy3$cOPNu| zB?iSA8riK>8W`bGWMeIzqU7$%D!c?N?7ugry^Kdu)m9kNQ258R7z+lUDr#zye|6uM?(0dK#@{t5_PD9w(Am^2QuKh!#22gf$?y zZ$Kny!R{R0m?Fa;Jko4 z}A#ZNHhCni;a%g_99)6x9swFh_x6j2HB&J`4&!k z0}ZfLvW#ucNF|%ck%7D3k1Q}s)5-Eda9e+DZIL}&rj8PTFe5NVHbYFr&%Bh1o@lXT z=<~uNnK~jW%f73@DkM1Hx)b;fABTtu43+Z!0vjC*iMT(qX&Qrl(>c8r$N+%iel#~; z4RDn@+9~icsC)rGAI8ujIL^-ZvS}~A&2SK)YrV678NYUAAHx|tBKf@gYnqkVl*59_ z`wFq8>GDTS4P}U4X0s8;KEt}?9kplz9UR`*$QSwE#~e;X01C;A6$WVxlne+2s1cxp zeM%NFL_t~xI?O_BC5)LTE;YX&Gx1M~nGkz3VjvYOh66pRzc~|kTK;lOIGupzlP0VV zZg6b;@9!A>{vLcu)C9J$U=iXnF7j@Y3s02ZwjJJrthzxG6np6xaS`oc^AQQ}p|fP&MN<~PsxM%SWMV+HI>wu*aS5QXSVW_?xJV^up+Ijtu5z5l1FF1@2)296^at3ux|*~tVA(zE|rkt zy%1j^4!b|T4& zLy9A>Na`bp<`dr-nu;&R4dcwE6AR$_65fq(#9ITW<9ee`Jd9KThl!Td)4d@+to8{3 z+}b+(h)+CAaoVL+v>mgVoRJ=NH`Q$|NN1qAx)d_W=2$?(PLLk}HUi2~A*u_Ru=yUDEut^J< zlcb<(hH*-lv{p!-974RnGlJRi3yI3*ve1wV@qF<~?;qt5{@@O|PeyW(Ype_5RH#O% zg&>}1icu#*=yXv^U)PCr0?9t5d02g4HT>VblplDbP_9NPv?OsY531b7gQu~VW1SjOaPDQiv7#bQ9Z`b^AFvq`T`1s??RkSvXFCKo0!H?RVfwkuKG*XEulYRi6EdleoPXHCCKHA zq#S~!=&R&J>!C}9I=!)GB-{=p4d2SK7xChRY%ZW{B0C;z{QIX2B`G*6$~7V)3WnOz zK#{!$Ybwd51tLq3(anwv&LuxaM#K`E&Nk!f;_SfQQq zh#60Ddvj6p;$c7YaC!wfaKxjmBkyo{_X@B|kealXi3G)}v?Ub_(?+Dm02jfKxEc^$ z047=%;@OFrVXc;j@ChCTMJ{SQr_rH@&jKBTP60q*ic9pn*6YaO%m0rHmf06CGKCC$ z(Je4XK%+_mc>j}~3doN%ibRwmo~S2kC!sB(a`?*G`bUJO5W(hyGC|8i#*pgW<5Bwy z|7o5bP*h|}Ir0XBG^N4J1%b#pa%pD9$dsi&x`0aj=*DR7NOuWgo|YWpZytxzxp@5u z1sXAuh131le|<2#WV+LGZ}gpbfyKHpJuu?c*Y&=?<`-q$?&PjpGF(V3JlrdI394PH zi`UenYVDDj2t|K~tePvzx%S1z5Ib%)?Y6@_&xbih2}k?O`1Asoz(RPSxqO(F9zM%D z)_6@4er^~gZQ`43B^|u=|9t4}p*zbvT3uh338RCR>JY9WONr-s*Fni!zSy@$#IdFv zKnK`@dP81V0aC;*T(Ml*8g%<&v=aYvO3h0It5$>sH~A!FLJ-eFP@@LOO6l(az<3qA zP_^?l<~x|KN8*=2B0l!YEh|ftCVPBF;567lQJp0lY!JwDjkMp8>=Y8J zyqhlAc;&+@Y?rw5bi!fsfkd!?-)h{?&@KX-=eyhow&T}*=}kZy2}b{$ig-`& zw7?ICB-~=>c#ak+8_g%*`-jHD4IaB#f4q;*IF7NDu8!B|;+mhO7oQ`fQ3k1Lz@*Fk0lH;K3#U9Nd zXHL0byOEVs;%!S@f)e~En|ID$PDsf?K}6UY(~R2*aDw0d5NFuNJEs0h+8pS`x4) zE$|Fj!mF%eL<75*oi2fS@T5fs`OxT22~5u-i5%xNy;xFYk1ta1Y`!&i+pmsA!clSO><#8N|gRm%#xImdo);b0y z7Geey)^#ySVzi825HY=o5U)>c9QZlj+R8q(=VT+2sz-n#&IOsm91wm41;Z2LPl$$+ zmO6B?v$RS011xha5zKePi^SSO!oE98h*|N%?3R>j&qI7=j)QgD1946x7(S z=V-B%eLmnu@6C|m+jz-ZCU+o{EgdugNcxc`JTCCo1>OjM@ffh+ZUO3`h!^v$PswYU z@%&E*LGghkIs~dBEciW&F)2$CRWs4qq-W%;ia|{=X)ON)?P8M@_&8NELTJWG>(?9%m+Ch=ewecIc|?>Vbh9$c`V} zFTafWg|6f22GeEjzPAVV8YxbFLdRjf4*bGMXxn%H{=~7iybU{Nn?+MLQZ=IwUpoqa zpntAG5LgKC5gU$*Q6~F%#O#qOOD}eySMB>6qBU8=!O%_qbOvrRhKp`JPLN^+8pIMF z!Ik1YbQW%ByZxJw*x$zt{=t}m9?amb{OPupp$xp8v5jWp@7#fIdCsFsC1WUmw*Ld~ z0e$=)9qjLMly*g7Q8va{52HuhWLiDRjX*v|hv2KYKS4`of5V)ssNAr+DJD}M*?A~W zMmEatTOWM?=7W-FY9iH@eLT8+e%8)7<`=dVCSXKNkYuVV`&|-4)k*m#-^kv`UrHH5 zu##)%4*J-$4=DkzMpnhzQ3`Tnz#?>*Jbj5fpg#&4WoT*aF6uW-J}dZn*FT`|NV!0&~xId_5r_6pZG zE}hZ|zuSkj#ku3W9M4@lck-^hdgI3ELOlB3r0HC`=Qu&bZW516v`O7d&OS%d=e$(a z_xxMBvbwgLSKsy9&meE}@;~XD{`XIM?l~WljYhs#$M*^Q$8z#tILD5fXkRe^_t*xr z3Y^IQ23;hl2V=DuvVXd^Xa6xtpX_{Zacs!=-W>nJo8x;^NrNsA61vBy$F?uXkGC;~l%pKG+U$?#TpKoADZu+=w!TRS>h@&y0Q1a8L+SlF*oe?HC>ub)9 z3g?|xCG)v?Gt^fwFl6Myk{%_Hp-*nUx=_g0oY%-b*s#9)`uIXez zD@@YjpvtrX1v%S&=6^Q6`DLt)$Yd*|(PLyC@?iDMdBt&oDT69geRDLYKvrVw2<9wC z(PF5`fOshKKJfq~5YEFbwm;L4@+}XfR92qT4`VJ-b2jUhEcBJ?4oTz+|Er6mVOfc8 zco$1}cv+O>p$}Qh#DOP#?qIatd~}o3)jdu9xnz~0MwY+vXOfKn0ni^$QjL+wlJ_QA zaw6FL(Gn49D(vlC(c6FSe=d%gcX3(hqadfuv zD})3`-WIVqEtb^52>f5JF{Qdm>L@$Oi8&`dvq1Ze$~yO@Ge(3ZZ;{c!dFsib|Dqgm za9jKjsBFvSMJBR$v4jq}dTOC!z^JyBm{wVCR#8`N^uZmR1U94rS&lK0!*cBPKPD)v zOfG2_M<$WMn)g*MVI?f`yp|sMs^)vn5l(gx95TVpTpNT_T_Q4(K(F>oS8QSH?`TXT z)i6btxQ&I8o9I@R2S>*q&(u)2hPs zs`yc+fA5RupHYx78778dj9kP~GG~PY$5ug{?FLHT*nJ(Z6!90{8qLMkVrQlaiI5~1 zk6!vLCOlo%CgEJEm?dR$5qy_Ru_OdLx%my_aZD4XR?8HYNo$2ZjfoISJ)e1Krb>S2 zE{__#n&%R1SBs=G&i7q^Crv@OuP6HDP*ub7FlAJaNj~T2CFPCE9FZJ^B7-c;wuc2M zuFvchNp$Nz`R@M(R`bi$xs5s|ggxDw=cs(TB2~U3CLDo+dlGw?5+7j$M~&RH8~@ms zEiGqz*L3Q{?sZ!GJ5AnVFzahlN$Buc4*EJ*{@+K(Xs(4j+@APB#?JM0TFYyBX=-vw&IGtYO zJ@L?m?B&b)G7#bxL3bW+3GxiWdqaLr!hLE7Oa>PW3C4mYB49G?(&n637r6`<^+oxV zuqPtE23*q%oCrHBjFdfFWD_GEDwd|s{_f94-a#6GU9Xj^3n7|~*FS+;$~(8@y&95K z3m$`;lti}D&fs(uEjKl4S=|xH6G4&2k>{A_j${!ZVLxjGRS|Nvw9`Tx5R3lxpBhWw zzHG8LgBiEnu@EkIF4LkN&Il$VNT_rnDT+_YQ4wKpV-+Uu2My zdTw%=;`t+6xhKd@i*PtLX%i%mP*sf>*LrGj()Kp)6>bAv=BR zs#T>`g>pgqaaCtcjFh-}RTw}<2qIb>1eh=}kZjrRC$h3fTlQD~^~I?#%k?H_z{Cl; zYx1^J340@Eag_6)#5?XNbE15Uc-0)ihuZotmJ@J$BAy{sYWI zw3$p;1@yS>lTy=YGB*iMBZSSLwTzb%En%MN@;)$16|Hri4;ehuv%Hh)Qr)g~l3_BfPNY`p?zAk1PN8aAj2u^)U4xeK6k}VTOuTfvqC8QQD~W zKKnXr`MYPe3t2Wss_8qX)K(Bk;MsTj>@0`TD~0G|WKd*Hpy_fnxDFtK+;pMpq;YT_`Ue9vLQ*hzbRv3==RH zt1YriTc*TW9!*}QuVlT-*^{5u7G1oV6%STfQK;lwUDuh&DLknTNmSjft}qJ^$72@K zVH+9`t&QtZYLxgpeQjn|-LH&OIcFD;DGB02UkVHWuQ}qIfBqt3(4o(kpBzGiRJ1xa zX(a`J9Ge%OPLm~s5ojZ}_J~7tky3<9k=t#*a{xD48AF|?e!6ND_$L`*fyuXSvk`mb zr@mP+SbpjQ;>6buRhCGLeeS0>Z#?T8+Mgz!d*_JdypqhSext`WlW#orMX5E<$^$xw zb7;o-`JwtpPi}slUw~wRkSQ_|B47U|KDCd%nV9d9RBkp3DJvdSNuHg%JU~wJsrcOZ zfA5wb&pvb)lU_A?Tz^S7kpEBTuP;aJ0>|_CVIox(v)}v|#`3fC)W0=*s6P`ni5TKg z&8a4MlPlFXMbTFh#vw8PSl6?>m zgKz)72IkMnYxSJ&q4Xo_B&{ekpapa*a_Jn9ewdN! z%I8AHMiNnxwMlMO_~@5ZNKVg@CXFYhZzPvg3IG15+#VhBD9t71$W>jQD9wsF1B1nZ zIX_NPMx|9ZKBubkbenEwbMCw1FQ3)Px$8nCWpK!+EYuiHd@vJj8xI}cvMl$`RYHD9 z(oKH^GIb=UXfL$5WG}p|Y%Q%EC3PS-NJ?X{vx>wf=;DI^uf6jDva71^_*OX8ZCIL{Oj(qtEv=329PIO#~L#?=2H{8ZswuBS%G34c(sE`<; z)!MhrEUDCe0)uXjOmhKsIwZy<;`1cL;MBhm!;&cLzBx`Z{?)NF>-8gQl_l$j$E)St zKX!`}kzv-6SX2M36dA?~6T;w&OZw=N@F>(!?L|^`)s+C{?SnZ3xLS|ahI>B2TSoM> zi$@tgMc>YCAv2r5KFW0Xwt?{IwUOeQ%LfNt~7CE9%!x@Pc9W z+6gT&89ESs2<<#8Y3GM+)e0&0PRWOQ*;V4v}N{P?S63Hg(C$l3C>zRB~4wG^#BgJ!IAhYrmAb%w?jpY z)QDD=<2!zv=f>S(p8%bJTbotumDF5zVA6^uG8ZainJ1k8LC$reYC)22h@%!8U;3S* zd|dT(?U~#Fb9@>3vOL8aOp|Y)NlqXp0?yo#k!KREM8QaBs637r1nkNKT)FS2K1R9tf{J5cVxggqL(AG zz+m;7Iwa_mz`T4d(Rvh4R_|o18wQ$AIEmuh&bPFsNETn-ma=rY2|cY_BA-6n zKa|Y#Nn(VDqL&3qOi{3$hZlZl>l{CJT~y0ci%VB1A!Ov>GzWljM`>XAIkMqusacz% z4qGn6D-qmRj(LQ+$!(M-`v*%#&?Er0c+oE}to>re3&K+t+Bc5F6aLyhdHkl!ubSLA z@}=5_%dgtDb>x%B9CQEqhqevBW5f8Z-+IHzC-Sp`pNGG3=xUOl_;AgBPg%I5cIXNM zPB^r1awFd_ej0+*Uu9oz=99;coBFGsn0#PJUr^}Lv357;*PC?d-rAo{K!n`y+twps zK{DnYU(AB=f+sTs!4XL;RgD^HR??EP0w51Ecbq)0Tc+ug87$Qq;3EEO9uvUPx&mtb z2Y>WkjeI>jqX#K!NM%rsfAEopgKzlzFQaI=bJuOWVUsRZw)SOb=C5&$5+T2=rnnAJkgY(MPfMq`syLI#@<{CrQ{S#^}zQ> zdP8!l^zz4H;=vc6zG%p8SL_KDC|ImAGs5u~Dqy<3b-TB`#?--{5REFTvWi)K6^OMU zzJK)?nn$nB7S^iv36+~cmT*@G2M-+BtjVJwnHvirod=%qHhiFtwyVmi!p`IOR2FZ^ohRP`Z@3*z?`#Z}>%o?t2 z!5}{Qj1EWGmgoYcSvcJFOZj+cu{BYwk{22tji~I0FmnWnQaJIi7wM-^w{HVPJkINkbAL2$FJIkFyQ>H?M5T6s1Q22})X<_;Lh&~_1EM|CjRi%PZCb#( zQzishUbSHFub$1jk*=K~Iw}na0ONY=LA_!wa4xQU;9`VHtb#(UIW&gT^B+s1&G6eW zNL)6#k`}XxTmH57vP;Xv4T+X8oP}IuKKA(K6PRj6^7}4I{3uFJAH(l*!QJ_qFavdIo76b zl8Eho)%GopF$!(&pc|i4#H09Ar&pPlXa|%$mw6!N8>%?hke5QN=#$N$5SW%W8&qq^ z7J;7iu+5_AQ74YHj#yqXiD_OCam=PHYte~Fc&y#>NF^TlgnN752`+JbT%w;HiH( z!ro&Wp`BmEmp{{zqoQ92E_)kx`*Xf-jDyG#ty_>{`U#PMIoH5W}ia)Tr7gRx{ zE`v$fV0`OGyMoL>S+%KB4H1Od6E2VV#Xsu?(UK?B8M>mP!0oG9x0b}}>ep3n zl7KBF@{?!ranIfv23;!Q)29GZGXdS-ECQ-HyMUwkg`c%WXWiQt(CDEs`Lu=wK<(uy)O~Opq)`c2hHjn6&cocqjNL}qHGa4w>Y z@f!Jh7bPCObhObyoveD?TD1EqYEs698GqN_Oa6q(@lI1&Q=#0=c_r4mf7daosbku% z`P&;B`fRo1AxxlTpge5mMePwZj=F*K__nunp`?h!Y_sOpTD7vkLFd75E!WI!$DAk0 z89*I0Ba~t3N(q$*gZQk|jYJGK*=)wREhlN2Ndjs>ZnUVVV~214;Q@U4hNCOOVop=k zc7U32o}rLM4(b_rLeGFiwf|xLf6NhK6ZvwLZCC)!@Mj&Y(h1R9Q&4+8u;ErbL<_0X7T}alB@(w)H!dOo%ckJ zL4bj#QQ9AV&|L<))~cngU-pW5=n}G+LiN<&xv>0I6|f;ad_Y?^1`Mgej<>RaVOoWm z0n)b`pO4XJ7lHAA>u2T~p69e`iy3_6=|5>>)FbPT^pnH`7h?ClC^JuEiz8-i^`gU^ zsA^y(p+PY98A&l;q1BT#Vq|>OMx8Pq(d49*)2;C%nOaZoTBM?rD;nVs*SMsVwcxm@ z<##%KB$T@XGSO_ht}zWH$QD$(T-9=9*66?a&;g{`+f%QnvlJQN9V2#LxmNwqVOSTZ z6;#bkRVq?RVfP^;jUfsQZhZa_hwK6BU1)t3YrI+r zgj04zKO=_YfI$ z5Mr1WUFDVKA(oA?IEjT&{0trV;6bVs8Ms6k-0Ft!}YgIxdYGYsdAv~(dz}@N)uOl=d5w6B?ynqP`FccGnnG*?hYo1 z%Ni<`i$*35tD*QX#yn7~AA^J&egWpMLB6#6eQ%nll&Z7V;Q-$TT7X8G{diCyEF;NE z^)|UDKU+$bh{v})Wv4Y?g$`P$YdpYT0b!V-r4*OD?@-$l%pxfog_ zd)LE3cN6hDE}A=O^=Ba^TK&+lE&9`nEO*Gk>xY9b3?r-uNF9gmYw9%heVk-l3xF@j zXWoDT6NXv|6)w4!9;6a1t*e-qPO=b=ua#1(GHKI=VwO1{p91lfFyjwiFiWVqO-I|j zU1j0cXsLI(?92;k>*Pa+nk>euXnLlqvoG7RlF1^8S`#a2#!;~Ng7W|OiIzv|&JcR_ zz;Rbju^P+PnAKnP$ixAbmT|xJ!J{U$qt!P!q^C{26fl*l$WegSzp7hxzDPYdH$0J5 zR3oX=M{YkUtA!PTbsomK7uQVqEj2z>2#IK4Eif3#G`iRTKorI~MTAo5LydPMC{zc* zal2WTY(0Sx70bF#-#6&Kg%iVahHUSB+OY5l(UN`14*}`2U^~<<)EQ3nHX~4w{u>w;FV}AV8+gy4|UdBgj zdR?@FYb2ueXZdYB_BE2Ftz#3tqSA|5S$LO`3JI-Llv*Pk8iPoLP6O8KT=j-EY9Apx z9%t=~DfS?l{%EKbiZa1Yeo0QZX2d~+ld?UNLXu`l)r}~C{Xb#=$`I&5riTDU7uDnt zcRpk)qvnPUf|oQ!vqY8_l8n-%)bzw2)XXCiZ{DJ21zOe>5Ex~jXZ#?U39BHXPMumx zo(i<;>uLdF5-s@^VM4%BQc|_dA7A-t1`7%YDB-D*npg0xoKKrPjuH0I5w=R!CY)E)aAj3TF`=#&{Ne}rLPK=0#%~*-^fA;$21$sc zdFys}7?&2v8~nhi;}DIG+BAONBPR19zKCt2 zrT^el`N>ah1DJuv9F*OF?~2{4Iq~->crS~G8a%g!!z4N}z;DGI%Vx>C9xx(R%YClSGWe{hsUX&sP3+D;k?p%u1L z;ner=M@ABIq%Ih1h%t-apVsLIuN_;DMg#^WH5k7$gpxpqHRII=YtvxKK{eM|2^_w6 z-W*n6YB$S*KDXElD=1<|gIPh?3ge>_?be8Gs1J@Hn2R(&gZ`^MGF9ep_!4w9a3`mn zY_MoOPl-6;f{fE`=iA=n>Q@I1OAjkM5-E z!yGbH$Vz%6o7yn@<%0{PwMrpJ87CXSr9~58*|f5X5ENJx5{f-w*a3FuvZ7&m3S=dT zmP@|s3QE=0G9VLb^9)uI#L5DOaAQUFN;eQsoe(!JSoqMevgEhBB%OdVu^e=r?nvxm z+%s+gcUb^wri(&w@f{neQIdrbY_QV-8zCgO&farQPOd6b4rT@+tE4>2@vdWRn~bbn zQ7mbb8BPV#z|8t&69NQrMy1_ER;pH}#+ZZyf8xZR+^ zRAK%E-2!Xa|m)>DhM?k2)}s znu&rJ%Er?wLeNv=hqr`gBt0?w2fcIUU8mi@`p^~i#nI-|*n;zS7hN{!w&GkixXSk8 zoWlLfzjW6ZLv6;Xzc$2Rnw%PWG(WM2baptrTltEB! z5T>6rQ;~afQLt&vv!u8;@cff5ejrdR80Nn3QHdQIsB-);WVRZBEsDQrK$qfbXch(} zw;R8st`L{>wRY3uVuOSh96A-)h{{CONUxV2@lV%Fa^M2$aYNgpIpE07r14$=-3TN* zPIQ@wlMKLBX>A;tYb-Nd3^&TAzz*`DndAydZj)a|r1%xveBA60v==%X2_!*ZsVtJO z3l4tYliF-!EHn1DVm1e!P$OKC$X^N>1h1J)A~%Q%lc4FpBu<z+n*cy9EzzkOD*hy{fLSF~ zp9f{3w+lkTXiHYup>7IX#8Bdz4N3oA|=f zB1($SAgxo&KJwh8V!D<&bCJ2CvW~;R?H(a06EE1G-YYLQhls1_H9RV)oxb3#Vm)ng zmRd@U;Er4U8@!-ptJ`k^x$!)DP%~Wg>d%p@ z4?yVv0VZ}@+A^XQQL1{mHb7@OC*_5w;)S29=yAL!TS{*2%uvHbVh)( zk!w9q0|* zA4(t+^DqC+bRr}Fhy9{9q`Pwb4%vNX)l3OxfN3YeH0pz)AM2F1QAyn@0F(Qy99X&;ml0)i9o3VAe)H%MZa}+juvI6|NO<@etY=lE0)0;Gl8xP=JlSr3mu%YAh;~xu8Fy-e&}ee^vDE?m_@$T-%-d-*Ja!40 zTvO*Ud*LYpK3p?{$uu}(VqxD**^S1NNM@{Ld+k#L19`LDtsH;k{bs7vAba{=n3h)A zI(GGYQ^jrSH*#tO2UXrS)MO;#!%tcny*Udk!avBLP=^B z9k>A4&L}j(CPabNQV?&wOHVT{%=q(OUXH3*gvAvcLFuZD_-|7iqH@WD1dA>@#q-pUior$Db3zJ2|{G0c41)}0dHKFAZ zEnd^bCH8^29Hzcfu1qk_OV12pNyKqs+;k>F6Oe8r{WHV{EF?Nu=@e4>o`3L1KNk{H zjSg9PXu+dsZ$+>%T99KBbk5C3+njS~bV9E4puplUcGDnh_EywKmKIT4U*YYY9DK`B z{B2xTg42wmy)P;$xd&^5qzay(CBL~Ow=aLCil!0PN_G}Q-0HvPFVZC7CeZfsBVPS7 zk*87smuo1VbvGlnuYoCSnjxNLR~ygiGtmob=PpVp)DqHQ<4tujZ6}rKioIqA0$x6@ z>`yQ&1eb+wMIJRCtHk*)N2qFUH1+E_f6UjXb&e)@{)9Bzs98VCL{?C-bJC1Vq>gCI z(=QXi*r~}I)poq0%-0h|xBHVg@L9WU)jhjGm;Q}ED@NA=x&Y{g34*!pA3X5hn}VH{ z^k_33nB6B+B@BZVd>|6xb#QXPD&~ur-8j|l=iyAX?Ho8Ty2nj3of~o*8Y+CaDnbu+ zvq_jZ`Y`Ii)JtV(XssO0+GdB`^nop#b5l7*(zBTqnKPzZo4G+Wn@_O%N^m3v9{1L> zz%{+vYA6+o`%u*t4vU>x8yTa+BLS)`m5=>*3-&(zP%z;P54BWTAN;o#5$f$gkt4mV zu+*r@;3w3JF@i_eZ93sO!gQvBy)brs|&4&v9X;3+hVc_bp)FpUbmEG^8W%XuXZAvCK^3g!Wh9XzM} z1=au{3qyMA%SE>{YbK%F9aT+clg-bTq(=n! z1EFo{8bv_w?oFZ0zMM&jOKhEF&yib~;zCj5uxgk?T8PTQmkc@CYVlY6Nz_PMb3dUd z4g-J2nxvEfHgh7g#`x%Bg(@|`G`iJy|%j6!=4u#GfW1-B1CWowTII!Ts{dXNP(G;QQ+v>p= zzHN+8SySQ-*Lq}SWb@X8)0DmnJ^89jL;hH$9w*ijU3{Zuw~uPi!@`0lRceDOHRR)!)doSlP+i0DwQGffNt)F%IWN_ax&r)4w^2TcJzagiuupF-24X!86nutnp%~M(B=P=|}10O`5VmbR&(?{u=SR z@GaQ;;5;_%)cF`siIbN#$AXoQ@e%k#mHWo4&u%lnqK^P|bI{rH$6&)oQMPyvz=c*{ zX>LV*N>A=5ckyOah^FKMVRH~*Hx1pLgU9zR4JO1*}9dehn`RMWBxcOu{(0xJ{lp4jZxOza{d+xI) zVbne}bM3HX$ixQJvYN4v_x`Q*>>17#$f@MG8zQPzYz9|LBx~!NpfT_i14!w4bv`jfBHI@o~XE{8s|K8g$Z?n$&$ZS9t{@pj^%&OTyv7GLU_U^mUPvu`IkCnS1 zjNyCnH++0`g0pn-((^n*0#->p7QVVW{2TMdNvo$5><*$4d-=uX#?35!ztgW1i$i0z z7b4>o+AcT?fNZAmefQ)9KC5~=7>CaTTwA1UTNm}0KOj-W8g)6FeOrHg@zus@Ls~Oh z@9preej_n7VZ(p@sID>aV!GttIkdxRJm2*SR_5SB;}eEu26=xYR_DI>%giujibMs{ zd!fWny$vwgW&L~y2-@J5vZzRFX^6CQ>WEoAaKmLl4!9CE(uCynm#&bK$Ty!c*O8^Y z{mfWM`&)u8520?JA&J=4Z}r~yp-4!(RxLC-v9$P75GrM_0*%i<1{zSR(m$!H>0ej$ zC6W8TuzwB~!P?>DS$Eafzj>H%P=wXw`<>r(oA^g3Du>x9n0iQdBCy%a+{X3gv{zN7gaS;Xf=*E>^&h4#y74JWoiZR zE{p_{l|wy22Fs5@nNWv<6>At;gd}nH+RlRb16QU*8d0K`mdjOg?Ztg~GrBvup7SJ622t7X*qg8%hT{ z<5Rb&8#dPzpT=rdl!%d{oPyPbp%z#Sx?{qT+%czym8s_04C9NwPwinanyniU!2Wmn zEef+mBmM7pRr3svH9C(LEEktyBl7NdrZug7fkusVg}(SP-j*LO$J>*`1@-hO%vp1r zkyyXhA$I8J#uzjdZ;L!X!-I?Mq+ru2~=*UUY$ncewHQQM>c5Q_$g6C7OSwO|%)}ztK zdAM*OSUDM%KfXYr&P|S^{g07|^(7<2$CkFu9}9O52b1IW_~R@`9|%6MJ)9iAWx?q7 z?Gvl(C-z-9J|3+o1;>_Hs`C0LPY'0'); signal bkgnd_graph_adr : std_logic_vector(11 downto 0) := (others =>'0'); - signal palette_adr : std_logic_vector( 7 downto 0) := (others =>'0'); + signal palette_adr : std_logic_vector( 6 downto 0) := (others =>'0'); signal A11 : std_logic; signal frgnd_clk : std_logic; @@ -107,10 +107,8 @@ architecture struct of phoenix is signal bk_lin : std_logic_vector(2 downto 0); signal color_set : std_logic; - signal color_set2 : std_logic; signal color_id : std_logic_vector(5 downto 0); - signal rgb_0 : std_logic_vector(7 downto 0); - signal rgb_1 : std_logic_vector(7 downto 0); + signal rgb : std_logic_vector(7 downto 0); signal player2 : std_logic := '0'; signal pl2_cocktail : std_logic := '0'; @@ -137,7 +135,7 @@ coin <= not btn_coin; -- insert coin player_start <= not btn_player_start; -- select 1 or 2 players buttons(1) <= not btn_right; -- Right buttons(2) <= not btn_left; -- Left -buttons(3) <= not btn_barrier; -- Protection +buttons(3) <= '1'; -- Protection G_not_autofire: if not C_autofire generate buttons(0) <= not btn_fire; -- Fire @@ -229,7 +227,6 @@ begin when "11010" => sound_a <= cpu_do; when "10100" => player2 <= cpu_do(0); color_set <= cpu_do(1); - color_set2 <= cpu_do(2); A11 <= cpu_do(3); when others => null; end case; @@ -288,7 +285,7 @@ color_id <= (fr_bit0 or fr_bit1) & fr_bit1 & fr_bit0 & fr_lin when (fr_bit0 o (fr_bit0 or fr_bit1) & bk_bit1 & bk_bit0 & bk_lin; -- address palette with pixel bits color and color set -palette_adr <= color_set2 & color_set & color_id; +palette_adr <= color_set & color_id; -- output video to top level -- output video to top level process(clk) begin @@ -298,9 +295,9 @@ process(clk) begin video_hblank_fg <= hblank_frgrd; video_hblank_bg <= hblank_bkgrd; if hcnt>=192 then - video_r <= rgb_1(0) & rgb_0(0); - video_g <= rgb_1(2) & rgb_0(2); - video_b <= rgb_1(1) & rgb_0(1); + video_r <= rgb(4) & rgb(0); + video_g <= rgb(6) & rgb(2); + video_b <= rgb(5) & rgb(1); else video_r <= "00"; video_g <= "00"; @@ -338,18 +335,18 @@ port map( data => bkgnd_bit1_graph ); -col_l : entity work.col_l +col_l : entity work.col_h port map( clk => clk, - addr => palette_adr(7 downto 0), - data => rgb_0 + addr => palette_adr, + data => rgb(3 downto 0) ); -col_h : entity work.col_h +col_h : entity work.col_l port map( clk => clk, - addr => palette_adr(7 downto 0), - data => rgb_1 + addr => palette_adr, + data => rgb(7 downto 4) ); -- Program PROM diff --git a/Arcade_MiST/Phoenix Hardware/Capitol_MIST/rtl/pll.ppf b/Arcade_MiST/Phoenix Hardware/Capitol_MIST/rtl/pll.ppf index 55f387da..2dc9dced 100644 --- a/Arcade_MiST/Phoenix Hardware/Capitol_MIST/rtl/pll.ppf +++ b/Arcade_MiST/Phoenix Hardware/Capitol_MIST/rtl/pll.ppf @@ -5,6 +5,7 @@ + diff --git a/Arcade_MiST/Phoenix Hardware/Capitol_MIST/rtl/pll.vhd b/Arcade_MiST/Phoenix Hardware/Capitol_MIST/rtl/pll.vhd index cf6d2d12..1c661cbc 100644 --- a/Arcade_MiST/Phoenix Hardware/Capitol_MIST/rtl/pll.vhd +++ b/Arcade_MiST/Phoenix Hardware/Capitol_MIST/rtl/pll.vhd @@ -44,7 +44,8 @@ ENTITY pll IS ( areset : IN STD_LOGIC := '0'; inclk0 : IN STD_LOGIC := '0'; - c0 : OUT STD_LOGIC + c0 : OUT STD_LOGIC ; + c1 : OUT STD_LOGIC ); END pll; @@ -54,9 +55,10 @@ ARCHITECTURE SYN OF pll IS SIGNAL sub_wire0 : STD_LOGIC_VECTOR (4 DOWNTO 0); SIGNAL sub_wire1 : STD_LOGIC ; SIGNAL sub_wire2 : STD_LOGIC ; - SIGNAL sub_wire3 : STD_LOGIC_VECTOR (1 DOWNTO 0); - SIGNAL sub_wire4_bv : BIT_VECTOR (0 DOWNTO 0); - SIGNAL sub_wire4 : STD_LOGIC_VECTOR (0 DOWNTO 0); + SIGNAL sub_wire3 : STD_LOGIC ; + SIGNAL sub_wire4 : STD_LOGIC_VECTOR (1 DOWNTO 0); + SIGNAL sub_wire5_bv : BIT_VECTOR (0 DOWNTO 0); + SIGNAL sub_wire5 : STD_LOGIC_VECTOR (0 DOWNTO 0); @@ -67,6 +69,10 @@ ARCHITECTURE SYN OF pll IS clk0_duty_cycle : NATURAL; clk0_multiply_by : NATURAL; clk0_phase_shift : STRING; + clk1_divide_by : NATURAL; + clk1_duty_cycle : NATURAL; + clk1_multiply_by : NATURAL; + clk1_phase_shift : STRING; compensate_clock : STRING; inclk0_input_frequency : NATURAL; intended_device_family : STRING; @@ -125,12 +131,14 @@ ARCHITECTURE SYN OF pll IS END COMPONENT; BEGIN - sub_wire4_bv(0 DOWNTO 0) <= "0"; - sub_wire4 <= To_stdlogicvector(sub_wire4_bv); - sub_wire1 <= sub_wire0(0); - c0 <= sub_wire1; - sub_wire2 <= inclk0; - sub_wire3 <= sub_wire4(0 DOWNTO 0) & sub_wire2; + sub_wire5_bv(0 DOWNTO 0) <= "0"; + sub_wire5 <= To_stdlogicvector(sub_wire5_bv); + sub_wire2 <= sub_wire0(0); + sub_wire1 <= sub_wire0(1); + c1 <= sub_wire1; + c0 <= sub_wire2; + sub_wire3 <= inclk0; + sub_wire4 <= sub_wire5(0 DOWNTO 0) & sub_wire3; altpll_component : altpll GENERIC MAP ( @@ -139,6 +147,10 @@ BEGIN clk0_duty_cycle => 50, clk0_multiply_by => 11, clk0_phase_shift => "0", + clk1_divide_by => 27, + clk1_duty_cycle => 50, + clk1_multiply_by => 22, + clk1_phase_shift => "0", compensate_clock => "CLK0", inclk0_input_frequency => 37037, intended_device_family => "Cyclone III", @@ -172,7 +184,7 @@ BEGIN port_scanread => "PORT_UNUSED", port_scanwrite => "PORT_UNUSED", port_clk0 => "PORT_USED", - port_clk1 => "PORT_UNUSED", + port_clk1 => "PORT_USED", port_clk2 => "PORT_UNUSED", port_clk3 => "PORT_UNUSED", port_clk4 => "PORT_UNUSED", @@ -191,7 +203,7 @@ BEGIN ) PORT MAP ( areset => areset, - inclk => sub_wire3, + inclk => sub_wire4, clk => sub_wire0 ); @@ -219,8 +231,11 @@ END SYN; -- Retrieval info: PRIVATE: CUR_FBIN_CLK STRING "c0" -- Retrieval info: PRIVATE: DEVICE_SPEED_GRADE STRING "8" -- Retrieval info: PRIVATE: DIV_FACTOR0 NUMERIC "27" +-- Retrieval info: PRIVATE: DIV_FACTOR1 NUMERIC "27" -- Retrieval info: PRIVATE: DUTY_CYCLE0 STRING "50.00000000" +-- Retrieval info: PRIVATE: DUTY_CYCLE1 STRING "50.00000000" -- Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE0 STRING "11.000000" +-- Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE1 STRING "22.000000" -- Retrieval info: PRIVATE: EXPLICIT_SWITCHOVER_COUNTER STRING "0" -- Retrieval info: PRIVATE: EXT_FEEDBACK_RADIO STRING "0" -- Retrieval info: PRIVATE: GLOCKED_COUNTER_EDIT_CHANGED STRING "1" @@ -241,18 +256,26 @@ END SYN; -- Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE STRING "Not Available" -- Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE_DIRTY NUMERIC "0" -- Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT0 STRING "deg" +-- Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT1 STRING "ps" -- Retrieval info: PRIVATE: MIG_DEVICE_SPEED_GRADE STRING "Any" -- Retrieval info: PRIVATE: MIRROR_CLK0 STRING "0" --- Retrieval info: PRIVATE: MULT_FACTOR0 NUMERIC "22" +-- Retrieval info: PRIVATE: MIRROR_CLK1 STRING "0" +-- Retrieval info: PRIVATE: MULT_FACTOR0 NUMERIC "11" +-- Retrieval info: PRIVATE: MULT_FACTOR1 NUMERIC "44" -- Retrieval info: PRIVATE: NORMAL_MODE_RADIO STRING "1" -- Retrieval info: PRIVATE: OUTPUT_FREQ0 STRING "11.00000000" --- Retrieval info: PRIVATE: OUTPUT_FREQ_MODE0 STRING "1" +-- Retrieval info: PRIVATE: OUTPUT_FREQ1 STRING "22.00000000" +-- Retrieval info: PRIVATE: OUTPUT_FREQ_MODE0 STRING "0" +-- Retrieval info: PRIVATE: OUTPUT_FREQ_MODE1 STRING "1" -- Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT0 STRING "MHz" +-- Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT1 STRING "MHz" -- Retrieval info: PRIVATE: PHASE_RECONFIG_FEATURE_ENABLED STRING "1" -- Retrieval info: PRIVATE: PHASE_RECONFIG_INPUTS_CHECK STRING "0" -- Retrieval info: PRIVATE: PHASE_SHIFT0 STRING "0.00000000" +-- Retrieval info: PRIVATE: PHASE_SHIFT1 STRING "0.00000000" -- Retrieval info: PRIVATE: PHASE_SHIFT_STEP_ENABLED_CHECK STRING "0" -- Retrieval info: PRIVATE: PHASE_SHIFT_UNIT0 STRING "deg" +-- Retrieval info: PRIVATE: PHASE_SHIFT_UNIT1 STRING "deg" -- Retrieval info: PRIVATE: PLL_ADVANCED_PARAM_CHECK STRING "0" -- Retrieval info: PRIVATE: PLL_ARESET_CHECK STRING "1" -- Retrieval info: PRIVATE: PLL_AUTOPLL_CHECK NUMERIC "1" @@ -275,11 +298,14 @@ END SYN; -- Retrieval info: PRIVATE: SPREAD_USE STRING "0" -- Retrieval info: PRIVATE: SRC_SYNCH_COMP_RADIO STRING "0" -- Retrieval info: PRIVATE: STICKY_CLK0 STRING "1" +-- Retrieval info: PRIVATE: STICKY_CLK1 STRING "1" -- Retrieval info: PRIVATE: SWITCHOVER_COUNT_EDIT NUMERIC "1" -- Retrieval info: PRIVATE: SWITCHOVER_FEATURE_ENABLED STRING "1" -- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" -- Retrieval info: PRIVATE: USE_CLK0 STRING "1" +-- Retrieval info: PRIVATE: USE_CLK1 STRING "1" -- Retrieval info: PRIVATE: USE_CLKENA0 STRING "0" +-- Retrieval info: PRIVATE: USE_CLKENA1 STRING "0" -- Retrieval info: PRIVATE: USE_MIL_SPEED_GRADE NUMERIC "0" -- Retrieval info: PRIVATE: ZERO_DELAY_RADIO STRING "0" -- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all @@ -288,6 +314,10 @@ END SYN; -- Retrieval info: CONSTANT: CLK0_DUTY_CYCLE NUMERIC "50" -- Retrieval info: CONSTANT: CLK0_MULTIPLY_BY NUMERIC "11" -- Retrieval info: CONSTANT: CLK0_PHASE_SHIFT STRING "0" +-- Retrieval info: CONSTANT: CLK1_DIVIDE_BY NUMERIC "27" +-- Retrieval info: CONSTANT: CLK1_DUTY_CYCLE NUMERIC "50" +-- Retrieval info: CONSTANT: CLK1_MULTIPLY_BY NUMERIC "22" +-- Retrieval info: CONSTANT: CLK1_PHASE_SHIFT STRING "0" -- Retrieval info: CONSTANT: COMPENSATE_CLOCK STRING "CLK0" -- Retrieval info: CONSTANT: INCLK0_INPUT_FREQUENCY NUMERIC "37037" -- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone III" @@ -320,7 +350,7 @@ END SYN; -- Retrieval info: CONSTANT: PORT_SCANREAD STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_SCANWRITE STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clk0 STRING "PORT_USED" --- Retrieval info: CONSTANT: PORT_clk1 STRING "PORT_UNUSED" +-- Retrieval info: CONSTANT: PORT_clk1 STRING "PORT_USED" -- Retrieval info: CONSTANT: PORT_clk2 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clk3 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clk4 STRING "PORT_UNUSED" @@ -340,11 +370,13 @@ END SYN; -- Retrieval info: USED_PORT: @inclk 0 0 2 0 INPUT_CLK_EXT VCC "@inclk[1..0]" -- Retrieval info: USED_PORT: areset 0 0 0 0 INPUT GND "areset" -- Retrieval info: USED_PORT: c0 0 0 0 0 OUTPUT_CLK_EXT VCC "c0" +-- Retrieval info: USED_PORT: c1 0 0 0 0 OUTPUT_CLK_EXT VCC "c1" -- Retrieval info: USED_PORT: inclk0 0 0 0 0 INPUT_CLK_EXT GND "inclk0" -- Retrieval info: CONNECT: @areset 0 0 0 0 areset 0 0 0 0 -- Retrieval info: CONNECT: @inclk 0 0 1 1 GND 0 0 0 0 -- Retrieval info: CONNECT: @inclk 0 0 1 0 inclk0 0 0 0 0 -- Retrieval info: CONNECT: c0 0 0 0 0 @clk 0 0 1 0 +-- Retrieval info: CONNECT: c1 0 0 0 0 @clk 0 0 1 1 -- Retrieval info: GEN_FILE: TYPE_NORMAL pll.vhd TRUE -- Retrieval info: GEN_FILE: TYPE_NORMAL pll.ppf TRUE -- Retrieval info: GEN_FILE: TYPE_NORMAL pll.inc FALSE diff --git a/common/mist/osd.v b/common/mist/osd.v index 5527e729..44ecc713 100644 --- a/common/mist/osd.v +++ b/common/mist/osd.v @@ -96,7 +96,7 @@ wire [9:0] dsp_height = vs_pol ? vs_low : vs_high; wire doublescan = (dsp_height>350); reg ce_pix; -always @(negedge clk_sys) begin +always @(posedge clk_sys) begin integer cnt = 0; integer pixsz, pixcnt; reg hs; @@ -110,7 +110,8 @@ always @(negedge clk_sys) begin if(hs && ~HSync) begin cnt <= 0; - pixsz <= (cnt >> 9) - 1; + if (cnt <= 512) pixsz = 0; + else pixsz <= (cnt >> 9) - 1; pixcnt <= 0; ce_pix <= 1; end