diff --git a/Arcade_MiST/Midway MCR 2/SatansHollow_MiST/README.txt b/Arcade_MiST/Midway MCR 2/MCR2/README.txt
similarity index 97%
rename from Arcade_MiST/Midway MCR 2/SatansHollow_MiST/README.txt
rename to Arcade_MiST/Midway MCR 2/MCR2/README.txt
index badac50d..8de83f73 100644
--- a/Arcade_MiST/Midway MCR 2/SatansHollow_MiST/README.txt
+++ b/Arcade_MiST/Midway MCR 2/MCR2/README.txt
@@ -10,6 +10,12 @@
-- Wacko: control Captain Krooz'r with the mouse, shoot with the joystick
-- Kozmik Krooz'r: use the mouse for movement and shoot, rotate the turret with the joystick
+-- Create ROM and ARC files from MAME ROM zip files using the mra utility and the MRA files.
+-- Copy the RBF and the ARC files to the same folder.
+-- Example: mra -z /path/to/mame/roms Tron.mra
+-- Copy the ROM files to the root of the SD Card.
+--
+-- MRA utilty: https://github.com/sebdel/mra-tools-c
---------------------------------------------------------------------------------
-- DE10_lite Top level for Satan Hollow (Midway MCR) by Dar (darfpga@aol.fr) (19/10/2019)
-- http://darfpga.blogspot.fr
diff --git a/Arcade_MiST/Midway MCR 2/SatansHollow_MiST/clean.bat b/Arcade_MiST/Midway MCR 2/MCR2/clean.bat
similarity index 100%
rename from Arcade_MiST/Midway MCR 2/SatansHollow_MiST/clean.bat
rename to Arcade_MiST/Midway MCR 2/MCR2/clean.bat
diff --git a/Arcade_MiST/Midway MCR 2/SatansHollow_MiST/SatansHollow.qpf b/Arcade_MiST/Midway MCR 2/MCR2/mcr2.qpf
similarity index 97%
rename from Arcade_MiST/Midway MCR 2/SatansHollow_MiST/SatansHollow.qpf
rename to Arcade_MiST/Midway MCR 2/MCR2/mcr2.qpf
index 2cf4b561..4c4ea415 100644
--- a/Arcade_MiST/Midway MCR 2/SatansHollow_MiST/SatansHollow.qpf
+++ b/Arcade_MiST/Midway MCR 2/MCR2/mcr2.qpf
@@ -27,4 +27,4 @@ DATE = "13:02:51 November 09, 2019"
# Revisions
-PROJECT_REVISION = "SatansHollow"
\ No newline at end of file
+PROJECT_REVISION = "mcr2"
\ No newline at end of file
diff --git a/Arcade_MiST/Midway MCR 2/SatansHollow_MiST/SatansHollow.qsf b/Arcade_MiST/Midway MCR 2/MCR2/mcr2.qsf
similarity index 98%
rename from Arcade_MiST/Midway MCR 2/SatansHollow_MiST/SatansHollow.qsf
rename to Arcade_MiST/Midway MCR 2/MCR2/mcr2.qsf
index 7c720180..0163b0a8 100644
--- a/Arcade_MiST/Midway MCR 2/SatansHollow_MiST/SatansHollow.qsf
+++ b/Arcade_MiST/Midway MCR 2/MCR2/mcr2.qsf
@@ -25,7 +25,7 @@
# Notes:
#
# 1) The default values for assignments are stored in the file:
-# SatansHollow_assignment_defaults.qdf
+# mcr2_assignment_defaults.qdf
# If this file doesn't exist, see file:
# assignment_defaults.qdf
#
@@ -158,7 +158,7 @@ set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
# Analysis & Synthesis Assignments
# ================================
set_global_assignment -name FAMILY "Cyclone III"
-set_global_assignment -name TOP_LEVEL_ENTITY SatansHollow_MiST
+set_global_assignment -name TOP_LEVEL_ENTITY MCR2_MiST
set_global_assignment -name DEVICE_FILTER_PIN_COUNT 144
set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 8
set_global_assignment -name DEVICE_FILTER_PACKAGE TQFP
@@ -213,7 +213,7 @@ set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
# end ENTITY(SatansHollow_MiST)
# -----------------------------
-set_global_assignment -name SYSTEMVERILOG_FILE rtl/SatansHollow_MiST.sv
+set_global_assignment -name SYSTEMVERILOG_FILE rtl/MCR2_MiST.sv
set_global_assignment -name VHDL_FILE rtl/satans_hollow.vhd
set_global_assignment -name VHDL_FILE rtl/satans_hollow_sound_board.vhd
set_global_assignment -name VHDL_FILE rtl/YM2149_linmix_sep.vhd
diff --git a/Arcade_MiST/Midway MCR 2/SatansHollow_MiST/SatansHollow.sdc b/Arcade_MiST/Midway MCR 2/MCR2/mcr2.sdc
similarity index 100%
rename from Arcade_MiST/Midway MCR 2/SatansHollow_MiST/SatansHollow.sdc
rename to Arcade_MiST/Midway MCR 2/MCR2/mcr2.sdc
diff --git a/Arcade_MiST/Midway MCR 2/MCR2/meta/Domino Man.mra b/Arcade_MiST/Midway MCR 2/MCR2/meta/Domino Man.mra
new file mode 100644
index 00000000..c1ec527f
--- /dev/null
+++ b/Arcade_MiST/Midway MCR 2/MCR2/meta/Domino Man.mra
@@ -0,0 +1,34 @@
+
+ Domino Man
+ 0216
+ 201912310000
+ 1983
+ Bally Midway
+ Action
+ MCR2
+ domino
+
+
+
+
+
+ 5
+
+
+
+
+
+
+ 00
+
+
+
+
+
+
+
+
+
+
+
+
\ No newline at end of file
diff --git a/Arcade_MiST/Midway MCR 2/MCR2/meta/Kozmik Kroozr.mra b/Arcade_MiST/Midway MCR 2/MCR2/meta/Kozmik Kroozr.mra
new file mode 100644
index 00000000..f9cf9075
--- /dev/null
+++ b/Arcade_MiST/Midway MCR 2/MCR2/meta/Kozmik Kroozr.mra
@@ -0,0 +1,31 @@
+
+ Kozmik Krooz'r
+ 0216
+ 201912310000
+ 1983
+ Bally Midway
+ Action
+ MCR2
+ kroozr
+
+ 4
+
+
+
+
+
+
+
+ 00
+
+
+
+ 00
+
+
+
+
+
+
+
+
diff --git a/Arcade_MiST/Midway MCR 2/MCR2/meta/Satans Hollow.mra b/Arcade_MiST/Midway MCR 2/MCR2/meta/Satans Hollow.mra
new file mode 100644
index 00000000..64fe9e39
--- /dev/null
+++ b/Arcade_MiST/Midway MCR 2/MCR2/meta/Satans Hollow.mra
@@ -0,0 +1,31 @@
+
+ Satan's Hollow
+ 0216
+ 201912310000
+ 1983
+ Bally Midway
+ Action
+ MCR2
+ shollow
+
+ 0
+
+
+
+
+
+
+
+
+
+
+
+ 00
+
+
+
+
+
+
+
+
diff --git a/Arcade_MiST/Midway MCR 2/MCR2/meta/Tron.mra b/Arcade_MiST/Midway MCR 2/MCR2/meta/Tron.mra
new file mode 100644
index 00000000..b4ae5526
--- /dev/null
+++ b/Arcade_MiST/Midway MCR 2/MCR2/meta/Tron.mra
@@ -0,0 +1,34 @@
+
+ Tron
+ 0218
+ 202002110000
+ 1982
+ Bally Midway
+ Action
+ MCR2
+ tron
+
+
+
+
+ 1
+
+
+
+
+
+
+
+
+
+
+
+ 00
+
+
+
+
+
+
+
+
diff --git a/Arcade_MiST/Midway MCR 2/MCR2/meta/Two Tigers.mra b/Arcade_MiST/Midway MCR 2/MCR2/meta/Two Tigers.mra
new file mode 100644
index 00000000..bd3a8212
--- /dev/null
+++ b/Arcade_MiST/Midway MCR 2/MCR2/meta/Two Tigers.mra
@@ -0,0 +1,47 @@
+
+ Two Tigers (Tron conversion)
+ 0218
+ 202002110000
+ 1984
+ Bally Midway
+ Shooter
+ MCR2
+ twotigerc
+
+ 2
+
+
+
+
+
+
+ 00
+
+
+
+ 00
+
+
+
+
+
+
+
+
+
+
+
+
+ 00
+
+
+
+ 00
+
+
+
+
+
+
+
+
diff --git a/Arcade_MiST/Midway MCR 2/MCR2/meta/Wacko.mra b/Arcade_MiST/Midway MCR 2/MCR2/meta/Wacko.mra
new file mode 100644
index 00000000..2a45fac6
--- /dev/null
+++ b/Arcade_MiST/Midway MCR 2/MCR2/meta/Wacko.mra
@@ -0,0 +1,30 @@
+
+ Wacko
+ 0216
+ 201912310000
+ 1983
+ Bally Midway
+ Action
+ MCR2
+ wacko
+
+ 3
+
+
+
+
+
+
+ 00
+
+
+
+ 00
+
+
+
+
+
+
+
+
diff --git a/Arcade_MiST/Midway MCR 2/SatansHollow_MiST/rtl/SatansHollow_MiST.sv b/Arcade_MiST/Midway MCR 2/MCR2/rtl/MCR2_MiST.sv
similarity index 94%
rename from Arcade_MiST/Midway MCR 2/SatansHollow_MiST/rtl/SatansHollow_MiST.sv
rename to Arcade_MiST/Midway MCR 2/MCR2/rtl/MCR2_MiST.sv
index 4a1c49c3..9a1a3961 100644
--- a/Arcade_MiST/Midway MCR 2/SatansHollow_MiST/rtl/SatansHollow_MiST.sv
+++ b/Arcade_MiST/Midway MCR 2/MCR2/rtl/MCR2_MiST.sv
@@ -17,15 +17,15 @@
// 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
//============================================================================
-module SatansHollow_MiST(
- output LED,
+module MCR2_MiST(
+ output LED,
output [5:0] VGA_R,
output [5:0] VGA_G,
output [5:0] VGA_B,
output VGA_HS,
output VGA_VS,
output AUDIO_L,
- output AUDIO_R,
+ output AUDIO_R,
input SPI_SCK,
output SPI_DO,
input SPI_DI,
@@ -48,19 +48,15 @@ module SatansHollow_MiST(
`include "rtl/build_id.v"
-// Uncomment one to choose core name/inputs
`define CORE_NAME "SHOLLOW"
-//`define CORE_NAME "TRON"
-//`define CORE_NAME "TWOTIGER"
-//`define CORE_NAME "WACKO"
-//`define CORE_NAME "KROOZR"
-//`define CORE_NAME "DOMINO"
+wire [6:0] core_mod;
localparam CONF_STR = {
`CORE_NAME,";ROM;",
"O2,Rotate Controls,Off,On;",
"O5,Blend,Off,On;",
"O6,Swap Joysticks,Off,On;",
+ "DIP;",
"O7,Service,Off,On;",
"T0,Reset;",
"V,v2.0.",`BUILD_DATE
@@ -80,49 +76,67 @@ reg [7:0] input_3;
reg [7:0] input_4;
always @(*) begin
+ input_0 = 8'hFF;
+ input_1 = 8'hFF;
+ input_2 = 8'hFF;
+ input_3 = 8'hFF;
input_4 = 8'hFF;
oneplayer = 1'b1;
orientation = 2'b10;
- if (`CORE_NAME == "SHOLLOW") begin
+ case (core_mod)
+ 7'h0: // SHOLLOW
+ begin
orientation = 2'b11;
input_0 = ~{ service, 1'b0, m_tilt, 1'b0, m_two_players, m_one_player, m_coin2, m_coin1 };
input_1 = ~{ m_fire2A, m_fire2B, m_right2, m_left2, m_fireA, m_fireB, m_right, m_left };
input_2 = 8'hFF;
input_3 = ~{ 8'b00000010 };
- end else if (`CORE_NAME == "TRON") begin
+ end
+ 7'h1: // TRON
+ begin
orientation = 2'b11;
oneplayer = 1'b0;
input_0 = ~{ service, 1'b0, m_tilt, m_fireA, m_two_players, m_one_player, m_coin2, m_coin1 };
input_1 = ~{ 1'b0, spin_angle2 };
input_2 = ~{ m_down, m_up, m_right, m_left, m_down, m_up, m_right, m_left };
- input_3 = ~{ m_fireA, 7'b00000010 };
+ input_3 = ~{ m_fireA, 4'b0000,/*allow cont*/status[8], 2'b10 };
input_4 = ~{ 1'b0, spin_angle2 };
- end else if (`CORE_NAME == "TWOTIGER") begin
+ end
+ 7'h2: // TWOTIGER
+ begin
oneplayer = 1'b0;
input_0 = ~{ service, 1'b0, m_tilt, m_three_players, m_two_players, m_one_player, m_coin2, m_coin1 };
input_1 = ~{ 1'b0, spin_angle1 };
input_2 = ~{ 4'b0000, m_fire2B, m_fire2A, m_fireB, m_fireA };
input_3 = 8'hFF;
input_4 = ~{ 1'b0, spin_angle2 };
- end else if (`CORE_NAME == "WACKO") begin
+ end
+ 7'h3: // WACKO
+ begin
input_0 = ~{ service, 1'b0, m_tilt, 1'b0, m_two_players, m_one_player, m_coin2, m_coin1 };
input_1 = x_pos[10:3];
input_2 = y_pos[10:3];
input_3 = ~{ 8'b01000000 };
input_4 = ~{ m_up2, m_down2, m_left2, m_right2, m_up, m_down, m_left, m_right };
- end else if (`CORE_NAME == "KROOZR") begin
+ end
+ 7'h4: // KROOZR
+ begin
input_0 = ~{ service, 1'b0, m_tilt, m_fireA | mouse_btns[0], m_two_players, m_one_player, m_coin2, m_coin1 };
input_1 = ~{ (m_fireB | mouse_btns[1]), spin_angle1[6], 3'b111, spin_angle1[5:3] };
input_2 = { x_pos_kroozr[9], x_pos_kroozr[9], x_pos_kroozr[7:2] };
input_3 = ~{ 8'b01000000 };
input_4 = { y_pos_kroozr[9], y_pos_kroozr[9], y_pos_kroozr[7:2] };
- end else if (`CORE_NAME == "DOMINO") begin
+ end
+ 7'h5: // DOMINO
+ begin
input_0 = ~{ service, 1'b0, m_tilt, m_fireA, m_two_players, m_one_player, m_coin2, m_coin1 };
input_1 = ~{ 4'b0000, m_down, m_up, m_right, m_left };
input_2 = ~{ 3'b000, m_fire2A, m_down2, m_up2, m_right2, m_left2 };
- input_3 = ~{ 8'b01000000 };
+ input_3 = ~{ 6'b010000,/*skin*/status[9], /*music*/status[8] };
end
+ default: ;
+ endcase
end
assign LED = ~ioctl_downl;
@@ -145,10 +159,7 @@ wire [7:0] joystick_0;
wire [7:0] joystick_1;
wire scandoublerD;
wire ypbpr;
-wire [15:0] audio_l, audio_r;
-wire hs, vs, cs;
-wire blankn;
-wire [2:0] g, r, b;
+wire no_csync;
wire key_pressed;
wire [7:0] key_code;
wire key_strobe;
@@ -157,6 +168,33 @@ wire signed [8:0] mouse_y;
wire mouse_strobe;
reg [7:0] mouse_flags;
+user_io #(
+ .STRLEN(($size(CONF_STR)>>3)))
+user_io(
+ .clk_sys (clk_sys ),
+ .conf_str (CONF_STR ),
+ .SPI_CLK (SPI_SCK ),
+ .SPI_SS_IO (CONF_DATA0 ),
+ .SPI_MISO (SPI_DO ),
+ .SPI_MOSI (SPI_DI ),
+ .buttons (buttons ),
+ .switches (switches ),
+ .scandoubler_disable (scandoublerD ),
+ .ypbpr (ypbpr ),
+ .no_csync (no_csync ),
+ .core_mod (core_mod ),
+ .key_strobe (key_strobe ),
+ .key_pressed (key_pressed ),
+ .key_code (key_code ),
+ .mouse_x (mouse_x ),
+ .mouse_y (mouse_y ),
+ .mouse_strobe (mouse_strobe ),
+ .mouse_flags (mouse_flags ),
+ .joystick_0 (joystick_0 ),
+ .joystick_1 (joystick_1 ),
+ .status (status )
+ );
+
wire [15:0] rom_addr;
wire [15:0] rom_do;
wire [13:0] snd_addr;
@@ -238,6 +276,11 @@ always @(posedge clk_sys) begin
reset <= status[0] | buttons[1] | ioctl_downl | ~rom_loaded;
end
+wire [15:0] audio_l, audio_r;
+wire hs, vs, cs;
+wire blankn;
+wire [2:0] g, r, b;
+
satans_hollow satans_hollow(
.clock_40(clk_sys),
.reset(reset),
@@ -271,8 +314,8 @@ satans_hollow satans_hollow(
wire vs_out;
wire hs_out;
-assign VGA_VS = scandoublerD | vs_out;
-assign VGA_HS = scandoublerD ? cs : hs_out;
+assign VGA_HS = ((~no_csync & scandoublerD) || ypbpr)? cs : hs_out;
+assign VGA_VS = ((~no_csync & scandoublerD) || ypbpr)? 1'b1 : vs_out;
mist_video #(.COLOR_DEPTH(3), .SD_HCNT_WIDTH(10)) mist_video(
.clk_sys ( clk_sys ),
@@ -298,31 +341,6 @@ mist_video #(.COLOR_DEPTH(3), .SD_HCNT_WIDTH(10)) mist_video(
.ypbpr ( ypbpr )
);
-user_io #(
- .STRLEN(($size(CONF_STR)>>3)))
-user_io(
- .clk_sys (clk_sys ),
- .conf_str (CONF_STR ),
- .SPI_CLK (SPI_SCK ),
- .SPI_SS_IO (CONF_DATA0 ),
- .SPI_MISO (SPI_DO ),
- .SPI_MOSI (SPI_DI ),
- .buttons (buttons ),
- .switches (switches ),
- .scandoubler_disable (scandoublerD ),
- .ypbpr (ypbpr ),
- .key_strobe (key_strobe ),
- .key_pressed (key_pressed ),
- .key_code (key_code ),
- .mouse_x (mouse_x ),
- .mouse_y (mouse_y ),
- .mouse_strobe (mouse_strobe ),
- .mouse_flags (mouse_flags ),
- .joystick_0 (joystick_0 ),
- .joystick_1 (joystick_1 ),
- .status (status )
- );
-
dac #(
.C_bits(16))
dac_l(
diff --git a/Arcade_MiST/Midway MCR 2/SatansHollow_MiST/rtl/YM2149_linmix_sep.vhd b/Arcade_MiST/Midway MCR 2/MCR2/rtl/YM2149_linmix_sep.vhd
similarity index 100%
rename from Arcade_MiST/Midway MCR 2/SatansHollow_MiST/rtl/YM2149_linmix_sep.vhd
rename to Arcade_MiST/Midway MCR 2/MCR2/rtl/YM2149_linmix_sep.vhd
diff --git a/Arcade_MiST/Midway MCR 2/SatansHollow_MiST/rtl/build_id.tcl b/Arcade_MiST/Midway MCR 2/MCR2/rtl/build_id.tcl
similarity index 100%
rename from Arcade_MiST/Midway MCR 2/SatansHollow_MiST/rtl/build_id.tcl
rename to Arcade_MiST/Midway MCR 2/MCR2/rtl/build_id.tcl
diff --git a/Arcade_MiST/Midway MCR 2/SatansHollow_MiST/rtl/cmos_ram.vhd b/Arcade_MiST/Midway MCR 2/MCR2/rtl/cmos_ram.vhd
similarity index 100%
rename from Arcade_MiST/Midway MCR 2/SatansHollow_MiST/rtl/cmos_ram.vhd
rename to Arcade_MiST/Midway MCR 2/MCR2/rtl/cmos_ram.vhd
diff --git a/Arcade_MiST/Midway MCR 2/SatansHollow_MiST/rtl/dpram.vhd b/Arcade_MiST/Midway MCR 2/MCR2/rtl/dpram.vhd
similarity index 100%
rename from Arcade_MiST/Midway MCR 2/SatansHollow_MiST/rtl/dpram.vhd
rename to Arcade_MiST/Midway MCR 2/MCR2/rtl/dpram.vhd
diff --git a/Arcade_MiST/Midway MCR 2/SatansHollow_MiST/rtl/gen_ram.vhd b/Arcade_MiST/Midway MCR 2/MCR2/rtl/gen_ram.vhd
similarity index 100%
rename from Arcade_MiST/Midway MCR 2/SatansHollow_MiST/rtl/gen_ram.vhd
rename to Arcade_MiST/Midway MCR 2/MCR2/rtl/gen_ram.vhd
diff --git a/Arcade_MiST/Midway MCR 2/SatansHollow_MiST/rtl/pll_mist.qip b/Arcade_MiST/Midway MCR 2/MCR2/rtl/pll_mist.qip
similarity index 100%
rename from Arcade_MiST/Midway MCR 2/SatansHollow_MiST/rtl/pll_mist.qip
rename to Arcade_MiST/Midway MCR 2/MCR2/rtl/pll_mist.qip
diff --git a/Arcade_MiST/Midway MCR 2/SatansHollow_MiST/rtl/pll_mist.vhd b/Arcade_MiST/Midway MCR 2/MCR2/rtl/pll_mist.vhd
similarity index 100%
rename from Arcade_MiST/Midway MCR 2/SatansHollow_MiST/rtl/pll_mist.vhd
rename to Arcade_MiST/Midway MCR 2/MCR2/rtl/pll_mist.vhd
diff --git a/Arcade_MiST/Midway MCR 2/SatansHollow_MiST/rtl/rom/midssio_82s123.vhd b/Arcade_MiST/Midway MCR 2/MCR2/rtl/rom/midssio_82s123.vhd
similarity index 100%
rename from Arcade_MiST/Midway MCR 2/SatansHollow_MiST/rtl/rom/midssio_82s123.vhd
rename to Arcade_MiST/Midway MCR 2/MCR2/rtl/rom/midssio_82s123.vhd
diff --git a/Arcade_MiST/Midway MCR 2/SatansHollow_MiST/rtl/satans_hollow.vhd b/Arcade_MiST/Midway MCR 2/MCR2/rtl/satans_hollow.vhd
similarity index 100%
rename from Arcade_MiST/Midway MCR 2/SatansHollow_MiST/rtl/satans_hollow.vhd
rename to Arcade_MiST/Midway MCR 2/MCR2/rtl/satans_hollow.vhd
diff --git a/Arcade_MiST/Midway MCR 2/SatansHollow_MiST/rtl/satans_hollow_sound_board.vhd b/Arcade_MiST/Midway MCR 2/MCR2/rtl/satans_hollow_sound_board.vhd
similarity index 100%
rename from Arcade_MiST/Midway MCR 2/SatansHollow_MiST/rtl/satans_hollow_sound_board.vhd
rename to Arcade_MiST/Midway MCR 2/MCR2/rtl/satans_hollow_sound_board.vhd
diff --git a/Arcade_MiST/Midway MCR 2/SatansHollow_MiST/rtl/sdram.sv b/Arcade_MiST/Midway MCR 2/MCR2/rtl/sdram.sv
similarity index 98%
rename from Arcade_MiST/Midway MCR 2/SatansHollow_MiST/rtl/sdram.sv
rename to Arcade_MiST/Midway MCR 2/MCR2/rtl/sdram.sv
index d81e0694..e99f4621 100644
--- a/Arcade_MiST/Midway MCR 2/SatansHollow_MiST/rtl/sdram.sv
+++ b/Arcade_MiST/Midway MCR 2/MCR2/rtl/sdram.sv
@@ -61,6 +61,8 @@ module sdram (
output reg [15:0] snd_q
);
+parameter MHZ = 16'd80; // 80 MHz default clock, set it to proper value to calculate refresh rate
+
localparam RASCAS_DELAY = 3'd2; // tRCD=20ns -> 2 cycles@<100MHz
localparam BURST_LENGTH = 3'b000; // 000=1, 001=2, 010=4, 011=8
localparam ACCESS_TYPE = 1'b0; // 0=sequential, 1=interleaved
@@ -70,8 +72,8 @@ localparam NO_WRITE_BURST = 1'b1; // 0= write burst enabled, 1=only single acc
localparam MODE = { 3'b000, NO_WRITE_BURST, OP_MODE, CAS_LATENCY, ACCESS_TYPE, BURST_LENGTH};
-// 64ms/8192 rows = 7.8us -> 842 cycles@108MHz
-localparam RFRSH_CYCLES = 10'd842;
+// 64ms/8192 rows = 7.8us
+localparam RFRSH_CYCLES = 16'd78*MHZ/4'd10;
// ---------------------------------------------------------------------
// ------------------------ cycle state machine ------------------------
diff --git a/Arcade_MiST/Midway MCR 2/SatansHollow_MiST/rtl/spinner.vhd b/Arcade_MiST/Midway MCR 2/MCR2/rtl/spinner.vhd
similarity index 100%
rename from Arcade_MiST/Midway MCR 2/SatansHollow_MiST/rtl/spinner.vhd
rename to Arcade_MiST/Midway MCR 2/MCR2/rtl/spinner.vhd
diff --git a/Arcade_MiST/Midway MCR 2/SatansHollow_MiST/buildall.sh b/Arcade_MiST/Midway MCR 2/SatansHollow_MiST/buildall.sh
deleted file mode 100755
index 7dfdda92..00000000
--- a/Arcade_MiST/Midway MCR 2/SatansHollow_MiST/buildall.sh
+++ /dev/null
@@ -1,15 +0,0 @@
-#!/bin/sh
-
-PROJECTS=" \
-SHOLLOW \
-TRON \
-TWOTIGER \
-WACKO \
-KROOZR \
-DOMINO"
-
-for PROJECT in $PROJECTS; do
- echo "Compiling $PROJECT"
- sed -i "s/^.define CORE_NAME.*/\`define CORE_NAME \"$PROJECT\"/" rtl/SatansHollow_MiST.sv
- quartus_sh --flow compile SatansHollow.qsf && cp output_files/SatansHollow.rbf Releases/$PROJECT.rbf
-done
\ No newline at end of file