From 262a0211b5652c885861df871b2d2e7101bb555d Mon Sep 17 00:00:00 2001 From: Gyorgy Szombathelyi Date: Sun, 8 Dec 2019 11:17:08 +0100 Subject: [PATCH] Vectrex: make it compile again --- .../Snapshot/vectrex_MiST.rbf | Bin 256676 -> 0 bytes Console_MiST/GCE - Vectrex_MiST/rtl/dac.vhd | 71 ------------------ .../GCE - Vectrex_MiST/rtl/vectrex_mist.sv | 5 +- .../GCE - Vectrex_MiST/vectrex_MiST.qsf | 3 +- .../GCE - Vectrex_MiST/vectrex_MiST.srf | 13 ---- common/mist/cofi.sv | 3 +- 6 files changed, 6 insertions(+), 89 deletions(-) delete mode 100644 Console_MiST/GCE - Vectrex_MiST/Snapshot/vectrex_MiST.rbf delete mode 100644 Console_MiST/GCE - Vectrex_MiST/rtl/dac.vhd delete mode 100644 Console_MiST/GCE - Vectrex_MiST/vectrex_MiST.srf diff --git a/Console_MiST/GCE - Vectrex_MiST/Snapshot/vectrex_MiST.rbf b/Console_MiST/GCE - Vectrex_MiST/Snapshot/vectrex_MiST.rbf deleted file mode 100644 index 8509c3b3d14f9c38a31a322398297576ca31e865..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 256676 zcmeFa4}cs;b?4tb9?4@P%=D;N9tqi=X^+Hcjj?QO;&4aYJ?ar-vBtAz7X&z1QUq}X ziEIM-!yV`8b+5bz8A~81&MyRHp*UOu*!V{-|6?i0!GIIn3FQ1s$Qct7a({?NCImqs z{(ZjH-Ltc+-PKB3Uu>+myWQ3G=hdrMuU@@ZRo(ma({sbN?-=;jzkcgqzxTbvM~-~| z```cW)8G5SJb(ZD-vidib0hkpPqly!(0^C>6r30b@+tI}&f-qy zE_?-`|K4B6^l(;J7ViTgkj3k+=i>$8>4yyeZ1=3bEL~+)XBMyf@zS9D{WK_?;h*iE z)t9BKjPPXfCwkBD&G(+wljRp3f@}VTL2}AorD_#Al@{0R& zAd!SdLv7CTW%rB^{b~JjBigb$Gr7|}qf7kC#j! z7tI323si^rEEy4~kMt}$vazDD;`$-uPnM>(WprHw^wTt*Hqnz%G0DGQ0KNo>hW&te zqxw`&R&Q2U_N=?&GSIW?ekUM$)bEnL{`OA~uS_9}R~xPc&IOe8VL;ESV(fe<}-M}S);+0=<;)g)|(ESeq@lL!_oW_ab1!}+ORlGoP z;zu@y)!&l6{;{jN^qk#)2@oAwo;LxKgN(jU0UEz*r|J_ZEP67?;uJ5?v-r^uSvy5j z2BIMY)g#EB#Y*wlL~{9KK+hT9vv5-5|e%-VBvbfs-)tSYs--I)x zLw7wN3o;tAdp1_Gdsg3U_pH7wU9_vsS-kim8Wfkov1n3yR)2P14rF6Ncg@3Dow`e= z1X; zzY0W$GKhBJQJm-#@B3?egqILx(h}D-81?`m+Z%k4)IsvY`jQJvhgcAM3?NL%zn=7qs@Tq=R1I3 z2c+}#{I`Ja-v+)3{5|kxK;uV{@j>)T_hU+_X(V;j!i(dkTGthG#&|Neh22_{Q6{k97 zQ^7>u71^BeWE%fO9F*6(DVCJP@Q^~&QR1B z0P*Qxf&T~iEg*{%4dRdDC36DNqw(+~K)-z^8bycno9>y;P#^Wvp?KAw(II{Hen2`y zasLSDS@12q+5r`hOQTDTRNCxT?LH7J2;5;CSUAk2Lc^#lQf%;s}(pj>j1q#0fNS=~wrb~2J zKdJtHP(7+M~kkBJYi-0 zGI4!P80EM*rhXII=@Xk8(Z#$)RAALBcG6F^hBE6i*6QS}PN7=KS$>$SxqtT(N)t;$^!t;Xfv3cFL^=A70JPUMR{=a8QnKimKYfAT__sp6m2@B^)Jt`^a?tDcW zIY%!YRcw}s?uBodA*Itd4w7VzhDqq;%mA8<$U;wk1?Oc}viy9OZ`yro*YwzN?@zsG zTE_mzUbf=2UfeV@0o_0TSby=83-<}zTv~8`m24lJbx6$*L0_)<%uqef^_813*u7x$ zjPd=E3yQ2C@tom4{`cpb=zo}Z_#AKES&x}<7Q)7kS#YKdSATEuOwlvO9VLd-wQ+Z3 z-;4!MC~}r&&WLN)VV~u`se0lT+EK_an6fO8RdHf>T3IQaSka?Ox!tdQ{;0?kjyN9v zAFGo2sElLb=%qiK2|KYt*lXHkz(4$zw@*HuYzUS;dnr3HjXDEMijRIuL#c#q;dpM{ z^1VFP^xF79Y%*7DZ0u?SzopjL)v&B}g`s5R9e085fBL`-)t_M0LW}c)b@UDY?-?i9 zq@#;H+Z6x~kB?wjk_#&p3wc2&6M6q{$+ncCi!9t0;*biMSFp(8sQFLp1v_Q)+;wp9;~UsvC^taRb$-u%+RDtRB+S2{P| ze!0p_y?b8^j_i2$7WW>3cJEK(?e+aNPc7V#KD_ul3pOKL-E)5H=)o{!Ob6+k0}M&m zmCu-%BhM^J622Lr`>8*hA@*2N(~)J`X8UFYa-L9`qp8N&+V#7Grp6zuG?r>H)po_ zCTdE`q<6k$X3OW>7k%WJT1jZshI-!w9$(Vfn zdkbI|W%J!lFFx_5(&=O_poX=qe=mcfat!7ca~Iul`t+8c@bkYL%VrV3UPG$gUw&!t z;iLm}=7M=;){p$^Nz>Cq@b4vMJpyJ6Jevv2bjNJ8&p-b0PcP_3<-*C>0?a0pT%a!( zXfgrk4_0t_{+ixqVpy<#eqQaNb(qYe8Va785Zg%%k)J&Iw+e-_(4?7+IQ3T90;?$z|JQ>xO z?WDxN508RK?1>ELX;{+7h1S^5Udk4FE_rTQ-j4P5@desJKyto%oM?Sa!+)7a_ zFw>qhgqeOhA!@(6@42%{aN>tgUiPLFV$O_(kg)}uDo-tV&&c9ABA-3p-83SfH-t_1 z-KX*4I3APr?r7o}Kjr)pLRns*?XN}gNm-3xih zdtpzSJfEESlU&)JvG_B)+^tFD88E!9r7tosR+={_1JBda_xYdY9mLNB)z6OKRKI&! z>DnXX-nQza@BBkvtRydQSw3OTa@VHcz2tSvXK8USC)%gHSeZQBccVGQGjFXkXT}9S zF1T=J_apZl*k55>iwUfKEec-wE5rz}n z6aAt1mf*2O?pZ5j&uYR>Ep;DDuBN0voBQ(AQumauI`#vdxp2!jrpPERXsU^Rdgy&lCBU;B+iJH~4d&{@63QL^|&e zfKMgQ9Id(i_ow``tEoAT^Xb`aFC1r6=E(jGEJe&w+i@g49|-g9pWb~OEICHj=L5$U ze24!SJe_~CyM6hybG7j#jw{a4`h^s-bO~p+&;KplI{35t6W%j0`GlfQEq9;fa`JO= zx%<@FZa(P!;%CL#?imK{GoUPIsO1ErPT97{%d}G*`(feODWm&%d-9agecT*-b{pM3 z|0Q9j|Bq8F@XvE*G{>V8EOPi?{_(hZH*0}~oKB_B7it5|dcOSg9E4zo|3ib!9DS1G zIJ0&KO2=8^==Jnj=>YjA?Y`OoPnc!<9In*W6J zipN^!Kk2;Uv5opyI-8^0%>U9^_Sk!L*n8PyuQdNmXXlgYKhx3uYh#DY=D+J~8M{6C zr1x_V3HG?ou79AhA!!ZISAYD43pUiOQ-{E3)sM3zWNI_{Pc*Y+ns4-P{pAcfJLrWI zfE#`%cLJqmE^^8~pP4VS$1XI-DkmlcGZ1*{3*R#^Wv-}&?#j99IvdK8 z8AZP18C&~zpS(49Y6X3AL*N-+LH7@TMNvBjy=q7<3QPxO zv|jSYBwCdAfe;#qVpBbs=Y(CYG`V}l$CHqn-NQ0j)^8Q!SZVQO+>D`{$zy2me)%P7 zhAD4LsOcvFkH6yo*2aWk{`@KY>bsNwv^Bk5Q=WSqD3)8Txw@oSxZ%CC{O7JI{hZQw zELywY`f!@l2y(Ag89i}4ML>+m^fJv5=#CzlA(o*s6LChaXUd+%b>IE#Sui6=qhcB; z=2-1CMYg{=5fOhnH5u|LZ9SG8TesKKPZ)(ocZ$pg$++cYMw}p-5&yJS@E8}5jQm{^ z&A>+&2vRXAx=9^zvCM%FU%Db4X?|-?kzriIoh#mMgDY851n(N?JrGN~#EK4!c|Xdb zk1o_`A8LWUZD=sS3p#%g|ESkZXp`p=%^3`8Bt?7m%VGx9&)R|>9^I@A>&~-#7 zX?il?k4*T52MU%?0n)CG$FF@bYHoaRuD*m^lH=|FVU96!Od-t(Nbhg?$!QVEqal07 zlsO{1w|{+()X5~;actQ1pW&PO?IfX-2f_9SQ@3eaUZ5q7KwFSbVtY} zXmjwHo@nv)mpgwvBM(eKkUi>7RYt_pxY@eKP>B3>!h+R(Y+aJOn0Hf3H3~$k$P`9$ zA_a!dNb}+`DOH(Gf3AWWH{b}<1vXdsGX$ro+t=ebybJH^7dO*U$2<&6=cx`4iZ1hvMH6~z%Z=Ip{nSD?s* z!_?!tq0x`xb%G+1!O*&cx`jt?Biuqy) z{^S82r+`_W^z+63wML<%@dDl81TjKv#M8ucd3qvSQWRVC2N()XC~37p90 z42mP99eM2QhR6h!iA!7DTSTQ2eZ@u-p`r zU$*;b14BYn#)kxBf}6x{<5iZHl;}5Mr>DX&G>A1)G|0n5$cu$y-T_re<{qS|d(Wm~ z5e@9=e)sDpkZ@AX^i!#I4R_u49y8*W&?%JBmA0yMA`_;t9{OrYQt$a0o5WNw6aFC) zw(lZWAtd(o9r;v7U>KP^nA46)YO&3Hf3|R;RM72S|a}|Mn^R2m)sS4QI#>vZTn+o0Jm@gCI*x9#qGBm!{&Q z-U30$Sk5PRAyZEZXVHe`w)&1jlcJwa(49R_vbZRfc zKm3vHJWwX&l68>F7zpg5Jxmha$=J&GN+f|;Sf^s{%h5gblWSu=OC9io(lLJ_Xokb# zplLUQeAo=!eBi9jcU|zTns+CA8a)Vn=QIsld%J&vX-Lk_r-pP@hyrL;0mqlLMUR?qC&D-l93ORkB}o zQ{oF)#gUL!HR+(yMakbtapP`dDH+zK*t45jm;51<)Gf}A7yLA2qApsQYp#TYL5Hqq zeAOi$iE{&%n7=YZtr_J;2lDM7|EYX?)bSsCqvlx+-Hx67cl9_*i$FzE)oo=O7`b&- z8;#%DP-`DV=p~Vnbm@Toi~Ej3)0tBn7^eRs{zvmPb-IgIyc4b9 zLwd#yOOD=Dch0^2y)QbomV07rxv6AJWakAM{g!*vn~wU}-&}rP?I_fhd|%Yn-$|w% z?O_|xaqsdp%h+X^%%R3vQ@Udum& zsorKK4hnlR|QbyuF8GwFdornFC z92Qpo5-U*Y#@aX8lP4uA6A)RCzpB5V$8Cul)*qJ~UUr^5uq7aJ7Dy3IrWOm^KWt+m zyN=A{d|Fl!`hKm_-| z5Zrs}3#8a5D>1`e8N_7whR2d+Wh6=H0wuWqBB(Ze73q_nK~IEx0mJYqBVTOU-r)Nh z2}Nd*4QNms)NoW|2BFxan$m`u;N*p&W?ai8CgF2bvmSqQx&j_5p(j_=kf5GKkcBcb zvwNcclqx3VtnQHYCW5ycsE*j$!6!u>eKS9t|_!k+0|TU|KK@*%Z>%WfBytUH|HpXY61Ypr24MbiC7cxgqSx zKdhxH#|@gpfjnH-abkCmv&SXDNxily)g)XpQE-Ei9a9mJHGgC2>9;RJEn02VZ`%#k z1ga357d7pWdWwtOA{ts9QM7lfbJeIxk&7ceX5$Un;Fl&yNK{vnP^(4f#itLoP`k3G zkz|4EWWO-U4x1=xHO3lu?06ipaa2o>_MZ5evQsV?m#a#Lf4qs+e}*+$v#)XoF!(*U zJ~|Tk?`#f-Yx2#J;mStmoNz+cK+ z{+2j#4Tso|P%Gm~@L<`Bjc*rQ`_~uNFnQk)w;qg*(-n_j1F^`jRpYK}PA%)?22 z_z+2ja!ZIeiSj1px%kXeR+|Yn!lc&dNu3nK(1h7$ZbG5X_{+@IDm``8FSf(8%lOIg z8BYthq=}O-z@xL*2|jDk{* z*NAbxn)v~nfnbrz)HP$HOk=6ij4@rbQUC+Q<^9#})o<(}Opv-6Dv%lnU1E=CtV#g+ zT$x1b8PHIcjAR$ZiJ@}3e(J9g9nYSk&S!;9M;Mb@bc_^!U{M}U_w?2GtlTJAht0t>CWPIoBoV@$00OZ$B zckVK8DT-;-c&qTp1j`>2F}__(cN{Q=-a`s1oyzao_(HJ(v;mIeq_2b;E2Fj}X%?tK zBR*EjN4wcagGFZHGovJPClh{VvHe?U#oUwsXK`{m2T`aqN4P(#CDuvzE$BIFu4R*Oitd#RcON8%lEqVNKZvFrqc_rBD4gGZbU9WM{4{Ku-B#P{z@I_sJa#dYGky z9UD51!;X*HMll;dW@Vqvlj2BfS{3a`Eto>L#<`>jNQZpX3n4_#_77tK;e*+3X!(oG zvXh)tnVzH+QHk=r|Fc_vRKrvWe$^*uX&1!4H>quX$kn*4)m6)zVPm=5PPxr)TTD{(`EiWBiispi zEArqFW4`i)i!bbnm^qzaWf?{3+hNl za%6^2RExSV{{nL>%k}t-PLmPNN`fCU`|ubTvIF+XSZGLzc2xx=%n4vu4Ya+mYNL12 z9jBu;n7RW@WG%KBlGO^IFGS+@wS#(NmI4MlOb@dca4xDLzY@mW@V(NZV@woe%lUCDb9J(Ag&b z*caLkp#Q0vqq??!=#aFMv8LI2K1J3LzG^% zzW6A()I==|!bbUu;M1cy#U&p1b>3`9d+}m#@xCuEN_s0{p3hDnBTF(?bT#CZTm6^_ zU$5*e0r(5e)$Rk=nGEn$)FytBF(^QlNCoMRQw-)ixDvkoi-y zna^jT+8q!?{W5arv5n1l%M?<%TEvS6T<;F`AfEFgMmeD{W(?$1%8P$&~oV#;IIyU=ByPc z!T6BeV_4r>k$cJK*|()vg5-}qU}(mb_xWY63t5?Ao0Der>X=76a8u=}$}^;rmi-%_ zwP=WjHdI^g2;13t2wj9Qx0#p+mL@BwP=$hG`Q{jdG9BlVZvRbQ^`5^yE0A@sWdH(r z@LWfwNCO=m*t&{E7e)-j1z9sX>KO72)d~4xSFPGTH)3~|j$p%AJHOhh9T**9yLOR+ z(8~zYR&X07OCH4D)rLKSkZ45E%mVZ@kZeZBGlpEE8 z(VkaZtl}KNfv2U1kSb>&vRTr>gH@jwA6=TatOMhqgZ#Na{$y6Q4?C+Z$Bf3 zWXEAW-Exz^l5oa-dw%sqxbt*U>YDqxo8EF(;<-zK)v0UO zIy&hpoOk%PIZ&Xyx)X&{X$=Kky}r(E~Gm53Q#cXx+Tzi8kfochCbJ}}}k8w8HS z2E41qYmFJ^2@{qbbxcV8`x+;Q7W50@oib8rjkp(^1D)7&jCPDXKX8ZLs`FM(JvC?- zV?fG7V||iVABmm@4J1E3a@Vptc|UgtLl1=!uyI3KoE?si$Od%-E#+f5OFpMyHIFl4 zj!20?${AlS*3-j=5@!_)>49)VH^Yp5$kJ%Tcie_KNGz*CBpV}0sAq#XOYn2!PyMgg z7Kf|a5pXz!C3@S95%x#|Yy<6rHt9f3=4Lg5-fJ^Pu0#i>3KSm%U=2gJo=)6VN=BGj z3n!ua`0GwLE)G_%T2fPHxkHA{iOEZNoV62yt|@-=Y%VH8`#72l1*mN`qUt{t{EF`k zH`HWi9Z^wa$(}79)V9M$^DuIV(IZR6SgvH_h-^zONFdp!q#v^g6J5-)2pU62XeN2o z!m6kK_?yNlq8;*p%xOH|#q!7vU&@p9C`&hC*>w?$a(*2QYULv~D~c|7{3Wpt<*Hp% zKy3*5qe(FyR0YzXKu9f}K&}qm!cIdVuUZV2a!MT?~(YY)zW#Ic4q-oVX=|F?t5oconfra9Nex!ur_jWMa2^XeyHtC zD?Aj${*|;a9O4XN2AVSjQ=~`_{24NF@8j<7<*u4ehk}U7p4BK0C&)0))tJgCue|W9 zSa#Tuf^VQrP#Q8-!*npozJ2w7TTFT5;pIp0lZxwy8|H+%JdlwZr^b|EoduJc>C5ZhemYmD3R^gWE}0105bRp3@>aE&gV*Ac=`tL|gjD*4-&q(>N}AUF z+JuH@nADjJ3h~8^mqcDT>psp#UP8JlnGN%d(8;^%V+2^Y-+5I7&nf4ZwEOC>&sUYl z)B@oISsM~F(s~cc!wmI0fU->oT!dKN5R(u<>=k3Dv%@%CvsO6`1b(yhBYn7ti{sRs zOZ^gVf?2ho02`8M&S@y8u%-W@n5(|#|ARF-D3gpN3}J7A)sBF8vyrO1K?pNc*lZa0 zW65eW9Y`j?f8xp0RLr5f0Ae-|2LVlhJB@I0aT#wMp*>7iJTB3V&&BU}9_A=|CYbDq z>;AOqoaS8Wt~zbi@HMN3-5}h|satD!GoE1>jx1}J!Vz~>W7u<6HJn$Y=mXq1%yuXX zMh+g_6Af%E)9K-%kl*nz92y!LX^pd0sg0T$09WXc(L#Q{y5GHG-Vt+H!k*BW1kEvCi^M>#rIRv~~hk*T8)H>H}eQUllGP)+b=I00O9oMiE z+#Gp>8#S-_vg>nHxXi|8X!eR5fpH)U=ZKME>~D;lwcx>(kP>i4^V%< ze|gP>HU3Ht0y9;7wmf0>nGdn=c>dw#znq)`Zr8L0xVnnDzbqF}-@vLoo^E^VsP+l* zC-a;DH7rCGo83=|Ypa!yo8^2AHHl!F9O(rQCfmeS%O|2*cjZq1^$iO{A#9d%;i_f1 z=E&A6PN_e#)Vl0-CDC(GX%mH=atOD%ST0i& z73$!pQnl;a2kb&>UpzSlCMFQjtj%pU7sxAkq&zAc|E6xg-9r&$XY|&xO(Q35~vhdw`e`&5*%E>v$ zB^wzL6->))R4?+`r#XaDh&i|pcj>XTJyRt<)iQ1~tQ%^kLkBqv>->~a9 zmgPoYe@PXTwRgX+IdMc*~&GooN`Hz z3Byt4r1x5d>h_nP)?BG$48xa2!>ke6?Mv3=21YNg`n#Lf){CtRZ>d^Uv1P!Cf)RX# zFv>BJ4d9AK<7P|tAgSZ6a{e~X?NWnV*Xl$6cCOWdKJCGB+!h(N#vc@)eTE3~iW#_p zm7>LE1Tt*yR3LPB#6Qp)NXs$$Yl-fO|>r>cAZsAao0-Ix0w_3048U@^u;WI z9my>E&e|-=#~KVi~TwUQ9_Lshp)OwRz3qlE)$s@&gwc<-T=Z)U1d{34ih6w zx{eiNg4DsHqIvUB#^iJ$O4vk9SbpkXf6VxdhL@}YW0{j*+ENJF9t1Z^v$)ZH6lb;! z=?OZKf7DzrOtBScRL2@d7=1suid*syrqJRFgOXlOmz*tk!V(MB*!?|wFF~9dal!*s zFz>NyN=&wKJ2`|EVfNkh37hj>A*8Zcu6!sE3gR=tp1{GDH&Vmg;97fR$pJF_#1~ac z=8{IUfptkTbDqheqfBt}$S{o7uaF$B_TwFcx33khPXNf+t(5+Tb7Q56N zwly62c|ULHl?N1wEll#5AiQnb>yHS(Uj0Z!SvdmJhazJl$nkK;l;s+=$l7I?r%=-S zCZHZROiCl^TNAH~#6*DGTD7cu|7J;#W?_N=K=nqn0}_CwgmHRI+Z2~}f&xhi`@Q=KE6(QJ1hMvfoZsi%= zlAp-rO^axZkz%f)U&AN#V|HEhswH6LON<1?!cE_PL8Y8G1ST=`F$hzV2~nXWh?6kc zOk%W`$!r;_O=HA9oQRBMO4WYaMFG9f zEvHerB!S6QnbQ72en>J`s^`+i! zQ-C7I$yE*mVnoLj;89ikNjI=I)&2BGHnl{B!3zgiYE2kxw3R8Y>U&I*_LvEh(WR8) z##-^I*M2djPBDf;mP!;hfbbPuwM>6~%M4k%xv#T>3YsKgG3u2$$a?jw+;s&ON9Bf* zNo$YVmX-gn^TMv2YVn=~qKa>fA}30etM&)==3X*pIt-Yy#tm!^iig}_$Sws}vL87l zv@DE~Gpu#1+nCN)F>Si`Kpyh>WeQ~yWyw?T(XtGjHi~1=oPRFpU~8ZiHQ2Eihc8FIZ%zS`E;I5wtnx zkTQ%@T-qBkORp`-jbwz`X6kRb=ie~tMnazr%UQ%rY_V|0Q+~};yj~CYN9DkJd(LlVSQgo~Bmsd5SYj#@6YpkzXejvsg zUR!R4OUkg62A2nFf8tz@a@rCHYr>5a&HOsOiwe16b4fJ*`nR2b_`*MH*WOxUF~e|5 z7iiHMAY#HkmidJpytBz9!Hb0DH#&VMci}Nsz1+pUj#Yk*6Lju!!cJu4{FKW9fsW1O zDR-y+&_P%fJy6QM>~a6~uM?LNFOwRb(M9wpG6PrDzwnl4oCe&E3FB8%j~qUJsck}3 zQe`Qr&nmIZ+73%4i{G+dgxwg5st2xx&sN;z)bj7`^S55Fz30R!qqsjjDhq&fy%Hw# z3mvp_oFlj#uVv>lKDtuNss#DUFmGe3PWDAhrDCmWcZMgh>mRt*RC@^C=Ho-nMQ8t4 zYvAl0g44`XAHrlC&x}1o$&}gvaOcCI9}8=h7(+W<}~K?_QT^{e43w9y^I=5#%sVsw?_RFU->hb|1PAr{)h z4#NfKe*!Z?H~J3Q#tzLr{QGBaefJwmY#AH-3BQ^Z1T{w|+!Zj+jZ4 zcO2ZZsoMCQICicjuMnAu&5mqevZIYg%YW?i#<#`M8|Ot*`nO8OL%M9&E52=Gh&(thk9G0$j~FhbRb=5^Uhfmd#gU_$6o%4y>*s5F=Hm6{$gzSOwg>KX` zE1jJJKApTeJ#|nL$u9%gDw|(yH+|H_OhoL)HXH8xZ@;Ave}-oAK524=laVupI;PU@ zdoS;73|PHkECP&A1_~2pzy~NMQQ=#%EtT3V&>m~uU#3Bm{3zLqCi0H(4I7cN|YC;CTW<#VT@Y}g9)hM#6ftl>cy68|id5hG7#tlb2D~dpe%?97+|qknSXw&NCFgb;&`#49 zK8q+r3o~@Bc7stfM8o^UUZK#zg!UUI*4f1d^NhNVol#zIk6!bDoG#=xN37sISJ!3u@Rt$S)gK@gv84A z^9C*508Rc=_dP7hNPzxQmoYi@L(=t%fk*O|m8r;NYA#LBF;&NzV3QAGx_|h6Wi}Ep zpxxLRAS=OrD)(VX0>!dfwJ{If3xCc~AeKM_+qFa!HXN9-`AHKYhvRcXS>)3toVr9( zw6P@6OsnQS{6Ak<;l|!sXwb53HU~Q?7^t+^#PHTQG(s)!%8YKR0Q}&o)?EsSQ4DJG z7C8b21UWmagD3f6&T*6rb(@_Ja z`=e`=ZaTNg+JGxgfS!@&n_WqhIC)9BN;0Ibgf2PEgen>xX3(TvyxITsq0>bwWod)S z#b-kjOwcr?E&va`&^hfwX8>HU|D8G?UH~58&hRp&{*DGc>d| zL4}hYh;e&bQMT|z=Ct^5GY zqq5-;h8LSGYEnBWr5mRLt;T3F3nT%Y!oPJ(bRrGmx>iRn&}?Ffx`WbDSgZN}{HYIh zv{irzk{S+M>}C>RX;80XHkJ3N-ZK?}Lp)|Qm;sR#53FJgD`IgW$olL@?zCdWKp$`! z-P*t~^e<^P@4yh|W!9B!VTSlXhuF!h*+XPW-e{d^gK{%veLjQ4i?YMPy?gATiQR6n zt9<2f+=&9VKN%T(C@FC;oB6Q=u>_#G#DD$z(wje`{V>Qi4U)w_Dy?Q-%nXe_H%`h_ ze)7a;@L)y|?8WG26W08hZPvb=Yys0;b}B#cQNJWkQitXh#tGY8diT^s=q9co4XOep zDpc7Vg!~1S8yN(NsLF#BafT2G>VENjYlrH=BUM3?vU=#<*`STMFr7@VBK2zV91MBN zf)BU#t&9HZMQV!-B=!JR*GPgnZOl<3k@U5p*w5n%+M#~w&NczRhMg#Xwwua9k4RCxEnxv^Zw9t4*@@x*oAkrW7;{|b) zz8&%rBkqp`t?00elXuv~+go9G?2v0;LC)OL{VVmQufMop(IgHQ(^-L`Rjf$#<7Sz> zK}G2)*P^CUK5itX@}-6(qdZh74I$&phO}THDo5%liCuE=^isSb^Sa+YEjb#iHc&_S zi*((h1LLxjVpgVb4QFp1LXw=B@FDIi+P$)v-$iO5y8lCviOF*;SHx zKM1Nd=l;K3q~&uE=yfVxxU|0soumnz z#(L)$UifJpwZ+SWT(&kj%*;n>dA~m}we~J1nJfw5ZH9CqktGJ8@e)kJ9!r*yMy$_F z@vr}4tPicNp=0$*4jlJGGHBXQ0LBq8)t+|dz>iL1-k~41VeMjwmNxOB!g4?q!m`JwGUh< z=KP7nH?5{XP^B1h$~V^dtdZeL38AGEXi|p;QIx`VBq`9lUvz>Rhee{dmde%$GvGO# zlVZGJXHjh#8*En?vw~`mi643Y`#<|#At8h5P0bXJ;Ug9T0s>K{5}##aSY=z*rG^cO zER`h#U^S(Kl>K~XN_nmG-t}4=Tmt45Efy%V2A?dYNf7Y{v!aBFWIkW1OFoHLhbUnM z{SbxL!P`GZ#Q0(gl2tS%FcobUn^XycH2G{bQ0&m`S+OhlAPICY_zuT^On*=rZac@9 z{4+RXi$t*o!wG=UY+Iz+=@b1j!;bvDB^tk zx*HdZE`r*Jrk7bSKZ9nd%L^9g>+~*|Uof)S$F zc-4Ks`2sfTkzMuauyqj1s7}44!8#)-1G!5m9gP55ryhU>u@NG|5vQq-{DamkAer1D zU#@nRBZ86T?nboD@L zb|!~tKpru^4_J*uLz)E=Vrg7htf4(Cj*;dReNyV4@4v`=D^2-atUtc#>iVsKHDqmd z83|HX5Kh6ktXbntTp4u3gTA}^Vtn&_mMykUkXN`$cYjBpw5W$D~2Ok&B{hES==Gp(oJOY;ZZ z0rOHQE2qOjFg_X|irG7h?JI*||2dR}QmWRw|=|DuJLC4C|X?MmE}Gt8TpT40h;v%|b$oJ_%QHFc+UP z(veuVpxIfy>ANfWOl?Fk0iCCW9rg$&rFO7sFRPIU1}e^0CoBic?edj@6Yh3hPKNMi zl!mQKH`@%U$XZn@Jo)EmVb^oI#JH28JwC7~9v?8fl5r%Jf+R$I9mvh=7NyQiuX@B% z`dV5NX-=X`2i%}S>$PIqL7pLyUz|JVynFccEvIGQ?bt}pXf0R2gLAj6Wc>53^NDTP@3QJ1yPIl|~KGJUEQOYpZhjle^W31q+vN+7!nghd4|B_%=qc|L{ zjRy|KrFFw>SuPtnSlJx#0_Dgky3Jd0*Tw9roLlgHgi@50-U?{cc_NZ}!L%Vl@qE@ylrUp^Wy4A{JiVzZT0%Dw;h{BT5mz4=0r zb;ld|bSYa$<7KuNAq(k-7B&O(5xt?kflSh;Qo_hUibfZ)E4kNcJNV!3K(yQCommrv zlrPOpirH;oTlA|}>kTP%PQsA~;0V59{J;oP@kS@vdyPpQ(&=5^a7l!bZr0d>7xHEC ztlLe_-qPd&yL^>9+}WM{@wVx>VZ^ZG>~-!atgz`(HB}pXaqQmvb$lI`i|GzF=QRI~ zx3qo7T_QE020@x&CMm8(JpS>NzZSD#SlklsIFkD z$f37sUz2Y#(f_b9dIH@uAfHrSeYGAq)A}VR!kPiEHly1UdyqM8h3l9umfUzbche6L z-bFMovPF*G0%cQ|FFCPY%PCrQr-jVnXzPt5oI$Lb#8G8Enj^wx3h5P66>jdXihadL z&sNf2zLbWbmxj44ySAz8NK-ivQv;`LRl<1{-=^LTJ&z4*(4l3x7N7dy9q2l`kT*%$ z%fF*)UV+6oU2Y%1AY|TS<}1Ttw@&Z%yUolW<}1W73qPG19H)61UhhM4objQTg|)~y z>B&2#e!8IwFK*bgNL#Kh2;@35o?AbfXS$C$Gyq-XI8dfD`W$?^gxa%}0plXG=ZzR^ zT29cA+`o!Y>%RL6y;%CIbAA)*<99E69)A<+8$ZIiqfE6i4RKBkHGtkVcU_Ia$w!`H zF5?Sxs{aQqgq@rHEIsHrD=+40@> z$peBkKD(!nv)#IX_YszQ9iM^3={$BQ^q)xB5Ys`CL)4cvqp!3w+wF~3m03yA{nn@lZD#BmC-30j#f zZ3(b>ENV~rfMb=I3{hO{Dx-RwomgXFkJ37#EB@`(`lbVn&{ji`Fr?24MIF`*%~)qN z3}e);rA^!vVE$-WP!IQ7vZ+dDw;?oqE4}&Kn8hPaPPDCJ#nBXNM;3kt=HC)lqd~3> z!@EQ;MW`q=qc7yE3`FrkTX@q68Y6Y$4evZ_mq#^DbS{t}l4Ez!OShjW5)d~uF$SkY#zGE6-bR-rs@uaZDBe}*+v$t9JX zzbs#;4mFHbJ2k9ES3)t4G2fhYO0^QNw3G`suPell?5N&Y&e3{z;>O=;1UbJ|%8xw3 z^yI&lIhtL`Vu0!74rmxf0bd~sGuz&$QB*5-R&q3k=HZyv<4t2SIO8or{m{_9l2td3 zmc7!h9vi zpXGWKTp&lQVpnn!xq3r=rI`5s>S?exG*lj769HP)|G_#NW@(tAbMsPDrBIe|2;;jmi?=& z<``h;rJ-V8?dn0cIstbz1Oupoe_^cwk2~tON-oPE^R5A1ZH&7szHplCX{}qZC6_QP z!C5S<3#PCkjaFPG2iZu8If4PT6^1;r!3_}dvzpLYO4@G{#MBqjgu~HE)SRJK#R|(e+5BtWKR$UCQJv>G6C&EXu{&2 zn&s^*)k_=W@%+J8k9qa?m+H&hkygFD%RN|*>fWI%wcANOoY9NwaeWZSJ3gRO!|{_} z$zdYOj1k&#yi`74<1UIt3$rn{YJ8iH#>@N0ZYN_}dwr1qR&i;~3io<(bY*UQV=EYJ z6kb;I;s++Y2I~BQd};NaO*)c?D*csw_5F5gMBMpPw?wx@7Ns|Gx^=gAE*{8Ur*;dT z_$bcw4Lb`%ALr=dt#>&VUa|GbSKw>l^x)y@wavUaCOkSi%6ZNv+pNh>>kTdkoF^W* z7EhbJzrt64lG9P9hdTRE35?P_3>bt*VY8OAUU^A58uTu9A?9f&!6)b*qd@MLmRp84f?mJ$k_IMM} z7=VC!6a_xZ0Rv^k3 zU49l7mif*xBEs2VIBfB+VazF6Ft_>elN?~E~d}uE#Y8+|q&{5;%mQ7Z9z4a9bV+`C*P?jvc;Z-RHpOd-p%)JmPv^!<0hApgc z>E(Y;1>+W&6TX?NI>;#Y@f#R&k2MziOEyI~&9~ZntL3OdmFMFO_>eWlleO+C84b>~ z5}J+XNhe(O5zR`$(XP40SEv}CcfJh?jfZ6W9RhKgnIZG5E4iTw3dSHf{LK}|{}rb9 zC-1f1SJ&Cwb>|&2-yFXDGr`x!4(rRwza4BD)5nKj^WsZi@mPzG5Wm=c1@Fb)vW4$2 z-!^_-eIKqjugC67uuypRmt()TW8G0mo{0XU|6|TiL{AN-UvvIcZ_8WGe?mg`HRmhm z{Wa&KKg9GGUs-};VloK1SS-?-Wm}kPSqDQOduXS+E`cD-LiH@rv7==Z)lb(p2j!Ca zu_{cPwuV#`N6Yx6asfj$ign8PX5KQr|EKuyuYo8-G0VMz7)*t1(8*8`aR2C@B@+nf zm>x0TFt7|rgEK$6@;;Xtb2`x(>9z@ZkaQHRRoZm0a?q7OgcOyqUl>2e(&2e+*)QDj z)Sq8tFzNz&b}W@u_P4PXdue@v3gQ=ZatF$fwCu~*Me?#SSLzipg)qjjl+3Mg=(>kr ztKl`F!adfeVZDL5mX%&^Mf_3#J4QDk=8H}|fUF~Gta8h@846_GAZr(hiog5G7xM8F z&YFb<_L<`{W(p%zhJJZdHC&!MfD+3&d({hUB;2Ywu#;Pv8+N>^aLXhQ_=(2D-8EjL zbZl=^aI*~NN-k!*m}13=NOo9>mkDTb<)44^H;4=|=`cKU9aMtd@kpp{YFKM)9g6x_ z<~l^|M7tO5x>dzs!W%8+Ty$PY0h$Bus=>0!qY<#t$-$UQFo6#=D*0k9A6OTC`K%%h zE9XNR)s8&&nwEt@j^pdW>Vvh8?XPZ3e9*Oqa=V=R`|RSarCi-D-dJi~jCJl=1GPq& z`*oX^y~FnWMyr|&c!RZ^TZelWT3&V8rFAr7XkCtgV1{>`#+ER$_ErzL%L=8P?gv{D zM1+-ZUoy@|95@%48_!$eD%!!sf!CGC|{z>iT0X3@@ib-M+ zB2e~EqeBv3*VywuoTLX=<%~;ODN$(;I(fg&2N8maTudRq?jnf|Ym?VK^ft^`M!P9$ zRjUZQq_l(K$xpK&UxkQRr#6z76!YU%kM5L2ixewf%BljEQ=?rE=smqP5?LPWCRm~p z;JRP9`l&?}K257e0WpUts}YBE@bM*3u&|Y9OK1^+9)ub{l(KaVs=8Ka8ZXJ|B&A)6 zkeQ0zVi0w|{h$OewrV7@*<@=U67kLAj*!6y!?asyZZ$mxZEYTbG< zhgjWu$)`Om84n=Ag{sE~L~9_FhpGfPNi*V#Q-BC_4s-jR)ImStrqsykUtW{XJYL1W z$`wzmI^_<<oUCBV_Ww_7*>4bST~d-K44fYyTyiGuLrB~kFN6gTzQrK1gEfU zC|C5@D_mmL-2)d_8jG#6zN_X~BQeYHgl}*@SoSf%&`OJ~+WK7ZiF~KzqVx~6ZqAW_ z7IpL0pMM{;9S>x>dWJIhUXV@!krH7TP~|TS2*-M|iiQ^^D5*opA=zjX*bFc@txh$| zq_|@K{#$c&R6yg3RmiS2Tue`IU8|9+)==AdG-(`LyFE&Kv29f@iHkXp6gEdH0ZMjF zu3EB|dHK~fuVk-NA4h)P;ER$%4EwR%y27t}`Bo#sX|zgL{1T=e`?7o4XLdXX4d;dX zLWd9U+#5@TqGGDjEKGNeNV+>V8=mmI3>&y9LaciCOIivBNg`u z`A|{M+Ei`Sws=WeBS|~_miL<7EF?10`)yXOif?L$mFz`8ob_`Zc^2;VBt^tQjN;2E z5%@Gx=TZoYc^W`{9fUcDUMj|UR6Z7;_2iH7VkR7_JQ2a68>@GLrndgRMt*gaTN_`k zEw^Z#^^y|asx2k`B!r{?gqI7*)S2wmiO1 zL;7B@W;13mNJW8-p~0piitefme}5<2Am3a&;Uh)klY9iOzy?T;+7e2RhlOh z%y($w$KFhdN$_>lSmnt~X?-$6PhKTQtWq+N>ym)p*EOM97IV|fU+C*3HseZBzEdl0 zE?FqvYL(S`PK>#6Bto%3?UJ)J=M~RXQ!+}Jh>FPH)0D-wgEN7qKbdBu*KDQ*Rk0?aQVs)R=u*bI(l8{Ro>g8#uj(Y`Y&y{ z^w668y2lzrQ}PBA_f@MmqslH{_2~Iqmen(~A8xFTFFX`KbooyHdGbRO2k~Vv2cr*O ze91BKmUmt(BFgpU=NrUpzT~am(eU;dazEU7@(Y>`>mDnM>91EG+~*B?8X7Z0$J^(z z3`!!|q&QNj@Ac}eQ0`s7;qEP-cYSMHZjf)kwi-$DRc4JF6-~BIPB^^Dq8WG%n+pw>(rO|5b)er%?Z~e4xbqYg?7Sl{XaWg}Oe1kc% zjpaP3>SYoK%x~ShesJIFZTo85?yg<$HCj}bw&$Yj&m?<=I_iU-m!nk*&kiORjAoWh zf@xN@N!1VTYqSP;>}w3(y?$`t7PYC>Y9)ELJLZ1?(LuBa&!|K8*(;(KDmhe%C&UDL zt*Y0dkoNA_zjZL)_qG+mAe^QHWAd9 zVXqO3j^~ZtOAm zb^E+68}@B&ZP-EMuCLVMeAY$XJ>O7GIjBTbD`XsmEB%yCt4f5A<>(!<(x$#f86=WM zWNsVsaJM(Ozp*!jdmG|f!n@nw!25P^%%jcpRJ|f{=V+HiQ^X_QgW>~$6yOaV<<&d; z!!3hj`?qZH_HSV%(Mb)Hqx-#&=uQq#x#+B3(G!9tovW99R*|xeMdLU*;SGpTBKYWr4ql462m}-h!@wWYuo(Bnk z;HA6JFe>cLRuA!@lQQY3w;*qlCeuyy-~QTQe0KwpXn2E2`-V85)pOI&OG{9`4gz87 z*D^CyX_%&AzSk3FDIkkT2x?)&{@S+M{@RAc4R1?D-IQvh?l;vQBMK-Y6u=k=veGl$ z=?-CpFmm_hgl`@V-G^4)Fy`G|8@zk<;9}}X^@H{B2aN9|xfS0m#^oHMO&V-8%^4K5(H7bA3|;B7@F0(@ETM9VFH~aZLhg;?$eCEZ(Eb_A!3@ zlo3OjnG5yR@V3P@Z+~OU2E?{gOUL|0=dw(qN>DBu9a(i#(PvK}hYzYNXB1N30HI;5 z9c)0a>}y~YG|*^Pi(#J>z5Q{i7Dk}a8sdSfn-v)35>D!pcFj?3ukGj^x@K=!xjXbW z+}+qhJ({S~!Ex{HrXJ}8Q`~S?qBUz(=sQNL#v=Mo!=BO7%bi5zTCM9F5VU`5V{qU4 z3WJ{E*f1Dxc(Hm*Rt~?&7P2{6`sg|lKe{QG)Y;c2N>lUR#@@Hp2k+kMZD}FF$Z#X6 zq`QWVAi5tTNnAUI@j(`Jkou9vPZA?7nS-sX?+yp|ziklJyk&3)lF(>X5`pVpA*(GJ z0k8uZ!eW|Bps4}f!@%vU1-(Q=;3x{RzHNVP3mSP_&X^u_QreuG%)f`1ETA#=P0{B^ zvrHT_f=ON2PQ46Cm(g8ueE0e-+g9K0Aqg6ojdWbz|7~0(aavNuxbVykB{eJ1iHrkT zcoG6oQ=*sI%rc&=HE+xHb#I&IP6kn?{I_2%!BZug0L&~SDJy=^Tb(W2!i+)Q6BFtcv)5%yJlQi~WPlD88+LANon`u-pH}e9r zG&qJu$S~TldaSm%#hjVd^YB#?3#ny|b@7p|I;w)nh>AuTaK zj2d+mqGvQ%X9f8pM!Y0uoYlwxgMjj5bi=m&7@zAK*ALe6vSm_!eWQP?{N z$LI`=dodSr$ts~dHeS5}8rG@B@kS=d4}a90%8^+?DO$p1u4d3qq)aTuVy5?dRUia) zXsbvf(piAC^L8gzMUE*J!Xh)qN`|2 zZjG`0dW202Xfk4wq#8y%RZO-(RrBn%uCFs38)j)dDg5LeVv;Ce>QMEXdo^sQ;qH5s zp(p-VFmrm@82)O+^__fUa9_C1yW7|;mCVohePxt2Nli4}V{lMkABBDRlb{(s>Rajm z3^4pK(LHZpSlPFF1MVOqr*TMSspM|oB8P?nPEK(Fnxy>nmmxX&F4dC=IntDYqySdH zuDz=V^V{~}LT%~9$qXZ>{Wp~n9-t#&`xJI(D0+9)0R%B6NeCvHRuL;OlQwuUT7Qd& zF2NN{Tk;32BdP?wE+!D{p*t$Tpr|ldabWsc3b!b{H-tf*yw|G4P~R|R@BM{zMiFDo z2k6xrC&!KI0AM_iow7JhHgM#IJRRq$$=tKPJ0Rs|1?sJc%&%&8gurKN#yqxcUS= z83T0Dw$(IhY=5n8v?3c9rn%iv_I<}g`k;sLszE<@Ya~qwmO9>`(1r38-n+hqL}9?L z-tT!EVyu^J%y(~povO)EmaOO$YMJCk4^1zC>>3jkIhw4b4%?a8>6mS+!Cm(nTGDDI zh3=`#yX(j!@_!U(z%adC7qThdYWMtuu-5BAlu_rB11>$kIgkGwTJ?RjL`6#v^-1QLrpdtCgMkIlg~@w z!;-3=8o9?FMioqK+tbI-li7gq;w25kx};4qJ4BXf-GtBrYaTip@r#?1dC zaI_8Up~cqB5;GBR3qe=p4!G2g&tkxx6LZ%Z>9#15jyhOA^V8xSQ4Rj2N`{?a&f+(& zc?d|fm(U<4)u&tMeB50m}^ob_9B#M<-f8c62l2&vh6o& zeiJ7HExob#cfL;mqCO}KPFV+*J~yuF4DJfOuo+fMLeXu(XBY`njT#<*@|>?~{t2Iy zDAFx9#{Pc2}R0j1`SL9f@z$EEk?=x(ID^dyV7%o&=xK*e>LQ4VY_EWN#Ru)Z zaO4OtoB{=_PdjjX*9lSpAmqLtA;UHHimW-@(Tmk)x4+uub3gPi!h}AYO zd;`S19ybGt8oIS9oQ=1?KZ=0g{-H zjP$yk`^ftx7Z9V+`U2ndBn(=2%>zS0G3CEBZVu)h!g@R%9Wkh6J|&k@Fdn<>v!8fI z_txQruz+xn#a;8jSp!}aPpInQr0>LYp$Nfb``$^Wph!F|w>yCO&(8})u?7(+AwtKv ztvUiDEmNe?@dHSs-yIm>&N0&YMsWO`3^TEzJ`*=S=Fy$DBb`woi!EpZFrt)zCpGC_ zLrE;aSTXh^;zblUz#hK!vbNXWAuFb2tRq~O`~$vR^Ux-i!niB+8}Y)@D$b4SNzCXE zYg&^ez3Y1Z_S-@U0aCJI0$v+Dui1x0JN-}l(gEKgQWfJ^^(UzVor~QQ+o(062b=!j zR$FI=h#p1}#>4|%yBE<-{z(i5CS2*a6^lX}s|+|M{d4hgzukZ?BS|+q`pj+(mb)eN zXpg-GIVs8p(S#vMT6+kg8uEGmc&-@!Pt*_)85y11R?3^iIgx;3+;6t?H2?E)TV9 zk{S}UNu?l#{0djP$*+wcc(aB8F60LtGEbgbo|7&V4ms>p@$J$ zC-^*myD*PY1^K>&6%=lZ&_Fv>jF=1CUdLjLwT8!;cdISTs>iygi4IGfne@n}hZaP@> zaX}Td2CYp*NF5##4ceU&`X(K_FGx>hM&fU!&JTuLF$-q`6{+a*fR=HQ{D&}ougn8T z=n)Tt&ULG0fAa^@jdnD+!U22kTpoNyWkFk{hu~H38)1S9lE!2c%cuUkR_$c%=$me{ z@gt$U3;Nt7%ocuM^FaNC0#Ru3%)NH#H3xOrs>Cx&+DtNuzwDK=c1uYX$P#Bj5l!sh z+=J3Pff&v)b&lRe&J@z{6XW=rN~J;?MV&97`?8)mfpD>PWG6!H-S_$vfNLzY=x zG|(gfy1yE$dST<3s7tSGK-JkXB<&-P)>AAU7`P*EaXKZZpZe#y% zN<$i7u14j#PwP>Ru5-9(rO0WJ z@m+j3--T^@niSOd6X(@E#1|^@&P-;It@-N2Ip|5crQgTTc9w03IY5%mBD%L;{f-Sw z&_go;CtknTM3aN6Fj_kfan+`3H&&@bb>rC&eTJrJG6#0oyY0K2NFyoR4=O!|iCBYx zpmL6qzh)PCAcMkgh>9=$>S!7Cc^OMyRzDzO6q(V1Q zfBbLs(sHE@S%%^LJA06OCoU`ywhMy>+aV|Y4KVS6_LJo3kfO`ktA4uq6E5d{W>PRn{QuR6iY zafnFJ57+E|?-Dc-%Q+vrbd^uxpUk2cA5Z>(uuCuI;SKeps{yU-0_nXYZ*&|HXqVi( zEU}rPj3RTLIL;*eWK--BbL{Zz*-uF?Af}ZZ1@4BY9)K%OTm{8gtpw}AcrE4$Gqxp& zWP-hikUs2Y*`~ z5>b%>((ZRefD$5!VYPGqs?YMaL`6*0cTN8DjRGK1Uu_{0&$rSqB&g_vHICT+9%j?>jnBWL^$a!Bm_igi3hS09lPDw^4vxyO%mD zi(`6u($C*7O$igyS4ZXOvv1fvK)n-yBOsBxIcPRw6^OUdNRBtp%{3BIOU<}+)6E=w zcc&jBr6`-QZ!6}>_?vo!D2xbc03o`TVXM`E5_b)Kmb7eBr=Rh3Z<^JsFc`LP%``C^ ziIK8ctV<#Exy+m}M2=T|R_ZBcek2;}??3P#q=1eNU~cjzFW>z@8O1Vj0OBiqZG`Na zi6W!J(Y%^es@kb!MY_qxcmBB36ukQC-@AM8BsDiDs;{_+VnH=Mw5#cfyqYsW;_0&v z@Mr%}Jd3y=IY17f^;KmMOy``2q7_XHQO3jrS%+vO+?`0~YbVY%CQmf0X2K-XrmXM( zJMP!$HIX1ro3>X&AGm^nh*g;bmqg(smDH?80>dPtbVqi^bLT_`z~m28qzBO4x&sWG zTLw&>Pn2L47Kivd8TV%AKfhV+AxQryuMw0BzdUh%N_5NRkrvEA=QyfWGqNrHgfQQ}^T^~`UW+z*4W zYyLJ~*&VF&V-<8|N%K8jHb;Yh*k zywSWz6GlmI?FmD7Mc~~e$atoyEo7BmxK~CvyvylUeUU*xJ=lwI;6Wb^o#?O5QP>lD z`u%LY`K&b35eWPwtr0}~H@@yHH5rqeAWgn<1%vfvH*YuQ-EC5DBSGD?^(Vd{vf)Os zcj=8345XtFpgIq!bYIU2Uw zaMz$Nq4(ni+-@Jwyt7CB3=c-S4_Ll1oKM0Mnj13-XiZufAZ5#PMc_1pJ~{~@ds+x2ls zuN4v-g9I3Wa)AMy>)w0yyB>^)p9dN48q_k-lq>w7WMqF1w-0rq0-ILz47-&>PlpN6 zE@*8@5dei-0{6$KSTSHIjj;Z8Uvl=p7E`UDs3B0>ZS+Jh8B6rztB$5oqfMi3?;>ITY<;4vUc z^nhJDh=^R^$kp(>S7_B1{=!v^TIlM1qpw2`izALxr2r6v$rF%6niq9iz4mt<6H<5) z6b7br;z<|A>)$trHlm=NB{=u<;gocu(4(^27pBguaS)Vf5Z%rI3;e{=FXPQgp|fNA3wl z{oHb4S<<=bna3qh+MFnXaBX#9)B}0d30jD*pi-1Y+M?2ZZoxuvpX~-ID;Xb3Mg7%6 zC}KJs8-M>bdFupS2o+ACOI!A)w1Nm>Kp*G}KEM%@zHcz>?g7tJ3c62ur_U%VtRDaIq%>9`kn+UrMN12 zIhND?UT}n=D1@Ra%HXR?Wv>_A9=}Zn$l78$!U2fzu6y^@?`W<-6ZA30z9P`M_G>E7 zPp~{X(t;Dq(I7TX=vCf;hT+Ii3IDe4N9z@`KsK*a1N4o1$zF~l=LQ4vc?u1`@ z7g)J)j=Gr4BjRr)0(9tj;_oC;lLMP0jx_uF*||LU3RrEtdT7)8LWm%u1<|}LEs6-u z%vN-ld2D?8UD6Pt!K4&s_w}*|pnoE!h^R%AY2H7?%|Qa|RRFDcYEioA5MbQ1uP6`kXve$|DP_U!CJ`U_50WW$mcmNngI@Pl{=4)fmM()uNlJSM4nmv8 z@wGYCNNO_MA`gmn)7Xvo>BWk0Qj}2sU%}%Zn9UPWBjFMg zulDGnB=^o-p#a6`Jn2}^cqCsV+r@9-v|BJL-r3*-b4Wxcsk^u#RbCb5cE=(6z zZFAS;!Aif$V3LH@?fAlH4(iz0$cLDU@QKmMipnfzP>;VQ7dMF(=G?%K| z(jJpGe&l@sz^=tK&={;kOso&|sxyqEDUKhB<90dewh;4z6~U^{1HDb^#Vp;Ss2Az) zH$L=>@(u}|(E&Mp|IRLi{yV_I1X2*n0L`d80&g@B0p>w;Y9NcW>(Sq{tN}oW_(DMR z;azo>N*PB`fu}tRV1y!*u%hG4MKtEY9t-z7vUtZsx(rD)0|`-+t3dWIq(G&ij8g`Q z6vUX^prm6ZfqR0p?s)D4ACu)K+E7J1h!tCbhlg5LKpT{l*gG^4jCkIRr6vg}BZh5s z1Ymq#*YHpzlu6hq@lo}0e*KwnLg<7Nns&d1Z8#9}D!ry9La9hcQQQuXHgFq=0!HPR8>1dM9>7WURP+l&S)oyO4#5Wc+2puTW8s* zKH8y1yC-sl{+(C#AypEQ2gDPL23Q9FU|F=vyzhy2q!i`({z&9kE(;*hOAWBEFIU6Y za1gO@7tU@TqPQ5mOu&m9JQp=&G1jIVy!#i6(oC?zVxdqR;x!NP8#E@DP;hjBRBodH zIqB!^Do^4<2fnr^@Q=O+fGM6>o1Vmi4k_Qg8__!%HDC@14^7-WxJ@p@Cy5v`c0%Oz z0kd?ATYp6C9J)~|ND&5a$tw|s$YQ`eaMjS|vfgiQ^4z_X+mw{*iLIL$YsPT1rmlNEeBwz-ro{C~ES6Aqg^%ll>72=g zi7@1f>*R>SB@~PVL}uZtZKeho+rlZ`@CjyT5eIFgk%?nNWBS^11u*%b<21Yyzyi77 z)RoV`VBECoD;`~i^`f{P5GU`~gAIH&|IjP?yAspa^#mZWAvu)L(N`^rxR8l)vvL)m z(r}ykrc30>wI$JBFrz)d^$gPMzz%!_75VFApaaOr71BN)U!GW*FDP7xKwV@i3A5YnOJzFYM>wg+s4*q7r~J?INq5h1XThoBTFvV+>W zIi+~2XkyZm-xlfI{rM+NIg!yd@u@2YmLbD*COp{ zB_-6~Z~XZ?c-OI0y163e3;^Fe)umsO712Rt<+BwmFg969u4J8JNO=gAOwhfN!Y zUXfn34WaNTrSvqIxb`4*PmncjfJ@Z7V*DfZ0!)#CpSBPGoF7owl2muoNH+fHpzaI| zVHiGz^bhnjE4$FId`BuG2q8+0zfYDVjHY{HEuy4Nk14B_WbDzod*dT|$_d*AL$roF zJHGTv;8%UZYL&$3%|n7c{Ksi=8Sl%=E{3#m*W@?;>UkQ93JV`_tkRjVrhEU@?`YU6 zEJ7iLL^LMz=*yy#}P!@Xb7K z@dOL2J1NmpJtJ;y67!ZH6k@cW6M>2^lFCW%_Nz{G=A_^eLO>Ax?@2vPX0e&&ug*`N zt1=)FwU$t++dOk#9$x{zf+GGjP2#8qK=gri&N^wN03P^8+?!P9fPTW9*DF8BEf^hk z`uWDEbU)$)g&)$G7f>Al@%mHvBZBOBr_C>+5RSuC3#Sr^RczX*@!EMku_=IcO^#0R zf?E?poEKVgIQ(@FB8J@CCaI7GG8SL;s%6HrZM~*QI0dgeYn4&eYaTQdPznKR_9FIP zTghoeJMd}D12lrwDho?lV$hY%-Q8cs1Ow5X{+&G#-4nzHGqGh966Vlh_ZvfuHRaJ& zR^3nH-4wGZl40O;BHd==#Q)Oo;$|gvXh`Os37<_GAHy4^RJ$ZaRrjYNG}}_-_k#8+byKf zk@%2nA7Uob5gO&<0eTHZT6zY~a!;__uff_0t813VNq+KC4wxmvH{JeyJpjEE{Xh_0 z6+`b`C3mchxCS2Qn}D8r3y}Xz~P)tWw*^ zarNCy_?|NzI~2vB70s>!H+TX0AT4bfz02ZaBtdCMWL@YPIwjKR33>m7G^GRKte){1 z$U=Hht~k-!Dp9h3l}xWK1CHlTqA!aNPIXy3En@AJ6`uooGlK32+0Wvko3tydncFcqLli`zD1#ZBr!jIKp!= zPd!kxkw>oG4(~qyZ}i-|(UB?QN4-?<{;N&|724{MtLg9cg%^d&c2q+ull)vulb^fl zu30fQ#{Rp2;zpDgN;XybD)7Av2p=>gQ5m$R&ioU)vKHg6^IR^Zi3SFdjeN+z~UKYjM0&Se8&fkSLdm81RCi()^FquSBS==1jt1s zyTu`$108G!nz=BhY3fSPpkKOAe)d?xnhe|F$=0SS7zk~8y~h9taS!-i)%N+-Bkh3Y zapBF7u;XW>15lBSmE=ofA(yUsfFyZw|7)G;;o-%P49aw4r(S&nnWC#CBLf)q@9v-B zD5RO7KiE=hI+!I;A(Qi&!@d+<)T8$bBJ_xk6iL2P>0dndYbfz!oA2O7c@L7OsM zv&mEOLcA-ZS$*5a&6j((|3fE&pg5r(M_?sv60SCwo~WJU_x%(L82Igq-lJn1|2zjQ zNrgU8EI9|xwGQpMr4{`QNJ$2lS{gj!!>_(X^gGv}Xd;Dp3VTw4QrpUZ| z&;JnlFVJ0}ez8p{$rHL>y5Y#ap@^J^IG~&~pZ2HP?o@`Hcpu zUu4uapbnx>NKV0*sAf(!w~;f;Xlax6k6#u6caH&zyO(8R!|->s6#Y8pPrqpGvL?RK}6{rqle`~e}}M2lh{D9_@%bvKF$JaI{j??p-eRXBMwPi@nqTt;1)RbZ{hqJv2r4dLO>qiT1WQ zPe1~(-$F4Qp;M_0HTYd`ihtc?>%RY^+f17D@3{I*vj)hb4^qv%5*g8Bn8eQ&G84<1 zW{AnHbW;f5`nXP`m0-o)SHr&I3Od^q3os3C;H}?Y-_1+oc&;B**Uze(Xj8W)`*>TE zpm7r;z5?!5A5aJh!D4Bg^lcD7$^}w9D$GiLd`HSfq%z38L(mg9Nf44_z%_I(y#7@K z)~wNyw)-^^gKBA2jIS!)NML4|x5==LAN;?&4JC&5&aXa6MO6iNbX(pAq@GI3UzLLF z#PRk-)ZiDAq~hPL4xN0TmdR#V+`1R-jl{`rdh-ERVH|->S`sqOMGd|Dg`m`7)5fRu zk6>)dZ%c7#orMOxx=4RO<{}85{c+F5s}XBtnIWU3)(sjzDq|2)Oc5CAI09YW?Cxq_ z$rTyR=+saA!JsCJ1!^d`sh|;oiBDG6Rp5I@BqIP5MgqbH=@Cq~>Pj?Gy)&>FRK{8oji6&|J2;K47+yT%YR!{dk^iop${+J)79hq36Ec*yo3La+sdYbGmx zc`#a*>S^f{*7c1W+kc~8D7`6@or&4#?wF0f7`qB9C=xqUP27!;IjcB)Hu?uacr zj;lqzd!m;l0sDQ0#%%6I*~XJM8JS`D_1I#c!8fuakJ=fT#z=UoQgNfKaMp0kp*bFA zh4k3zGFt?hKD+S5rAmRXi#v<{>OTDKS9hBu%0Lan# zxd%=>Uw8P_k z$xfAbWaa1C=_$Bzlx>Yvo&DUron{K%eS*t<6Ii~<^gkx9Y)<_;Z5u~8QxtsPnC|^ z^$QN$d{(|Utn6WjF!MGx%iJD0g@BzL4LBUwHL1c$n&kJWt zm%Wmb&B?-5&%4o#OIA5EYUt#%QvXV^#+=^kb~)bX8RhMkuW6*~I#Z+UVTyhR#)4Hk zlc1mqBQUaWB-ifwBX6|)qY^|HfWh~@H1+1|_k zeg&_L32K4^c1gnoeVf;vY>8Lio`*#hrv)QQ?fm+Hj;=Ez8*BH~D)TB?-K-bAZ~g8K zoU`L2Ho#7iB|r2Fm@^>RI1W;5=-~+UtDcaRv0JKlJImxES%Wr1_MCGm-;=7!x03Af zmYVG4clXhM;uhF+t|U8E(yVT+SL&9e0tV-9Xq7uEAe|z+I*k~crvOp(#YLYTRlR1R zVeCC(xa_ExMUHE&`V1vJqpp;{)2|ZLKd|pjY{3iKnK8sxXPGmu*pl>+GY#%;vp|or z6FBscOSjTXy^xx!S|DlXcG+Dyt2WI@>bb^6N*P_bPqAI1Z~<-4>=3Ox?Wd&0 zd0uVBR$5pnxF$-KaLrhuT4Hg}C%*pAASc%wuoG+7E5?n5d~f-{sWN+X+96o#L$ni* zE9Wi{QGDKDHqjCB754^95p=VQEj?foJ|s#jBi)vou4VkUKjWNFWxr5K3qlWn2B)!b zNt_^oi<7$St8454^ekIrW=&IiK{dq^u!>+=guo9s%;oZh97heqy*`Z!--=q<{=Hlo&g({bvjQ`YGxrLgFmlnS9r8jDvX@UYw6~G^=NM{5thRmCY z!*R6k(J^eI7dbpo=Ht4@dBzj=E*}@rWT@wx(N*7$f)o*L{A<199PZ1Fo#-Uca6@rD z#t{lIjxyPna4SRxB39I^`&D|qTUanB6%KauSBDY=2`U>u{;RUO}*)lp$l0-1$Abo)w7!03EMZ8ODvO#k$9p+1t0+otjzltI-+W5^# z*uh4(7!&yUm3nKRhTYMyv>bLZ?dVZ}gOg+3k9V?-W;p_`mO=+rAmh4)N)~|^#BaXz zZ;og_8!PCKC5(z=VPyZnp&(;9@Dr|?nvlY|#tRfVR^c8fvw3CBlJ2PtOVlx)=f3%- z8?$n`n6rbr6P9A{6q{TF?9zKqRi?bmBRM)$zN74vrOyi63RfywDJvJ!@IskXuTtSc zJ-g`1;Gv#1)kUwGnW=qbzM2&BY!P#xZRU(Zj9|IBw*O($&crp7rMa9j1j)V(c^OO$ zo1^nb-Nm-2>MwmLAYTZj9vg-i;xImNq4KWi`pSia;id9A|H=nXRqRrnhXqWvTyYSg zyRYorc%clID0}IYS6=aMGL@P#(~BOQAhbMHeKe>aY^e_ho?Tchvma+rO2&f2xS%t^ z`h00V&nBA|zQSO40sbwjsue`a-uR(g-B2vmSuGFGB%vEt*2?wky`iL%dxh<}H-iTW z%VQxmhJ6c;ZWsWI}5oQKI^f`@Ap<#qUrMX!hz!V z<;M1xJnvyOeTwngMjZc;S8En3H4=^u&$~tW51x68{iL#!myR8)J+NHdvnCEOR~wEq zxi(=$wZpAn2wvEJ{o?t1)y=kRgW<&9eDcNU)8*Cp_)zgK9t(9}`u1Z6*rI@78;>)# z8ehXWz}zh#DR1|(nKIkgZZA073)Att7SEE!Ir@U_Z09Qwoi2mjbeZL0@tYMVGEmrl zLEWOJm-wP|_FlDkv@E;Orfqn9viX415_TCUn}=Jg<>^-1E=PETO(uo*oCS&|stE>312^@c>S^2z|NJ{w+4qM`L4bm;HFB%Xdeo1sih{ z8AkOQmxtBK>}))FPPvvw=3Ub*o}euA9dk2s)uH&n0w1Y!-SgMi%PzjMd-62CcHDw% z!!u}<4L+bbT^{z}$M}(ViQsKvQHcHti}((d;1FQ;{U}%K$Sznc3VZMa zDtjq zayG8zBOV(hc!iA@9&%^Oc*WeXhs*4;j3i@rh6fK=;=GH`p}4?0I~yY$iAJI;8Vk)Y zn6le8B`ZQ@wBL2*TS5*$lq1bnW1D&j#2d!r|B^kLGj>uIt}Hrnr7&&{lT%G^-+sNA ziU2@;=^13`S^n#ufM*giOK0grvRBM$C#Q0U{Qo}B(0Z^3lF>jW?T8mp%eh=%Rl|kk z_D`0h$+a7Y3$>U3;@oUzEGEV2Rt`4SO(sPBV2F-c_V+DRB5MRwA&# ziVdm@_?L<^&5p!IFkKEyN6Wh`(0+ENSW1Gn%H0>5sz6mo%IiDU`~{Ojj&X}9Ut6u` zHPcC=+b^PywzH}}|CR3nrp|=|ETi(aXs=VZ*6F>%7PF*aby8@jl$`Qt^Q^3qTwf&G zAgmH&IQWs8>wdksi^SHLlGHlKrUlh{78N6~=6wAUbeK6mz+f8hxXsKZ@FK$LN+6q_ z@3QKa+*(PGWd!1^Kpt2bV|Y{8CB5}1E;~d3qNi)FM0L`>sTM93l>t_28I?&8&^!WE zLIY@d=KXIP`q~fudiX-~e&=hqJry>Z4~9Q^;LZDQ`+D-~iRjIh+rEDE)dw5Lk7bW6 z-V?kqbbtBlKl__czhLuz`%}C>f8o`S9(c1~dp^|fdz_Qut0%Znebvc7F!ogVV+)Vu z9|)cbk1ag1_>th;&=BAj72y8e7k^}Tjkg25y;NTQ7Hwa!Un=MNr1?_k?nbi%u7}O{ zYA}ByXb7C2Du1sAv`5~7w5NQthSaui-U86auORCe?g`qU1yyZ(NQmO*>^+M55`T~;apn=p#)=Hs#!_beEkW5pFP(H3^6Comog?m^2v&#w8%f+yF`sTS{VMb2@i-HIq=?vPQf*!6PdgTDFBun>fG@=_-) z-%!HMQHine@7RH995h2b3}b}|?q)`*9GF4fa?QX9)5uE0Am1OPo<*u{h?moyeB{@@ zhY=cN%HL3{P)}kj%-~Fxry#FzUm+(HA?SpYSaM63vYRsMOu@q_5S>rW8_Q;67qBl; z?$57F%_YAOBxIUFaM(Q++sUvp13RM2jW6zWf#(bF9kz#`&0;_!G%cDzb<%V8`OCH(#(yAE6$-{gu;G3pU5NsW6nHU!HS57ZR+l$Jl<@& z6$|3Cw24+V+>ye!{^B|}^a~h_<`C*4iddAIPaddJ!e~;2Cd@0M3{aY>JR-EyK3RC$n#(d(cZ7FI7O8(xUblx`((=aVO@v-7gxkn#ED8p3wGGsu=0q@3bsfPmO zd_Ys(0`5opvr4g3bZx0LhiF&5uq1(b;k2Jk+5QCw?#qZRM|T+DW)#{Bql~ZviXU(O zJo+Dkt-wKslI!Eu5~A0puUYX8_zsO?BmzK|;P9D3gCA~#&?KfWq-WJHAFYFd3-aiS zuwufbignKyikLhV=>@!vghLy{qTYeFWEy*69EO?{nRdvT*(laqQg+B)o1nG~t8#r+ z*eYEkr98n>+_g%cXkM@GOpNqX{?S_QHy6!~U4LgZsl$ts%Haxwz#mlez%}a@hQQr+ zi|e>6XaO4m4Cm5jqY3t!Kv%wL`MUm;q63WB+wjCaYp2Z%-m`bG+6T`vn`JWDf-A$g zgpX*QTL6?zH>n9-j#*-eW7Gqa|50&_$}arG3Vn0QZsA1)Nd*4};VgVdW9oTf>iX#D zQQJvaXN3>lB9|Ny8(_x;im_DC?Lx%ig)NZ-pF6NPSJl7{ zU?EO1&FP>HA-R+$Kxc0vUM}TIdc9`;yi1F}y$hr{D0j#k-5LY{7=^JH6`zE4ijR1R z9I=2ZC-OpT*Q~dWH5rr$yJ3oXE8VS;L(RC&N5b ze%1zPA&ow(*MD)hh$Gfo?JR2o@vS#x_?E!%(*j5mBWU7AflX-9J@HC8p^kFlciiiu zJjPI!uChQ(dNwk+F)jS3A6Ug|hKkOLOyh8ha*G36IOQyaaZyav4g##R86{3-o`^zK z0|j1gWR$D&(|p2y0_?Wbx)>x&Cj71!msO*=fLR6WL2v+4m$7NJe9m8ZA-|YZALAj> zHGk>F{IkJaZtO|2)V~xzFxlQe|HDn+AMroAlRRiRdg=7%QqRlEu)B2%{77P2| z&QPCvP@c!8_l_CsyW#Ir`EpRd9IU8p=c8k};f@B&_M<^orRX6p(4KEDnHfD9OO>Ju zmdyI2l9W|Z=6tDXt_Mr!{5{D;5z;1#v-$E|K3^}+#_rju>gQS2tL|*u(E|_EGV>!| zarlktKF19ob;#AJ`%|PJI-0DO%lBjsKIU(Ip@``nN}8} zkAeJOV3Ox(D{VBF3c;QrqfE8f@+X{Da}F-cOhBBD2KDoPRmS)E(y4gT%xY_uWojjj zy{zNA5Z}Sb@oapTFR#bX*|rS%y~0gT{@XV>`Dz(1IYsa(tIEw|nemAI#Y<1vUuzM< zm(%m*hs&&&F6XL#G@fob;dJX4ij~Epzbj&K%kcK2t++OtFSd${MQRT9;=_!nehqh4 zv(LsAM)hm);_XSze%Wyr&#Pde_){-VFR!AG$4^j$cwaqPqdYMA;`T5(A0MAM+$y!p z<41saW^(OPO@ZuF!N~Lc&@_XqkNg)B#0MD%!V0_s!|UOhFQ@lAe4J@57KhK41%)yU zWt>>I_Q`9;UVwBf5^z(C^>{;O<~HiBPLq;8Wbl~b;%A8E06V0BJcC8~54 z8jI)S;quW|a(vr^xeV^d*BI)uxM$c~yZ83%_&pWlxzD^|bKvWo%tC>-SbV~nS$6UI zS?+$;35%4Egn>93V3LjRVt83*oLdK1?5`FTpT)Z|8a6Uenr_w1li5s5)uN-VNh=iA z!7($6vghKpNYF0xIopYp{Uz(|;O zvy-hV@zIO8>_u`Qe?%h!H^nPSfOT=Qppk<{y=4xUTWyuC&P`ulRcP9gmJuyFpNrRg zjYF1!1OX|a7@5sP$Js&^n6Xh;AhGV-gf8>?fCE{EP z(G-?a=oOwlB9I05V3{etI-F3s=0rZ#GV$!Wq&3ZQ5m(M#QWb#~iXR-M;^ajqOHFDp zPD?5*20U7&1V$25xe-2Iwy~p4pxT zq5PA??}8KNq%=zfnU`kzhY*FAfb_WzuZ&S<)DXv5CT%nxtu@GGw4xK+d~x zF-Yyeb}EdKscrhkEs<2(maU~wrg@F!wx=eWO=i+lsP2|9<;?f1Zv3~A!koboik1|cGKyvF<-|?7*V>PMOE~< z9vH$^f*-WJ_98;DU7@y^ALMO`Is~&;$dIr_gD~?*yNjzHZM5l^ijrCjVYMq9WpA{1AoSAyim}&*#54?8iy{-( z4;7q|rl-P-Ho4+{r^Lu75AD(z8RbGD%Xwr-n5q|@b=~c1#vsOCq2!ZCJ6Z*0 zIHB>F_c4Dq4B~9jzZm4DMFhQ~3|}FNfZ4Y?tGM#fcuJ|mQE=b>Kt+BOnYqi%+=cWj z$$oOyCs1K~Sy>nqi(bl>%J=SG_Z>3YqVvpqtl4ln_o#Bb-?Z;jmb08wQ(Gu3n^3;u z)N`*gszy=Ki*b6olI{zZ3(KDUbw;S8l0{S*h1(Geb(_-hpGId{?2^Tcak4z(N5$H) z=KLjRxg0$01jDEOcrv;i#0#Urp(GefqSPaS36~2)OgfJF!TN`nUub%0wO#Y$WWM=@ zw+FZW(Lb$Qm%LIe^SL=wG<-VEs9?!7US~ z@m>z&+lEiHNz9aB=QcK zSt($kY*fL>%SxE95$|FSh00)&;kTEMG;vcN4%bRxGbOT?vV<8d%A~S#z+7Q{VMfK` zPF8waxiOlfziIXbC#t^@0yp0GLvCTHI8wGsRK(JPKg)POmvQ)?W-TwdEUS@C_jJu) z_CBVbZAQg>J@9s`=5^FAn#z`cW}&%+YhSB*MCF|B`QnQ8blV8Hv8% zSWL5!_(elQMJ$b70;Ny>kf-7OOZ3=OcYDai1_K67p4lHag23(2fU~fcq%MTp7mfY zk}o>s{VcUR@Rg+PGnwaE;l`i+rQxhpe8WfK>dnlf3k;pu;-8=(1Vg8(i^)PCv|s^u zgAR&2L=z`2+Ic;S)J)(T*+J9rpN{VJ=SSwMhwLen!Q#Zl=x)DpDOt4Ki_U?n8Ld_# z!;orrj-FE-3+C&|*YlU({f?6TCUmZDVpmdVq1I=RAPch6s}bNdh`4-m?KqKDv&1pa zlTEtT&_Pz6L`Gz|v6U7uYViZ5Ucm>Y<(8yHe%+*7f~&V+ z?o}m1S*ck@t-Q0S{#o=4ZC|#n>}+7XAImVquv%R4OD33)WYnWeqyE{|!%NNhOgxq> z`?s8Hs)?;}|Qz)AN-fsq>ry=@V7P_!Z~J6Mk+xdU;YnwzmM z;V3G;_EUE@!|3Pi+bTQ1Je= zb=L5gSkU%dbgaEQI~G1{*Pd_Quf~F%M{%!NHJQIS+qh4CSj}I2?B2Dd{c6{{+lNMj zTiZu`|B%WL{z;TytlYI{uG&zK9r*L?mB^mDw14R9iT%Oq#Ut-Mo$Q%P?xqBFDEQLa z{`s4yqNVsx$B9ZybMeFWvK@TZX=dNd+IH>O#e*+iI<;f!RQyvr=5G$hlUM%wqrUUj zV+W39yY9Emar3Qbon3|Hea_H_or})WDaX$3r2=W1?Vlz<2H5hbSGynuqfvF6^QbfQ zs55#}m3?*ExotW6<=Q1xb>^B=7Xs3k=uGWlKe;u2fe^pT{*$?njXm%E#0PhOHJO_@ zlzib#CHUlv(JQydH#gqhK74C-CJytdndHZhoeckL^e53PN##o)Oy;5oqX)wwzgl=C zUKsfm`_P9Uwqe$V<%>IOj(MGlvDaZ}Qh8s=i)}ZxcEW?qS)!P)awXD(!kJbV; zE-ynzA0i>#*=#hkLoy~f?Y#GddS~+9ZOey~_g5#5#$oOHw@utRSKC+lcho0F?+BlM zOg(Jh5q{vswYdkIUkne$#~aPxs~lXr?Y5(>+S>LZr*&?Ka#*c>_`z)PysCXO%U+CC z^he8Ueik3%$6pVBIefGCk?)w}@1CIA@(k0GN9R~Qla;G4+vVZw{9DR5+tCyDTG{iS z$Y<0}M(F-6>R~lJ)1*L9_HKdy?YEDW)y?Ix_ydh6?XRA{KlwTTsnLJg+W9wCUp?4b z{PU>wvNQat8*0(JFV&t54qu*la`bet`1OgkiQ#uV|H0_PvH!`p|3PsmyK${Cf6}`@KCf<3H#_6I zn=k(|YlfP)AHIBdzBXZxzY@o_wYQg^@?L)TZ%xk~OOIVJ@~7VuQx9NuMQAsl&nTh{ zO`5ZwG5q|ln?9{-B+ZcIQ!9$v<IA>g43ECPlc%l(HxDueJ`y{8Hv& zRwH~fn@MDm(%lo)KgsS-Uh)0f1CH}5Z(nVljf1h9cihF#k)5R;B}JIR#=C!bdhWdz zORY5iXpZk0zUK@V+~>W}+`x2R3Nn+jqrMYWWO4NY3ADM1jUl^wYN{7|3GA|O+2aE-ks%3 zs%G95-7@#E3L_w0cH1MD#{KIr-5s54WS8!UZoe+SBMPV&)tcW7PsPVkk~`yhSXBvY zFaKX-_f`DjBhEtE%&15Xf7Y2fzw=3(1tvE21g~tH!!tF{u~94Kvtp;b(^Ss|kE&Y1 z_v$d`mh$4!{No~y%`$3L#J#mmv8Kht_!bW1#a{+4)h+al%F z^E(g6)4}8U8Q|q^bl7k3I9APmPrN#M!6^A_etyB2o-$AvWnUU!o3m$O_P%jzW36U{ z#?3n@Qn}tt%gs;}0>DsIe2!t(^XHwWxtoXwuAPC+-0ssX)?OX)*T6no^QMEH#US=~ zJsCvrnd8-bTeLdz^iTNS;?eU`s0Fv->rUoRMb+oMmy${E_Ft>aEZ5pM?!G^2Hy#P5 zyfw5hSo_fJH{O6Mrfb~SqL_sInuX(QBhO^_$7}blmihfKb$2)uZyfwk-QLEUK~!Re zVk$8awaAEso_n^%6bPEK)2x}~DsCb4+?iz~|6_`^i)j1d7tf-{BW-n|^g=UwV4`A< zpP?rAgs+YWnzvu)9nTB5#Jk$qI)XjsQ7rr7g;hq3$?@t;az`wy$ZBDN;@;O$UsVXk zR$|7ha1}{c9{job;i_@+LTKiTxWmO^waHF!Lio>lWpkaS^wX+l7eCq@X^%2e4Bvak zroX$R_K5%9@9-?m(Y7%@aYHcv?m2i7kKd*;|9x{_?ZC@% zMZjG@mgPM9uXU=#dBple9O4$<(UO)i3dIr zzwApf@y<`g5BO9Qf8&*dy_HSczaDm%M?EErwKi8Z zQNnw;TL`3@_=o*fR=Otnmi%>4WW^W7$#Zx9($%P(JpAfnO`!ekcm5!FSXM{niQ{hkV|9bK_AD?_neEa|K6>x@A!>%k zeL?){^TNUm?0sW*IljouuMq{=uJAf0SMdojeL7)4O}DYA9Lsi7K?_S4gV-2i zP{o)bPYc`4jB?IJKg?8ZCO3?AyIQdCcz?3o^x|M7 z^ZmHE9-O)7TW>1c<)O+$g=6a#Ry{C&wf0s}fOJMV>&|j>!Cd!Q?qIZH@GDX2Vt%69 zG#NP5la^VhfI#g(Dpqd@sf9!jH6v!h3)S;>N^Ni~SGNGOX%5fDN3-Dy^^7@$Zo2<1&?PPMFS&}ACKNMVb7K9CZw+ShPW(^+Q&psS&?Rgt3k8+!ZReJ>`JW-_1t4eVY zU9M7`la*F~)U-dSV#}+?N;N0!Gycw)8JFmW(hUq%4zK0P3n{xi6j{-16s^xan_E-f zVV}n_?u>rd3+I1z`<3vPtKi<#>bpP&cctKc*o0cV2Is2mI||PPrsr( zv9Tk{KSLFyL0rR8hkyE*wr+ zsTJl_xYPxe=JuQF)&XTkM(NeR`dNR-tnauXHdy}FDo_?GP!~o=)|+W|oze)Q9S*aY zHvKDBe#Hg~uNO$=rDAMQZYwcQvxG;Qm~7caReCDPRNS)M%(fnhV#TVm>D+jvQa|In z`M!8*n|rnr>~+GWcJ$0&yaD~ROE*worLfCZIgS_r1cjU$>|**d>!`yq0}t zREln>B$^`*)U{-ZRCTV&3aN_XiF8vJ5Iq%y_!q#qk;RG>?A-Jh3Sn}IA^FDR?=Xfc z%|e)xSf0CC@BSm*_h(V;55(;n>NV zMB8rNpH$2+P`>T@h0GXl<+c@;elw{2fL}VDAg=mSvl;rzc0T;+Hy9321$iY4s#E%e zqlU|1JAk6JK)rx*AbU|KbECB4x!;aq>&oyOi>ISaz&Ju`wvv2$-qaoPr~L7wi%9 z7JH{E?54hh8*X~)7)EiHI@Dq1+7-%xl&a6tSVvimDR)TMNNH5Kw~|`J7B#qpa;&wK znRjH7F~L+vlv2pbZhZN}Cw%6GnI{p}a!P$M7BKljXd0}kv)|+ynP(4~zRj{Yq6&Fx z?qxgA1b(S$S0<@B)r%wi9DwDmQRg?^(A;glS6%QQwtuw^)w7vffj=>hZ^2APn0inJ z9OUWXplUSLC#`C9Lp~MV|KLB92n^3MhsT#Ga}yMT^I6?A>Mt~@;_k5t3HE~N&iI&; z;i#$^)|-)uxWxuM{#Z3;7whpQs3&W5yn_WNJmfGgi45mi)rbtQo)=s%^N_m7yc|{2 z6+3q}KKK4o9Gi8PTvDShpb%1qgwXUX#+)yOOqu%z;WeJ}A+3c&D(FlhK$ z?itwqyl>>Ske__0pHUbJ#lYp6rm>4j3=XDUhH?u^%*tP$oDJQA5`RF^Oz3!WDr;8mgwrbWF)Qq%wZs z+B~GDeO3&Z%D`6;z4_WV;@wSC-FJB0^md}N;-a9}(NPfgO5wO}I=c=!m+31#&58t+ z02;@EhR6{d%LO9a^1#E&OR-pAUjLdh<8Z|{l7n&Fx)djI&06v6XM%Wc+-WmaZM{FY zq6nR?eCInKf3|V#Soqe^S+962l~8^#q3Kc3&*CrbgKB$$1!&n5LFP5T**q0gKNrjd zYt_Sno!@%4`4ttaed>RBJ6j{4-SK4Ye$}$)+N|#$zZkq#8B3@AU5BH`>@RbJx%0SU z7{zM0IE+h|tB)1F=qHMX9vFTyn5pG&QzyOo>|v~Z zYTxKvy_4QWCuC{DvBudu7IsFF`LiDzc^9-^Nry>hwJ6P2)vhmu6oZM;~!g? z)enSw>JJS6MtmP@kdI7WdA1QOUEN%`c>LeYMMoU(-e|&gCQC<^X`RLu3S-Yi)z?i) zeBCd8dy_f@u{tYCyM>$M#_y-pW<#2d)8v-Rf|2cC4M z27UP%e{2x6{?)-k{<{g5;v;t_-ZAscMJ&6`uOG!^JA4!{bUr$=HZVBb`ba#wH|%_C zFpk5{R|f}yBJR`mhs-lyOf*L3dY~_TbCLInude3{S*M)esEsz`+pgVlN8sXyQ@w7w zgA1kV4xG!}cKJ;FlmQ0(%91_VeO;Bp3*Kre$7gD38dPy_7~B=U;669HV_xqnRo|2B z)w@TAA8&y;K3<*vY+XN*zwfhm#oL2ts(={Q`ar|o8=mlj8nECWA35wcZyW%L>J)b` zbiO_Lz(gG z5p^uDUvNLDI)C}_Vt91+N!HXS{%q$OA}w!x>f=!TrE9wPKTWt z7_93fPXMZ1=eP!Z)9snX38zz}_MMMU7J(6;dgEI(tNTpR`)7A_s%v_(1kmv!OFQ^i zUEX;{A8%a#vp60y_Fek zJ!PKjN?ip!mu^DC2^7p@{MC}5;q*Ar&`a@+Ec2>;zMa&vv!%{CU1jm7?#}93Hghhe z4;0>b$^`oaECw*@bpUR2{okIP{AjXHbZC}Fho7vijoe?ESbzAb_ISK@pg!}BBhNSX zk4|jcJ>f<_Jy;D&F9d7*oB#gd#QJsM#NghfwpO|~TG3;rR^k8pS7=>RFHLNldI=c$ z^=>Ou=p@WfkeCX;zAAx){#Agkg>0oeq{iLT?o=>D5F{WxN?9F0#35&@Tcfr^P98L8 z&!r35<(=i3?zIH6UdB@y~{(F3&&uXP&pyT?zJvmw$3`cd1I7tAYLD zc*e`2X6c3aSsmnuf|+we<+alNF|b-hg}a{X*6VR+4ifu1@yZ}o5Mv<|qynk!H^m{%Q? z5)i>a*sW7^@An40wUL9Cs$#H{LF^g90}&S%cG z*AGblK--B+@nd0Yvhaa3+uaHG39mQ-N8=#cZJu_;y%LrXXcK3{s^boWaXu%-uF zv2(25Dc0<0NY|pS2DS%1on=L$;yMXPv310~$|NTvxw*|!=#Vf=OTlI=$vDTNub0X5`EOefZAF(F3jZG&pD3@9X1F{h@89;uezpQ&)7TxdGLvkxTdxVrp@!SgfgrEBql-Dv*r zvl+6-gj0hWL6)+*kzBv=hjYd{W~N{%*LsR|L-U?4Tq-_!%?f_J=pMQnIfJe3eqT9s zUtU1h{%Rb!v%e`dJMoL*)JxCYI+YCM$QG|~!s~e16X9&PjKM7Nju=#X@(_U@p)cS8 zx;))I;8cpc%J1vgcLnQz*Ug8Xy}fFO)}EJkC)T><9$+a1R=w9ukna#csU9`VdjVJUi2&x9{^v9>YV23><@>}vLkJ&;Zi9X#zBENm~ zzF!S`(WfumbhAA7X7uS7aj)z_nmmv2(_U@f13tRtW;r0vjXY)Gd)+M8Kl-Ep(HK^7 zv;4lG(KnI{fjmW)LnVYYe4~70^AuJ|BIbyXSV&p$0O#{hVIhdcCJOV(r(KUMS)4!| zpYn3E_0P{7oXT_-d!aMIVt!IM79U)Q?++6{Lu^GtXqvHZ1wLDB*yu+jo{ zGY}|lF#o*4`}e(?D9*fm#@5Z3e)G$J`t#bSztCTpAXf(-d0k}(K&km&FUScwVL;g4 zx~FubZB|_{LT%OD&?b6kUghJa^eJ~d@^WYD;#@q3Cf!ONNlY9P9Xb_k1Z@XfIOz|x z06-sMRVhBBy}1MJb?ohn!Fa>dZ3A@od{}6g0vtOC7JE9(+iD_TFyd42#HacoFP5vg zd;oZL^eGt_m|kar=!^}GaV5jwqmbHj$~UJ%WkK5-~{S`3luTi zc9>)FhFYhlkj7YroG?%uTcb?-uKk~{SZK-kdgV!up#=?coGk?#!}8Oay`msyfPrP> z4`L2F2lL0vIA@oG!Te$X4GM|b1r6whsQ^RvqF#2B$-)HTIsGpNuU86DjkuWvqdZK; z&*1fyr#RRb+BTLE(+Y-qIFZNUTiWWz<9}>n>tpAnWZ3D)@6-bMhzpd@DrMca^hO|N z9`4Xww!EMv)+W!5Pow;Dap_`!#RjNjKm)OcQfCmWdKBXo{18M$5R1S;ylG4C8wDik z8h-Wt{NLrg?b`SdD2uC5X#z^Zru{B;U zKpzMJo(g`W#Ygs16Xcp|v~rW$<2Dt>!+>)B?HBMM!n(}%VRPmE!;v?+4P$j%UYYFL z*wyD8>wNpNn5Nn-}J7#mRHOY08E^-;)k*9;>@xe^v zcFKy@GBdGm$DuR$sg|jmFAwj-Ls#OQ@;i@iv+_h(9k;or1ImOI>_aPDlmx7UPVz@j z!i-jr53PBqi{lkQ;RNno`K65zxDUG@??X4&j!8L6&>SqX%w$#H3>X_c@>=nk%?lO6fTpCgZ-<1Q7Tms|68GM`P{oCY0um__~t_0}lJzCy`t!Ovsf&3Bz%w1$Q zwwhj9E+PVu)11q}i-7oisWiWks(*PoEdm-48_0qFMm0GdI3 zCY!MTRBNgwV|DVEf?S}qc6qX3kQh7zw=pXLW^iCcU`pb~#3?Gxb|9R5<$$3f6t8`_ z0sR8YAackDP*{Wq()bK|H zd$WS369lHe0ec2C8T$5;>RG9=p^P<^(@#1PoJOluVp08^Fh2#AAnc%ad>x1My%vIN z|0uWA6*wS#&wTTL{3*1^b0d%>{><)#6E%~}HjD{xv40z7vQ%MN&A`41G+wd6OpA4EWw!Um9*9PzLVXr2z zS?tYZ6p%+S`qo>s7@-65PdHybTG}>IGq(dNwz=I3rZoBxESu;V7JQqcCY2o}p9dV# zKS7H6TZ>r&r9xJ>fczrgi7e|YU+jCV3fkc|lQU#bwG@~7wxJgjcYym;;000Ox;0Zu z@E32o1^8&bxxGJe6KAnW3}wNOXM+IFThPQeaJz-N8A&{{NMaFho2#%BGX`j{{n&uvr{E`ZGn(C18Ct<{^zs-QBUwDQa;^gtQNp-4(dMP zKw|D80|{l&OlZwWWeE(7nx0ly-uZSE%)Dq_Y8Hp>q3CdM(#4r{P65pc`RF){7BQrG z3Xc~zSH{!Lz6IgraLAt(9DvUR+r^i$8y7Z$C|yXHlLIHs;3z{au3f&`ycNiKmc^rZ zFmjp;%9@LM(}xrmy;)$>u`0dEpjQPwn7K}W#dFd^(^2AEIf?ILtl%e0;R01K)ChNc zsNK#<8Hxo`%jb4a;Oxe0(m4)b^2ZRw63|az7fMpb3qVuJup_D__%jC zA6PI0i5G9rYthcbI-1IYeAj$Fkh~givSy0lxYrDCdaDe4cXr(jc&+N}ni<%B#919E z)XKBEvyfkQ{+-@D9;>A@>=AcqDsDX!13AB#42%vAyc%p9I-_68Nx~TB;ekuRMDf8a zdE;XF>7U}qU}5qwbLa9Gf;Vzj%22G6t8w&37Okt~D}Qo}i|yeaX^8tFupICW`I{Dx zI6J+|W!9~paSM1As=3Xt<8XOcZ+PwL!RBo1NCtX0;Z$ZH8OWm97r`kzm2LT|b?NJX z6NjH2TF8=*#Bpx+#E0V9)(00hLbFF}zZ^IN+jPWWKKyXljt{SeI!QH)W%1pC)j1RB z{J>We?O@rs1+tEvNe(r_o`+#vURU4zmA4-xtogoDfIpI(l}2>Ax}&_U(nutQyq2!&3k&ZJr;^>;OdMU;$3oh0>*Y_$PO=k~wS-XA$=3VIU9+B;+rOH; zQT}Q-ej{E>f+*sS^TD&a-F+iDx4%OK?Kb?u62OO-fA^N7?>!(+M2K1C1^7@c4ir4h zcx4?aG+pQ5^rFJ=#{y?r#I4O{Xi9A6Y(jFhmlSwQGj{NXTHLLVWdR^mf+raMNe_2s zU#b#Yr6W@wsf96um>98<3`{KQLGkxx1tGTZ8 zuUvY5aZTsPay;*z%GVPdt`cHmuT8(Vc{uT3kEldyE5B6U=0<7cFwmQcGqtFcEGH@P z+XB!4UzvEn08N+Q5B@+Ph`9q|5Zxtd!1 zwe=M}@xKIxI+et$lFH96Q_1MQhb0X6+pX452cgulE_KvXWa65-WIdRH?%=9;7?M#P zzmycbXd;j*S?9|n{0dYL?U^|6EG{3UsRmk^$f@LQkP^&-3W84rN1la_-4&r?OZ@)o zYl*=d&7EBwTGy~H$G$Q2d|a3)-|Y57l5YNpGI*$POB zu^zl+XY@3*!rf~(naPOUm=5M2^JbSP3ZOa=s_I<+$m=dSoo-=j zxn*6(pU#>}$PK{|(hF@b!H##W#*Hc0B4Gq&7fJK*gaVNU44;C^Rp`W#_SYTl2!gHiq5< zG2*<5C!lu7UT5T2e^BUOKOic|gUPzK;uTdX94fftnQA5q|J_9M@S-x8Cdw|s$Xnno zsaK|!iAp9MnTabBPK0s+)sU#|%ePhSiCe3RV_PJmHWMs7QLhgMyOZYHf!&KaW9Hkf zLoInbRJhhUB*&#h#^s@eFz)pv?|cq+>iosx;-iJRm+^rO{nDnj7+K>aUTZ!_#7g3riKk=NtXpQuD^v~@W$Iok zxuB(S20ZXd#6V#vYJCb>)F~ItZ{*hIz->BurjSRJj3J#*^oezogO=qOD<|@(dFXR6 zV38n{5QD4W~gWT^!eElDKu?Omg6>`Nx1^NNw?aaxppZbSu6uKJfHpj9cIHt*hDHt@~TYgJt=6 zDv_VdA8TD|wJ)_6S|&Wkm8}C$&%T%UbLa;vZq-4juwPqvOV%4C6fQ$W3f?MSzWnFB ze7W_T>u-CAMDRA6JEFI>D_S!1#;tfs!F3bg4su`uYrriRq67oYun!B zyu!l7r79F&YoYf8rQjIX2#y8%U<2ejFn%S=^qGKMa1VqJV>G8u-13vzmU!-IXX0G^ zfaec7;lsIpHmc?eNxN|EUw`rZQJee|)Xs~@S6j2)q&X@ca?KV-b$|~PEAR>Qw>pc) zpU#(Ux8DN`DHoN6FOtQ;pnrm7xf~}2jO(=FhB`jDMqawpEi2SwFtj>u!FO1jpEIxJ zeT4mHDtGey+6V6PNp#gLo+$5%taX}KNx&prY~F$*9tw3i@e)YS$^=_4fLhJXi_s9W z{=N~Qr8f%^Wd=kezl~*1phSb~@4V_>e;d9tf~O)nd|eU*5Al zh=ylD%qWD8&87hnTKG9e1cWwOC3JA+q(a=kC{~6c2GO@mfb4!$#CABLbpXi>GeTPM zPvK1(ZTy%%P{bn?TNhllwUJokN4H5@E@h$|qGD;x>C|CS0dp++XJ4V|P*B3#5MV&- z$RMi?JNU-7Ql)G(2xtJ35m z>tTD+)+q z93``ysk9c?j>8dyE*NtE8~TAfa{|5+)Xb6jbVg~hZ?qNF-de1OlISWV=crMQHDf&HW@6xG0PAf zVBM$?84-ZIB4fRrIjaAs6n^MIlNuPyLS~BPoU7dGWW8!|FehTMZJWe()98+(CNH4X z)(j)uw%Sg#4?>5=PeQdHEXd?Z#I$7widZ~mqIm7U`8XIxk2hh5q73e3nx6{|WXA}8 z2UG?=WzOW!&m7@}UJcDbiHaY#i4#nyLm&<{EFiWbH|M`1K$RS1d*Cv8e!d6$dE)82&zv7qLP$!^(c%M&E!lLt|NGU z56|OfZs$5#wg=;EI_`(7tb>ll!Yq=onXScjd#H3Jb|UG%gZ4*%0tB1q=e#{8=tIAw z5CsfB!6;UV3*a{`w2-=~{TX|1Rf`{)<%u&C-Ry0MzqJbUAzM*3))Nlep<$tgZ4w-m z3JR4PBPD@-qtcCu-$5Z`U6-y~ry-zFB;P~U_{wI?69gG=H=Jt%Otok?z3b1b@R$nM zedTC^2&wI7bjd6D88CV)zFS-Ur^}TyWwHk(^myfx7Mv|0*+5#r010v4bS75b?r`m}2lMg;E9P3LTsrIcND;SS(O@R#>w`QWPkY zITbxWpjj~?;uDr5u?M(r;Q0%|IR2s#Voq7sr+)%D&vTpfwSl6=vJ&ht@2x#Zal9Bz zk|EQ`T13jii=~znmx9W<%|c=-1%EZ(2*#Z#N;U!{XG)m0ZwpiPXCtn%_)k4cNq+s@ zh%^V1!q2pdzVvNHaZ-Me+ClS4dxO@cQ8()1F5gyaI$Yj#H^?f%LQFst^(y|p=UgKF z>u212X_~nh-fU{bk*xJt%CrrV$kLMqVH7JpRfb5`2X4GQlb^(*5Q;6!wbm-XhZ%|e zL{~`-M8X46`z&?v3&n+`H^An2dN+&71`4EH!?W@n|4s$fQdLF^64t3Xsfi1-oUazF z^WS>V&Jpsxhx8gMOG`3OLP%+F9zb2WjTIBYb9;vgAom&!&s-2P&E4)su))tTY3SG* zbOyuug>@Ost;o-FvUNq82Si>_e(FgP0$nnfz%nw~^P+H`>q{A`hu_IE0kQcx$S7^k zm7*(+Ssg%bxq~?a-HPc;lV(xZ_92Ih^~OAg(%d9yK6Naw#>1{%S>KoC`PACFVV0R) zqetde14>sypny{ zd#rIK%mj8@ex)A0NK&OGZ7Ne{ zcp5+bsc_1L_0%5s7#wQcp;N9uO_*$4^ak8lHL>gb$~V0A<^~nZ4f`_BZ3vR=kEM+@h&F(4h434Wgvr8q%)bG{yvuJl; zv`^PY>XXiE#tqf#Kr`0u!T0KWuByCU7~ib8${UKx`SlBm&WAz%Wp^1kj#_n28Fk(#%iUv;%KuLcNwJf;R3E*Ou- zsqOMlKJ4ubJMSIzj;&6V^CRY&_a3OKS3Vo8@3=SmdWIno9l7nPY@X;aeX)FhTtB;` z^TJ@hs&IA7RCFe2xdYDz#QzRlO>m4mlce0xAicriV8`{tzhUJV`go%(*~GF9NfNrw z_Ni{1CeBLf!6AE3PAaeS-s`w5xzZK~JgYjbYFA6EBYeYvKTxdx@A!-P*FRMSY#W}g zeUH}UWBA46-bWB2}Fp ztAUIiuT@LyI(#h&vT1WDA(MJ_9^d}hy{hbmvD#Z7{cLR|Iqz+{If>Y?jz1E~upv7+ zQV$?#ov-``5t`P3E9hs6fzBm(Tj9zl>ER^e5?8(fG`zJM9w$E@(vmd|7`nUk1$LYx@Hg{y04o)?=wChRNP7R~rJ#OQO&>V8wtuHfylql_eSTUK z7b&b(T`NVBq^&^)bxBl@HS}QYRH5S8(#l|UCV4O+q5Q-bVa4ypvlDs zg11FUTIOo#*i#yWj27I2MzNYsLr1<7aE$kw?K?ui{duI6i`!#OqbipI@eKQX@I2mp zaL;L7{hErlt(1V$63->CyEReC1lUX0_d~YQ1i+-r8}Xcn)t&!td((|uuG7Im$^k}= zkbBMQcJY{_Y0I{dP%*E&DD%qeI8_tzH;tpb2?3hjX6&%U!P7x2c7O|4-R1>7)8*K3DO)2vWxpr60AX0jBxpgcPsk{VBdhph@nstqDSomk z#G(wqPmjDMhrL{9%;O$FErfZ?wBZGj+|JoJ7nQuz@rn?`%-&-;w@8efXPNfcrseS zB+XB(sY?^gk$3*g+j5wXv`lt;pkC^qegb;Vb8fVOT3 zvo*j}s|;LBkp9+lt`lLh?uo(Qtc_)BD%LvcI7^wG+G#s(*>xM=gQ1|H9u4{ki|vyM zemru%^L5$pR2ykA{Wo7#Ok1Hm~kY7vOiSF z2MYcxF@7Dl)#s^Kv%t#AL}pL9VgIYxKSSGac4P(vRU-t&W5uU={Tr3JgW@XogNgM1 z=B)v~Ck3ADGqB~G^)~`%J;>{yT;4*ezU$#7$l3S!iM{!hzt&Goc02gqZ2@pMyXhx( z6MuWt+YGm}K>_i`Zu*IR*W2v7>G*oiX5ZcGpof_q>ILDVXK%G9K6K+3o(3l>4mgVS z=nT4|G-<@%6mPwQf6a%;$ryWI7HSSn^=BJlO)Faxz@aSpnMQ)`ab2&an4sxL`HUHCqsqi>RH(4 zuU;~r{b#>Y*uVF>^FvO#Jg5B`@FEz@_z@o9OK}`MQVLF}fyX_(OS(}8Oo49|+HV(w z(2Kjo$)N{D3`qb&miHp35%dqoY$%x&5^p)qv&|sA7~We8myJEx{TUq$o^?qiKNVcl z@nCS}kh`Xa%89eHUR}`mzIa0plf)3q8)`6iR0t+{`;BirLlqkxB)x?;$6&%9_hjEI zdVy9m2F1-L@P=aY*CA3)0!BM1>!G#6n(sysP344zpi2*WckA5svymW{2wokfck7dP zq$WvQo7?1GJ(P7G$BKAAZkT3o;p_Ypoxw1 zPB`AhBE}@h*-j16u88nw>{=Sh;Rb}*@meB!{-6`OjnwXcQDe;^D%ag1H*aVavPvz6 zJW^1IX*xZIxI3}jE6(KYgJ5USFeJ?5MK*=sZ@XFU{N15_K+=@ z4+)_wFP1QN3Gj*IOBxFkA*D8|d6Y$`ss3OCrl&g8gMw;I6W_fg9ec-73J9MZ3 zm_1t#d13{tHaNz+^PgIRNquav%q!qPF z*~QYZI_oZtcyp)EE29=lK{Y#7Z}XLZrkzC>y-_4{wkcqZBAsFOTwwd|m26cF+1RXi zH!KoS>tsA@JNR|q@8MFXjq@Q}V`_&u>_*P0Knap;v91Evbi#1(k@#ROiVL;A`l_-9 zLpyzFTt&Y93mNF}rM(R^0w8p;8 zwXfadf6a69dz>Nr7!cPn-Q4~Rn?Tg;W@`bBE2gL-%42FPO6BGErN*}A=+b@eK{v~2 z6=_P*4k!pAAn%^F*VXk^{?q~+e(DQaY2C+;E-VZy-RJq>_O-U-ci;^#xI=yG=RcJ? zbJ?nHzNlZ$7HUmx*A@FEuxi3)R6LxHY0}BimT+zxG1|Xv;35JiFKu%LC-qiTnni)J zS3tk09RfELCh&#g@=9&1g=Z=h1GH*{jW7+I?=0U6qoI>=6&qL(yImG|gN|^K=6EoI zVj|%bx08S&b+kuY9B*+7Np9)DVg`ntYXSkGN7F|X;~?OK0a8bP%B?WKl|8p0Ry?VZ z;UCqoEWc3-MBC)Wl~JUO_&X{Rg<6EOTC9UL2Q%ABOQ*FJ_%;t6rY?-fAt=74U~_sp zq<)MMp2yC~4$}x7o!ZBHdnIVEl$Vj)ltwie3Zy(JR>G1L4hI3kIj-Nfn+0FFi&P(+ zSc^ZcmPH0v8l)`cU*waXmxLP9u3)8SuFB;weQL+oet!>(a}Q~^Y2Q<982q6+nShJF zy%U+m1Sgd?%ml7ApzT!gl#{Mcly}f9=keX{cstISHEJ-K1F4uPB>}2B1=p6Ch&*ud z)E?^dxStX=LX#&zOfu93@rA#C%V|nLTTPu^B@d#|E_EIxgL$BW@d}Uo)Os^Y?dF;r zRGnVPHEc64eR(j-HIs>Nkl?}?JmsXcjF*gRIhLwgpYPB*hw)CM9=`t2H;`VE+E512 zQpqVb0o~{b)kkOKEM>Z2+K#uuKnNm;8YKOf%ERN%BF!)i-{hd(+(zpix`u%Xvk4uA zHB)Lz4-6H!iIFbPhd&LL-*Ly?!M`ORIjz;_B6%-P!GZt^?jM2+rt!-qZ*?25d5h_1YJ z&t8{^&#-dQ9lxPnuNJ+knO}$O3iC%0RP;hkd#@?jPLJNhTdgl_>{jTCJG3#ic8y$c zVY=$>R(go^m_uhHm(r^Gw`St&vt>Zyhr+`WSg!}ujsJm>UiK;6hiZMgw(5p;RokK4?S|W^@#SoJ;oYBhs>KkiL@AQpb=>TsHdgb{yy;xg zz*Wvk*A0f&c>7V_6su?fxETg7AMWB2!O@P$Wh7%E9649fm6)HEZT0#2c|wDtBaoBJ zYJIyjU}bj?8ArHSkhm3k^fcmf8m*jr<*Ut(QE3g;$flnB4cz>E^S3h3M>js)UMaBy zWfsr4ce)qD;nEW$uXJZVvrFG4kl3!q?#52<*qPhSqUrp6ZB;*rrF~cYSv@@cq*aZ; zEl9W4eDz$($^Yd)(j?3agMka0u!3w+%_sZvVE0=6Uj)+!&WAI>kQ8|#W~t0n>D|nX&rxyw7!?n2XGeDH z>YsX5oOJnYfhpq|#ry_m=9s_rt2u znRS0y>Gf-B1d2O?0N%Y@Rc4>Qowei6Pn2}t`F2ggqr}fbaqRiLGLO03nfB;gu99{t0npr#&IK9rV@$RbVee@mm4pfhPz3|Pk zw%x0a0;21u=6yVn=-NL1GV$D!M26BOA)r{j_FTOF@cpM@3A7tH;&wBi0Wj@k_t3`i zQc1us<$uDvz3p^R5SmU9U_{2muEhgaN=+8fy}&2X6knMY;#NbP`}ib5BJH4d zxWfV1B2&OTVbJ&{Q+^M-O6BI10=B!!tQ)Qhn`=q^i4U^}<`21b`$w)Tbs`Mdp0xd* zb3y;?Z|_CEeex$LV)nQ1wXC%ns^X44ff>+?0#EHoW!pm42R z@z-!!b?ULpt${#`R&%W+s4~ba9UDNq{p_Pz|C)+~YkL&mFBG?fyATgI$6-T{eGQoi z%e>CV5FO)Y(TzCXz3}coV6To+=$?R%UVghxglEgdv;Tc*&fI<~hIF^v`h>@xpdHqd zJpn^s?n25=u{_!-`u53~iFgx*&X2uqjeZAvQa5{Ua{zEamIQ|~oY&kOeT#mKKntj& z$s#1PeR4q{Q&bGD8e32bWZdEE8&B=p@cf3iRLZjHbH1Jq?$J_~t2nPjM^cbt6aH)1!F`nX|qX zm%3^j4RdI);IHi<)g4L-D`l3~(qEdn?Pw8qfw_#dQ)IO=D?on*%h#JZ-%{J4f}m|k zq^RJU;s}Snt1m_5l7|M4mehDCB;Y)bemN#um7IifPY)zyHfmu=%T1Vz6_z6X=YRIC zY!~``^takB^m_rcoBL}1jXP2Nz@DT3zkbN~ZxhPz2hZIH=1mg)wmD5#p?Qy_-?+XZ|`05Df$QfwXKU4Ki*WaHSSr*!$QUw%igcmHE= z&BE3h^*tA$t@d8RKbDxjV%_$PTFd&*!^N(5rs=#Bdnxxp5Qvb}l``o8AM6sl}q`F$1A9>tEUHW=c`NhzO@BwUjo z!f0XIg5{&|AYT*gGOIrX&A=L6>T_|A$ee*pI}hilfoF8u2Q@scQ+q`XY6rQ!QXXWR z`{eOdpHh|@#6>1wa!i6v5i|GzF`yH}PmGVP*T!Jfbo@<@lYkX}l4g!kpnyG6M*op^ZpC4u5C(qvM5-}V*Om)}Q8GJKV5ea40?p?98E z(3}meN8Q0S;)`7*%sv(!`k^#tn;1C6Z?PMIokC-49ESup8;Efb;MDn+dOfqjq|#MY zUw8E!s{W0IoxY(=#A+eOhQ427`iSQuF;mH(pRt%t_LjV1#2CrS#In&1I5KOt8zl_U z!XUJyNh<5JMx|u>7TZlXG~Ej`i`h-<=M><<#lSkGN5IQ0E4HjrKe+zICCDRk#R!}2Cu6%rTsn} z8@xJ(o^j~OKB0hFQ{gB|2BOv96krKAVt)ivGSr071*?)%e+7taP_4Q98sSskEsI!C zZlxQ?;c4s_yOQ8j2TJu&1kHy(QLYS=VC?QmGg1e}{5@xW9~)3-N#vW0<)HHZV07pc z?qKHZtq$gW3abUaPIX)9*zwoUXu+twhUZn0^&XSZnj2~DYi-~Xul9_xM$^nb)JH~S zj`jf%q;L7kd-|H#(PG_N09Pz#^)^Fwqk~G@_u<(9iOGV2@k*}%y=shKEWE&X_y%a9 zh1=N48YqS@O;k`@PT}53k4dqxAeBlTzwn)PCmPD^{ztO@jD%3Q@-QY~Cu29WO*)m{ z$(cFYYwoa3et{rK?Mh)6RIM51Y*Z5-t|&9@W4P&2kR*B?B#{Db4}8$FRuoI(E-rTT zqSPxr9jw67AN|GKWPsCol5i-I$qcut-W3)#NWh^%l;ozwE2(|lnJQaKV$qw%`?LZ? zka;)F4D234bZ*}O;AMXFRw|6{ZG7YP=}!Pg=HTvg#>j7Cdw8x7kNP|)rTT7DShaU& zigch73TEaIg=Wx=Ti`C*!uSCNl$Ab=XUDJEv?OyzoR7RD2qf|b$ z&;eA=xB1^R*OxZgj?_p)MJ?cscllgVz1w)6;*er}L$0aYRX1x>r~vp#BDvD<>rs^l z$l3@HKBwhTy;|@cZoZb^OJ}h@L5*>d;yf>zp^)J=u58X6SS9uJBcZ5~G9grt4LSej zG~@Yf!$Cu+JtZQ4QD@e~^DXU@iddh1=WTQiZ{a;1d~;sP0JZO3N}=-yTD{uz2(ipg`YQb<{Gk!yK1bAyj?jqt zBa^~}4=6;6O?w1_#~W7pu0MHuE;Nz)f#SJ1jaf2$p#-(1Zd(^~0YT)X8ps%6Jj3r% zS*l*|edExIOkp7FJX~N_1ebjEoexyEo%TqE+g5DK!R8G6yqBh1mG`$QB=B>|OOPa! z5&f2L;zxP+-ZGBoyiHyVcEyv@%m6e}=@E1*ZI}rIPuDlKZg?bg(+Xw-)P|UTO*2iy zE_Gv86{}F0Hhs(j@RuXgA}fTWvYG6^cMi%aUXWjp6Z8lmWp*_Ky9 z&zS^Lgnv{iw>Qd-@%BR0!~&hvDspQ0T555kosqVYYC7R4(=6kt4P#L) z^7D`d!lO<#N2&(JnZs%d=_AXYY2RqLq8eD_9@jYGH_NwGNkJph#-#JcMBq`a$aCrU z-k;@F$^{UsNMNgo1^xL^7ex}fDfYqM`%I~Sy>y?q zkz;)(=SOQ1Nxz%~M22)q`=`|46?b*S!ud!Qs$Um`q<-N{bVIL?u>piWzQ6fDfaO$e zF85Zj&XxF!VS8S5V^Wl{f#z5v-ymxmNXl`b6Vh^t`B`TU-d}pHWU!d=XW~-*EQiPT z=OA1>jjbS@Tmw_T`<`vMkyK^*wMvq6++|vUL}O9a=DPTKt(Kw{Jc`!#v*i;mPc`&# z0SriR21o#gAZX2)(29JNYxscG0Y3P^`36rHvW=0UXusuad!+$8kjRp0vLQ1N01W+* zuHobb3b3Lr{8Ieu@9GfSS7ek`#2>0tu%r?RSOFfU0?^S05}ekvnP4F3BSRV|ACfny_8#4X7#U#nDP!&s<-05V$}ui`V+qsfC2M1gYj zwhm}$G^3F|J&L^9JB+z~y4nU>637CdbjYlvAhaY%T7&c)uY&#bS`6c4eLfvL#uqs# zkEUW=Ti4LyUAM4)Exrz^>!PMnXx229|E3UZiXLCD>*R(N2*< zIp~1YRnk%m@q`paaQ4n1Bq2V(zVnA~u?YX{aVfM{A*juw*Ei!pwf^2pw|-qQdpU9_6- ze+g%Q_l3H}gHR0V!|!=DNkFmny4L;Cl*;l#m9oq@Isq!Cs7D?Ksc)0(KaBX$tj%XA z8cfF~tb&V>$ytFuV3lE0xTAbw2P>mckqO>H0GTX1s{}V___&OM4~SxdF4<&;g*2m76FK=D(=}Am+_R#>rEHZ| zf9i;|ay1Bvj8@AR(jjHioZ7g((>#D3eE;jaq$qft?e;7~f&4{=_ z!c8O2LTc7J@>b7O(&U z0LU=bQG43PS8*|Q8d86<=VL~a!AhP_$G~8r)kteSb$1Bejt+*xAvR>2Wow?};ZqW3 znL=k2$}>R)&X=Nlvp#_Wz~O+i*sBcDSbzlHm+>-T=eB#g=$x)~&mDghY1{bDikK`A zUHdFvu7dYCb?guNgr+O4nI;%fe1n7bf+o>LDZLBvK~bkB}7rg|t5$VVVoEiYO{UV;0lr{k}>=#*6%uRtOg!*stntI)?0#AFwKBE*#m3N4*Dw+&G(|ZS>4b>7_QySgnc58onaz|TuZD$p znHyPtOv)?YF)5AXnT91vhOi7Jt{1vtIbcg{$fNF4G*Mo#IxohtaX>L!QV0kCX)HCD zR#Q#iW_yTH<bdb=o}4vIICe8S?HcZHws+vx<#K@5w*-O6EABD<+kYl4nXa;Gs1I zN#&$ZCBYivLUsmwk>3^~9R@Vuc-QhB44vXQW7 z=}CFP{sQFk&uCls3hGfhgWHf)pmp@%Q-5|V8X(iW>otqm;c_Ewm%1V(h*~aE<`x;{ z6i05pM2u5G+78uHM{^4e77h$SRfW({n69#~{crykb)oqPov_h!K%+yXRy>E=%Or;6 z?FnxNI|3Sd+1jUD1mvR?h#ka%61h4mzqjguAVRdSxC{#!9vpsf<`yfBLTW96q%KLv zigK|xmkB1CZi+~7{O3aER9_}m+(fyOS~C+-s5GY6xYXvbyil^`#a;5wQP&&woomlL zMFXHc-C}^}@#ZqkB4br5F0wjLSLvf=NX~71lb&ZF_t8?EPo?^ybeU@7bRp$)09-@E zH1ZP@o7?25`&fDVlOpEOBB^D6cWYRRh1YJAcy$!-Tk1eJa5d8~J+Be|R)hPcU~11m zli@ABBQ%5^r72vV3dOD;@>rS1 zdL1J?2x!j_Arp0$B3G2~gbT%iLB@UC=NA9%hb@ZXWdcJA)L|P z8B~OHRf%GzH)O=o zvzRJP>RGp!+B-(^aO&3j2A>w7dx`bcHCIc0%tGQD@O5_ zY%>wUIU>j4l4@qo5@JV{8l%!TU^a-KhTlHRw81pZI8}Y#=qN+#19!fq+Cz|5RBlp| zu=aMwl-)7;tE&>4d+&?Q3|O^s6*6gUN0_~CT+!)Kzkc9vMQH#MUyu<_-u$?ET@NTh zH3C2ZACdEKK7i}qgh}3cWB0#F34SAJY(=w{jrlB_Rz79={AeLR8Fz{+`o4GOd)LRl zh66pA$2n45V{wuUnH$D-*cCP|?7j(QxJR*HYvaYqbC}K-ytaTIXo_3*{tlbXFs=Hy z4lcMja%{Quc>aY^CkH-}tFXPblpb1RU+y6z+kgqaklTCLdlf4L1>BD@d>NY=>fHzh+<_Lnc z0^q^WBfd>+VhuWS6Wb{vmqb68gJdh0F&9?*tZLg1KmY42nDuBkCHrS$jmjpt1k^*e zUXuv?!LrA~7L&Z*MqGJ}sug^B;T}!^F9E?x!ElysjaFr70ThWD-NPJ!gb-UR`=veN zx6yM5@omtAl$%#Ztn;6EhhN+>^|4nO?0{^oB9K7HL0SQtAra~+`)^63B;~!}96|wM z2#SVlxr+ih$~(eyMfWg~-rYPv@V6aUnmm(AW6fLPT_1lNSjyvGQ8#<88`Li6*#gA$ zfE}(GgUa=`unH|-8!|NU}QhHNG^ckUts5r<-U56ip)ca}cOqb_IML1>)1F7AF!{C7} zeh`qX(NiCgq$ywla7V9_jy1;e3Upx}6S7hn#um>-BwFF8pSTs$R&DY?U_Paipr0s` zo5Y|pf|?LyDol_%goSYr?8972$D)g|j3>QZHGN!5cS!qr8XU30*|5g~+#+}N$t=#P zF-MBN^B3QmOBeINrf~qhPsY*{K2c?s^_mg-kmo$xfe(-w=MWnc+5#VhI2(lx&tu~L<6G> zio$$FS6}-FpYwZLO*2ooq(LMl_j&kaS369q&}Wcm18m&%LFppt04zfeSh@)t26sL@fGUeLwBORCt;SnS#X z=LFRoT~V!H{U3n}mbH?h&e9SpFDb>+8g_2m&KdH4jakHZnooyIeOQVzcF>q1kr??{ zq(V5~mSNO~4$2&+IU=GFRR!tlE5}##K|r|%)m*2L*lxr>yc?LNM_NB((Y=HY4`A$I zYLdl=6;ggN{85L)0OgaF`%x5k-yY?`U^;wr(a>okrW}fm)Csk{y2gm5e7aVi-2-vB zz&O@Z|J6IJ3Ts2;!Ju*&SWBqCkf+b>n9@1a$`m4!F6bE`0kL&rY``5B`nj8yLQY|?f|55V&3C@?d&EIlX^C}q1S9hw z0dGaRm|s#?-?a^Do>)oNYgvh87k>LHW1oupNDVlYef~0h{DDzY*bk2Z1H9FMb20_l zg^>|vEQB#pP)Ut@`-``-@t84!!&kaAxK(VcQWzC0UG{4@)!#+M#Uwh70ij*Igp7x2 zw=*=Tk|#@g$ZC5^e{QO-`G}umb1du9*Cmm`UJrc1~L9>f+NA-vO1gV4r;VZa>%OJh2 z>2@%po_G)atIyratbmQUPmEsUM@5k`AYcZ4LT7Aw;G{Sn8Xm^vw8gIsUFb-1nyAY& zMb@zPFDvMogg2jn+Uzs+nSG&{kt_u@`0XWcrA) zBmAbNSYAH-${W&=F14)&HIpN~gD{!Z>KR(8^UcXynPGu1Wui5lma8dB27+*&Sc1@v zu9sqZPnB|DNXs*nTf9xCV8h6el>zBTUy8x3 zqZA-bj3SO{pR_Z`aa)H>v&*gRs6Rojj@A)0#k_t2JAV?L(|h(XIaDZ+1nupxU$ckD4{?u|OSUA7IYpY=Yp7g` zaOKUXS%NhfifVQLxZUD^!7TUOa*wnu{8>_P6}LLa3SHozD_NUtcKA%jI^rY*fCz!jL7vgpf{ zS#hg$*byuUKQzks{2On)U!KH`(1~UWjrh+1z$wdbgtA3x>LBR)&oNV*FzgQ{)BJHY z*G8=HKi5mn5>tY)+ETpKz)&1ejj@HYTLV$*?eL$;ZqEIuZ^#f62?OP983giTei9zO z$)SjDrW~HwyG=Aqp%S1EpCm})n@p)P2S`ILzs>4^6yP$Q(@+@oPP3f{E-OQZBhYE| z|5U!7^qA~<2dp6fz3gh+R;R;!v>$NVGhzF6^QA0g$CLK)#W?myXCmnHy6tm60vJSOrS#DYmyN7sMo zFt$pUxx#C8N7((Rmk%lT>21gd3zzi{#?M2L zv8vTP`}HxRj9wlI+5YyN+cveV&%*stoFdVLR#k(VC{dfx_5B(}a7fS=pBYY&bjT?< z5C3g_=l@DBe_U>Gd$qq8ESWO~{k`|sLo)V4N%Ha)NAKx@DLo+N2j4Jb-S5Ay2XFVD zG1l{ykd<-Z5tiOZdbrA)K*{%izVpV!6)V4nHzLe`-Sa*fUM*esn7;ApI2n_ir8=_Q zc@dk~)Gv&lW%s-bqhIjsu?yjqu7D0DN%c*fO}xOF#=T;jZ^10@=`#6=w@95Gzk04E zngrcTT;7MFTaoyt1+jm}i(oQX#TPstz9L375@LVdwaej(-sqtxgMuIk%yLc~36Adv zJ*in^H-6{mQu}2lR#7xctl*2CSrP<+ci9sQ1m$cgz1kKagsdp+6C&2DcG;?Mf2SA{ zs?%NDVLF&x>6*@0(9Y^N*dyFJ{#R}rl-o17djNxoMry{uWPC7zV2MLyfCg;e739%( zzWz4ryhm&1#>BSubL`#UE@ zgor(F*eQ=%a8(ahfJ&IyfFOc3;LH%({wg(=)%X&I?pRb@*)i1xM@X@Y>rhV;GSQfv z>^fp@;(LR=-{Ud{l14D`Y{VjL1j5rJ3!L-{?|k&rJJLiD_+%#L1ld?Dyfs#tnVs6n4Y2|3o_QGzY- z-Sx%Aq?ee6i-11u`i%s|hXxrV`~rqrq~Z`pnrNhoY=B8ygs2gxc*DCqgpLSJm_?{a zvr_yycs_L>+k)d{j-yP8Z4#}GxkT`iP&jdoXJd_j^M?we%%P?5gg5CS9YamrEf!g! zRm>gYzTrDeMdQF8TjZw|sbD`CrX!}e%^#2LaTEU8=pGCVWYzBasw2Z>>w(E?1_kE>zNgQNsJ!QNUcB@9R)8)g!(xvFsaAQ(s}uz?8Zc?b!- zLB*N|LavlfF3`l%2EqemLlpXkz&wB+XjZ#psAK?X1PIxre)t_-{Z;J2+z3myKwR>) z)OtFW5wpCx%zR>SzAEbFPk8=S6y5r!pf1LQEOnk-7-h@8&|{N0&T2r9M%&h&N!j72 zJjxN0Y>;c!*{TXH$Fk8)L@|j&VogU(hI|K@X;|0tpPi!ybOCKIhw=_UW4VH+fU-b$ zR1%=1nq<|cc11)qu#N#uf*tl^`D&__8*z`J^)BH@$OPdMiw*|0LGZo_Q1P%iW_w&x zo}2wIE-8`+`(}2b_^)$G5eka#-22Cu-tWKhO|JXD*?adWIj;M@v#Mr9wI$h_E{x&g zNQSEJG>3)Z3ur1#8+Od@o+dS-pb((wn29$gFw#-zM@&h69v}Ots=G#-F-F2ej6`R> z=3od}jIvsikakVS4+4-Z(;L~OWM?BQj!8n=wd2@Ui&kR!k#IlXU-hE~RL;rC=AWFi zg+Wzy-MaVpe%xQ(?m_}23VE)~h0n-ImPq=~(-zKNd;Z^=c&1~ixVC#kXQ~_Tm9Bo& zxwO38UVIaiGJmDMO0`8B93||2ay$hrPEi}cg_-)?)t|xm7pn@ixPMWT8 z(FN9-kctE=uHQ|WC^DI3ybOirNn`H1N}Z$hjZChXhu}7HzG%*4a;hNIp5nEni%Esi zN~4+l!98)#$}N7-0Qml~dVD&?vs@x+C0mSH2kUJu0va7>z!tXa3c8ZBQ2z+{X$5zx z!4zSOY#(M_Pd$Ps&@8>@;bme8e1|!n4<{482tkd231p7*$2-JD|NL*fjBnHM>T*Dm zM{8+E#;=g&o~>732%af?CUQ+n^_lmvQY6Tox85hd^@EEbqUTP~&3qY?%lvkb=5Z3N zKqlXyU`FEbqC5@O6rEInUsY!M+wdQH;Tx}Z(B|PVL5$~cn%vJ7lti#^TqQf|wb%CB zd;vyJ*Lw%}RU#5_ecQm(??jV+OOCk8>uu&i0*=dpimPorQSapTn< ztHF81cs3~xq?-tn=t(msz^mL6*I5dj2rInK9C;ZGsb)8W7R89K#*%`na2-m3}B+&92N)={vPa~pDx+r(l%&yLK} zYO;)?jb~^;<40RJ_^h7|_V|X*@RpBa^!kxD`TCri1?qD>^YW-&_?5kIUY>7t zA4vdTqzr%x^r3>fldf>5jShmCb9P!3sc_4q=z^+DpLHX0KXbFIy{(I@YZo7V@tahE z_Y!^Wrq@GsbmzlLH}H-n4aU_g&Cp^hO;OudIbbg6a1USv$?-FpSOA@Yc*%9I@x4yQ zZFJ-V8y?Rtm8Pgt+(wRRH9xPqPV%h4`C z4i_4X-;vsT`ESC?B+WaVKi|_8fdHqyPCwiuQ;278`t_^oZ2)z=UK>Dd1Ehx_{M>&s z=jVKnqwqcjl5^i6PC`!7@bGz(aRv?yUhv1mdY(x4?<)58f;PXGB*c1MVb_ZG zif315@4xdKg~xxFZTQ_s;Q{Xl@QU09ypV7ZOfI51cCnoYps5D70=u#kX0F`EjdWHn zib|DA)@X2{)AQc&07uX+=8_=s+HT&b@>0N7#_gb)?ONT@-Ocs@y0XfPH!(}8tVajD zPWM-?-`%a13)jvqS0kZraiktaQS+d0dvL82->CxFl6{+e~%}%<~xqm@k8~_89;b% z21G{5zKtYSN5G{DA;Jm+THL0c~tD7*v-*yT3wlb!XF(Pel(wuPh%5xqX_We|DT z&`gBOo^HnNc-~`c-^fi|m}OUP&}ds{bQB~u?R)&!SZJE|4LQQeHCe8o;GQ_ZA*eUbQqQ*daW!8p zb6;?U6b480(C7F)eO;ObeSSzq&DwDJ8UX~_Tw@IV;CfpKgH(w=N47+eX9;prF&oOd z4S)F!??_%)>s;-+YrVJK{;uBdy2k7qHj0&>*m|m1scq)w+C)Frc*ws`JR4`th)J&1Vyu9vb5CtWlqQS#Vsxr*_kN&z z!`fQf=o+@4+W*zJ-+?~x45qKDkH?fmj|rtQ+OlAoS&4JZ8~7FylZf&%4a(yC=$Uh| zbJDlTw%W~RSG(PepLER5^}2Tbx!=2rW6J6rr+$vYw)W#bsg-%0o+DBnq8{WZO-&l9 zx3H|8Rp_9=J#O5f#oKCykk~JYy0Q-=m63?VAt26P+%>#TXy=AkE1pYLITMA za=dXP*IC1(KG_5ZHE;I-7DLf$Ry5A_#=vg<-j~xT{8StQSB)@5lEu7&uxw}uoY6W4 zCx!&%q3rBze4XQJce@Aw?tnDjMAn$SqonP*zj_so2#J+F;FwbUk_aZ40^De`W>Lpo zQ~I(%lygxWmGCM6#mm(@iW1%|Z))oT)!P5yaUC2rTROrO^kB+PQ4Gnz(+04r=mZT7 z3e-pHRoxi93xQdqIM>_m37)kMU-Qj6Qu@@u6r>zrgGpCDYfSsgH0CbxnTA0i#YG1y zqOr1#bOc=y#MRZZ>CrFhr=X<`^i#(`p=-32+?19BiHFEe_mrC?i==s&VxVBnxJAd+ zRjG(V4D0A!r53SW{N$3k7(h>KB zo@@OfgIBsrlw5(?qKe@sRB}md!Nmf$+J(`8Q8c7CoQXo9jc7di{Wbtp$`Jc(lL2Kb zlHm?8OZ#DX!;zglyc#5TjunrgJ654f4Aq~gD@L>()U$99mGmfTWyrEJBxoBz6EcGe z_!MBNYFwiiXwo*NT`(chyi7NPPI)_BYlwu=?o zXK#4zB~FAYoIxWsNe!f6qFO7xk6eDHh9P9&_5P#p`k z7o!~D5O@WBI17!s7mcmp0+x~nl8;dXY)Xn(D&3p$w^0K zwG)!~3}*ItW_r8&$rX>}k8I5Sm&4t9{Z*VOn3~>T6*h3$h)tUxkXF0CBqOgofrf61 zbMSa~kg?=o1dSjd-5%TSozJRwhN2-L^+Tpp-LXsoSS7d}(WcW0K)7mT*=~$tNnDqF ztBc-A*T%s9y#;M(GXVezF-&^FK`50%^cl5cUWySYo1E?y$|5HO9J0I7u^jBV2UyVz zO>}}7VO;WM1WK`yixL&I>^OaxU?Go*bfp(k@ydo$lx~kKQjvP>YrpkcZo(wY%Q~5# zG_QicRAq#PK%pvAs_91o90ie_D}6Miz~O?7I>1f+?L+@r?g)Meie$nOsJdnwh5$f- zpd7HYqT{hTV2ipcZ#C{wc7uuX@w1qh#5N}I_kWLOxml^QcwS~0+Pf%b`kth1b z zx(j5a!PRsIFg)eWiuA`Q)y6!6!z=3)4+$G1VDNF0Ck_=v(Y zUb&60!-Q?FNT9G+npJ_%O#(vLw39JOkN))JcK~;zg%XU%Esv#)j4UZ&l{Rk3j6)+^ z=JW9Ne{9_hYm|06*pyx6xC9b&6ebO+qGYpcv$4DQ_)-ePyWdGOGawmGg)4l(sb1l` z6{VC7$OoIPR!3&G&TK{h$5)3xq}YM_j6{nZ#ZII=#R=;LESxUA`jp2=Up(KzJ8OrU zPdhOx69&9FUXPe;^V+UKedfE&&B7xziB8zSWHUi>ZHh*lIbTpTM@f z#Y&42_ul!d8bit-2u0~*MTJL^zjSP(5ke*~5tMX_v_?=TmpLKyH)a)pnT266?5uUz zq*Nq#QYH%$0ctzm%!qqTk>rw@}$)=vc)<0VOt$z`QOgZ;A2$7Fl-( z2VI0*yx>k{Fw3Q+GI#)4Q)MdyFJVKIN`w}dy!*~axd*={r4wU7eTtnWF%Zag^8#Q# zZA8Zr5P7t5om(ovR9?~ikz9~}P&HQc7r*Ztbelpfa~Fn&h>Eu1()%Dl$ke~enD_yd zR{zSwZd27P5>Y7tYd7^b-}8SNGzJSwa0Cnp9c@M{1eqQ6E9@%EEM~ouFA^Si(Qy^( zld;m8i4k zlfNlGnrl=h4&QcRDQ%X^7R+4?&g?VymRMY2Ul4|@n20F!PMr2(eshRT8gGhdpUNGy zf;4jCB<5HZi!~5)wSex55a`)l0hLEwM8C0e-1=z|WdR4R#&f{TsWX(c%pJicsoWF| z3z>v>{EWm^ZBn?^Y*98WGge$0$?L_pTd5B-ApmGXWBNZHRqjr#GwWl=$P*X`zMB}nX;4#=RO$_%M$ze$Doi@b(65y{5zLl60n%hNg;^mXoqBG+pWKD z#Wr=&NZ2M@*`z({Cnf@^EZ$Bzh%Dvi`7;)R;j|ZYDJN2HtLd<|vhm4plYyZSFhpts zOD4cHpk)Xem{XBAX{3+YNC1lPa~CKQAy|h4#xYJNCSU669@MHFMscj-H&lvJG_{$( z;7-v{%@{nS^7yGLAVseNU9gg@XhSK>_Sc=X3`{0$9Ysn->p`KkB(8%{P{H5kumSK( zyV!xq3dl?$3s1#?c`|UVZTPp}#!=dheZdM8uZlh}Xdy=T$wkc0#v{u2mu|as-{b2X z%Pg;}Ck2|Ek0RJJ`^>(id@4}xX1!&wQbnYRGD75JJXmel(I$~RRT}O03^p8O@B6EL*ki&K@z$77Cj7bH^7l_$`EpbSL@j^YTbaUUJ2W&;Q9FmQ7D);fH9 zM@JG0T&xU}|JGnTF^};iMP^fz2IPxtl6_k!oi@-(WJ%+heE?5hp1ln=Jao5?sI3Mx zT#2}oL2)N?^il-$vC69$)&-^Fpf-rx*?6NJ(F8!-HrXiwkA3iWE4vXh%xl0BsH})U z&dcVcRg!^)a&TF8AsyWX3Lc_+<5}D|FTMBQX|kj65zrTC(&DNr0$+YbycB8BI36_v zfIJOZOU$7PjRFIzjy)T7^7~!uHGJSHj<65`BQan~>#AdxO*9)s9nJVBFvSH_S&9V< zCb+1*oLC_-ave?X1ib9`2N!i@ME-%OI(w6@B{o9H^c)_-$4M*qNr5Y(wjoPJ1QzZ} z|CpJVI(hH2HhGj=WE3DVo|s!Af(D6nBM)54GtpXUhpos~a-3XL=TZEGmlm$&n!8;a zb@(gGI->nRq#Juz9n#Zh>|Ps?oORNUn?M2l5Fd8B%THz`rXmV&iBBFEQhxLyy1{k{ z!1X0MDfI-;ZQ~p55U5~2+C&Z=FuKW|Ws6N!%xxhm@zE|{_}d&xB}T;3Ncv6(GmH&@ z8L)_$Vj$hMG8;duEi6VDl!wxd2#hpjQW|~TK=DkoJ8};ad1?CuQR5k0!lg-Dk=3LL zMhi>y2{v2_jGVyI0;|K94|7T+p8iS8yd@>rB^}1>CTJoH8ZZrGAmHK&I1?Zr(I5$W z+K0|cw~1;6BAZ1c$4=zgpD43aEYyJP&YmDt$r^vKYDzIT%jaPB{a{M0VQ3WDe2);l zjo&Kq9)8BEqJV%F+_>1J4-=}y94U%8s2rsV4Zz|97yBsG6b*GQa{;KqpG(_~m+mEn!2r=*W^mnGQY&wND9>I=gljuQ!Ef-p@npaN&p zTtqzHlu#g8ys0^nNe8v-VInbYmeLdSOz~FS1GeQ!y z00|aam*JORtD{r^Rtfn4T&>A=ngH6&T$%t4JJU8A^iZI5FcpIQp?`onHmMJh>_4)9^F}s9nx-)4;Aq5BT+_20C=q1c&ZdDNwD1=`?Db1b^3ad+)a$Vz;YceLP zMT85aREPvrCoP=dlK}@5)s>WSmEgR4|FR}{=1M>jrEpbWQi{lU2Jpa0p5P;Po9pRg zI&H^-6Tq!LXLU@|DRELy?7`1?$8ic$9UZ@Wj=*g!_7YjY6pGt?y+S$k&9z7$;#qv~| zMM&b6aAv;C7%8uh8pVNd(*|mQS2?AW?v%eYD(sj=pq{7;1ahHk{f19Hs2i{_=_UA9 z@f=A_rYRleChMaeTqjGH5lOcuXsD?)c3D9oLy)7^Wp8-(-_wD9j3i$oE7TQjX81s7 z%q!rOnu9JIDCJ6Jk8*DTPC%G(OM<1)yziACgX@~*B5#0AyKxzAnQ@@sg2`>=Mw7yk zkQ0*A1~r##lh*{CG*elF^&6h}ZjK67hzw>hOhvnN9kb9i^QqE6>&8yHTL$_ovlEOP zAz6w*_~x8wUIL5WC}0dn&%huG>+y&tOKEpsBCCqVqK~p81$Nq|Sg5bkR>GZp7jV&& zk@`RI(`rST(Kbe8U+HIQu!W=8h^*ovF*^be#zDWSGthA6mlfm$vh>trR$l*Wx&Z;8 z92R`!{_GMvxEecb3iK@iRH!!RSYilIrw!^gTNXY`l1R*i0KBRN!UY(53T9o6sz^pD@5b1@Vbj9)6%zf`jq0Qzvs(58LFdHJqgtrk;~F zo5#x0$;(vx&~X&!ph?Ly&lkpQ{NPy)Yi?o1(BA0ydP3R-r-%a{P@(f)YS-7N>b z={m5(YETaT)U%r9VH6SvA}y(#AW|v|dpHXlt0;m!QB*~b(5C{)9&sun z7(m@;8(AcLF5~mmwW-NGQ#Wql>$6#Cv)f<%GgTl_XW;}7ltf~fV&-TAu+A8Cw28As z1gP0PKG`>3U4N?WjMKO`{DQjSSR@sA8~|b$?PW9^4_+S87_sY$tqj3vnHf>p_}CA> zf|HS^z*_VSLNZg<@(u+sWZAcEI;NZ}83HJ1 zoGp;}SCth*179Wp9u+8Zbnz@ZIiIoQpZxe})tTvv(5hf&RYP$H!;q^U4`z!W%sAnj zBIvlGJesvAnP-YwUKtinCxizvD;CGg2KJe>j?W^aq7taIQ`-*L%{5Fq=%mXdF&*^) z-?m%I5`dJ8n2w=O0Z6ay6HzuWJgkS#gbr6-+Ho!^F+|7vndC3i)Izj!5;RF0DbzLTDA#fASKMC&gCX% z;TBMF6bevo++YYv@=zuBI=QZs*dCgQD4q$GE&u3$;t1CKi6TT%$!TTi8RKa9inS;S zQbguvPIocPiaTYvv}kEShG&0PN0CfAD>^D@DfNZ(a7GhsQ99ZrC`pEk(t@gqnU1ka zM=!4&NyQs}_&wH9Xo+cd7!3tbaYFfRbsTO4E(js9D>wy@lyf4Baq9VJ_H`F2q(&Ak zQvu?ykSMrnoZU(^X(A3wELGA+;{$}hu@(>i$fPc2=&zhsj(LKNus48V8h{&LRw^ns zVZytw>xQhf6QcZ>mdCD>@Xg-MfHmLIo!S^1W=q)60hzlSEO*Kz@+tA^q^W;tjbkS~ z{11Pi23RY-SE4RS1_DuTfR|>KU#*eI%1why3Bf|HNB_r7I`Rk_!DPgHn;MLS<30N^ zMPxyd2B{Nbnr=u%TZ~1TE>BwUJM`EQ!H_n&tP?T|ViySvkW3f2HoWF3HH~5}PSyrQ zuDYrXk{GPaWg%GTPf0TmAeSn^s$myEET2HYf+Oi%F^2feFKBFpLQDijo+g`?JJ^dTGoj=)0U zR6ME&pfRA*mqjZdneJ?=n3!?ugfafIH9`nutr7R5Wz-Yo7R=E*u!AC1ezcuaFgqB7E_c#7{JMO z=(3rk*0E%>u>!em!!KRKQEUoOJ9-1oDmJ5@w3r~pE^aFSDvVYjGX%lWBFqSipWHQw$ z1rx~IWkeG_3gBHly;tKwLRpfr+3?77Jo7txc4QJV4P?Ly8L-44G7{rWHf7a(jCWmB zYdOaz-$m;HHdcmv|5{Tj?9);bgg{7#%hU0UMod?>DlHpr$j-!vw8ocoMB`L~A$^z9 zaWY z<~<3`N&pZiezd=1gI5?OlZ7-0M+PruM#EcV}Df`oHM&Kjc7>Cr}p~g-ssk;Wp`@exub}9lASS zDmwhZ-!)96o#-b{ZCAG#nxqXoLP3f$-VhgDC@ojGRGN?l;<4mX8H?;`oT;374u2K`b6$)^YTFRl03pChWWQKF!ME*=TK&lP90{v;d3x+4HHOLX*;L zwgA1rzR`@zCRb|KY9R^|<5_FH^{>h&;^q}lTS4XDq^A@DmO`2_7AhyhnmKVZZjg*- z(nBX!aVA&BGvHqpGOT&{DN8FZpKx7jkH3@BPTe<&bnv^OJTOcCe1I=3uXlN za6m~4bn(|6LHp~=1`VqrfJ=KT&@AbPT63aRs;o>Q4oEj)Wp$J0=?RbQ3*z9ZwLW%h zjiV;G<|z6BF(VlF69Zw7(3a&*H~A?=3kBinRjGxHSCHh8SSnNkx&Q3TxJ#IVoaSg2 zsmC2-LJmq23wA}zBpV0AD+*cm=$em+l?yRdAJ3&9I+hVanx$wi%rRmH45D3adc{cOAU{}#iD4_IayHFr4(8JKYgmQ_5SB!a`EkHk4) zuts9FhelT@BL9}zmP|XL=R_bzAHx~46fe|8o03X&0Io^9D#DhD0YXXxBdQw!42GuF z)5!Oa|Hej>kz@Ld$^S_&078vWMsU;1;xlMLpxGR(p7hXQxH@uGc1Xvv{H`?*=e4i| z8!3_yk=*+o**3YG z6I5B+%z{#+Q#SnJ?`R+k45nv<9?&0ml3W;D5qlS-pdt`yuxpnaCQ1X(QmQaSj zX&EDZ$P(~~0eq=SGUgIvZ8Mwgoa6z6d?nUyhz0l(w>p>2#Wrd4~Ep~TXHp_v;e_OG}Eadj-bRY zjD#!#?u|AiB1v%>_!TZ&Gl34VQ0KHY8gc0go~-MLKh$J{L%fSUP-X(CN(}Xov{1+^ zgQ;rITmzN4odliDFT=vtB41_h*_|AIT~(5vmwD?OnWdA=>hUkG6I|! zXFymmK*Qhqq?%2hvJB-+)?m9*lNnVt5hMfi#+z`8<%i@}r@T}%Qa5LV3n*uk-4fP? z9kmKe{FF>Y{%yRCCVR-BOdH47F&Q~LdMN%>e3NdFe}N{Pnvw)TE!j8xh&^ZzP@g`$ zf+aiV9LIy&>_GXuZVdYvn)9oG8y8ZKbe02~LwW2<^xLcL5Nm|gdVID>Z_i!6ekLT zw-cTd3^>92DAi}er}`G!XpQgwj3ufF3>F!l0O2_4DUw7ns7r^W`;#_>E++$KH>Fn1 zWvW0hBBD$A$I<-WFY8gL*lwdj8c?faO1;ZmRlq8WXCz_ZvKqiuHcP$H4*|0*915*@ zc=ZqHh{nlUq{m2X(h&!z>8$>CO#3G-X5->+0HzgI=!UN9bedV9iGaf$YJ2XxOGhg| zD_&uq&|yvNz!-8RLvT^@HExh*@JW8LLaAD1!=~yy+y@d`IFi@v<^@S324W$e3UtLn+rV<-vf)o36lbIWu8X397n7VIL=`xFrMYmf(iz zEouZ39>_saCjRSExuG_=K7b+8@imwrBgmvO=+gAMZm?ulUV`yQD)_n&nzDmX)<*@w z5O7o8$pwKr!y%9=^JGe=oOXfAz3&0~vJ2BjCd*2wAYE+FGHfG6%j z(quzu@=+ExEGwC`NYBsp&~>vYY5K^+{_=ET1;E5KDZsd&_+!iZl!tFuq*q3GY?s^n zyFv<(C^_JS_>ZI%uWYp8@9DSDJmZALHhGyb9EJvx!L0^eTslleO=M*3x#y+UE7end z0EL)^KpXPT-!A8za0no>-l-$Uhgdj4HBsp4Cf@;t3b~Twga^6<)m9w}Vjya&<2Ts1 zp(J*ol=7d_RPqhYvUohbZYHGhQNP`Y!8(*duO1 z5=QIWzX?~BdWgz$jLKfzQvLuq#SN5i#J7)%Z4(bFAmVvNA@KD|CgJHW<~amwCSH-L z;HeTI{kDundGsV>`Oh_)VKdS|#{p7GS)H_D#h{Hsz}u>j#OX3@0&=>kqBe^X*i01u zjrn%(FH0ZbxP>1DBI(oAW*OX4EVK{qfyH=8+$vz@TQ|#qn*Pt&3tsxHeIw7Jcxq{APE)WNm5uWG*36i73274d_&ExZTM54vm-7I&Bg)k za*!~xRCw`kyxH>&2+DMKwLv%7^b-rx+*;dnuPKlAVY(wrfQ>syWO_}}1CB~`jB6*= z#w*XCyZ-BrY*dI4*aG@fCP*q|R!Yz%w_U@H^McXE=iXQudTMKmKX^5<2v$uvBDt{9 zz#k{OMlL^0CgsC_^G9E&+2ZDea`_Xf*!0FL9sRUra(2UaPEN0g3Rd|-Bc^oNx#AlC z`oV5aFev~V+(kB$-%n{u^^#4oLh^&9yA%cklkv)|cU`}7fG3}_T>Q$*=&v-uA_0R} zc!^$vtL4j5)&Jr)`~myI{iq#G#v5bdI-!kGC;d?dhUJR(Qoixs)nm6?ej0xK5383a z=Za-gG|V5ZtM$}ZP0(07Nd^Y^SzZ#!jakOBI#}n=qhHFSb#-`&F zV#>ZFof|10h1l=-NM+C}eef85qhR>O>2(p}aL+{PYN9(GqdR^ccC!&%w%U%$5!fk)O% z1Q?C`x(}){hK7|oYWbKD8V_ooNUDJrR(+gIsk}r=QEi~3l2{-Z_M?iQ=bij60fIY> zE$@IbRI>@$pWLi%@NNvB5JuhtFR}f&A{e#DfXPUeNie5XmPhX_;n1rOk3bWRkYAdN zs9b?m2z{JfQo2;mFqAe`uao;56dlHsnTP(ZrWlwASaGw4n~ub=8d=c$6*9^MQynZ~ zOz*dWCGydU=e^@Kwrtaepu-jTOncgyjZ0L6dFJq^&E)f1Y>b0h?Uq-EC-36~iQ0S) zp(DD<{V;e(k(Z%lyyMNdXZJzXZq8`(fT+o~HLn~`A5QK!g)*0dSAqAqEgfY0<56Qf zW7w(J05W%K<_z1^XMC@Y@W%cz1=A#Alx+=v{gB!;tilZ-cK0$lRK_U*Ftkn-N_K;o z_4K`rcs3T}v<*)@rGr?@z=&0!$_>;F+^!+u8z6P7GaVS;%n{c{Rz$K3h|%SfUoFL~ zkJe>$eSEO-#~;e03}H8kC1bP#M49enzW5LIL#O}s+iohR+@@%ye`PfN*C)#XWlCg* z6>ccK6`R}+I9H*HZ5CX1@y@uxG8|3cO-ZfG$=g&NwQO$pTwh*6MH{Br=tXsS&Ub<1 zGwfxmNjZuK4jk?7;jiARV~lEZyY1{cI~zGRm-ypKD7Xr?3i6E%&H1Ccls({K=`8U~g z4P|9txu{kQl}LggK)w014$po_!`mio^nbir9qmeCYCa%;DoU#xVG3a~;o@(~^FR3$ z?hzsq8?vhoUt(Qq&1fWy*xZgiSG@xJvi@s+1}Cg~oOK$0?7FhE@R3E2h8iC%I!!N* zgGa@;*`3VZG+&9CYfqkrc~5^_tMgQU z-oa)icYwGOwi-tK*d^al1_V}8husVuJIf(7?__HXNJ)tugB_-b9`Cn<4Z807SRz(c^1yRBu+GW+8sm+j23XoNk zm8G z?pwT)O(K4~Jp97H&{3#a)Rqp2fujxO)h4xL8(-D^k|A{$u$x{TooN}7*ByNr{_4s3 zdhf_i&g-st$JO2Kt2er}B|0_QFY}W5+g2mq->6q%)m-}<+O>w*+d)w6(F^#bDQ#{~ zujv)RTzJ=N&Ks|I0b_f%!##z!KgnOlYZ|@e$ho5Ec852xEGn6cf&%!wq)TriWDO2) z{^T89yqK%k2HL0S>5heZSytQcjS!uiZvVk}5vI1p=ibKRPT<~`87RjLc0csE>F1nt%G?X(Q*<^sBV zhl9>s7!-}%>+w3y_AHq3&hMxZhKs!}ud?DbSKR}v!;jvew|fa2nfKCh$1&+tUIiAr zu-@tP1FzB0i^O^$0|VJ4OWx=QDBew`x0!jo+sdak@VaeY)&;sWeeJqCbhEYt35?+q zJ=A0y@1@N6Jib_rFd4Plp%{JWuBxYPxBlT(z1yN%krxF}=Z=S0_t(Nbmy$hqxpr_( zH@K!Z+v)Xs>%5bd4Q%W6*RF+2$HUi#?{qX1JG%4pJ^j5lidOl@J*a2wy4~Q2o9lMz z-|2L2Q)3i87{!S;h&zRYTfMe%`=#y*-RFC~`B`wtU)(X4jL`f4cCeR6j)qA8TZUSl zbb__RCx6z{U-sny@qO{HXS^F0glwh%+IDe_p zy*q3i9yDTnZI?s8@`G6D_=-kIcD36eclTk zxxKT;`aND^+6bc}UG0R;@WQHhYk}0^7VjJO+W4)_K|gq3n5XL()B0RkL%p(~@rE#u z(KWB0*1b-9_L(rqT@rVAn`6>WgA`>@U`gk>z5oaN%^-@lc=__c_qG!YZoGzb1Gc>^4$p-LLhsZn8C?=& zv%`-a<{g{I`uS3{s@IK|2o%NUC6c||kAuhb^5D4#c_TVo>~Hynqs8$ORKSO@Dm#VqH`wQ+;8uDK0 z*k5W{U?pfI0Yma`-6&cB{B{y47`DX!XggU>cyV)<`@@_6rMD0aeCXsa&U0SHobrCx zD7rCPTCJrQ$mV$s@4`+J!>7lhW*Xs8i8rWG=q>d7XA{Cu7|#sc%o3bST=%{_Dw>mg>kHnQ8jsgB`9ZcP+`H&X~ z;}C+w@PdwrBHTOM%vRjmAlvCK1fe^{yBL?!ZsF$(Yu&l@s(U~VoYL)Xa_{f(vek^& zkk;~Tw2QIyI`2)#yS44TlrV|6IX1j-sTM=OF9#6&@ya7fN??I*v>;l}4>n+P9f|YK z%6PHf_v2gUb?PtY=#JqSc|A=&)_!65moH|0-p{SmhyyYgIL4sOAFpl{op;>Rm(oM0GM09 z`IQJ0yz4cOSV3rP*U(nqgf>smy2fAXtE~gVdxNt8AV{w{-jD8Gm?vKG?nPAVSeJ)4 zeztNh0q+6~83J43_1iWT1QlKhKK!#TPFW+Q0eTv2CpfBN;72(uCPp6MMcK6jqP)OQ zKugLS#?y>9vu^}NKKB&wYWL!9Z7;6{4^e#pMw!c#1Svs2Y=dYR*NTIP3@_$}ApOXX z#*6#={q6?F6`;*bV&*mmNHFf74O`(tz^lXOYS>kjZ~45sJGy}F zz0YW7Pnn>!P$Tk6-%=r&mt@b2-buFbG*{jKv#&-U@I?{CmO_ZK<9&oCmlEIrw|s-( z|hQf}Q1oJ{@&>B?vFXPT2nynddsgH`YTj|k1V=qc$1 z@&(aC@66`Cq|qY@3X0gJr+Oo;SnGLlxRIX?52R^M>Nr!Ro4)V>j3;{8ai;s06R5^G z8PT}uvKKDDaXXPk#6cd;)wX$AJg;?!w{QjM2#v&AD3+sa8}csBr9W{3k8~5;c7nrj zA+{fr*6AEk`%Y5>1_E5V`r=1aPtWQpz03in!0@)Pb5UU2#hpPG_h&v)y}jY%Hl+b# zQtW%J(GkNEY4n!<7-f(Esl&hc5!95Su$QKz1+N!*d+*ER5GNkEK=Z`)7sw_mZ-g`Fu@Bpse z15bJ6Jl&^kJA>bPZ*T#WPVE9OdamV;VBUmx`dyLYRJz%%kr|4afFL!%gM){Nia|_g zr0PUEuzT?f6iy1%dlPy2(V&xxb=q>eSrtQb3gTJ1)r3dIF+xj`=6h?*8cwH0=DSeg z=WK{4VPy}_FT-x|zl0Ce9mDJ=zyyNVLMtIKbF;&r|Ft-oc`WTj5kVl|dTXDYY%3Wo z9*jR;;d?x2CjP}9ojA*+_va@*xy^l5#&b zx%B2}Z;Kb-KbUTI(z9VYINo1=JaVVOE#J%!Mw=JuG)PWIVK}#OrnQ+bo{6?jr+E<$ z>gikaa4Y!$QMdaAB|3rvP7n2z>E6BwP2Fc>tu(^!a zSWeCo3C<=>+6RjPk#)h5Il<`#H|Xz#%{%(;tN-fqcAj1CAB^JT{XBc?fK+X7w6PNs zoR^R1?4Hl-eAKl)3;uK5n635m?StPLjqcoIx9{4WPqD%qVPUuw)mpbO$0&!)7?vc+ z-{zoG{%l-_Z`@;7Y%jeyX zMfYsDTrY-ro^7|j;UD_*R;zxYellNq#2xzL*>|o!;`X1b z=gYy$JsXD~aS?Oaeut(#wdeYu+whlnZmzrellfaVmUo(a-8pyNTd9^EEG`s>g6w>2 zpj!ic|8{sN^j~}SAl)y8-N%|&c?$<0xKNM*4KSna`W=mTzTsuw^7#n$AtD@lxSpfw zh2vyB?ccSrzTwVC8tiO6-@cjGiZ6F-hwj?&4*hx5T2F)Z=n=R4=U8#(GsWI^bC0+I z)eP>4pQrb>JK5h;duF4);m}kZ8OPn_3O`2K@jx1Jm5}K9NuAeisQn&VaFkzN$GH96pDsl`f;!C zHl5Ea!QsVvEo|3XBqhQo$k$;IoWqs0$#pV7WJfpPAJ;s_J+e_`E4AgF&`VDa9y*v!4UL@QMA567>B!B-wbDTI5Y#EIT{ph!z8$& zn)l8gv4U2lqC}>=**i32Xz@^N_UR9iI&kySJsXODzPF&)r)REPTXye?YVh#T=_m_| zIJ`#^@l&@Yae>a!4cvT}*qad|)cu7P?h+t4{GB?K3ZxWbR7ipm3Teg|nzG`nr_0}q z%;^M+YYRcH5|9``j0llP?rjAzc44aEp$YK|q8+WSg#|?A%a_RYPEg_h95YK0QXoJ8 zVtm92w?HUlLojTDMR+7g^tosFbH54{B23{O*_dCLAugQi@)-kt2a%{Kv9dPor?y=` z)m^|k)>DSKSU9@1qm6f^HAxR0n3r;CfCc|>4TuVY*^KAf7R;{e!i|Li{b295drl#3 z^>u%CRS{pZ{PI%TKFcJ=fkMkmqYDKYqEE8D9WpnV0h#&MULm#rTtaG?TqGe#qb=XP zw@*kcwnMSfmJb`8>gQ zIjKLm2HM5@iWK)r%G^%<3rJyZm9+aSsWlQ5T1bVm{&B1*Y=5Que;n)l>B?&wH%9O6{9}$wKN0=zEuZMT zb@0C8{`3=_Dz9dC?I zcE0u=jq$apxWDy3mFxW6*5c<~IV!peBY)tNc%`3scI5fY0$BI#ua%BL=4#urIy z-ZEz(Klnfz`^QAwHXM}Pno7EJoCH}Wki$6XDi)&H79=5_Y+OMr_kr(6DT!PVF9c8UkQB3a56eG;%R%_(f%oJJ!m*nWkK_=U-N!13`-0JrSGZ)Cm6E_&R zMVCw5ak8=j13hmu!4+dajvPCh+h7`}VpoXXqRmRf`M1zd&;Wl4j! zgW6K)y!(HPMxXEzqDRcFvU)AbsNwYeLE`ISnD3}NY>C4@a~;IPFs&vApA5*KeCP%` zW7>uhpoFUOa28v62lB;q0&4#%rerVt(*77D;7L!07 zb(U!|!HcQ-F^NOVEgfTS1{Xn-3Xw<(@YP z7F>sLr=aSk2h!+38iuOhsb(67a2bMOl+s2>i2XQEe2S8J&;$fvd{n!fTT22ZTSMxe zpZypkW%>^9QH{Xu&cLxsSutb1d%C5j9YE+(Qf11QD!; z7BXK1DF?=duRCe1koqd+X9K9iwz+i2V(gF0yw;X?TE z!H(=uJB%VuFe0o7-fkyMuPB8s2H6XD->6y{4k)Hq?vY3_l#RRaVZL-6 zMCc_{T1rZ_3E{}Q>DTm0={8JN$0DZcMf4yK$J0|{qhPOTvrr=nfjIFSPN5%MQ=uLd zRMI2E!`Jad0BIT|0xXdeoM=ktpZ$SvG7=e(YG@}-`neVUs1wRkxQl3smeCueNmpXL z+p&HutTG4ZHbnxwBk!RU0zkUp*D}AU6?wXk0J@;&_=VT~CtyW`(?q^vix7}fw{RDu zh08g$jT}6+DzzCq;SNHl*rYjeh{`dj_0j~KJ|=+zRQz*@NgI{Cp+O%>J~MG zLyk@Ik0?HSDqz>MJ7QvEw3fD)`0$tq@;#P)M4BZDMp9t%Iz&64AJ zbjyZ+Al*9H;=u~VxYN<#Vx&?n+PyV1cxyh$7xV5D(N4%?io7|O27~&>2cjlLvsOGf zn{Z>KW3$x^2hZo&Icl_N#Thh{M_sKJB#ZvdFV{Ql;IgGoj5W$ZStetR{n*t9X-9lTq3oghOXHcYafU|Y_sV~#mKgGiwfBAfCrqHzW(D}6QA9^88|4G_C-CAc_ z|Hb5;tLgdXwT#+)dc(i*+@To(p%TH=a(i*P?>CpjAG+Zhq6%&vhRm?>Uo3puvp!C;#K6KlTcy zpG|L@2^%5(=!SF5?cH+8XQ~>@U(Eef*ic9T^N*)NCPv(>>HeYIUv|uUnaoq%Ntw(q zd{#vx(nV(W^>t=$JKWn;VMOz7=IDm1kQ7bJ^aHXczg8omdSbInv9rFfo?X641q2UU z*>egBSg?%5ZwifhZ4qD*i-U18@Ad+c*30O`aCtpedVqpL-c3nd( zf{{qQWA@fhtE{nJbBH%zuSM_8t&Epq(JnfIy-3osp_}2+p@$a>dLeVhAk$)wE@Z?E zDqFCnDV%d0J<>+?Sp7qJ3nj`l47XvYiTDFP3<(2?MX&)5_R@#m^m2^_m6uTuk=mx{ zDKUx&X9YfZ83_cDQA_W`Qy#eVG2kTWg{L(qsD<&pyO0UglB*{Lpb3GeWR^F>}O>UJOfn{Oar z9as$~%;(YD;#8N+p#AyP8n?K;WPr-PVt+m@@;IQt!w}(N(l*N@aKe~VJ73G?j!&)O z;8k4O1`!@rG9@4ja^eA3K%9VY)t`*1gzr81`q{m|`<hPHqW|X)eS*WjtuIr@v62YK%l)sEg`Ks2S?T#7 za1bgxRKX-eM*)WTYS?}IHKhB?=z zHcZ-1Lm2Sfi3$c>VlvMJgGcCmfM3eOIbr_IjKzojq_#ZvptjK8NeC6K2-psf6MEgJ z-K$;PU)XRg`^nFzoekegziy?r<+oVQQp<_W)Hd2=&Ib|a zW8j36!!kJ`6rU_1esySjj!DJFPQ)Oo8-OuR*-IyI47Ny z=eAqP;}JE7kODjlRb0|%WAw*2D)hZ;t(V@UrgHLajK`rxHw+i;^}J22NA$Ens^2Qkn;v zetQLe!@s2#ZYDT2o=oycHOTUVX%1asvCQ*O=mMfp040TLrSdV7$pd0I0$P}rDex$7 zemHmm;nzRUg2l~XtDonmsgv;hbDvX@?-T`{Q?MjwoSf=I!Lwi%(q#3NNr$(&lWZN& z)9_T1Mw>8%C#Gk^r3ZO*;WsG;D9k71C_aU^j8r|QL`ELMGz3XG*cvtC<~*9Bhpy3G zhX-GM{Z2LrdF;D+ywAhI>E`un=^JIpa*kRDvR>CWR;ilSUjr^NB%1 z!7atUc9QMnzAyg)=eT($JAS(s<#7;tC#Xjha~m3mM<-zmHse5+YVep~80TaXSd1ia z3elh+2!gAWSa2c2k!cq9NIc7wO$RRbTjr0L!v#0~vDbbuVoc_ujFuK#r+IGe7nGsG zv|bwoTDHA;kvSsT28Ae6<};By-p2v3nvD66W3@||2CPKE(EQSQF^!l1QYDCkR3l?% znJAyjg0vOC@TIrG6`Y`J*C5aHnDZLHbU!D-1s=KL475^-Ptu)PKJ_`lQ*eV{{%2tR z(DWFg(h*4R9tx&}HF)sVpZqqUqZos5!(HTwbq;GY)=E&Ipp2p^SqKi&?!9T?n)1s` z?M!WR=8#frYO%~Ip;|c+BtW6~iA#4emt1Q_6gkx`608TX@7jEMT+17*iNR&ET8~>n zku^h`h=_J9k{Te3IIwHcZH1c!yju~UA#tFJ#4;c3RM2!YVA&4LNAab3A&t0cRel{` z>ulw!qkk9AT+knV>1EKCol*iOb$vRU9y7J;)Puq0mFU^w^-i(Z8g!E1E?eC*%P+D?W%g zaX@6l8Km2ZmWN?HtYFFrtuhLS8Y@W3|6&Q?5+0au&#E+QDUhtx&TFUbq=tm@hcTM`qsNH|Q zU++e>xeX#4@&sOSf&2qR5tJS-20WFdhnF89=tvEPu;wWo*$`oz_hlET4!EE;J#lsT z^oNOnA4qSB&a+ze{DO05qHA*sE@#q$M>m_FY@JGr{ms^O32R@%YX_STC(8#T(soh~ zT8dKy1|Fvj&a|2?bz1s2lOpJ53tv81NiRj=z=eO*Ki)6)rj$$erGv%A!A_Ero#e^G z33lk3RBNc@&(NQwv&?I+e=8C{ngaL4jN zcqz(nq2RNboL)E;4h}Z+6D%iMe4f1F_#jWfY5&aP$D_OY;id~)EQDe$IctQ9h5pJT zApIn5(QRGq~HLv^dDl5-kwgU56SkB9c$9{wIlG1+hv@1iETN^?da;jYt3Z716oH%Y!fGN8RG* zHu^{1b$br&IqGhzKOVhhW9fYJi^=g~`OMmh`uY=Yr}@cyc5do^)D4dAT&JZbEDCC^ z<=cxVT(n(3!Gkdt6fo^>*lMBw$Yhr?&c`1(xL@&;F!-G<+McW*xJ-PvXM+rQdqT=!P*wsX3*IJDR~ z=T0mhKKiNcn|pWm&b{Fc-+C-b(i4m8!NR-Jd(!QL%PSN-jy$qo)r|vg-@-LPcs;d} z^;dw=<>aW_e~HPk=InLVLLfkhFJGo2lu`&_0TlgEP(MA{XDNhM1O?kS-^kZ@>d1#O zivFukzzQ5ya8xNcge#b91as%8aCB1dwpmu#HljDrcc_J|up*{&$GNw^`yYPe^>uf7 zuoAefyB^uzv(O1b_stv+@U|8pYPxe zw_RuZ_7DB7_;`99g}?QC+e7ys&%mRVWkFLT6#jh?;qKa8&>#}A0|7WQNYC+3Z33~>N-hLC;}z?8 zSh{8^NnJ?=J4y*i{d}~i#(=PE^DP^3qQyp1!h>*>2uLw4LleuAn&)~fmIl*pTmn&j zVFtB4j3V{A?pwdD9roSPM^uKP!gjL15`gMC0#)X^;m^30Anpd)({x83Vb{LPCH!Bk-}A_|?z)2{PV4{RXOgIKO-N0S z>wVe4buKR%mX{1$7&9z44MMw2izq`9=Bd3AobcQzB1}+_X@QSqI(K)^yX{9BvqyTj zHG<_-JoGcu~e;QO=>Gy^eS1ML+&rFK>L}-@Q_KX2fH<)w5(L zr=n9`JxhwHZVgaFN}WVAJ?Hc(S-m$e;txaxV2JupZMn3);mCLj??Nljx-5xW%gLOy zD2f$LO4Q1+z4qCI0MUvh4eWVM;(WCF%oqIkP>~wwn=08_-e#K34B|aL`QBlBU<_Ar z+7v3GE(?faYZTG8!|;6thLm-fa;ny)OnzQj*QqWFlseW@F*OimbfrxuKm!-D8m-pJ zoB#MX{r{z^Y31$fma5+D{=Q4Ebw^x!O>j;Boi4a0xHfsl_3L46$sOf-@!|em?#S{H zPRa1`ZY9T!?c%%=J=uqykdq};4MPQId|qp%Gv9mteD95yyY=1!jT`6r{I;%_c6yz| zVUMOpuk&}WdGXFs8pkM>JdVfKQ8}H`)339|({8lJv!h#vKYqh@KTHe0finn}?&}x1 z%T80p+H?V58REM*HCD{$9(fssiJ7fS%()Ko?3iUFet0T!s$HpJ`RVPNfsPP zTTN!()H8S_NFJ`q6;J6n3n{Lzd2w(^*(kYp6{6O;bomV+(PCGoA zE{ei=%&Q3rI6J z$l4q#9DEt-6lpbKxp|7RLa`^u-fmXW->gSBUv;iCB;+R9mIsDI=mBxNAo8@bJyC+$lMxv(k$k(-WhVQmCa^@9$fiB^|1TzY+?+2$+ubn2qVG?(}x_No)8cHh} zCJ`GXT@X<|)j>nk=-7(4+Fba=XI`Ghmv{oO__;K=rT@J1 zN#nzFdc^PAtCz^%H<{`1CAe^Z7|cZ*EQvopz`1Q*;tMWFDVfQOJiUI<?)7w|O|dKfe?;z1$V8O-GFr&hnVN??M7QMK|<(JJA>Q3KW4gBYrdbOx~a2sbt=1 zBz}h(24=Smaw`wK?YZJ*R2q)*ojktL(N618?G$R?@K~|LT7S6}zUzSOrCwpsu3;lZ zgV|saw)5mR6slBw7!WBuNh}g9?lHRC&c-`7YLKq@tSVZ6^$;6Wh@549>xRTwbb$W2Df8 zVW_tD3-hb@J^JCkKLNTj={@?b_21>4@y7RB!4EFc~n0^|R_7L0eRk!3;OW0D| zF|*>Z?rx1?Fd~)&B+e4BB-r4v5E+t?oSZ%D_ujPZku4)3lE9J;?vaHff*s3(1a|Xb z$(Y4tm$eKeOO~9qZ1O=4Bx(&N>yRbv?_ckAPxnmEmoy^IS(QfJ@72exTeogi-Fxd+ z^%{s#IEK>!U4S4AchD92z9jbj1W4n(6Vz$`H0r3fJsDS^p3AP^ro~(q>ZG@^a zVYXm0YVmkI^E-b~Db{p!kTT?kxiHCK!&F`qth%9C8SQ7$07qWvG0s>!WA~l9fSfEFY&aDmmYNe3y<^P$cd@~_ zo~1ftDlYDuTBAnj3D5*GDbQsooYd zsF;$%4LY6XJs3R|A2;jz)_bogjsJX^34bi!8F6~*zzAl2zMnDp4dFeT{cMi?t}*uD z@bk`Xtjs!wV=C${lB6k@)!Q!$fsO^mR;Ee^=$BOrQnAURjmBYWxs%X}f z%XbC)g2yl_eWbWLekAv79C@5ieDLl0B6{FKBsPcDekB^02kSw#4$n~x^f%e>Bv16|ty9~6qJkOf z#Nn+*o=*2-(b*TjF)%~B$G&H%IOYU{+2vUOy7JDT~m^}^;Njx+~~=+7JF--BPvft>%H;z)LT_Fl{}=D1he zzZ08^PO1$DnbbF`)MvephVRd3SC2;CA;UEsN6UAjWtNPLRM=LWJx`6w>FSZ8M@A3* z%r)P42g|tPub1P-dQ1R)tB!h4u~&4!?7j&rM8%UUQg&eYslyltu#kZI6~jFE;9USOsR zI$K6dZsm}v%J1byygsn34ezLKKJ@lepSy7IsHuHzBC{4F$+n3>Y(+TMH82;{v5T3? zQH+9A;V0G}Tut_kuNWwK1C47(bh?T8gS%ufP}K{Zfy2i3_F$E<78WGhji+&^qA;ht zT4ok_lolHNe-7dgb}$c)#<>xTP|*QJ+=YZ+E(d<4+Fy(wddHRTzOZno@qRhCO|E5! zSGg5;I8(TaMc*LH#Rpfc+;%rd<+c{_tqG@cBUjLR)|I|6;~!x3Vz+Bt8`VX;P^TP& z;zm{)j_cinwWF$YakBhiabW_o-zDbUaFPit#O6}se^pqOwvIa9YpXnXUmYq;eIszz zn=q5d_&C9pF@-$zIl#te>=pv+0+ch^F;`wsM~kUFk#mL|EyKfxQ)Y#=P^yu~z(loJ zB@6T~Mii_;@dyc+#*z14)SuobFjaG z22lhU3S1O6iZ0L~hFn#g$r{&UAPO*y2H8XA$?v}e#@RM8TxILG5Dea8^6xDUtUiFV zkH}KuJYvpNacF?v`{Kvs1&NJS{zy6?$NB>KvG6!%q=HM>WY&kp@Vip|DJ^)=qD0qk zynh4hUBeg;LzIkTvemU{QL24)v_7@zw<|Y!-Zz<%tR2ifblsYrF$OXBh`FG!3a9rk zziZ&c;Y=P2^nRS%uFxvv=}1|G@6`U9xb&Xvy?Z6G;5vnW9EWDMOjM#+IAY;(Fz|qo z)^bq4w$%3~90Ndn>*#whkG*MhBeosGxAWTLH`a~!rcrap6-eycIjn#|XAmP)MB%kH zZ95&Wi}v*(xSr`M&iG%M@JL6ca@N*Ryo+Yf@efGgs3XX*J>*m(S-n8Q=iD2!uy*4{ zSfZrUSJ>XEqt4dA$^*yJID)jVUAT zbZK?Kcef8c{8KMJZ-rXG3iaaHPG|_C9Lb0c+Kc^T%+XP42>3=52r}ETig>J>T11J_ zUb;bc>KW*Z`snG`jrUAUBBOR%SWm`zJEG@9^Yhm=4y-R}wj2Bi(rT_So}EG+A1||I zY5MYSr!5sTiH^gLe^e+&tH$6Y-q;>ST*G$>ca;E+!A4;4H9#pJm&nX!VTcfrDTl#i zV2}&>uaQ4k;1zKQk!BN;C|vFqkB!#$SATHTi%h}cP!j*55UVO;Fx(0~P}TD6j=4_# z5UoQ$#1Fx1)S?m1xNNR-f-lS%(>Dv}FHHGeIXr3E-9mxX|P9s)M>av^a zl$j$`9sX6gy$wAj^yJq#pLzm~)2dn=gs%Kv9 znZj$H_|;0Zvv4x^!9sB2&g=FL?LAQQPSkVj9zmb4Z9TqeLvVF{Z~qC*XglkhFB&So z#=SWgZHxEUHr4?o zn!-~P2kNWWJ>@-K-^-jl>>WQOz?MJ=G^h3v*x<`(4GU^oXxp`YqF2mvCF85uNZSKOPg2#;)bhdW;}$a3+?O3 zx6Y;JAHS^wVD1s`@!h6!Th?4%UsZfAc;y_ub_UURyzE6fTy=FWCGW;4vxl z0ghJg_P`0kOcbnhC2PYu<1PvuHcaeMOf(}fQ?Wimz4C1f84OZq{XUJpoDuiH|FZKL z#1M>qrs|BE-}oD3P5$uKLfyx*gXIwS#7HZg?-q@Z{~0d6E{h6q0uR&dpovXpoonqU zp~>L07zY^7NJlwFMUZ8cn(a75Wwfpjq=n{!+RZO}DaHiMIBvmbD}=FxCXPx|AG{dL zSH-w_gIk&m)3Qlutz3VXeP5T6*r~9|*nLXH7M()+X=>FxA_lmF}t{%wksRf8*AH8Xm3I z#PGr4Qg)0>x9~6^9bby_I3ivK+e?^1dND;`y5sc&m=}Czy9m!VQG@A=xl20$8nS3` z0qzm|hj2CZoXw_sU7@*xJ4;8fAgnfh^rwelBVJ+Q#-mhEzKg$&eZpy(x)hQxIdW>g zu&w41YKadF1~V*8q3fF{h9nV8`yI4)E%bhXY7*43i=#6dy2xxPhTF_=d>n%{W;vWx zR}SoD9RhBtirBg~0D%$2HrNMoK$K*`V!aYvAC6PMWVkO8s}i%K=dA39Z}u0Q)dqL0;0z|>#qY1%QZF>49T7}ROl0w-xPgb^G=~ag{mIqtdOpm*ZlI1ZRm-`#dgTeM zK8&)6kE>$ZDLS#_A1kh_jv>Uc8Bp~5DgbBX0xx8JX0#rYOoj5 zQIrbf0CKYkvMo98!k9cDBp2=c05YEQgX><5<ptsAIq5))7dKUe8_< zD=G)#qj6Ey2{2TsB*TtXPlN+sC`nzklun=)+{ehm0P-^)$YU&&CgwN?84jExO5Pp(4T6c%Az8yIAZn<}K7kb^RdMB2>WA$0G9Eucw=JKUshNl3%zhN`vZ-~3!-7=ijg@fwqT!i?K( z-G{tA9~@qfp$fC!_LclvmXj`9}F>FnJ_+BxhqCY%g7 zYgxq24S(!BM=05|WHnTVaW>BIF#}HdI&fxk)o`nh9l7p8oZPr#^B`uuTMUN6UuJ2~ zeT~NBx8kk51#9SzXi^_RVgj_bLgS6a9HY#~JECY^>btfoP9K!9YG14e8;gV_lb-*L z)pFV%Zu{{bM6-p+%kze`64=n%uDax6RtbORfAP9N60U zoxL8XC^t^!uo~QREtbE-X3v)oV^~818h_&_PG+B)c;kdwi-o9GI$Hj%tRG+dt0%bv z%fTwc+JM!fjM-zOF0@*yi>ij74T8c^^8g3jhOe!YQ??u3l_f8p*6BoSkHv-=S*M&A zV10Z2WydfDIQL{Lht1%jQSa7OSmI=Q*~m?@BdAi?8L&@b4`J{3;EH}NzO=uf_1u579l04B2d?hv(eZ*9)>RFlHopTz=48< zk`ZQxpUK;|>%!pxkGGKNo_S#R69zM0PUI@pI26a7Ksu8ca11qK-fd)=R5+RI<5pu0 zT-dZ&LU~)EBiOP$VQ@LP0=3KDsD(8+r4ThTA0vdyN=2Sn(;Svy=DlOp=0k6hora@r zh;u+to3CYS0$-)$@>0tK(}*^hr_~ecSy|mmh6?nb_eLJNFsE~gm(6jlJ=B@LG7;N@ zl*|x*l3lNeOXHTXq6cpQ*z%(bZ?(v18HtdYoZT2)8Y{4GVl*uBwT0FTgTXk+f~PLe z+Nd^xow%hE8=b9%UGkbgS>|cC{$xO=&E&M!M{IkfAfU!tb~u2_r2E{JH!#^EGh&#; zHYYZrv2k2w!&5IbkrTf>)Nn*Us5C?GhBBj*F zvIi0)7>0S2rP0Bua`e$}Tp%;lnpkUnVHLYjgD7|YYweo(K%KRkHcHYmKqQ0*b1w&Zl{tMbewaq;E6!iN`eggYZ@iThzySdv+6wg zmjm?>9|jIBjk(+~Rg5C6(jVE0t+iOVSNV|jqCupQ0?uX;!WO1FE(=fU#t1++BcE_7 zG*vTB*3@-ein^H^j=_=}*pOp&!$e06)=G~lk7Pfe@sD%kOt!!IAHJ|%u5enZcSc*_ z5Rhc{#TN1eH(b@Rt*ji2p@2jrj_T$TxFc$5SY)6Og3Fpa=`xI# zEXmLZo`CmpNXutJ?zti#__MUZX)wUhW9Kiq>bSG3*7K69ix_|kTDt6meK&|O%t4ae zLRTYhv4MN7{)FH6vzy$G`oRVXM~e$HYckD`{$t49q~1ILkZY7M<0;Li#LD3m9G<9izv=fWXR_RE8W#`- zybktn&M@-eb$666@RI&NRvVuB$Z3wU47vsL3Oq^TyH zGKl?2G?|PLkUj-=CewV|Y9Ek3OGg4HLk`_c&jz<*Eg>Q@L@1kN0#|POz&J!<&>}|z zu~O|TOTh4SF)Fh`*@6QpS`5-)RmJh`u1it(U{Q{oQI>{qD=aMoT`uH6nfCjrCwNTP zMmq&R2#hKOGE)%^&^;r#+;e z{>8ZwuW}o1SxAPcp>!QK;X0_4y;bh*o?Gy)3S`6z_M!)HaWgws2MgPy5hs5<4=0wY zwSlW~3_&8*<;BAW7d-@T^k1gvIB@Ys;O5QRI1U0v zF?Fb34Rl)1Zjb04W@JahuJ`a8`z(Ku2zW-4%z`--Yz99F`L16bQ27cJFi3_8jj4cn z5rl*?vk9z>xx)U!fb25Rgnk*J)$|QEDf+MZ;P2X5MD4c?;Q=(N?s^PE^1Mxg)i}eN zyWgnKHC(yn85+SJoDhjANon#&j4LLFfVwEIi4(3zcMYfBUkx)67qKJOz;(N2V^siS zGTO(26F@U40JkxVK@iIgLorqW z1ahkm%Fv0_TnLYPF7|G6h!9lF(3bHnj$n4;e3o*R;lX~{JWlhcc93yA*f5MKGzzk~ z^>CTGPcs713~wR`kl!D1sw}K9i}01iCcf93D3TxZEFa zMqme~Vt?H58gl0%FS+sv?#S%R$uAg_2B%~&k|0@R{5~Lm>pgzJ5GrPZ1IIiLVjs`t zt88%qat$a4SOM{+4*a|q$2;>rzinc0^dv!-xaaa*(EY)(S%Zu-89>Yt`4l63$Y3%a z;|zxO>5~FhhJ%TkO~?|n+Gmb~FX_djc}iI08(S7p&N@ta~4CHzDC zCEbN=q+34mWvXD&wEqjz(3fiONDH$XvIdqmaI4XGUou=R9_9{d6NH@V;UT)pT$LT} zE99REg8sGD;Y@I(zSX>ft07l;2S##-f|1;+qh&X@>OeV{y~?~IJ5o7NUKi94Z1V=W z0_qmSiN8R{yza?knUK-IUaxe&HFg9jj@EhV=TZ1M>zBD28wVQvJz0BU6i!jB)x#@- zompoAGD^Xn*k!_Q3O=<5y#TMu*arw|YoV2p8xk3+(B z8I-et|5z6ajdBk6vmA~iQD(ola+_(GLc>(p!K!V8=MwFDWIB@5VAw!1L?s&b=V-yhzn&S+Y>!xp3qHu`KV0Lj+iDuwm9D=d;I3iM z-MAA9lxnW$75t!j)SwWP1j<#ngdBJf`~wtV?yW(Yj!+TXn@xliO9Ni z618ZMO51fYhL8gt8pXX~9{pdJ4hQ&@;G@DZJT&Q5UR)jXG|w^IR(VFgEMO6AG#qG@ zo7URA7p*GewZebcl`V@^_Pid6_?A&0^Y3JyYIW@of4Ue;uh!_qhntRf<^d_k|Mx>gr+o-VUP{f%2|Upq_Tw4eIVcNH<|ng< z$QM@eaO%cd!zXa+XE($sTL zZ;wDf2tf;!ykZ1NxV9VY8rgMEaT;Cc4zpJb^RX`LmNt1h;ku2p5U?~x8!qXGtHWmk zvO>sGP0KPym5nY|2DoI+Av(t#(^*_@dv7pnYq_XI@Ggl+o!;idum?_KW#{C-#*L}I z7p`=;W2&gD+%cb!1!p7H;^D_+oyU^HsN)JMJMrO63BfmWp$pTYHiab)i~t_5C0b$7 zVz5e(ih%>kR0OiuhBK?mFyrTOH0pB`Aq-(U@mz=wXl;S-mop?TAB5T zX?0UE)yH!Dw21^kFULrQd;0h^61MzEuLvyIL&``i2!Q&f5Em6HB*|xf4;oCtD6e{B z>=gZRhBRUWf&;pTg8>!Ef_;R8fhlJ9J*MAq8fQD2`tun?2&6kLE0k;mIyHib1d6stxEwa@M*BL zIW@`F7`pd;19i}X6NyN+#IQ0hy~jTaDvA`ACwnbV83JW|nk9Iw5Kxkvw}HofTIzG) z*P$Ni=m^Gb-ujQW2|yyCAJJmYPWnNh?Y;nIM@$h?WuisVFvM4m0|X za!xTq%EWE}bGSaG=qEOMNu#xDROs&d;sx@HVf!%T9E#K!Xo2vu2h))1#E3*kIpv6y zoWDa~fC+*cl|ZuyCX4&j6sETk7=m0h4}B|&;Sp8DK034kz6lNnVc$+4ZY&=R;m(0BB_A@bFd#&V7Er*Vd=MHQ!!Pgp7l@Fe zijgcEF~}#>Lw`+^ z65L7hMH>!?C?aX4g`p3yp`jr>rT{NB$S|UT1&>*#26Lz=E+i;>u{p89iS?EQkzS<0 z@DD4MN()#vB zml%#Bn(~AqV^rS^0dm~%MfqDK0g(JzB9qsm)JlTnFo1wKjwTbAVV5aOydaweC+7nQ zuR3flr}-CeqLP4j%Yy1p)vqp82rV*t(y+3Tw!0tzBp?qnF~$nab5>r)Z_UOMuQJW= zz11JkgMt79ZU!f6Y9cOV z$GRBMa}9XJh^2!lQb%ewg0jMuBC^5IjD#QwU7Gj&HJA&Wpn*Ds3!;D-OJADqAe!*e zT}pN+(8E598HQmd)R>7YkuhVIpjb9r?xaS?ZUha?LS#Xg=TsJMj?8(eev#TqSI4la zKXvMUwHoLmu4>j?sjgGiphDw7gLGf7^q$3nX3#85NK}}QDQ+|X_|Zv?F#Ui8_5`lS z*2wd)t@68ISjw4zp*h_Lo&?9-e0gTV@`s6+BK|5C&l^R2%`?bo!*eMH1UX}iy# zSQJ{81tlY`$U>0*S6|w^PVv6`UaULb;DtsuYp%%RzV%FMCun5llAx_nY4Za*b%C2= zb~qc}N^yki-$J*Mf8{&j27j{0P$&{i@iNyU^dg&Ink2A#o-NS+`3DT|+~ zrAod;3lU1SO#df`kU%MxRkW2NX9qB&V#W~GIUxXDw27a08nQo-p^bo)JH2k~qjHP4 z24!jLo~dN!r+zp-Q3o|HgpdvPMfD?IpzA8o0ytI`a|oQjP33$ZVk; zQW8^5t8qG!hii~#OU`B4I`3UuVK%0(hS4MeF0ENArrj{1=1Syhn;w*`;#sQVv7#1+ zZe>!}>fmNTLr9||IdBxotB2$vj8>3>y?a{0i6ZL4C5W;8WPGupYe^hpszb-`ceJ_h z2Ud%=LIQ_@SUJ$4=~Jo=QByptxGPnsbwG&OnNnb0)nNrjlvT{pXQXaouoOINdb`4tH(Df#a0S0ESK{OF1) zR4qrSKk$7=pdd;F#WV*?UV$cjI-#$s@Pto68|!&KfYg-I4b6%c2XwM<&>{k9B_U>j z#Tk8hmO&zJsGy0=dK5>@1s?Ch9MA~Zs+YFA2u<k3K~Zazp== zN7a|s?|>BjjW`%@e6gNkyUNl*O(OuT^^F+dyKvbJqZe7igFRWLA_E~3E@a>{sg9cl zR8WJ!>MsJM)&RpoR?bFXzzUS@C{hlR%#kl$(y$Q}S1y8ZwB9YSo%+MpNqmxxSBf&6 z$Q@F^c7Tcr1D_-f7ri@||7?Ps3R>Kub|A9QsY<6FLC}|a7vvx(_@RV>tTx-h5DtYD z%=7#yBcVmB=9{myq7N{9`$w88;N1rLF?pZ{x(Zf@JjA4gYs0gdgt_45o8|r-FRVW0W;2d0EobckeS@} z!varohnZy5LTpW68afu1Si=HOASW-)kvnW30K~W8WGS-{ZB{-)VXPR@gJ(M=e2n6X z2w^r0J%K4~1s7U}ZgcIIEDQkfC$%i)Zr)mf_B&8tX16dY=l5wF$Bsro@kduGsWbRf zfAx#WyPZdTOH*OfL6BB{&5m#ZiRr8@{0NFlL;85by_dwTD3B78p#=z%33)@}paq>J zDj~O#1@vmNr^U#4cnz7$C>?X$j%JHb0O- zB!^Es8{zaRK2+?`OSP4LtR_(nmD`H#YCCo6)ge|i+RExT`>#!%gh(3bz4p0n=i9{2 zxgaUyIN5#gyp)sVbVs6pKAS}cH_N4Oi3zW6unhV0XTt9Y*6=aWF zr?ZErMi1N3j)0!%gb+gt85)|t65R~C1(_Lt>{p=*NvUwdNfvOl2s8`SC3J>^2|=W< zAk~X^wPwxuyWv%rXwk3=nN@qC1zJg3sVde=8)`Z1`YU1eZK=U4qybA4+@KE>CF)SE z=q~g!`kU$ohnasj|53(^eR`K>@~3ZGyYS#46f0Z#q2sfGy%wi>+q#?@jVcJqL}saN zw4S;Muo>0P_!}}$K6r7mNTL7O=CYrtHg;&@B8FYj+8=CH;-E z+)i`=5)L9J?W3W(Bt-qHHjCtUe2Aa&pi*(KHcQd>sLcKlj=WX15@2H)!UG?)*oh7F z%|5O6;j^e`dWca&*(R3Sf5bdM9jIuu9-U8=^Rq5iqyZTb= z=%m^w1GPTYijk*CP*LYO}6S8Veic-Im@ zNOTJxG{kKTAGq_{3C1=gi3RsY@`N-YARudNU|R8c)f5K&sY09BEnwi;4y_uXm&y=q zD(LW(#OT=qR;vijsq~>$Ho@DjBuS3x&x8=u?>(NHmwh*hZGq!0h1i)pLD1r*Xp6#J z2!8xfyQH#&w!IzTVFH5R*G3tM%~q=1zH7lIg+kT`{!^W~R;8X3> z#>tY_3RLS0dGHM*7t}OUgDYJvBomp!CfgFtSN=k)HQuLde=;>J zHtXrFzqC|B&7J=|19#G<+WFG`9QL=~@A&&m?82b+T>t52^)!0DwJ_P$JzedSiQTRd zt8**PCu&Z%nEr=TIv^n{8M0s_e=31ck)#<^!CK|vM+ z``;ErOpqN@+qlraxp^`{e z18Yo>x};=kK|D|!{1mF1B71>ewWN@6W&R}{ts~ynk)Q~=?S@m#qOMALqBKy56u|{n z!S7EODU5C3PGuL6kW?fT6QD#0v$91|_8e8gmzAyr5tBXm>Op8)DN2i9G3;;E^KkBh zu#X>?Vio0IhmK6Y) zut$n@!e?L{(VBr~G zZcmZh5}R+;*~0&w-up&n_;*l5uv`6dz;O%5tD+=VKg zM|ZX{Bt}F~c=E^9Vc06eq~W17s}=_*jGUw-c21Hoz#0Y#88L%eK+GH#G^&^0;Wn~4 zLr=c*Vto_ZjFr5m;HgM+Kl_VJeZr5Plg&T0Q-hr>Q!FiSw90PhMz0n`id%G*#1AQ5rAB{QG1`t)V*6Wj^A z&Z!!9oS-mJl1dppiq)#1-9!l?yiLw-}Q#MC*eJp_U$jLyC}~ z-sT5BNhh>JG8wgB^?>O|f1UnI+(eW5F5w(Nmze24b+F!Pks<|P@R=`j`x_$z3Jpiu z;4$2qJPseN!CmPH-bw>pQpRsaz_V=XWbNT3e@B2jQvnm#>v4v_!f1~$729q~D>iz; zvswI}Hdw!>-^x1c>nCe6qghKaCra!=k>paBQq3GPShGrH^62sxZ$MVD^4h%&1&vz1 zWYdEmtR*oqt=T&)>>9!{V*iqOjKmQpH|w9oDWd!H$|!TIy|%>~PGw`G0(~_g2X;Z3 zREbKSl;nm}Z+$~ry>E@gBC<8{$?BM*VAp+?e*RPkh&Gt?J1WPi2HJ2hHZDwbsvxIk z#aNY4XMzgVr`YgReAS2jfV*hHjH=+>Kr;e>G#>QPRjrb!7RPKnir5q278%(`+NexY+GeMy25_tYsGKKt62a43lCWd|M_k;M&{B@GXIqKMzZ zvfKo_4(Y5Aw&FT`bxd+f9e{Xx+PhTfgT%Z{Gb;n|N?N6^aDm#&Z@6=n$$9FbZ&a9{ z=$i%9y&M?WSqfXY#uD4y_6U(KMz*t*JhEgu=2H$@G!WA<4b;!oyI?`opY)wK5&yH8 zi0Ost00;C8ux1)YTMr%I&EI?=9MBrcIAAGZTp?M@=f$r2Olpz=1%kFl{w6kbZEs+}71dMFD zVY3m)Yuo!2WR`mM?!jTNOJ zG_;x>LS}tZn#1pIrKJ>Zy`~t>F5ML~jYd-Q>#x*G)E(%;cId1Gc5TPcey}Fu=2ti? z(h)36BJYTbpN-f*$MY+U!s-{=P3`%P_H z1n+#|aN|;)Dh_6%8OZNeh+Q<5WyP zBG&r4WU1&3i=6)ZZ!Uz7(iej_!uh-NivdQSMU361kv(ad!tI|*EUwD|ZjNQ~%s?;m z*o6xgAxNLezDe_C+~Tza;7o!e&MY^Lxd1%6`@%by0(~wxEQA$?O!w|k^VV;kKf3V4 zKDuyb!(mpbMNX}7n=hF@2_YKpEJ#Vj4ZlC1u+=IAfH@(w^6=fvezfClzDH}nv>E2X z?aETisyPdk4?>-x)zZ$m?&s#d_jgD1dJ`B6Y5{m*|1N&RV2&_dHX%AKoQ~=&Q)|D} znj@RrFI^9bF{Uf3{n91^3!q(*rUCx9H?(t|^~2m#avOnp>!AJ4G-jv%xV>%BRZ6+$ z3hgvCv@7g-ZZ3h!kPmCG{Yr}@=qhH;ubGv~u6@-d>GO+oQ$_23rO^>2Vt0q~R?v)8EZEUq1cPewvMjASJQ0)7r%x=Laj2 zt(cCebU3vUXg`o3YZN1+BdV*Q$#~z7Hx=C!hGh;w5rQN*n!hWauM}OW6J;)>gXyq{h=km***%l=8Gr&hFW`^8VJ5;97PdCPI4M>{wc#X&_Rc1x+1pw;AI-HNz&dH zunzd`{M`>HZp+kTSe9i5)|z!px?w6A;L4qx#Kh6MBX{rE#OhCeINCY&pD)o@PqEzA zN}{w|EcA@^%7CDUZY9!?>H^dgLi5?LCPikUuR%DYh_M*qRNl&bfz#Txm;=ER*9@G~ z&4TaUS$p}=_0Jb~-%)&E zD>Fr-E&%Npdr>lP``&0zK*v3%j&@!in6CBF8P(%C>>qrp^b!)hi5{s=2WnOz3m1at zI7dDYHxlAr@Hz~=y^}j*D+4vhyYl(ALx(>nooJ~rGf8PRI(_T}XP=vIxqDVzuLw&x zJ^-5n@0H7G{^jS|@x42jWQz|_?4JPgz)aLTG)KSCO6Z(%XCPrq6X)CnYlfzX;eRfG z=yreAMlu{|0q^w zaehDKoVN{6KXz$Hx!#X6fcMU%*oS{jLu294tK~CG4K@GMVpVL4E(Ec8`1+X@%=HfK zXz;uMZ$GdYn_|ji^Fk65-@1P;RC>qHJ5x2k{+qo^b;Tz9AemzJ-D>4IzQWJR+F$X$;v%3ok|PS>g`CJ;?!Ef07O5l9w5huvn<^ zi#Eu{soT`N+Y5sk@ACI8)DY5BAsdmDKV37WhnD=^yL|KahkGY<#dZOzN}=)*IpG?E6@^T*tub6@0f9o2-$oH%@=FA9-j#@soVc zFG+UhpZB?W)8EaTo+eJWa|UW@Gt+F%O5awxTl40S`T~qCzUpVsuIpjG~WL935Fw{KE<@d`KmU)R?rV&8v zl3U)EshP{%N?{c*3u?_fH!cet3nXdf#IgXaoPPC}1Zdfs87>$9JK4XzTL#o+_4S$C zqiEN@#i{Izq57icyTt%f9(sHEM%&_C3m1plIT&n`12pw^zy_C&-c~2WE-Hc`uSvE z;O*o0)^`;b0i@RRM^cw<`kG$YxFItQy+yKC>U`gyd?XxLo;jLYt{huF+0J|TWf#r4 zdUOk$i;?gi{hcdC8Hn(0e9ecJ<9n8CUP#vtSLerRi|MwOTB-vRoY59(Zwn04ojz#V+ zwM)$X&|1U9BxfB?UU6PnUm6k5h|T7xqScjiBrh%6BDO3{LB0Fpl4$JpVd?IV|SfZ`L4n*Y?kgq^~_(Ed#wG-HK-?; z$4m3Ef$$4&5Hx@H9p}aMe-?3l&T%m<7*0PWna5_7x#n?xjc=L4k_MXur}@I7{a-AQ zVh>P$hw%IKXWbq-&MYcvrdGC=xP4}DRBABO{I$oE;?vtBXAEx0x$!4*4gRhhEf_z3&bE75vD4NS-hI-uc2+isNO{qwtK}mt5qz zP){x@eYtsOxmxKsPd}3W3h&w3&_hPs93JcE(D&t>DH)a1U;XlVQT>M|s#i|``Ue*^ zjyf~V6xiEo&F}ufvQ4elsSxw^;*5!NqSV6eZHsc?Zsnf;d)5lx`&?+aD0%+mgMIVY z){&a35OX)S`EP%uBRh|p9p(xPL;Lv1TxFLYKZ|Hf2hlFE+>?46@Yz1RP!X$S-m~;! zJ|{8GcjcUMlVy+S|a!C!9%r!GEROmu`i7zkM!3JU#Y=phd;Pc$gLi-otH?qWga@nEhi3b7R;)+N7&(0V#6ztc!8tuzuKZbi=lxo%SVyUrk9lu9eMd$;wwzqneukIg zXm@H=uNFs8)WBN=dUfUE`izI36-6{zrGeMY0?|UR70ZLnXz*0tLH$c`5@I z&t~$&t*XMJ22y>RP-cf-w{NGIb!2WHjf7-(@NGN0;w*lKD51!gZiMR@ZvDh8KLCs9 z47#?;rc=3@O_IS@gD#!oX;D~XB4Ol?MEh9mpmBFvb5HvQ)9!>`ZxuSGJ}bcv zgEacaIe2GQp>{+#R|)^CcTXoJjFA+w>@n5`(D4xQJiDbSYR&h)yCZA+QEi%@pxV(3 zJ)HV$mFo(&8#WQGen?odD}C0B&`rbbuU@=6h{d>7u-Eq;!He4TYLRzTTsiu>dOtr; z>hr1JXzd7<2cdSuL$juU>8wk9(hwU|5AV0*yP)Egj6N-Y z(}GdG2yX=@4SrG&-29kKwIouLmY)d+YKn~gI^f9PtbLp0-A8M6^H|Q?J+Q?LU8YHG z3toIQRM#!u*nd;q#6f1u=va;4oVCpt$VzUY5I~~c12^`E=3sWqgfmtvxkTFvZ|djg zM;jAkwW1l$?4Br26b>0@Wp3=cA^jBWmeIzWZ{6h9BaM>5f!#?NTX3F_Rs(xv;nyUc z8~)=!1>BvAsq<@(PGJ2ltvjNxXlP~m&eBTRlv|fihy3&;)JcQiA3~rpMNWkM5J35N z{UMZGrf@bB<}4JJBAU!9JR{ '0'); - - DeltaAdder_s <= unsigned('0' & '0' & dac_i) + DeltaB_s; - - SigmaAdder_s <= DeltaAdder_s + SigmaLatch_q; - - seq: process (clk_i, res_n_i) - begin - if res_n_i = '0' then - SigmaLatch_q <= to_unsigned(2**(msbi_g+1), SigmaLatch_q'length); - DACout_q <= '0'; - - elsif clk_i'event and clk_i = '1' then - SigmaLatch_q <= SigmaAdder_s; - DACout_q <= SigmaLatch_q(msbi_g+2); - end if; - end process seq; - - dac_o <= DACout_q; - -end rtl; diff --git a/Console_MiST/GCE - Vectrex_MiST/rtl/vectrex_mist.sv b/Console_MiST/GCE - Vectrex_MiST/rtl/vectrex_mist.sv index c2f81503..abdd2e61 100644 --- a/Console_MiST/GCE - Vectrex_MiST/rtl/vectrex_mist.sv +++ b/Console_MiST/GCE - Vectrex_MiST/rtl/vectrex_mist.sv @@ -189,7 +189,8 @@ mist_video #(.COLOR_DEPTH(4)) mist_video .SPI_DI(SPI_DI), .SPI_SCK(SPI_SCK), .SPI_SS3(SPI_SS3), - .scandoubler_disable(1), + .scandoubler_disable(1'b1), + .ce_divider(1'b1), .rotate(2'b00), .ypbpr(ypbpr), .HSync(hs), @@ -198,7 +199,7 @@ mist_video #(.COLOR_DEPTH(4)) mist_video .G(g), .B(b), .VGA_HS(VGA_HS), - .VGA_VS(VGS_VS), + .VGA_VS(VGA_VS), .VGA_R(VGA_R), .VGA_G(VGA_G), .VGA_B(VGA_B) diff --git a/Console_MiST/GCE - Vectrex_MiST/vectrex_MiST.qsf b/Console_MiST/GCE - Vectrex_MiST/vectrex_MiST.qsf index 25908bfe..900dffd9 100644 --- a/Console_MiST/GCE - Vectrex_MiST/vectrex_MiST.qsf +++ b/Console_MiST/GCE - Vectrex_MiST/vectrex_MiST.qsf @@ -41,7 +41,7 @@ # ======================== set_global_assignment -name ORIGINAL_QUARTUS_VERSION 15.1.0 set_global_assignment -name PROJECT_CREATION_TIME_DATE "17:45:13 JUNE 17,2016" -set_global_assignment -name LAST_QUARTUS_VERSION 13.1 +set_global_assignment -name LAST_QUARTUS_VERSION "13.1 SP4.26" set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files set_global_assignment -name PRE_FLOW_SCRIPT_FILE "quartus_sh:rtl/build_id.tcl" @@ -353,7 +353,6 @@ set_global_assignment -name VHDL_FILE rtl/vectrex_exec_prom.vhd set_global_assignment -name VHDL_FILE rtl/m6522a.vhd set_global_assignment -name VHDL_FILE rtl/gen_ram.vhd set_global_assignment -name VHDL_FILE rtl/cpu09l_128a.vhd -set_global_assignment -name VHDL_FILE rtl/dac.vhd set_global_assignment -name VERILOG_FILE rtl/pll.v set_global_assignment -name VERILOG_FILE rtl/mc6809is.v set_global_assignment -name VERILOG_FILE rtl/mc6809.v diff --git a/Console_MiST/GCE - Vectrex_MiST/vectrex_MiST.srf b/Console_MiST/GCE - Vectrex_MiST/vectrex_MiST.srf deleted file mode 100644 index 1c9355aa..00000000 --- a/Console_MiST/GCE - Vectrex_MiST/vectrex_MiST.srf +++ /dev/null @@ -1,13 +0,0 @@ -{ "" "" "" "Verilog HDL or VHDL warning at vectrex.vhd(417): conditional expression evaluates to a constant" { } { } 0 10037 "" 0 0 "Quartus II" 0 -1 0 ""} -{ "" "" "" "VHDL Signal Declaration warning at vectrex.vhd(126): used implicit default value for signal \"video_csync\" because signal was never assigned a value or an explicit default value. Use of implicit default value may introduce unintended design optimizations." { } { } 0 10541 "" 0 0 "Quartus II" 0 -1 0 ""} -{ "" "" "" "*" { } { } 0 10235 "" 0 0 "Quartus II" 0 -1 0 ""} -{ "" "" "" "*" { } { } 0 14284 "" 0 0 "Quartus II" 0 -1 0 ""} -{ "" "" "" "*" { } { } 0 10873 "" 0 0 "Quartus II" 0 -1 0 ""} -{ "" "" "" "*" { } { } 0 10036 "" 0 0 "Quartus II" 0 -1 0 ""} -{ "" "" "" "*" { } { } 0 10273 "" 0 0 "Quartus II" 0 -1 0 ""} -{ "" "" "" "*" { } { } 0 10268 "" 0 0 "Quartus II" 0 -1 0 ""} -{ "" "" "" "*" { } { } 0 10541 "" 0 0 "Quartus II" 0 -1 0 ""} -{ "" "" "" "*" { } { } 0 10492 "" 0 0 "Quartus II" 0 -1 0 ""} -{ "" "" "" "*" { } { } 0 13004 "" 0 0 "Quartus II" 0 -1 0 ""} -{ "" "" "" "*" { } { } 0 13024 "" 0 0 "Quartus II" 0 -1 0 ""} -{ "" "" "" "*" { } { } 0 15705 "" 0 0 "Quartus II" 0 -1 0 ""} diff --git a/common/mist/cofi.sv b/common/mist/cofi.sv index 34f12ba8..828a9f0d 100644 --- a/common/mist/cofi.sv +++ b/common/mist/cofi.sv @@ -36,7 +36,8 @@ reg [5:0] red_last; reg [5:0] green_last; reg [5:0] blue_last; -always @(posedge clk) if (pix_ce) begin +wire ce = enable ? pix_ce : 1'b1; +always @(posedge clk) if (ce) begin hblank_out <= hblank; vblank_out <= vblank;