1
0
mirror of https://github.com/Gehstock/Mist_FPGA.git synced 2026-01-17 08:33:16 +00:00

Add Number Crash on Pacman Hardware

This commit is contained in:
Marcel 2021-05-25 14:32:30 +02:00
parent 3528a6019c
commit b84aa19984
21 changed files with 4434 additions and 0 deletions

View File

@ -0,0 +1,31 @@
# -------------------------------------------------------------------------- #
#
# Copyright (C) 2017 Intel Corporation. All rights reserved.
# Your use of Intel Corporation's design tools, logic functions
# and other software and tools, and its AMPP partner logic
# functions, and any output files from any of the foregoing
# (including device programming or simulation files), and any
# associated documentation or information are expressly subject
# to the terms and conditions of the Intel Program License
# Subscription Agreement, the Intel Quartus Prime License Agreement,
# the Intel MegaCore Function License Agreement, or other
# applicable license agreement, including, without limitation,
# that your use is for the sole purpose of programming logic
# devices manufactured by Intel and sold by Intel or its
# authorized distributors. Please refer to the applicable
# agreement for further details.
#
# -------------------------------------------------------------------------- #
#
# Quartus Prime
# Version 17.0.1 Build 598 06/07/2017 SJ Standard Edition
# Date created = 04:04:47 October 16, 2017
#
# -------------------------------------------------------------------------- #
QUARTUS_VERSION = "17.0"
DATE = "04:04:47 October 16, 2017"
# Revisions
PROJECT_REVISION = "NumberCrash"

View File

@ -0,0 +1,170 @@
# -------------------------------------------------------------------------- #
#
# Copyright (C) 1991-2014 Altera Corporation
# Your use of Altera Corporation's design tools, logic functions
# and other software and tools, and its AMPP partner logic
# functions, and any output files from any of the foregoing
# (including device programming or simulation files), and any
# associated documentation or information are expressly subject
# to the terms and conditions of the Altera Program License
# Subscription Agreement, Altera MegaCore Function License
# Agreement, or other applicable license agreement, including,
# without limitation, that your use is for the sole purpose of
# programming logic devices manufactured by Altera and sold by
# Altera or its authorized distributors. Please refer to the
# applicable agreement for further details.
#
# -------------------------------------------------------------------------- #
#
# Quartus II 64-Bit
# Version 13.1.4 Build 182 03/12/2014 SJ Web Edition
# Date created = 12:33:31 March 10, 2019
#
# -------------------------------------------------------------------------- #
#
# Notes:
#
# 1) The default values for assignments are stored in the file:
# NumberCrash_assignment_defaults.qdf
# If this file doesn't exist, see file:
# assignment_defaults.qdf
#
# 2) Altera recommends that you do not modify this file. This
# file is updated automatically by the Quartus II software
# and any changes you make may be lost or overwritten.
#
# -------------------------------------------------------------------------- #
# Project-Wide Assignments
# ========================
set_global_assignment -name ORIGINAL_QUARTUS_VERSION 16.1.2
set_global_assignment -name LAST_QUARTUS_VERSION 13.1
set_global_assignment -name PROJECT_CREATION_TIME_DATE "01:53:30 APRIL 20, 2017"
set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files
set_global_assignment -name NUM_PARALLEL_PROCESSORS ALL
set_global_assignment -name SMART_RECOMPILE ON
set_global_assignment -name PRE_FLOW_SCRIPT_FILE "quartus_sh:rtl/build_id.tcl"
# Pin & Location Assignments
# ==========================
set_location_assignment PIN_7 -to LED
set_location_assignment PIN_54 -to CLOCK_27
set_location_assignment PIN_144 -to VGA_R[5]
set_location_assignment PIN_143 -to VGA_R[4]
set_location_assignment PIN_142 -to VGA_R[3]
set_location_assignment PIN_141 -to VGA_R[2]
set_location_assignment PIN_137 -to VGA_R[1]
set_location_assignment PIN_135 -to VGA_R[0]
set_location_assignment PIN_133 -to VGA_B[5]
set_location_assignment PIN_132 -to VGA_B[4]
set_location_assignment PIN_125 -to VGA_B[3]
set_location_assignment PIN_121 -to VGA_B[2]
set_location_assignment PIN_120 -to VGA_B[1]
set_location_assignment PIN_115 -to VGA_B[0]
set_location_assignment PIN_114 -to VGA_G[5]
set_location_assignment PIN_113 -to VGA_G[4]
set_location_assignment PIN_112 -to VGA_G[3]
set_location_assignment PIN_111 -to VGA_G[2]
set_location_assignment PIN_110 -to VGA_G[1]
set_location_assignment PIN_106 -to VGA_G[0]
set_location_assignment PIN_136 -to VGA_VS
set_location_assignment PIN_119 -to VGA_HS
set_location_assignment PIN_65 -to AUDIO_L
set_location_assignment PIN_80 -to AUDIO_R
set_location_assignment PIN_105 -to SPI_DO
set_location_assignment PIN_88 -to SPI_DI
set_location_assignment PIN_126 -to SPI_SCK
set_location_assignment PIN_127 -to SPI_SS2
set_location_assignment PIN_91 -to SPI_SS3
set_location_assignment PIN_13 -to CONF_DATA0
set_location_assignment PLL_1 -to "pll:pll|altpll:altpll_component"
# Classic Timing Assignments
# ==========================
set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS OFF
set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
# Analysis & Synthesis Assignments
# ================================
set_global_assignment -name FAMILY "Cyclone III"
set_global_assignment -name DEVICE_FILTER_PIN_COUNT 144
set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 8
set_global_assignment -name SAVE_DISK_SPACE OFF
set_global_assignment -name TOP_LEVEL_ENTITY NumberCrash_MiST
# Fitter Assignments
# ==================
set_global_assignment -name OPTIMIZE_POWER_DURING_FITTING OFF
set_global_assignment -name FINAL_PLACEMENT_OPTIMIZATION ALWAYS
set_global_assignment -name FITTER_EFFORT "STANDARD FIT"
set_global_assignment -name DEVICE EP3C25E144C8
set_global_assignment -name ENABLE_CONFIGURATION_PINS OFF
set_global_assignment -name ENABLE_NCE_PIN OFF
set_global_assignment -name ENABLE_BOOT_SEL_PIN OFF
set_global_assignment -name CYCLONEIII_CONFIGURATION_SCHEME "PASSIVE SERIAL"
set_global_assignment -name CRC_ERROR_OPEN_DRAIN OFF
set_global_assignment -name FORCE_CONFIGURATION_VCCIO ON
set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVTTL"
set_global_assignment -name CYCLONEII_RESERVE_NCEO_AFTER_CONFIGURATION "USE AS REGULAR IO"
set_global_assignment -name RESERVE_DATA0_AFTER_CONFIGURATION "USE AS REGULAR IO"
set_global_assignment -name RESERVE_DATA1_AFTER_CONFIGURATION "USE AS REGULAR IO"
set_global_assignment -name RESERVE_FLASH_NCE_AFTER_CONFIGURATION "USE AS REGULAR IO"
set_global_assignment -name RESERVE_DCLK_AFTER_CONFIGURATION "USE AS REGULAR IO"
# Assembler Assignments
# =====================
set_global_assignment -name GENERATE_RBF_FILE ON
set_global_assignment -name USE_CONFIGURATION_DEVICE OFF
# Power Estimation Assignments
# ============================
set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW"
set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)"
# Advanced I/O Timing Assignments
# ===============================
set_global_assignment -name OUTPUT_IO_TIMING_NEAR_END_VMEAS "HALF VCCIO" -rise
set_global_assignment -name OUTPUT_IO_TIMING_NEAR_END_VMEAS "HALF VCCIO" -fall
set_global_assignment -name OUTPUT_IO_TIMING_FAR_END_VMEAS "HALF SIGNAL SWING" -rise
set_global_assignment -name OUTPUT_IO_TIMING_FAR_END_VMEAS "HALF SIGNAL SWING" -fall
# --------------------
# start ENTITY(Pacman)
# start DESIGN_PARTITION(Top)
# ---------------------------
# Incremental Compilation Assignments
# ===================================
set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
# end DESIGN_PARTITION(Top)
# -------------------------
# end ENTITY(Pacman)
# ------------------
set_global_assignment -name DEVICE_FILTER_PACKAGE TQFP
set_global_assignment -name ENABLE_SIGNALTAP OFF
set_global_assignment -name USE_SIGNALTAP_FILE output_files/key.stp
set_global_assignment -name SYSTEMVERILOG_FILE rtl/NumberCrash_MiST.sv
set_global_assignment -name VHDL_FILE rtl/pacman.vhd
set_global_assignment -name VHDL_FILE rtl/pacman_vram_addr.vhd
set_global_assignment -name VHDL_FILE rtl/pacman_video.vhd
set_global_assignment -name VHDL_FILE rtl/pacman_audio.vhd
set_global_assignment -name VHDL_FILE rtl/ROM/GFX1.vhd
set_global_assignment -name VHDL_FILE rtl/ROM/ROM_PGM_0.vhd
set_global_assignment -name VHDL_FILE rtl/ROM/ROM_PGM_1.vhd
set_global_assignment -name VHDL_FILE rtl/ROM/ROM_PGM_2.vhd
set_global_assignment -name VHDL_FILE rtl/ROM/PROM7_DST.vhd
set_global_assignment -name VHDL_FILE rtl/ROM/PROM4_DST.vhd
set_global_assignment -name VHDL_FILE rtl/ROM/PROM1_DST.vhd
set_global_assignment -name VHDL_FILE rtl/dpram.vhd
set_global_assignment -name QIP_FILE rtl/pll.qip
set_global_assignment -name QIP_FILE ../../../common/CPU/T80/T80.qip
set_global_assignment -name QIP_FILE ../../../common/mist/mist.qip
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top

View File

@ -0,0 +1,126 @@
## Generated SDC file "vectrex_MiST.out.sdc"
## Copyright (C) 1991-2013 Altera Corporation
## Your use of Altera Corporation's design tools, logic functions
## and other software and tools, and its AMPP partner logic
## functions, and any output files from any of the foregoing
## (including device programming or simulation files), and any
## associated documentation or information are expressly subject
## to the terms and conditions of the Altera Program License
## Subscription Agreement, Altera MegaCore Function License
## Agreement, or other applicable license agreement, including,
## without limitation, that your use is for the sole purpose of
## programming logic devices manufactured by Altera and sold by
## Altera or its authorized distributors. Please refer to the
## applicable agreement for further details.
## VENDOR "Altera"
## PROGRAM "Quartus II"
## VERSION "Version 13.1.0 Build 162 10/23/2013 SJ Web Edition"
## DATE "Sun Jun 24 12:53:00 2018"
##
## DEVICE "EP3C25E144C8"
##
# Clock constraints
# Automatically constrain PLL and other generated clocks
derive_pll_clocks -create_base_clocks
# Automatically calculate clock uncertainty to jitter and other effects.
derive_clock_uncertainty
# tsu/th constraints
# tco constraints
# tpd constraints
#**************************************************************
# Time Information
#**************************************************************
set_time_format -unit ns -decimal_places 3
#**************************************************************
# Create Clock
#**************************************************************
create_clock -name {SPI_SCK} -period 41.666 -waveform { 20.8 41.666 } [get_ports {SPI_SCK}]
#**************************************************************
# Create Generated Clock
#**************************************************************
#**************************************************************
# Set Clock Latency
#**************************************************************
#**************************************************************
# Set Clock Uncertainty
#**************************************************************
#**************************************************************
# Set Input Delay
#**************************************************************
set_input_delay -add_delay -clock_fall -clock [get_clocks {CLOCK_27}] 1.000 [get_ports {CLOCK_27}]
set_input_delay -add_delay -clock_fall -clock [get_clocks {SPI_SCK}] 1.000 [get_ports {CONF_DATA0}]
set_input_delay -add_delay -clock_fall -clock [get_clocks {SPI_SCK}] 1.000 [get_ports {SPI_DI}]
set_input_delay -add_delay -clock_fall -clock [get_clocks {SPI_SCK}] 1.000 [get_ports {SPI_SCK}]
set_input_delay -add_delay -clock_fall -clock [get_clocks {SPI_SCK}] 1.000 [get_ports {SPI_SS2}]
set_input_delay -add_delay -clock_fall -clock [get_clocks {SPI_SCK}] 1.000 [get_ports {SPI_SS3}]
#**************************************************************
# Set Output Delay
#**************************************************************
set_output_delay -add_delay -clock_fall -clock [get_clocks {SPI_SCK}] 1.000 [get_ports {SPI_DO}]
set_output_delay -add_delay -clock_fall -clock [get_clocks {pll|altpll_component|auto_generated|pll1|clk[0]}] 1.000 [get_ports {AUDIO_L}]
set_output_delay -add_delay -clock_fall -clock [get_clocks {pll|altpll_component|auto_generated|pll1|clk[0]}] 1.000 [get_ports {AUDIO_R}]
set_output_delay -add_delay -clock_fall -clock [get_clocks {pll|altpll_component|auto_generated|pll1|clk[0]}] 1.000 [get_ports {LED}]
set_output_delay -add_delay -clock_fall -clock [get_clocks {pll|altpll_component|auto_generated|pll1|clk[0]}] 1.000 [get_ports {VGA_*}]
#**************************************************************
# Set Clock Groups
#**************************************************************
set_clock_groups -asynchronous -group [get_clocks {SPI_SCK}] -group [get_clocks {pll|altpll_component|auto_generated|pll1|clk[*]}]
#**************************************************************
# Set False Path
#**************************************************************
#**************************************************************
# Set Multicycle Path
#**************************************************************
set_multicycle_path -to {VGA_*[*]} -setup 2
set_multicycle_path -to {VGA_*[*]} -hold 1
#**************************************************************
# Set Maximum Delay
#**************************************************************
#**************************************************************
# Set Minimum Delay
#**************************************************************
#**************************************************************
# Set Input Transition
#**************************************************************

View File

@ -0,0 +1,23 @@
---------------------------------------------------------------------------------
--
-- Arcade: Pacman port to MiST by Gehstock
-- 09 November 2017
--
---------------------------------------------------------------------------------
-- A simulation model of Pacman hardware
-- Copyright (c) MikeJ - January 2006
---------------------------------------------------------------------------------
--
-- Only controls and OSD are rotated on Video output.
--
--
-- Keyboard inputs :
--
-- ESC : Coin
-- F2 : Start 2 players
-- F1 : Start 1 player
-- UP,DOWN,LEFT,RIGHT arrows : Movements
--
-- Joystick support.
--
---------------------------------------------------------------------------------

View File

@ -0,0 +1,37 @@
@echo off
del /s *.bak
del /s *.orig
del /s *.rej
del /s *~
rmdir /s /q db
rmdir /s /q incremental_db
rmdir /s /q output_files
rmdir /s /q simulation
rmdir /s /q greybox_tmp
rmdir /s /q hc_output
rmdir /s /q .qsys_edit
rmdir /s /q hps_isw_handoff
rmdir /s /q sys\.qsys_edit
rmdir /s /q sys\vip
cd sys
for /d %%i in (*_sim) do rmdir /s /q "%%~nxi"
cd ..
for /d %%i in (*_sim) do rmdir /s /q "%%~nxi"
del build_id.v
del c5_pin_model_dump.txt
del PLLJ_PLLSPE_INFO.txt
del /s *.qws
del /s *.ppf
del /s *.ddb
del /s *.csv
del /s *.cmp
del /s *.sip
del /s *.spd
del /s *.bsf
del /s *.f
del /s *.sopcinfo
del /s *.xml
del /s new_rtl_netlist
del /s old_rtl_netlist
pause

View File

@ -0,0 +1,182 @@
//============================================================================
// Arcade: Number Crash
//
// Version for MiSTer
// Copyright (C) 2021 Gehstock
//
// This program is free software; you can redistribute it and/or modify it
// under the terms of the GNU General Public License as published by the Free
// Software Foundation; either version 2 of the License, or (at your option)
// any later version.
//
// This program is distributed in the hope that it will be useful, but WITHOUT
// ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
// FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License for
// more details.
//
// You should have received a copy of the GNU General Public License along
// with this program; if not, write to the Free Software Foundation, Inc.,
// 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
//============================================================================
module NumberCrash_MiST(
output LED,
output [5:0] VGA_R,
output [5:0] VGA_G,
output [5:0] VGA_B,
output VGA_HS,
output VGA_VS,
output AUDIO_L,
output AUDIO_R,
input SPI_SCK,
output SPI_DO,
input SPI_DI,
input SPI_SS2,
input SPI_SS3,
input CONF_DATA0,
input CLOCK_27
);
`include "rtl\build_id.v"
localparam CONF_STR = {
"NumberCrash;;",
"O2,Rotate Controls,Off,On;",
"O34,Scanlines,Off,25%,50%,75%;",
"O5,Blend,Off,On;",
"O6,Rack Test (Cheat),Off,On;",
"T0,Reset;",
"V,v1.20.",`BUILD_DATE
};
assign LED = 1;
assign AUDIO_R = AUDIO_L;
wire clk_sys, clk_snd;
wire pll_locked;
pll pll(
.inclk0(CLOCK_27),
.areset(0),
.c0(clk_sys),
.locked(pll_locked)
);
reg ce_6m;
always @(posedge clk_sys) begin
reg [1:0] div;
div <= div + 1'd1;
ce_6m <= !div;
end
wire [63:0] status;
wire [1:0] buttons;
wire [1:0] switches;
wire [31:0] joystick_0;
wire [31:0] joystick_1;
wire scandoublerD;
wire ypbpr;
wire [7:0] audio;
wire hs, vs;
wire hb, vb;
wire blankn = ~(hb | vb);
wire [2:0] r,g;
wire [1:0] b;
wire no_csync;
wire key_pressed;
wire [7:0] key_code;
wire key_strobe;
wire custom = 1'b1;//todo
pacmant pacmant(
.O_VIDEO_R(r),
.O_VIDEO_G(g),
.O_VIDEO_B(b),
.O_HSYNC(hs),
.O_VSYNC(vs),
.O_HBLANK(hb),
.O_VBLANK(vb),
.O_AUDIO(audio),
.in0(~{1'b0, custom, 1'b0, status[6], m_down, m_left, m_right, m_up}),
.in1(~{1'b1,m_one_player, m_coin1, 4'b1111, m_fireA}),
.dipsw(~{8'b11111111}),//todo later
.RESET(status[0] | buttons[1]),
.CLK(clk_sys),
.ENA_6(ce_6m)
);
mist_video #(.COLOR_DEPTH(3),.SD_HCNT_WIDTH(10)) mist_video(
.clk_sys(clk_sys),
.SPI_SCK(SPI_SCK),
.SPI_SS3(SPI_SS3),
.SPI_DI(SPI_DI),
.R(blankn ? r : 0),
.G(blankn ? g : 0),
.B(blankn ? b : 0),
.HSync(~hs),
.VSync(~vs),
.VGA_R(VGA_R),
.VGA_G(VGA_G),
.VGA_B(VGA_B),
.VGA_VS(VGA_VS),
.VGA_HS(VGA_HS),
.rotate({1'b1,status[2]}),
.scandoubler_disable(scandoublerD),
.scanlines(status[4:3]),
.ce_divider(1'b1),
.blend(status[5]),
.no_csync(no_csync),
.ypbpr(ypbpr)
);
user_io #(
.STRLEN(($size(CONF_STR)>>3)))
user_io(
.clk_sys (clk_sys ),
.conf_str (CONF_STR ),
.SPI_CLK (SPI_SCK ),
.SPI_SS_IO (CONF_DATA0 ),
.SPI_MISO (SPI_DO ),
.SPI_MOSI (SPI_DI ),
.buttons (buttons ),
.switches (switches ),
.scandoubler_disable (scandoublerD ),
.ypbpr (ypbpr ),
.key_strobe (key_strobe ),
.key_pressed (key_pressed ),
.key_code (key_code ),
.joystick_0 (joystick_0 ),
.joystick_1 (joystick_1 ),
.status (status )
);
dac #(
.C_bits(8))
dac(
.clk_i(clk_sys),
.res_n_i(1),
.dac_i(audio),
.dac_o(AUDIO_L)
);
wire m_up, m_down, m_left, m_right, m_fireA, m_fireB, m_fireC, m_fireD, m_fireE, m_fireF;
wire m_up2, m_down2, m_left2, m_right2, m_fire2A, m_fire2B, m_fire2C, m_fire2D, m_fire2E, m_fire2F;
wire m_tilt, m_coin1, m_coin2, m_coin3, m_coin4, m_one_player, m_two_players, m_three_players, m_four_players;
arcade_inputs inputs (
.clk ( clk_sys ),
.key_strobe ( key_strobe ),
.key_pressed ( key_pressed ),
.key_code ( key_code ),
.joystick_0 ( joystick_0 ),
.joystick_1 ( joystick_1 ),
.rotate ( status[2] ),
.orientation ( 2'b10 ),
// .joyswap ( 1'b0 ),
.oneplayer ( 1'b1 ),
.controls ( {m_tilt, m_coin4, m_coin3, m_coin2, m_coin1, m_four_players, m_three_players, m_two_players, m_one_player} ),
.player1 ( {m_fireF, m_fireE, m_fireD, m_fireC, m_fireB, m_fireA, m_up, m_down, m_left, m_right} ),
.player2 ( {m_fire2F, m_fire2E, m_fire2D, m_fire2C, m_fire2B, m_fire2A, m_up2, m_down2, m_left2, m_right2} )
);
endmodule

View File

@ -0,0 +1,534 @@
library ieee;
use ieee.std_logic_1164.all,ieee.numeric_std.all;
entity GFX1 is
port (
clk : in std_logic;
addr : in std_logic_vector(12 downto 0);
data : out std_logic_vector(7 downto 0)
);
end entity;
architecture prom of GFX1 is
type rom is array(0 to 8191) of std_logic_vector(7 downto 0);
signal rom_data: rom := (
X"CC",X"EE",X"11",X"11",X"33",X"EE",X"CC",X"00",X"11",X"33",X"66",X"44",X"44",X"33",X"11",X"00",
X"11",X"11",X"FF",X"FF",X"11",X"11",X"00",X"00",X"00",X"00",X"77",X"77",X"22",X"00",X"00",X"00",
X"11",X"99",X"DD",X"DD",X"FF",X"77",X"33",X"00",X"33",X"77",X"55",X"44",X"44",X"66",X"22",X"00",
X"66",X"FF",X"99",X"99",X"99",X"33",X"22",X"00",X"44",X"66",X"77",X"55",X"44",X"44",X"00",X"00",
X"44",X"FF",X"FF",X"44",X"44",X"CC",X"CC",X"00",X"00",X"77",X"77",X"66",X"33",X"11",X"00",X"00",
X"EE",X"FF",X"11",X"11",X"11",X"33",X"22",X"00",X"00",X"55",X"55",X"55",X"55",X"77",X"77",X"00",
X"66",X"FF",X"99",X"99",X"99",X"FF",X"EE",X"00",X"00",X"44",X"44",X"44",X"66",X"33",X"11",X"00",
X"00",X"00",X"88",X"FF",X"77",X"00",X"00",X"00",X"66",X"77",X"55",X"44",X"44",X"66",X"66",X"00",
X"66",X"77",X"DD",X"DD",X"99",X"99",X"66",X"00",X"00",X"33",X"44",X"44",X"55",X"77",X"33",X"00",
X"CC",X"EE",X"BB",X"99",X"99",X"99",X"00",X"00",X"33",X"77",X"44",X"44",X"44",X"77",X"33",X"00",
X"FF",X"FF",X"44",X"44",X"44",X"FF",X"FF",X"00",X"11",X"33",X"66",X"44",X"66",X"33",X"11",X"00",
X"66",X"FF",X"99",X"99",X"99",X"FF",X"FF",X"00",X"33",X"77",X"44",X"44",X"44",X"77",X"77",X"00",
X"22",X"33",X"11",X"11",X"33",X"EE",X"CC",X"00",X"22",X"66",X"44",X"44",X"66",X"33",X"11",X"00",
X"CC",X"EE",X"33",X"11",X"11",X"FF",X"FF",X"00",X"11",X"33",X"66",X"44",X"44",X"77",X"77",X"00",
X"11",X"99",X"99",X"99",X"FF",X"FF",X"00",X"00",X"44",X"44",X"44",X"44",X"77",X"77",X"00",X"00",
X"00",X"88",X"88",X"88",X"88",X"FF",X"FF",X"00",X"44",X"44",X"44",X"44",X"44",X"77",X"77",X"00",
X"00",X"00",X"08",X"0C",X"0E",X"0C",X"08",X"00",X"00",X"07",X"0F",X"0F",X"07",X"0F",X"0F",X"07",
X"22",X"66",X"44",X"55",X"77",X"55",X"CC",X"88",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"11",X"11",X"11",X"11",X"33",X"22",X"22",X"22",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"22",X"22",X"22",X"33",X"11",X"11",X"11",X"11",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"77",X"77",X"77",X"77",X"77",X"77",X"77",X"77",
X"88",X"88",X"CC",X"55",X"77",X"55",X"44",X"66",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"FB",X"F7",X"DD",X"88",X"00",X"00",X"00",X"00",X"F3",X"F3",X"70",X"30",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"88",X"D9",X"FB",X"00",X"00",X"00",X"00",X"00",X"00",X"41",X"C3",
X"00",X"00",X"00",X"00",X"00",X"00",X"88",X"88",X"00",X"00",X"70",X"03",X"03",X"03",X"CF",X"1F",
X"88",X"08",X"08",X"08",X"08",X"C0",X"00",X"00",X"FF",X"EF",X"01",X"01",X"10",X"00",X"00",X"00",
X"CC",X"22",X"22",X"22",X"22",X"EE",X"22",X"00",X"44",X"55",X"55",X"77",X"00",X"77",X"22",X"00",
X"CC",X"22",X"22",X"CC",X"CC",X"22",X"22",X"CC",X"00",X"11",X"11",X"00",X"00",X"11",X"11",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"C1",X"EF",X"2E",X"EF",X"C1",X"00",X"00",X"77",X"17",X"DB",X"FF",X"DB",X"17",X"77",X"00",
X"F0",X"F0",X"70",X"70",X"30",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"E0",X"E4",X"C8",X"C0",X"80",X"00",X"00",X"00",X"F0",X"F0",X"F0",X"F3",X"F0",X"E0",X"00",X"00",
X"00",X"00",X"00",X"80",X"C0",X"C0",X"E0",X"E0",X"00",X"00",X"E0",X"FC",X"F0",X"F0",X"F0",X"F0",
X"00",X"00",X"00",X"30",X"71",X"72",X"F0",X"F0",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"EE",X"DD",X"00",X"EE",X"DD",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"EE",X"DD",X"00",X"EE",X"DD",X"00",X"00",
X"22",X"22",X"33",X"00",X"22",X"22",X"22",X"33",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"88",X"88",X"88",X"00",X"88",X"88",X"88",X"88",X"44",X"44",X"DD",X"00",X"77",X"44",X"44",X"DD",
X"00",X"00",X"44",X"88",X"EE",X"88",X"44",X"00",X"00",X"00",X"11",X"00",X"33",X"00",X"11",X"00",
X"00",X"88",X"88",X"88",X"88",X"88",X"00",X"00",X"00",X"22",X"22",X"22",X"22",X"22",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"88",X"CC",X"22",X"22",X"66",X"CC",X"88",X"00",X"33",X"77",X"CC",X"88",X"88",X"77",X"33",X"00",
X"22",X"22",X"EE",X"EE",X"22",X"22",X"00",X"00",X"00",X"00",X"FF",X"FF",X"44",X"00",X"00",X"00",
X"22",X"22",X"AA",X"AA",X"EE",X"EE",X"66",X"00",X"66",X"FF",X"BB",X"99",X"99",X"CC",X"44",X"00",
X"CC",X"EE",X"22",X"22",X"22",X"66",X"44",X"00",X"88",X"DD",X"FF",X"BB",X"99",X"88",X"00",X"00",
X"88",X"EE",X"EE",X"88",X"88",X"88",X"88",X"00",X"00",X"FF",X"FF",X"CC",X"66",X"33",X"11",X"00",
X"CC",X"EE",X"22",X"22",X"22",X"66",X"44",X"00",X"11",X"BB",X"AA",X"AA",X"AA",X"EE",X"EE",X"00",
X"CC",X"EE",X"22",X"22",X"22",X"EE",X"CC",X"00",X"00",X"99",X"99",X"99",X"DD",X"77",X"33",X"00",
X"00",X"00",X"00",X"EE",X"EE",X"00",X"00",X"00",X"CC",X"EE",X"BB",X"99",X"88",X"CC",X"CC",X"00",
X"CC",X"EE",X"AA",X"AA",X"22",X"22",X"CC",X"00",X"00",X"66",X"99",X"99",X"BB",X"FF",X"66",X"00",
X"88",X"CC",X"66",X"22",X"22",X"22",X"00",X"00",X"77",X"FF",X"99",X"99",X"99",X"FF",X"66",X"00",
X"00",X"00",X"00",X"00",X"88",X"44",X"22",X"00",X"88",X"44",X"22",X"11",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"11",X"11",X"11",X"00",X"00",X"00",
X"FF",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"FF",X"88",X"88",X"88",X"88",X"88",X"88",X"88",
X"00",X"00",X"00",X"00",X"00",X"66",X"66",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"FF",X"88",X"88",X"88",X"88",X"88",X"88",X"88",X"FF",
X"00",X"00",X"88",X"DD",X"00",X"00",X"00",X"00",X"66",X"99",X"99",X"88",X"88",X"CC",X"66",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"EE",X"EE",X"88",X"88",X"88",X"EE",X"EE",X"00",X"33",X"77",X"CC",X"88",X"CC",X"77",X"33",X"00",
X"CC",X"EE",X"22",X"22",X"22",X"EE",X"EE",X"00",X"66",X"FF",X"99",X"99",X"99",X"FF",X"FF",X"00",
X"44",X"66",X"22",X"22",X"66",X"CC",X"88",X"00",X"44",X"CC",X"88",X"88",X"CC",X"77",X"33",X"00",
X"88",X"CC",X"66",X"22",X"22",X"EE",X"EE",X"00",X"33",X"77",X"CC",X"88",X"88",X"FF",X"FF",X"00",
X"22",X"22",X"22",X"22",X"EE",X"EE",X"00",X"00",X"88",X"99",X"99",X"99",X"FF",X"FF",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"EE",X"EE",X"00",X"88",X"99",X"99",X"99",X"99",X"FF",X"FF",X"00",
X"EE",X"EE",X"22",X"22",X"66",X"CC",X"88",X"00",X"99",X"99",X"99",X"88",X"CC",X"77",X"33",X"00",
X"EE",X"EE",X"00",X"00",X"00",X"EE",X"EE",X"00",X"FF",X"FF",X"11",X"11",X"11",X"FF",X"FF",X"00",
X"22",X"22",X"EE",X"EE",X"22",X"22",X"00",X"00",X"88",X"88",X"FF",X"FF",X"88",X"88",X"00",X"00",
X"CC",X"EE",X"22",X"22",X"22",X"66",X"44",X"00",X"FF",X"FF",X"00",X"00",X"00",X"00",X"00",X"00",
X"22",X"66",X"EE",X"CC",X"88",X"EE",X"EE",X"00",X"88",X"CC",X"66",X"33",X"11",X"FF",X"FF",X"00",
X"22",X"22",X"22",X"22",X"EE",X"EE",X"00",X"00",X"00",X"00",X"00",X"00",X"FF",X"FF",X"00",X"00",
X"EE",X"EE",X"00",X"88",X"00",X"EE",X"EE",X"00",X"FF",X"FF",X"77",X"33",X"77",X"FF",X"FF",X"00",
X"EE",X"EE",X"CC",X"88",X"00",X"EE",X"EE",X"00",X"FF",X"FF",X"11",X"33",X"77",X"FF",X"FF",X"00",
X"CC",X"EE",X"22",X"22",X"22",X"EE",X"CC",X"00",X"77",X"FF",X"88",X"88",X"88",X"FF",X"77",X"00",
X"00",X"88",X"88",X"88",X"88",X"EE",X"EE",X"00",X"77",X"FF",X"88",X"88",X"88",X"FF",X"FF",X"00",
X"AA",X"CC",X"EE",X"AA",X"22",X"EE",X"CC",X"00",X"77",X"FF",X"88",X"88",X"88",X"FF",X"77",X"00",
X"22",X"66",X"EE",X"CC",X"88",X"EE",X"EE",X"00",X"77",X"FF",X"99",X"88",X"88",X"FF",X"FF",X"00",
X"CC",X"EE",X"22",X"22",X"22",X"66",X"44",X"00",X"00",X"55",X"DD",X"99",X"99",X"FF",X"66",X"00",
X"00",X"00",X"EE",X"EE",X"00",X"00",X"00",X"00",X"88",X"88",X"FF",X"FF",X"88",X"88",X"00",X"00",
X"CC",X"EE",X"22",X"22",X"22",X"EE",X"CC",X"00",X"FF",X"FF",X"00",X"00",X"00",X"FF",X"FF",X"00",
X"00",X"88",X"CC",X"EE",X"CC",X"88",X"00",X"00",X"FF",X"FF",X"11",X"00",X"11",X"FF",X"FF",X"00",
X"EE",X"EE",X"CC",X"88",X"CC",X"EE",X"EE",X"00",X"FF",X"FF",X"11",X"33",X"11",X"FF",X"FF",X"00",
X"66",X"EE",X"CC",X"88",X"CC",X"EE",X"66",X"00",X"CC",X"EE",X"77",X"33",X"77",X"EE",X"CC",X"00",
X"00",X"00",X"EE",X"EE",X"00",X"00",X"00",X"00",X"EE",X"FF",X"11",X"11",X"FF",X"EE",X"00",X"00",
X"22",X"22",X"22",X"AA",X"EE",X"EE",X"66",X"00",X"CC",X"EE",X"FF",X"BB",X"99",X"88",X"88",X"00",
X"00",X"00",X"00",X"00",X"88",X"22",X"00",X"00",X"00",X"CC",X"EE",X"FF",X"33",X"00",X"00",X"00",
X"F1",X"F3",X"F7",X"EE",X"FC",X"FF",X"FF",X"00",X"00",X"10",X"30",X"70",X"71",X"73",X"33",X"00",
X"F0",X"F0",X"FF",X"FF",X"00",X"10",X"30",X"70",X"70",X"70",X"73",X"33",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"80",X"C0",X"EE",X"EE",X"00",X"CC",X"88",X"00",X"F0",X"F0",X"FF",X"FF",X"00",
X"80",X"C0",X"EE",X"EE",X"CC",X"88",X"00",X"00",X"F0",X"F0",X"FF",X"FF",X"71",X"F3",X"F7",X"EE",
X"00",X"00",X"00",X"F0",X"F0",X"FF",X"FF",X"00",X"00",X"00",X"00",X"70",X"70",X"73",X"33",X"00",
X"F0",X"F0",X"FF",X"FF",X"00",X"00",X"00",X"00",X"70",X"70",X"73",X"33",X"00",X"00",X"00",X"00",
X"66",X"E6",X"E6",X"EE",X"CC",X"88",X"00",X"00",X"00",X"00",X"10",X"F0",X"F1",X"FF",X"FF",X"00",
X"00",X"00",X"80",X"C8",X"EC",X"EE",X"66",X"66",X"E0",X"F0",X"FF",X"FF",X"11",X"00",X"00",X"00",
X"F1",X"F3",X"F7",X"FE",X"FC",X"FF",X"FF",X"00",X"00",X"10",X"30",X"70",X"71",X"73",X"33",X"00",
X"F0",X"F0",X"FF",X"FF",X"CC",X"EE",X"77",X"73",X"70",X"70",X"73",X"33",X"11",X"00",X"00",X"00",
X"00",X"00",X"00",X"80",X"C0",X"EE",X"EE",X"00",X"88",X"88",X"00",X"F0",X"F0",X"FF",X"FF",X"00",
X"80",X"C0",X"EE",X"EE",X"00",X"00",X"00",X"00",X"F0",X"F0",X"FF",X"FF",X"00",X"00",X"00",X"88",
X"30",X"30",X"30",X"F0",X"F0",X"FF",X"FF",X"00",X"73",X"73",X"73",X"73",X"73",X"73",X"33",X"00",
X"00",X"C0",X"C0",X"EE",X"FF",X"BB",X"11",X"10",X"00",X"10",X"30",X"70",X"71",X"73",X"73",X"73",
X"E6",X"E6",X"E6",X"E6",X"E6",X"EE",X"EE",X"00",X"DC",X"DC",X"DC",X"FC",X"FC",X"FF",X"FF",X"00",
X"00",X"00",X"00",X"88",X"CC",X"EE",X"E6",X"E6",X"00",X"E0",X"F0",X"F3",X"F7",X"FE",X"DC",X"DC",
X"30",X"30",X"30",X"F0",X"F0",X"FF",X"FF",X"00",X"73",X"73",X"73",X"73",X"73",X"73",X"33",X"00",
X"00",X"00",X"30",X"30",X"30",X"30",X"30",X"30",X"00",X"60",X"60",X"73",X"73",X"73",X"73",X"73",
X"E6",X"E6",X"E6",X"E6",X"E6",X"EE",X"EE",X"00",X"DC",X"DC",X"DC",X"FC",X"FC",X"FF",X"FF",X"00",
X"00",X"80",X"C0",X"E6",X"E6",X"E6",X"E6",X"E6",X"00",X"10",X"10",X"90",X"DC",X"DC",X"DC",X"DC",
X"71",X"71",X"71",X"F1",X"F1",X"FF",X"FF",X"00",X"73",X"73",X"73",X"73",X"73",X"73",X"33",X"00",
X"80",X"C0",X"EE",X"FF",X"BB",X"31",X"31",X"31",X"10",X"30",X"70",X"71",X"73",X"73",X"73",X"73",
X"00",X"00",X"00",X"80",X"C0",X"EE",X"EE",X"00",X"88",X"88",X"88",X"F8",X"F8",X"FF",X"FF",X"00",
X"00",X"C0",X"E2",X"E6",X"EE",X"CC",X"88",X"00",X"00",X"00",X"10",X"F0",X"F8",X"FF",X"FF",X"88",
X"00",X"00",X"00",X"F8",X"FC",X"FF",X"77",X"00",X"73",X"73",X"73",X"33",X"11",X"00",X"00",X"00",
X"00",X"C0",X"E6",X"EE",X"CC",X"88",X"00",X"00",X"00",X"10",X"30",X"70",X"71",X"73",X"73",X"73",
X"E6",X"E6",X"E6",X"EE",X"CC",X"88",X"00",X"00",X"10",X"10",X"30",X"F0",X"F1",X"FF",X"FF",X"00",
X"00",X"00",X"00",X"88",X"CC",X"EE",X"E6",X"E6",X"00",X"00",X"33",X"33",X"11",X"10",X"10",X"10",
X"00",X"00",X"88",X"FC",X"FE",X"77",X"33",X"00",X"73",X"73",X"33",X"11",X"00",X"00",X"00",X"00",
X"70",X"F0",X"F3",X"F7",X"EE",X"CC",X"88",X"00",X"00",X"00",X"10",X"30",X"70",X"71",X"73",X"73",
X"00",X"00",X"00",X"C0",X"C0",X"EE",X"EE",X"00",X"F3",X"F3",X"F3",X"F3",X"F3",X"FF",X"FF",X"00",
X"80",X"C0",X"EE",X"EE",X"00",X"00",X"00",X"00",X"F0",X"F0",X"FF",X"FF",X"F3",X"F3",X"F3",X"F3",
X"30",X"30",X"30",X"F1",X"FB",X"FF",X"EE",X"00",X"73",X"73",X"73",X"73",X"33",X"11",X"00",X"00",
X"00",X"00",X"10",X"B8",X"B8",X"30",X"30",X"30",X"00",X"20",X"70",X"71",X"73",X"73",X"73",X"73",
X"E6",X"E6",X"E6",X"E6",X"E6",X"EE",X"CC",X"00",X"DC",X"DC",X"DC",X"FC",X"B8",X"10",X"00",X"00",
X"00",X"00",X"00",X"88",X"CC",X"EE",X"E6",X"E6",X"00",X"E0",X"F0",X"F3",X"F7",X"FE",X"DC",X"DC",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"00",X"00",X"00",X"00",X"EE",X"00",X"00",X"00",X"00",X"00",X"22",X"44",X"FF",X"44",X"22",X"00",
X"E0",X"78",X"78",X"96",X"1E",X"1E",X"FF",X"FF",X"FC",X"CE",X"CE",X"CE",X"DE",X"EF",X"77",X"33",
X"F3",X"3C",X"3C",X"3C",X"B7",X"7F",X"EE",X"CC",X"70",X"E1",X"E1",X"96",X"87",X"87",X"FF",X"FF",
X"00",X"AA",X"AA",X"AA",X"AA",X"CC",X"00",X"00",X"00",X"11",X"22",X"22",X"22",X"11",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"EE",X"00",X"00",X"00",X"11",X"22",X"22",X"11",X"33",X"00",X"00",
X"71",X"71",X"71",X"F1",X"F1",X"FF",X"FF",X"00",X"00",X"00",X"00",X"70",X"70",X"73",X"33",X"00",
X"F0",X"F0",X"FF",X"FF",X"71",X"71",X"71",X"71",X"70",X"70",X"73",X"33",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"80",X"C0",X"EE",X"EE",X"00",X"88",X"88",X"88",X"F8",X"F8",X"FF",X"FF",X"00",
X"80",X"C0",X"EE",X"EE",X"00",X"00",X"00",X"00",X"F0",X"F0",X"FF",X"FF",X"88",X"88",X"88",X"88",
X"D3",X"87",X"97",X"0F",X"2F",X"07",X"00",X"00",X"33",X"10",X"10",X"10",X"00",X"00",X"00",X"00",
X"0C",X"08",X"08",X"00",X"00",X"00",X"00",X"00",X"4F",X"1F",X"0F",X"4F",X"0E",X"08",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"08",X"08",X"00",X"00",X"00",X"08",X"0E",X"8E",X"1F",X"0F",
X"00",X"00",X"00",X"07",X"4F",X"0F",X"A7",X"87",X"00",X"00",X"00",X"00",X"00",X"10",X"10",X"10",
X"EF",X"47",X"07",X"07",X"03",X"01",X"00",X"00",X"11",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"0C",X"0C",X"0C",X"08",X"08",X"00",X"00",X"00",X"0F",X"0F",X"0F",X"0F",X"0F",X"0E",X"00",X"00",
X"00",X"00",X"00",X"08",X"08",X"0C",X"0C",X"0C",X"00",X"00",X"0E",X"0F",X"0F",X"0F",X"0F",X"0F",
X"00",X"00",X"01",X"03",X"87",X"87",X"87",X"47",X"00",X"00",X"00",X"10",X"10",X"30",X"30",X"10",
X"0F",X"0B",X"0C",X"0F",X"01",X"00",X"00",X"00",X"02",X"01",X"01",X"00",X"00",X"00",X"00",X"00",
X"68",X"68",X"68",X"68",X"68",X"0C",X"00",X"00",X"0F",X"0F",X"07",X"0C",X"0F",X"03",X"00",X"00",
X"00",X"00",X"0C",X"68",X"68",X"68",X"6E",X"6E",X"00",X"00",X"03",X"0F",X"0F",X"0F",X"0F",X"0F",
X"00",X"00",X"00",X"01",X"0F",X"0F",X"0F",X"0F",X"00",X"00",X"00",X"00",X"00",X"01",X"01",X"02",
X"87",X"0F",X"0F",X"0F",X"0F",X"0F",X"00",X"00",X"10",X"00",X"01",X"01",X"01",X"00",X"00",X"00",
X"08",X"0C",X"0C",X"08",X"00",X"00",X"00",X"00",X"0F",X"0F",X"0F",X"0F",X"0F",X"0C",X"00",X"00",
X"00",X"00",X"00",X"00",X"08",X"0C",X"0C",X"0C",X"00",X"00",X"0C",X"0F",X"CF",X"2F",X"0F",X"0F",
X"00",X"00",X"07",X"0F",X"0F",X"0F",X"0F",X"0F",X"00",X"00",X"00",X"00",X"01",X"01",X"01",X"20",
X"D2",X"63",X"52",X"30",X"00",X"00",X"00",X"00",X"02",X"02",X"04",X"00",X"00",X"00",X"00",X"00",
X"68",X"84",X"C0",X"80",X"00",X"00",X"00",X"00",X"F5",X"E1",X"5A",X"BE",X"E0",X"00",X"00",X"00",
X"00",X"00",X"00",X"80",X"48",X"84",X"C2",X"E0",X"00",X"00",X"E0",X"B4",X"7C",X"E1",X"5B",X"A5",
X"00",X"00",X"00",X"30",X"52",X"61",X"F1",X"BC",X"00",X"00",X"00",X"00",X"00",X"02",X"02",X"03",
X"0F",X"0F",X"0D",X"0F",X"0F",X"1E",X"F0",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"24",X"2C",X"68",X"48",X"C0",X"80",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"80",X"C0",X"48",X"68",X"24",X"2C",
X"00",X"F0",X"1E",X"0F",X"0D",X"0F",X"0F",X"0D",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"E0",X"F1",X"E0",X"E0",X"00",X"00",X"00",X"00",X"20",X"20",X"10",X"10",X"00",X"00",X"00",X"00",
X"22",X"CC",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"FF",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"88",X"CC",X"00",X"00",X"00",X"00",X"00",X"00",X"88",X"DD",
X"00",X"00",X"00",X"00",X"00",X"E0",X"E0",X"F1",X"00",X"00",X"00",X"00",X"00",X"10",X"10",X"20",
X"11",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"99",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"99",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"11",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"77",X"23",X"33",X"11",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"EE",X"EE",X"2E",X"EE",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"EE",X"EE",X"EE",X"EE",
X"00",X"00",X"00",X"00",X"11",X"33",X"33",X"77",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"FD",X"FE",X"FF",X"77",X"33",X"00",X"00",X"00",X"11",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"CC",X"CC",X"CC",X"4C",X"CC",X"00",X"00",X"00",X"FF",X"F7",X"FF",X"F9",X"FF",X"00",X"00",X"00",
X"00",X"00",X"00",X"CC",X"CC",X"CC",X"CC",X"C4",X"00",X"00",X"00",X"FF",X"FF",X"F7",X"FB",X"F9",
X"00",X"00",X"00",X"33",X"77",X"FE",X"FD",X"FD",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"11",
X"22",X"66",X"00",X"11",X"08",X"01",X"01",X"01",X"77",X"00",X"04",X"08",X"00",X"01",X"02",X"04",
X"CC",X"CC",X"CC",X"CC",X"CC",X"00",X"00",X"00",X"33",X"00",X"00",X"33",X"77",X"00",X"00",X"00",
X"00",X"00",X"00",X"CC",X"48",X"48",X"CC",X"CC",X"00",X"00",X"00",X"FF",X"23",X"FF",X"11",X"71",
X"00",X"00",X"00",X"55",X"CC",X"C4",X"C9",X"00",X"00",X"00",X"00",X"00",X"11",X"32",X"75",X"75",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"FF",X"FF",X"F0",X"F0",X"FF",X"FF",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"E6",X"E6",X"E6",X"E6",X"00",X"00",X"00",X"00",X"E6",X"E6",X"E6",X"E6",
X"00",X"00",X"00",X"00",X"E6",X"E6",X"E6",X"E6",X"00",X"00",X"00",X"00",X"E6",X"E6",X"E6",X"E6",
X"00",X"0E",X"4A",X"6A",X"6A",X"4A",X"0E",X"00",X"00",X"07",X"25",X"65",X"65",X"25",X"07",X"00",
X"00",X"99",X"CC",X"EE",X"E6",X"E6",X"E6",X"E6",X"00",X"FF",X"FF",X"F0",X"F0",X"FC",X"FE",X"F6",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"FF",X"FF",X"F0",X"F0",X"FF",X"FF",X"00",X"00",X"FF",X"FF",X"F0",X"F0",X"FF",X"FF",X"FF",
X"00",X"FF",X"FF",X"F0",X"F0",X"FF",X"FF",X"00",X"00",X"FF",X"FF",X"F0",X"F0",X"FF",X"FF",X"00",
X"E6",X"E6",X"E6",X"E6",X"E6",X"E6",X"E6",X"E6",X"76",X"76",X"76",X"76",X"76",X"76",X"76",X"76",
X"E6",X"E6",X"E6",X"E6",X"E6",X"E6",X"E6",X"E6",X"76",X"76",X"76",X"76",X"76",X"76",X"76",X"E6",
X"00",X"FF",X"FF",X"F0",X"F0",X"FF",X"FF",X"00",X"00",X"FF",X"FF",X"F0",X"F0",X"FF",X"FF",X"00",
X"E6",X"E6",X"E6",X"E6",X"EE",X"CC",X"88",X"00",X"76",X"FE",X"FC",X"F0",X"F0",X"FF",X"FF",X"00",
X"00",X"FF",X"FF",X"F0",X"F0",X"F3",X"F7",X"F6",X"00",X"11",X"33",X"77",X"76",X"76",X"76",X"76",
X"E6",X"E6",X"E6",X"E6",X"EE",X"CC",X"88",X"00",X"76",X"FE",X"FC",X"F0",X"F0",X"FF",X"FF",X"00",
X"00",X"FF",X"FF",X"F0",X"F0",X"F3",X"F7",X"F6",X"00",X"11",X"33",X"77",X"76",X"76",X"76",X"76",
X"00",X"FF",X"FF",X"F0",X"F0",X"F3",X"F7",X"F6",X"00",X"11",X"33",X"77",X"76",X"76",X"76",X"76",
X"00",X"88",X"CC",X"EE",X"E6",X"E6",X"E6",X"E6",X"00",X"FF",X"FF",X"F0",X"F0",X"FC",X"FE",X"76",
X"E6",X"E6",X"E6",X"E6",X"EE",X"CC",X"88",X"00",X"76",X"FE",X"FC",X"F0",X"F0",X"FF",X"FF",X"00",
X"E6",X"F7",X"F3",X"F0",X"F0",X"FF",X"FF",X"00",X"76",X"76",X"76",X"76",X"77",X"33",X"11",X"00",
X"E6",X"F7",X"F3",X"F0",X"F0",X"FF",X"FF",X"00",X"76",X"76",X"76",X"76",X"77",X"33",X"11",X"00",
X"00",X"88",X"CC",X"EE",X"E6",X"E6",X"E6",X"E6",X"00",X"FF",X"FF",X"F0",X"F0",X"FC",X"FE",X"F6",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"CC",X"E2",X"E2",X"F1",X"F1",X"F1",X"F1",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"F1",X"F1",X"F1",X"F1",X"E2",X"E2",X"CC",X"00",
X"00",X"33",X"74",X"74",X"F8",X"F8",X"F8",X"F8",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"F8",X"F8",X"F8",X"F8",X"74",X"74",X"33",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"F1",X"F1",X"F1",X"F1",X"F1",X"F1",X"F1",X"F1",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"F1",X"F1",X"F1",X"F1",X"F1",X"F1",X"F1",X"F1",
X"00",X"00",X"00",X"00",X"33",X"74",X"F8",X"F8",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"F8",X"F8",X"74",X"33",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"FF",X"F0",X"F0",X"F0",X"00",X"00",X"00",X"00",X"FF",X"F0",X"F0",X"F0",
X"F0",X"F0",X"F0",X"FF",X"00",X"00",X"00",X"00",X"F0",X"F0",X"F0",X"FF",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"CC",X"E2",X"F1",X"F1",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"F1",X"F1",X"E2",X"CC",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"FF",X"F8",X"F8",X"F9",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"F9",X"F8",X"F8",X"FF",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"FF",X"F1",X"F1",X"F9",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"F9",X"F1",X"F1",X"FF",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"FF",X"F9",X"F9",X"F9",X"F9",X"F9",X"F9",X"F9",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"88",X"F7",X"F0",X"F0",X"F0",X"F1",X"F1",X"F1",X"F0",X"F0",X"F0",X"F0",X"F0",
X"F0",X"F0",X"F0",X"F7",X"88",X"00",X"00",X"00",X"F0",X"F0",X"F0",X"F0",X"F0",X"F1",X"F1",X"F1",
X"F8",X"F8",X"F8",X"F0",X"F0",X"F0",X"F0",X"F0",X"00",X"00",X"00",X"11",X"FE",X"F0",X"F0",X"F0",
X"F0",X"F0",X"F0",X"F0",X"F0",X"F8",X"F8",X"F8",X"F0",X"F0",X"F0",X"FE",X"11",X"00",X"00",X"00",
X"24",X"2C",X"2C",X"24",X"24",X"2C",X"2C",X"24",X"C2",X"C3",X"C3",X"C2",X"C2",X"C3",X"C3",X"C2",
X"24",X"0C",X"0C",X"00",X"00",X"00",X"00",X"00",X"C2",X"C3",X"C3",X"C3",X"C3",X"C2",X"C2",X"C0",
X"00",X"00",X"00",X"00",X"00",X"0C",X"0C",X"22",X"C0",X"C2",X"C2",X"C3",X"C3",X"C3",X"C3",X"C2",
X"00",X"00",X"00",X"00",X"08",X"0C",X"0C",X"0C",X"0E",X"0E",X"0A",X"0F",X"0F",X"0E",X"0B",X"0F",
X"0C",X"04",X"0C",X"08",X"00",X"00",X"00",X"00",X"0F",X"0F",X"0D",X"0F",X"0F",X"0E",X"0A",X"0E",
X"0C",X"0C",X"0C",X"04",X"0C",X"0C",X"0C",X"04",X"0F",X"0F",X"0D",X"0F",X"0F",X"0E",X"0B",X"0F",
X"3C",X"34",X"3C",X"F0",X"0C",X"0C",X"04",X"0C",X"69",X"69",X"69",X"F0",X"0F",X"0E",X"0B",X"0F",
X"0C",X"04",X"0C",X"04",X"F0",X"3C",X"3C",X"3C",X"0F",X"0F",X"0D",X"0F",X"F0",X"68",X"69",X"69",
X"20",X"20",X"20",X"F0",X"00",X"00",X"00",X"00",X"30",X"30",X"30",X"F0",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"F0",X"20",X"20",X"20",X"00",X"00",X"00",X"00",X"F0",X"30",X"30",X"30",
X"80",X"00",X"F7",X"FF",X"FF",X"FF",X"FF",X"FF",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"F0",X"00",X"10",X"00",X"00",X"00",X"11",X"70",X"F0",
X"97",X"09",X"09",X"96",X"70",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"70",X"10",X"00",X"00",X"00",X"00",X"00",X"00",X"F0",X"F0",X"30",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"F7",X"FF",X"F9",X"F1",X"F3",X"F3",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"20",X"10",X"00",X"00",X"00",X"11",X"30",X"30",
X"97",X"83",X"03",X"96",X"70",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"30",X"30",X"10",X"00",X"00",X"00",X"00",X"00",
X"00",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"00",X"00",X"00",X"00",X"00",X"00",X"88",X"88",X"00",X"00",X"00",X"00",X"00",X"33",X"41",X"C3",
X"00",X"00",X"00",X"00",X"00",X"88",X"D9",X"FB",X"00",X"00",X"70",X"03",X"03",X"03",X"CF",X"1F",
X"88",X"08",X"08",X"08",X"08",X"C0",X"00",X"00",X"F3",X"F3",X"70",X"30",X"00",X"00",X"00",X"00",
X"FB",X"F7",X"DD",X"88",X"00",X"00",X"00",X"00",X"FF",X"EF",X"01",X"01",X"10",X"00",X"00",X"00",
X"03",X"03",X"07",X"8F",X"8F",X"8E",X"88",X"88",X"00",X"10",X"32",X"47",X"47",X"67",X"32",X"12",
X"33",X"B6",X"97",X"1F",X"1F",X"3F",X"B7",X"97",X"CC",X"E6",X"F7",X"F3",X"F9",X"FC",X"FC",X"FD",
X"88",X"8E",X"8F",X"8F",X"07",X"03",X"03",X"00",X"03",X"47",X"67",X"77",X"33",X"11",X"00",X"00",
X"1F",X"1F",X"3F",X"FE",X"FF",X"FF",X"33",X"00",X"F9",X"F3",X"F7",X"F7",X"FF",X"EE",X"CC",X"00",
X"00",X"00",X"00",X"00",X"03",X"0F",X"0F",X"03",X"00",X"12",X"16",X"8F",X"8F",X"CF",X"56",X"16",
X"FF",X"F4",X"B6",X"3E",X"3F",X"7F",X"F7",X"B7",X"00",X"CC",X"EE",X"F7",X"F3",X"FB",X"F9",X"FB",
X"03",X"0F",X"0F",X"03",X"00",X"00",X"00",X"00",X"07",X"8F",X"CF",X"FF",X"77",X"33",X"00",X"00",
X"3F",X"3E",X"7E",X"FC",X"FF",X"FF",X"00",X"00",X"F3",X"F7",X"FF",X"FF",X"EE",X"CC",X"00",X"00",
X"00",X"00",X"00",X"07",X"87",X"87",X"87",X"00",X"00",X"88",X"88",X"98",X"9A",X"8B",X"47",X"67",
X"00",X"00",X"00",X"95",X"97",X"1F",X"1F",X"3F",X"00",X"00",X"EE",X"FF",X"F3",X"F9",X"FD",X"FD",
X"87",X"87",X"87",X"07",X"00",X"00",X"00",X"00",X"FE",X"56",X"47",X"8B",X"89",X"88",X"88",X"00",
X"B7",X"97",X"1F",X"1F",X"1D",X"00",X"00",X"00",X"FD",X"F9",X"F3",X"FF",X"FF",X"EE",X"00",X"00",
X"00",X"00",X"03",X"43",X"CB",X"CB",X"8B",X"88",X"00",X"00",X"44",X"88",X"89",X"45",X"23",X"33",
X"00",X"00",X"00",X"C2",X"C3",X"0F",X"0F",X"1F",X"00",X"00",X"77",X"FF",X"F9",X"FC",X"FE",X"FE",
X"88",X"88",X"8B",X"CB",X"CB",X"43",X"03",X"00",X"77",X"23",X"23",X"45",X"88",X"88",X"44",X"00",
X"D3",X"C3",X"0F",X"0F",X"1F",X"00",X"00",X"00",X"FE",X"FE",X"FC",X"F9",X"FF",X"FF",X"77",X"00",
X"00",X"00",X"00",X"00",X"10",X"1E",X"DE",X"89",X"00",X"00",X"00",X"00",X"73",X"C2",X"C3",X"F3",
X"00",X"00",X"00",X"00",X"88",X"D9",X"FB",X"FB",X"00",X"00",X"00",X"00",X"00",X"EF",X"1F",X"1F",
X"1E",X"1E",X"00",X"00",X"00",X"00",X"00",X"00",X"F1",X"F0",X"70",X"00",X"00",X"00",X"00",X"00",
X"F7",X"DD",X"88",X"00",X"00",X"00",X"00",X"00",X"EF",X"CF",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"88",X"88",X"00",X"00",X"00",X"00",X"00",X"33",X"41",X"C3",
X"00",X"00",X"00",X"00",X"00",X"88",X"D9",X"FB",X"00",X"00",X"70",X"03",X"03",X"03",X"CF",X"1F",
X"88",X"08",X"08",X"08",X"08",X"C0",X"00",X"00",X"F3",X"F3",X"70",X"30",X"00",X"00",X"00",X"00",
X"FB",X"F7",X"DD",X"88",X"00",X"00",X"00",X"00",X"FF",X"EF",X"01",X"01",X"10",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"77",X"77",X"22",X"00",
X"00",X"00",X"00",X"00",X"30",X"2D",X"0F",X"06",X"00",X"00",X"00",X"00",X"00",X"0C",X"0C",X"0E",
X"00",X"10",X"3C",X"3C",X"00",X"00",X"00",X"00",X"00",X"31",X"76",X"9B",X"97",X"F3",X"70",X"30",
X"07",X"47",X"FF",X"F7",X"89",X"C0",X"C0",X"80",X"8E",X"EE",X"EF",X"0B",X"1B",X"CF",X"77",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"AC",X"AC",X"00",X"00",X"00",X"00",X"33",X"11",X"10",X"30",
X"00",X"00",X"00",X"00",X"0F",X"E7",X"F3",X"F3",X"00",X"00",X"00",X"00",X"08",X"0C",X"77",X"FF",
X"88",X"8F",X"8F",X"6E",X"4E",X"00",X"00",X"00",X"30",X"30",X"10",X"00",X"00",X"00",X"00",X"00",
X"F3",X"F3",X"F3",X"E6",X"00",X"00",X"00",X"00",X"FF",X"FF",X"77",X"07",X"03",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"2C",X"2C",X"00",X"00",X"00",X"00",X"00",X"10",X"30",X"70",X"70",
X"00",X"00",X"00",X"01",X"CD",X"E6",X"F7",X"F7",X"00",X"00",X"00",X"0C",X"0C",X"EF",X"EF",X"EE",
X"1E",X"1E",X"00",X"00",X"00",X"00",X"00",X"00",X"70",X"30",X"10",X"00",X"00",X"00",X"00",X"00",
X"F7",X"E6",X"CD",X"01",X"00",X"00",X"00",X"00",X"EF",X"EF",X"0C",X"0C",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"EE",X"CC",X"1E",X"1E",X"00",X"00",X"00",X"00",X"00",X"31",X"70",X"F0",
X"00",X"00",X"00",X"00",X"00",X"89",X"DD",X"FF",X"00",X"00",X"00",X"00",X"0F",X"0F",X"EF",X"EF",
X"00",X"4C",X"4C",X"00",X"00",X"00",X"00",X"00",X"F0",X"F0",X"70",X"31",X"67",X"00",X"00",X"00",
X"FF",X"FF",X"DD",X"8F",X"0E",X"00",X"00",X"00",X"EE",X"EF",X"EF",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"CC",X"EE",X"11",X"11",X"33",X"EE",X"CC",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"11",X"33",X"66",X"44",X"44",X"33",X"11",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"11",X"11",X"FF",X"FF",X"11",X"11",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"77",X"77",X"22",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"11",X"99",X"DD",X"DD",X"FF",X"77",X"33",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"33",X"77",X"55",X"44",X"44",X"66",X"22",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"66",X"FF",X"99",X"99",X"99",X"33",X"22",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"44",X"66",X"77",X"55",X"44",X"44",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"44",X"FF",X"FF",X"44",X"44",X"CC",X"CC",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"77",X"77",X"66",X"33",X"11",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"EE",X"FF",X"11",X"11",X"11",X"33",X"22",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"55",X"55",X"55",X"55",X"77",X"77",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"66",X"FF",X"99",X"99",X"99",X"FF",X"EE",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"44",X"44",X"44",X"66",X"33",X"11",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"88",X"FF",X"77",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"66",X"77",X"55",X"44",X"44",X"66",X"66",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"66",X"77",X"DD",X"DD",X"99",X"99",X"66",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"33",X"44",X"44",X"55",X"77",X"33",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"CC",X"EE",X"BB",X"99",X"99",X"99",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"33",X"77",X"44",X"44",X"44",X"77",X"33",X"00",
X"00",X"CC",X"22",X"22",X"CC",X"00",X"CC",X"22",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"77",X"88",X"88",X"77",X"00",X"77",X"88",
X"22",X"CC",X"00",X"CC",X"66",X"22",X"66",X"CC",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"11",X"33",X"22",X"33",X"11",X"88",X"77",X"00",X"DD",X"22",X"22",X"22",X"88",
X"00",X"CC",X"22",X"22",X"CC",X"00",X"CC",X"22",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"77",X"88",X"88",X"77",X"00",X"77",X"88",
X"22",X"CC",X"00",X"88",X"EE",X"88",X"88",X"88",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"33",X"00",X"00",X"00",X"88",X"77",X"00",X"00",X"FF",X"88",X"44",X"33",
X"00",X"CC",X"22",X"22",X"CC",X"00",X"CC",X"22",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"77",X"88",X"88",X"77",X"00",X"77",X"88",
X"22",X"CC",X"00",X"CC",X"66",X"22",X"22",X"22",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"11",X"11",X"11",X"11",X"11",X"88",X"77",X"00",X"33",X"22",X"22",X"22",X"EE",
X"00",X"CC",X"22",X"22",X"CC",X"00",X"CC",X"22",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"77",X"88",X"88",X"77",X"00",X"77",X"88",
X"22",X"CC",X"00",X"CC",X"66",X"22",X"22",X"EE",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"11",X"11",X"11",X"11",X"00",X"88",X"77",X"00",X"33",X"22",X"22",X"AA",X"FF",
X"00",X"00",X"00",X"10",X"20",X"D8",X"CC",X"CC",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"10",X"30",X"70",X"70",X"43",X"06",X"86",X"C3",X"E0",X"C0",X"80",X"C0",X"73",X"77",X"55",X"EE",
X"CC",X"CC",X"D8",X"20",X"10",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"C3",X"86",X"06",X"43",X"70",X"70",X"30",X"10",X"EE",X"55",X"77",X"73",X"C0",X"80",X"C0",X"E0",
X"00",X"00",X"00",X"20",X"40",X"A0",X"88",X"88",X"00",X"00",X"00",X"00",X"00",X"10",X"10",X"10",
X"00",X"00",X"30",X"70",X"96",X"03",X"03",X"97",X"00",X"00",X"F0",X"C0",X"E6",X"FF",X"BB",X"DD",
X"88",X"88",X"A0",X"40",X"20",X"00",X"00",X"00",X"10",X"10",X"10",X"00",X"00",X"00",X"00",X"00",
X"97",X"03",X"03",X"96",X"70",X"30",X"00",X"00",X"DD",X"BB",X"FF",X"E6",X"C0",X"F0",X"00",X"00",
X"80",X"00",X"F7",X"FF",X"FF",X"FF",X"FF",X"FF",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"F0",X"00",X"10",X"00",X"00",X"00",X"11",X"70",X"F0",
X"97",X"09",X"09",X"96",X"70",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"70",X"10",X"00",X"00",X"00",X"00",X"00",X"00",X"F0",X"F0",X"30",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"F7",X"FF",X"F9",X"F1",X"F3",X"F3",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"20",X"10",X"00",X"00",X"00",X"11",X"30",X"30",
X"97",X"83",X"03",X"96",X"70",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"30",X"30",X"10",X"00",X"00",X"00",X"00",X"00",
X"00",X"CC",X"6E",X"6E",X"EE",X"EE",X"EE",X"EE",X"00",X"00",X"10",X"10",X"10",X"00",X"00",X"00",
X"00",X"00",X"87",X"87",X"80",X"00",X"61",X"61",X"00",X"11",X"0F",X"0F",X"33",X"33",X"0F",X"0F",
X"EE",X"CC",X"E6",X"FB",X"FC",X"FC",X"E0",X"C0",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"40",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"33",X"11",X"30",X"77",X"44",X"44",X"30",X"10",
X"80",X"08",X"C4",X"E6",X"6E",X"6E",X"6E",X"6E",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"30",X"03",X"70",X"30",X"23",X"23",X"23",X"23",
X"EE",X"CC",X"E6",X"FB",X"FC",X"FC",X"E0",X"C0",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"33",X"11",X"30",X"77",X"47",X"47",X"30",X"10",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"F0",X"30",X"30",
X"00",X"00",X"00",X"00",X"00",X"F0",X"30",X"30",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"30",X"30",X"30",X"30",X"F0",X"00",X"00",X"00",
X"20",X"20",X"20",X"20",X"F0",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"80",X"C0",X"EE",X"EE",X"CC",X"88",X"00",X"00",X"70",X"70",X"73",X"33",X"00",X"00",X"00",X"00",
X"F0",X"F0",X"FF",X"FF",X"00",X"10",X"30",X"70",X"F0",X"F0",X"FF",X"FF",X"71",X"F3",X"F7",X"EE",
X"00",X"00",X"00",X"80",X"C0",X"EE",X"EE",X"00",X"00",X"10",X"30",X"70",X"71",X"73",X"33",X"00",
X"F1",X"F3",X"F7",X"EE",X"FC",X"FF",X"FF",X"00",X"CC",X"88",X"00",X"F0",X"F0",X"FF",X"FF",X"00",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"00",X"00",X"00",X"00",X"88",X"88",X"88",X"88",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"66",X"77",X"77",X"77",X"FF",X"FF",X"FF",
X"00",X"88",X"88",X"88",X"88",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"FF",X"FF",X"FF",X"FF",X"77",X"77",X"77",X"66",
X"00",X"00",X"88",X"88",X"CC",X"CC",X"CC",X"88",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"11",X"33",X"33",X"33",X"77",X"77",X"FF",
X"00",X"88",X"CC",X"CC",X"CC",X"88",X"88",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"FF",X"FF",X"77",X"77",X"33",X"33",X"33",X"11",
X"00",X"00",X"CC",X"EE",X"EE",X"EE",X"EE",X"CC",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"11",X"33",X"33",X"77",
X"88",X"CC",X"EE",X"EE",X"EE",X"EE",X"CC",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"FF",X"77",X"33",X"33",X"11",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"44",X"EE",X"EE",X"EE",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"33",X"77",
X"CC",X"EE",X"EE",X"EE",X"44",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"FF",X"77",X"33",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"44",X"EE",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"33",
X"CC",X"EE",X"44",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"FF",X"33",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"CC",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"FF",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"22",X"44",X"11",X"22",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"22",X"11",X"00",X"00",X"00",X"11",X"99",X"44",X"00",X"00",
X"00",X"22",X"11",X"88",X"44",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"11",X"22",X"00",X"11",X"00",X"00",X"00",X"00",X"00",X"00",X"88",X"22",X"22",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00");
begin
process(clk)
begin
if rising_edge(clk) then
data <= rom_data(to_integer(unsigned(addr)));
end if;
end process;
end architecture;

View File

@ -0,0 +1,38 @@
library ieee;
use ieee.std_logic_1164.all,ieee.numeric_std.all;
entity PROM1_DST is
port (
clk : in std_logic;
addr : in std_logic_vector(7 downto 0);
data : out std_logic_vector(7 downto 0)
);
end entity;
architecture prom of PROM1_DST is
type rom is array(0 to 255) of std_logic_vector(7 downto 0);
signal rom_data: rom := (
X"07",X"09",X"0A",X"0B",X"0C",X"0D",X"0D",X"0E",X"0E",X"0E",X"0D",X"0D",X"0C",X"0B",X"0A",X"09",
X"07",X"05",X"04",X"03",X"02",X"01",X"01",X"00",X"00",X"00",X"01",X"01",X"02",X"03",X"04",X"05",
X"07",X"0C",X"0E",X"0E",X"0D",X"0B",X"09",X"0A",X"0B",X"0B",X"0A",X"09",X"06",X"04",X"03",X"05",
X"07",X"09",X"0B",X"0A",X"08",X"05",X"04",X"03",X"03",X"04",X"05",X"03",X"01",X"00",X"00",X"02",
X"07",X"0A",X"0C",X"0D",X"0E",X"0D",X"0C",X"0A",X"07",X"04",X"02",X"01",X"00",X"01",X"02",X"04",
X"07",X"0B",X"0D",X"0E",X"0D",X"0B",X"07",X"03",X"01",X"00",X"01",X"03",X"07",X"0E",X"07",X"00",
X"07",X"0D",X"0B",X"08",X"0B",X"0D",X"09",X"06",X"0B",X"0E",X"0C",X"07",X"09",X"0A",X"06",X"02",
X"07",X"0C",X"08",X"04",X"05",X"07",X"02",X"00",X"03",X"08",X"05",X"01",X"03",X"06",X"03",X"01",
X"00",X"08",X"0F",X"07",X"01",X"08",X"0E",X"07",X"02",X"08",X"0D",X"07",X"03",X"08",X"0C",X"07",
X"04",X"08",X"0B",X"07",X"05",X"08",X"0A",X"07",X"06",X"08",X"09",X"07",X"07",X"08",X"08",X"07",
X"07",X"08",X"06",X"09",X"05",X"0A",X"04",X"0B",X"03",X"0C",X"02",X"0D",X"01",X"0E",X"00",X"0F",
X"00",X"0F",X"01",X"0E",X"02",X"0D",X"03",X"0C",X"04",X"0B",X"05",X"0A",X"06",X"09",X"07",X"08",
X"00",X"01",X"02",X"03",X"04",X"05",X"06",X"07",X"08",X"09",X"0A",X"0B",X"0C",X"0D",X"0E",X"0F",
X"0F",X"0E",X"0D",X"0C",X"0B",X"0A",X"09",X"08",X"07",X"06",X"05",X"04",X"03",X"02",X"01",X"00",
X"00",X"01",X"02",X"03",X"04",X"05",X"06",X"07",X"08",X"09",X"0A",X"0B",X"0C",X"0D",X"0E",X"0F",
X"00",X"01",X"02",X"03",X"04",X"05",X"06",X"07",X"08",X"09",X"0A",X"0B",X"0C",X"0D",X"0E",X"0F");
begin
process(clk)
begin
if rising_edge(clk) then
data <= rom_data(to_integer(unsigned(addr)));
end if;
end process;
end architecture;

View File

@ -0,0 +1,38 @@
library ieee;
use ieee.std_logic_1164.all,ieee.numeric_std.all;
entity PROM4_DST is
port (
clk : in std_logic;
addr : in std_logic_vector(7 downto 0);
data : out std_logic_vector(7 downto 0)
);
end entity;
architecture prom of PROM4_DST is
type rom is array(0 to 255) of std_logic_vector(7 downto 0);
signal rom_data: rom := (
X"00",X"00",X"00",X"00",X"00",X"0F",X"0B",X"01",X"00",X"0F",X"0B",X"03",X"00",X"0F",X"0B",X"0F",
X"00",X"0F",X"0B",X"07",X"00",X"0F",X"0B",X"05",X"00",X"0F",X"0B",X"0C",X"00",X"0F",X"0B",X"09",
X"00",X"05",X"0B",X"07",X"00",X"0B",X"01",X"09",X"00",X"05",X"0B",X"01",X"00",X"02",X"05",X"01",
X"00",X"02",X"0B",X"01",X"00",X"05",X"0B",X"09",X"00",X"0C",X"01",X"07",X"00",X"01",X"0C",X"0F",
X"00",X"0F",X"00",X"0B",X"00",X"0C",X"05",X"0F",X"00",X"0F",X"0B",X"0E",X"00",X"0F",X"0B",X"0D",
X"00",X"01",X"09",X"0F",X"00",X"09",X"0C",X"09",X"00",X"09",X"05",X"0F",X"00",X"05",X"0C",X"0F",
X"00",X"01",X"07",X"0B",X"00",X"0F",X"0B",X"00",X"00",X"0F",X"00",X"0B",X"00",X"0B",X"05",X"09",
X"00",X"0B",X"0C",X"0F",X"00",X"0B",X"07",X"09",X"00",X"02",X"0B",X"00",X"00",X"02",X"0B",X"07",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00");
begin
process(clk)
begin
if rising_edge(clk) then
data <= rom_data(to_integer(unsigned(addr)));
end if;
end process;
end architecture;

View File

@ -0,0 +1,23 @@
library ieee;
use ieee.std_logic_1164.all,ieee.numeric_std.all;
entity PROM7_DST is
port (
clk : in std_logic;
addr : in std_logic_vector(3 downto 0);
data : out std_logic_vector(7 downto 0)
);
end entity;
architecture prom of PROM7_DST is
type rom is array(0 to 15) of std_logic_vector(7 downto 0);
signal rom_data: rom := (
X"00",X"07",X"66",X"EF",X"00",X"F8",X"EA",X"6F",X"00",X"3F",X"00",X"C9",X"38",X"AA",X"AF",X"F6");
begin
process(clk)
begin
if rising_edge(clk) then
data <= rom_data(to_integer(unsigned(addr)));
end if;
end process;
end architecture;

View File

@ -0,0 +1,662 @@
library ieee;
use ieee.std_logic_1164.all,ieee.numeric_std.all;
entity ROM_PGM_0 is
port (
clk : in std_logic;
addr : in std_logic_vector(13 downto 0);
data : out std_logic_vector(7 downto 0)
);
end entity;
architecture prom of ROM_PGM_0 is
type rom is array(0 to 10239) of std_logic_vector(7 downto 0);
signal rom_data: rom := (
X"F3",X"31",X"C0",X"4F",X"C3",X"0A",X"01",X"FF",X"77",X"23",X"32",X"C0",X"50",X"10",X"F9",X"0D",
X"20",X"F6",X"C9",X"3A",X"76",X"4C",X"3D",X"C9",X"D5",X"11",X"20",X"00",X"19",X"D1",X"C9",X"FF",
X"D5",X"11",X"E0",X"FF",X"19",X"D1",X"C9",X"FF",X"E1",X"87",X"85",X"6F",X"3E",X"00",X"8C",X"67",
X"7E",X"5F",X"23",X"7E",X"57",X"EB",X"E9",X"FF",X"F5",X"E5",X"D5",X"C5",X"DD",X"E5",X"FD",X"E5",
X"AF",X"32",X"00",X"50",X"DD",X"21",X"00",X"4C",X"CD",X"6A",X"00",X"DD",X"23",X"CD",X"00",X"02",
X"DD",X"23",X"CD",X"50",X"02",X"32",X"C0",X"50",X"CD",X"24",X"03",X"FB",X"3E",X"01",X"32",X"00",
X"50",X"FD",X"E1",X"DD",X"E1",X"C1",X"D1",X"E1",X"F1",X"C9",X"DD",X"7E",X"00",X"CB",X"47",X"28",
X"2C",X"21",X"0F",X"4C",X"11",X"51",X"50",X"01",X"05",X"00",X"ED",X"B0",X"DD",X"7E",X"1E",X"32",
X"45",X"50",X"DD",X"7E",X"13",X"A7",X"28",X"06",X"CD",X"D6",X"8A",X"DD",X"77",X"13",X"DD",X"7E",
X"21",X"3D",X"DD",X"77",X"21",X"C0",X"00",X"00",X"DD",X"CB",X"00",X"86",X"C9",X"CB",X"4F",X"20",
X"0C",X"2A",X"03",X"4C",X"22",X"05",X"4C",X"3E",X"02",X"DD",X"77",X"00",X"C9",X"2A",X"05",X"4C",
X"CD",X"BE",X"00",X"A7",X"28",X"F3",X"22",X"05",X"4C",X"DD",X"CB",X"00",X"C6",X"C9",X"7C",X"A7",
X"20",X"03",X"C3",X"EC",X"02",X"7E",X"FE",X"10",X"20",X"02",X"AF",X"C9",X"DD",X"77",X"1E",X"DD",
X"E5",X"C1",X"0C",X"11",X"0A",X"4C",X"13",X"13",X"13",X"13",X"13",X"0D",X"20",X"F8",X"23",X"06",
X"02",X"7E",X"4F",X"CD",X"9E",X"02",X"E6",X"0F",X"12",X"13",X"79",X"12",X"13",X"23",X"10",X"F1",
X"7E",X"12",X"23",X"7E",X"CD",X"3C",X"32",X"23",X"3E",X"01",X"C9",X"0F",X"0F",X"0F",X"0F",X"C9",
X"21",X"00",X"40",X"01",X"04",X"00",X"3E",X"40",X"CF",X"C9",X"CD",X"00",X"01",X"00",X"36",X"00",
X"23",X"32",X"C0",X"50",X"7C",X"FE",X"48",X"20",X"F5",X"21",X"00",X"40",X"01",X"04",X"00",X"3E",
X"80",X"CF",X"21",X"00",X"44",X"3E",X"12",X"01",X"04",X"00",X"CF",X"21",X"00",X"4C",X"AF",X"01",
X"02",X"00",X"CF",X"21",X"00",X"50",X"36",X"00",X"23",X"7C",X"FE",X"51",X"20",X"F8",X"CD",X"21",
X"32",X"00",X"00",X"00",X"00",X"00",X"3E",X"01",X"32",X"01",X"50",X"3E",X"01",X"32",X"00",X"50",
X"18",X"4E",X"20",X"03",X"3E",X"20",X"B0",X"21",X"2D",X"4C",X"CB",X"CE",X"32",X"2E",X"4C",X"C9",
X"0B",X"00",X"3A",X"00",X"50",X"E6",X"0F",X"FE",X"0E",X"28",X"27",X"FE",X"0B",X"28",X"26",X"FE",
X"07",X"28",X"25",X"FE",X"0D",X"28",X"24",X"3E",X"0F",X"32",X"2E",X"4C",X"3A",X"40",X"50",X"CB",
X"47",X"CA",X"AD",X"06",X"21",X"2D",X"4C",X"CB",X"8E",X"3A",X"47",X"4C",X"C3",X"5A",X"11",X"00",
X"00",X"11",X"3E",X"00",X"11",X"3E",X"01",X"11",X"3E",X"02",X"11",X"3E",X"03",X"C3",X"A4",X"02",
X"ED",X"56",X"FB",X"00",X"00",X"00",X"CD",X"13",X"90",X"CD",X"00",X"90",X"CD",X"1E",X"35",X"00",
X"00",X"CD",X"62",X"80",X"18",X"F0",X"CD",X"BF",X"01",X"CD",X"BF",X"01",X"CD",X"BF",X"01",X"3E",
X"80",X"32",X"2C",X"4C",X"32",X"C0",X"50",X"3A",X"26",X"4C",X"A7",X"C2",X"0D",X"90",X"3A",X"2C",
X"4C",X"A7",X"20",X"F3",X"C9",X"1A",X"47",X"13",X"1A",X"FE",X"FF",X"C8",X"CD",X"E2",X"01",X"2B",
X"18",X"F5",X"D5",X"E5",X"11",X"00",X"04",X"19",X"70",X"E1",X"D1",X"77",X"C9",X"1A",X"47",X"13",
X"1A",X"FE",X"FF",X"C8",X"CD",X"E2",X"01",X"C5",X"01",X"20",X"00",X"ED",X"42",X"C1",X"18",X"EF",
X"DD",X"7E",X"00",X"CB",X"47",X"28",X"2C",X"CB",X"C7",X"21",X"14",X"4C",X"11",X"56",X"50",X"01",
X"05",X"00",X"ED",X"B0",X"3A",X"2F",X"4C",X"32",X"4A",X"50",X"DD",X"7E",X"17",X"A7",X"28",X"06",
X"CD",X"D6",X"8A",X"DD",X"77",X"17",X"DD",X"7E",X"21",X"3D",X"DD",X"77",X"21",X"C0",X"DD",X"CB",
X"00",X"86",X"C9",X"CB",X"4F",X"20",X"09",X"2A",X"07",X"4C",X"22",X"09",X"4C",X"C3",X"2C",X"04",
X"2A",X"09",X"4C",X"CD",X"BE",X"00",X"A7",X"CA",X"A9",X"00",X"22",X"09",X"4C",X"C3",X"B9",X"00",
X"DD",X"7E",X"00",X"CB",X"47",X"28",X"2A",X"21",X"19",X"4C",X"11",X"5B",X"50",X"01",X"05",X"00",
X"ED",X"B0",X"DD",X"7E",X"1E",X"32",X"4F",X"50",X"DD",X"7E",X"1B",X"A7",X"28",X"06",X"CD",X"D6",
X"8A",X"DD",X"77",X"1B",X"DD",X"7E",X"21",X"3D",X"DD",X"77",X"21",X"C0",X"DD",X"CB",X"00",X"86",
X"C9",X"CB",X"4F",X"20",X"09",X"2A",X"0B",X"4C",X"22",X"0D",X"4C",X"C3",X"A7",X"00",X"2A",X"0D",
X"4C",X"CD",X"BE",X"00",X"A7",X"CA",X"38",X"04",X"22",X"0D",X"4C",X"C3",X"B9",X"00",X"32",X"C0",
X"50",X"C3",X"FB",X"00",X"47",X"3A",X"40",X"50",X"CB",X"47",X"C2",X"B4",X"06",X"3A",X"2D",X"4C",
X"CB",X"4F",X"78",X"C3",X"52",X"01",X"3A",X"40",X"50",X"CB",X"6F",X"20",X"15",X"3A",X"24",X"4C",
X"CB",X"67",X"C0",X"CB",X"E7",X"CD",X"09",X"03",X"00",X"E6",X"10",X"06",X"0F",X"B0",X"32",X"24",
X"4C",X"C9",X"3A",X"24",X"4C",X"CB",X"A7",X"A7",X"C8",X"00",X"3D",X"32",X"24",X"4C",X"21",X"1D",
X"80",X"22",X"0B",X"4C",X"C9",X"21",X"00",X"00",X"22",X"0B",X"4C",X"C9",X"7D",X"A7",X"C2",X"C5",
X"00",X"DD",X"E5",X"C1",X"0C",X"11",X"50",X"50",X"13",X"13",X"13",X"13",X"13",X"0D",X"20",X"F8",
X"21",X"27",X"4C",X"01",X"01",X"00",X"ED",X"B0",X"C9",X"F5",X"3A",X"25",X"4C",X"3C",X"32",X"25",
X"4C",X"47",X"3A",X"80",X"50",X"E6",X"03",X"3C",X"B8",X"20",X"07",X"21",X"26",X"4C",X"34",X"2B",
X"36",X"00",X"F1",X"C9",X"CD",X"B6",X"02",X"CD",X"F2",X"06",X"CD",X"00",X"80",X"35",X"3A",X"26",
X"4C",X"FE",X"0A",X"30",X"05",X"21",X"14",X"40",X"77",X"C9",X"3E",X"46",X"18",X"F7",X"01",X"54",
X"48",X"41",X"4E",X"4B",X"40",X"59",X"4F",X"55",X"40",X"5B",X"FF",X"02",X"01",X"40",X"50",X"4C",
X"41",X"59",X"45",X"52",X"40",X"50",X"55",X"53",X"48",X"40",X"53",X"54",X"41",X"52",X"54",X"40",
X"5B",X"5B",X"FF",X"07",X"54",X"48",X"49",X"53",X"40",X"4D",X"41",X"43",X"48",X"49",X"4E",X"45",
X"FF",X"07",X"49",X"53",X"FF",X"07",X"4F",X"4E",X"4C",X"59",X"40",X"4F",X"4E",X"45",X"40",X"50",
X"4C",X"41",X"59",X"45",X"52",X"FF",X"06",X"48",X"41",X"4E",X"53",X"48",X"49",X"4E",X"40",X"47",
X"4F",X"52",X"41",X"4B",X"55",X"FF",X"0F",X"43",X"52",X"45",X"44",X"49",X"54",X"40",X"FF",X"07",
X"40",X"40",X"42",X"59",X"40",X"26",X"50",X"45",X"4E",X"49",X"26",X"FF",X"3E",X"03",X"84",X"42",
X"4B",X"03",X"09",X"43",X"63",X"03",X"AD",X"42",X"71",X"03",X"0F",X"42",X"75",X"03",X"D1",X"42",
X"86",X"03",X"7D",X"43",X"9F",X"03",X"BD",X"41",X"E7",X"03",X"DF",X"40",X"FF",X"FF",X"DB",X"3A",
X"D5",X"0A",X"5F",X"03",X"0A",X"57",X"03",X"0A",X"6F",X"03",X"0A",X"67",X"C5",X"CD",X"ED",X"01",
X"C1",X"D1",X"03",X"15",X"20",X"EA",X"C9",X"0F",X"01",X"09",X"08",X"03",X"FF",X"31",X"C0",X"4F",
X"CD",X"00",X"01",X"01",X"AC",X"03",X"16",X"08",X"CD",X"D0",X"03",X"21",X"1B",X"40",X"11",X"96",
X"03",X"CD",X"D5",X"01",X"3A",X"2C",X"4C",X"CB",X"5F",X"20",X"1D",X"3E",X"06",X"21",X"7D",X"47",
X"06",X"0E",X"77",X"11",X"20",X"00",X"ED",X"52",X"10",X"F8",X"3A",X"40",X"50",X"CB",X"77",X"20",
X"E3",X"21",X"26",X"4C",X"35",X"C3",X"41",X"04",X"3E",X"02",X"18",X"E1",X"F5",X"ED",X"5F",X"E6",
X"07",X"32",X"2F",X"4C",X"F1",X"C3",X"A7",X"00",X"21",X"00",X"00",X"22",X"0B",X"4C",X"C3",X"A9",
X"00",X"CD",X"9D",X"06",X"AF",X"77",X"23",X"77",X"23",X"77",X"CD",X"D7",X"04",X"3A",X"80",X"50",
X"E6",X"0C",X"0F",X"0F",X"3C",X"32",X"3F",X"4C",X"CD",X"93",X"20",X"18",X"77",X"CD",X"DD",X"9A",
X"00",X"EF",X"72",X"04",X"2E",X"09",X"3A",X"09",X"6F",X"94",X"46",X"09",X"46",X"09",X"46",X"09",
X"46",X"09",X"CD",X"74",X"20",X"22",X"41",X"4C",X"21",X"DC",X"43",X"11",X"C3",X"04",X"CD",X"D5",
X"01",X"21",X"D4",X"43",X"11",X"CA",X"04",X"CD",X"D5",X"01",X"21",X"40",X"44",X"3E",X"0E",X"CD",
X"7C",X"20",X"CF",X"21",X"40",X"40",X"ED",X"5B",X"41",X"4C",X"01",X"02",X"C0",X"C5",X"D5",X"1A",
X"0F",X"0F",X"0F",X"0F",X"E6",X"0F",X"F6",X"F0",X"77",X"13",X"23",X"10",X"F2",X"0D",X"20",X"EF",
X"D1",X"C1",X"1A",X"E6",X"0F",X"F6",X"F0",X"77",X"13",X"23",X"10",X"F6",X"0D",X"20",X"F3",X"CD",
X"20",X"14",X"C9",X"0F",X"53",X"43",X"4F",X"52",X"45",X"FF",X"0F",X"48",X"49",X"40",X"53",X"43",
X"4F",X"52",X"45",X"FF",X"C3",X"BD",X"06",X"3E",X"01",X"32",X"40",X"4C",X"C9",X"00",X"00",X"0B",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"BA",X"00",X"00",X"0C",X"0E",X"BE",X"0E",X"0E",X"0B",
X"00",X"00",X"00",X"00",X"09",X"00",X"00",X"00",X"00",X"0B",X"00",X"00",X"BB",X"00",X"00",X"0B",
X"00",X"00",X"00",X"09",X"00",X"00",X"00",X"A0",X"00",X"00",X"0A",X"00",X"B0",X"00",X"00",X"0D",
X"0F",X"8F",X"0F",X"0F",X"0B",X"00",X"00",X"00",X"00",X"0D",X"0F",X"0F",X"BB",X"00",X"00",X"0D",
X"0F",X"0F",X"0F",X"0B",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"B0",X"00",X"00",X"0C",
X"0E",X"7E",X"0E",X"0E",X"0B",X"00",X"00",X"00",X"00",X"0C",X"0E",X"0E",X"BB",X"00",X"00",X"0C",
X"0E",X"0E",X"0E",X"0B",X"00",X"00",X"00",X"D0",X"F0",X"F0",X"F9",X"F0",X"D0",X"F0",X"F0",X"0A",
X"00",X"00",X"00",X"00",X"0D",X"0F",X"0F",X"0F",X"0F",X"0B",X"00",X"00",X"BB",X"00",X"00",X"0A",
X"00",X"00",X"00",X"0B",X"00",X"00",X"00",X"C9",X"E0",X"E0",X"ED",X"EF",X"CF",X"E0",X"E0",X"00",
X"00",X"00",X"00",X"00",X"0C",X"0E",X"0E",X"0E",X"0E",X"0B",X"00",X"00",X"BB",X"00",X"00",X"00",
X"00",X"00",X"00",X"0B",X"00",X"00",X"00",X"AB",X"00",X"00",X"0E",X"0E",X"AE",X"00",X"00",X"90",
X"00",X"00",X"00",X"00",X"0B",X"D0",X"F0",X"F0",X"F0",X"BA",X"00",X"00",X"BB",X"00",X"00",X"00",
X"00",X"00",X"00",X"9D",X"0F",X"0F",X"0F",X"0B",X"00",X"00",X"00",X"00",X"09",X"00",X"00",X"D9",
X"F0",X"F0",X"F0",X"F0",X"FA",X"C0",X"E0",X"E0",X"E0",X"D0",X"F0",X"F0",X"BB",X"00",X"00",X"00",
X"09",X"00",X"00",X"BC",X"0E",X"0E",X"0E",X"9B",X"00",X"00",X"90",X"00",X"0B",X"00",X"00",X"CB",
X"E0",X"E0",X"E0",X"E0",X"E0",X"B0",X"00",X"00",X"00",X"C0",X"E0",X"E0",X"BB",X"00",X"00",X"00",
X"0B",X"00",X"00",X"DA",X"F0",X"F0",X"F0",X"BB",X"00",X"00",X"D0",X"F0",X"FB",X"F0",X"F0",X"BA",
X"00",X"00",X"00",X"00",X"00",X"B0",X"00",X"00",X"00",X"A0",X"00",X"00",X"BB",X"00",X"00",X"90",
X"0A",X"00",X"00",X"C0",X"E0",X"E0",X"E0",X"BD",X"0F",X"0F",X"CF",X"EF",X"ED",X"EF",X"EF",X"AF",
X"0F",X"0F",X"0F",X"0F",X"0F",X"BF",X"0F",X"0F",X"0F",X"0F",X"00",X"00",X"BB",X"00",X"00",X"D0",
X"F0",X"F0",X"F0",X"B0",X"00",X"00",X"00",X"BC",X"0E",X"0E",X"BE",X"0E",X"0C",X"0E",X"0E",X"0E",
X"0E",X"0E",X"0E",X"0E",X"0E",X"AE",X"0E",X"0E",X"0E",X"0E",X"00",X"00",X"BB",X"00",X"00",X"C0",
X"E0",X"E0",X"E0",X"A0",X"00",X"00",X"00",X"DA",X"F0",X"F0",X"B0",X"00",X"0B",X"00",X"00",X"00",
X"00",X"00",X"D0",X"F0",X"F0",X"F0",X"F0",X"F0",X"F0",X"F0",X"F0",X"F0",X"BB",X"00",X"00",X"B0",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"C0",X"E0",X"E0",X"B0",X"00",X"0B",X"00",X"00",X"00",
X"00",X"00",X"C0",X"E0",X"E0",X"E0",X"E0",X"E0",X"E0",X"C0",X"E0",X"E0",X"BB",X"00",X"00",X"B0",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"B9",X"00",X"00",X"D0",X"F0",X"FB",X"F0",X"F0",X"90",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"B0",X"00",X"00",X"BB",X"CD",X"00",X"01",
X"21",X"1B",X"40",X"11",X"96",X"03",X"CD",X"D5",X"01",X"21",X"3C",X"4C",X"C9",X"3A",X"2E",X"4C",
X"47",X"C3",X"AD",X"02",X"78",X"21",X"2D",X"4C",X"CB",X"8E",X"C3",X"5C",X"01",X"CD",X"12",X"0D",
X"CD",X"01",X"07",X"CD",X"E0",X"06",X"CD",X"0C",X"0A",X"CD",X"14",X"10",X"CD",X"ED",X"13",X"CD",
X"B7",X"16",X"C3",X"B9",X"1C",X"CD",X"62",X"01",X"3A",X"2E",X"4C",X"FE",X"0F",X"C0",X"E1",X"C9",
X"21",X"66",X"18",X"22",X"3A",X"4C",X"21",X"20",X"0E",X"22",X"FC",X"4F",X"21",X"2D",X"4C",X"CB",
X"C6",X"C9",X"CD",X"5F",X"07",X"21",X"30",X"4C",X"11",X"62",X"50",X"01",X"0C",X"00",X"ED",X"B0",
X"C9",X"CD",X"C4",X"8F",X"22",X"03",X"4C",X"21",X"92",X"83",X"22",X"07",X"4C",X"C9",X"2A",X"3A",
X"4C",X"45",X"4C",X"C5",X"79",X"3D",X"2F",X"CB",X"3F",X"CB",X"3F",X"CB",X"3F",X"4F",X"78",X"D6",
X"06",X"CB",X"3F",X"CB",X"3F",X"E6",X"FE",X"0F",X"0F",X"0F",X"0F",X"5F",X"E6",X"0F",X"26",X"40",
X"B4",X"67",X"7B",X"E6",X"F0",X"B1",X"6F",X"C1",X"C9",X"F5",X"7D",X"E6",X"1F",X"CB",X"27",X"CB",
X"27",X"CB",X"27",X"ED",X"44",X"4F",X"7C",X"E6",X"03",X"0F",X"0F",X"0F",X"0F",X"57",X"7D",X"E6",
X"E0",X"0F",X"0F",X"0F",X"0F",X"B2",X"CB",X"27",X"CB",X"27",X"C6",X"06",X"47",X"F1",X"C9",X"3A",
X"2D",X"4C",X"CB",X"47",X"C8",X"3A",X"43",X"4C",X"A7",X"C2",X"73",X"08",X"CD",X"7F",X"1B",X"CB",
X"6F",X"C2",X"FF",X"1A",X"F5",X"CD",X"0E",X"07",X"22",X"45",X"4C",X"F1",X"EF",X"85",X"07",X"FD",
X"07",X"2A",X"08",X"42",X"08",X"2A",X"45",X"4C",X"23",X"7E",X"FE",X"FC",X"28",X"04",X"FE",X"FE",
X"C0",X"00",X"21",X"44",X"4C",X"CB",X"C6",X"AF",X"32",X"47",X"4C",X"3E",X"08",X"C3",X"C5",X"0F",
X"28",X"3A",X"2E",X"4C",X"E6",X"0F",X"EF",X"AF",X"07",X"C4",X"07",X"D7",X"07",X"EA",X"07",X"3A",
X"48",X"4C",X"3C",X"32",X"48",X"4C",X"CB",X"47",X"28",X"06",X"3E",X"2C",X"32",X"FC",X"4F",X"C9",
X"3E",X"30",X"18",X"F8",X"3A",X"49",X"4C",X"3C",X"32",X"49",X"4C",X"CB",X"47",X"28",X"04",X"3E",
X"22",X"18",X"E9",X"3E",X"26",X"18",X"E5",X"3A",X"4A",X"4C",X"3C",X"32",X"4A",X"4C",X"CB",X"47",
X"28",X"04",X"3E",X"2C",X"18",X"D6",X"3E",X"30",X"18",X"D2",X"3A",X"4B",X"4C",X"3C",X"32",X"4B",
X"4C",X"CB",X"47",X"28",X"04",X"3E",X"20",X"18",X"C3",X"3E",X"24",X"18",X"BF",X"2A",X"45",X"4C",
X"23",X"23",X"CD",X"64",X"09",X"28",X"1C",X"FE",X"F6",X"CD",X"39",X"26",X"FE",X"CA",X"28",X"05",
X"FE",X"CF",X"C2",X"91",X"1C",X"7C",X"CD",X"0A",X"09",X"CD",X"92",X"0A",X"CB",X"86",X"3E",X"01",
X"C3",X"98",X"07",X"CD",X"D3",X"14",X"CB",X"CE",X"18",X"F4",X"CD",X"05",X"09",X"23",X"7E",X"FE",
X"FC",X"28",X"05",X"FE",X"FE",X"C2",X"FE",X"0B",X"21",X"44",X"4C",X"CB",X"C6",X"3E",X"02",X"C3",
X"98",X"07",X"2A",X"45",X"4C",X"23",X"23",X"E7",X"CD",X"6E",X"09",X"28",X"1F",X"FE",X"F6",X"D2",
X"88",X"1C",X"7D",X"FE",X"40",X"D2",X"88",X"1C",X"00",X"00",X"00",X"00",X"7C",X"FE",X"40",X"CA",
X"19",X"09",X"CD",X"A1",X"0A",X"CB",X"86",X"3E",X"03",X"C3",X"98",X"07",X"CD",X"D3",X"14",X"CB",
X"CE",X"18",X"F4",X"21",X"44",X"4C",X"CB",X"4E",X"28",X"1F",X"FE",X"01",X"20",X"1B",X"3A",X"89",
X"4C",X"3D",X"32",X"89",X"4C",X"00",X"2A",X"3A",X"4C",X"25",X"22",X"3A",X"4C",X"CD",X"DB",X"14",
X"00",X"21",X"44",X"4C",X"CB",X"8E",X"CB",X"E6",X"C9",X"3D",X"32",X"43",X"4C",X"CD",X"2C",X"1B",
X"EF",X"A9",X"08",X"C9",X"08",X"E4",X"08",X"EA",X"08",X"2A",X"3A",X"4C",X"24",X"3A",X"43",X"4C",
X"FE",X"06",X"30",X"0D",X"FE",X"03",X"30",X"0D",X"3E",X"2C",X"32",X"FC",X"4F",X"22",X"3A",X"4C",
X"C9",X"3E",X"30",X"18",X"F5",X"3E",X"34",X"18",X"F1",X"2A",X"3A",X"4C",X"2C",X"3A",X"43",X"4C",
X"FE",X"06",X"30",X"08",X"FE",X"03",X"30",X"08",X"3E",X"22",X"18",X"DE",X"3E",X"26",X"18",X"DA",
X"3E",X"2A",X"18",X"D6",X"2A",X"3A",X"4C",X"25",X"18",X"C3",X"2A",X"3A",X"4C",X"2D",X"3A",X"43",
X"4C",X"FE",X"06",X"30",X"08",X"FE",X"03",X"30",X"08",X"3E",X"20",X"18",X"BD",X"3E",X"24",X"18",
X"B9",X"3E",X"28",X"18",X"B5",X"2A",X"45",X"4C",X"23",X"C9",X"FE",X"43",X"C0",X"E1",X"3E",X"01",
X"32",X"47",X"4C",X"3E",X"28",X"32",X"43",X"4C",X"C9",X"3E",X"03",X"32",X"47",X"4C",X"3E",X"28",
X"32",X"43",X"4C",X"C9",X"21",X"52",X"9C",X"22",X"4C",X"4C",X"21",X"DD",X"04",X"C9",X"21",X"64",
X"22",X"22",X"4C",X"4C",X"21",X"96",X"20",X"C3",X"1D",X"26",X"21",X"2E",X"8A",X"22",X"4C",X"4C",
X"21",X"6E",X"88",X"C3",X"89",X"8A",X"21",X"64",X"34",X"22",X"4C",X"4C",X"21",X"A4",X"32",X"C3",
X"CC",X"34",X"CD",X"5D",X"04",X"2A",X"4C",X"4C",X"46",X"23",X"7E",X"5F",X"23",X"56",X"23",X"7E",
X"12",X"10",X"F6",X"C9",X"7E",X"FE",X"FE",X"28",X"03",X"FE",X"F0",X"C9",X"E1",X"C9",X"7E",X"FE",
X"FF",X"28",X"03",X"FE",X"F0",X"C9",X"E1",X"C9",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"3A",X"44",X"4C",X"CB",X"6F",X"C0",X"CB",X"57",X"C0",X"3A",X"47",X"4C",X"E6",X"0F",X"FE",
X"01",X"28",X"26",X"CD",X"0E",X"07",X"23",X"E7",X"E7",X"7E",X"FE",X"0A",X"CD",X"52",X"0A",X"22",
X"51",X"4C",X"CD",X"E4",X"0A",X"CB",X"D6",X"CB",X"9E",X"3E",X"0C",X"32",X"FC",X"4F",X"21",X"F3",
X"09",X"CD",X"76",X"26",X"AF",X"32",X"02",X"4C",X"C9",X"CD",X"0E",X"07",X"23",X"DF",X"7E",X"FE",
X"0A",X"CD",X"72",X"0A",X"00",X"22",X"51",X"4C",X"CD",X"E4",X"0A",X"CB",X"D6",X"CB",X"DE",X"3E",
X"0E",X"18",X"D8",X"07",X"D2",X"00",X"0F",X"1F",X"10",X"21",X"B1",X"43",X"06",X"0A",X"36",X"14",
X"E5",X"11",X"00",X"04",X"19",X"36",X"0F",X"E1",X"23",X"10",X"F3",X"C9",X"3A",X"50",X"4C",X"A7",
X"28",X"05",X"3D",X"32",X"50",X"4C",X"C9",X"3E",X"10",X"32",X"50",X"4C",X"3A",X"44",X"4C",X"CB",
X"57",X"C2",X"9A",X"0B",X"CB",X"6F",X"C2",X"F5",X"0A",X"3A",X"4E",X"4C",X"A7",X"28",X"05",X"3D",
X"32",X"4E",X"4C",X"C9",X"3E",X"10",X"32",X"4E",X"4C",X"3A",X"4F",X"4C",X"FE",X"0A",X"20",X"01",
X"AF",X"3C",X"32",X"4F",X"4C",X"CD",X"F9",X"09",X"21",X"BA",X"47",X"36",X"01",X"2B",X"3D",X"20",
X"FA",X"C9",X"30",X"1A",X"E5",X"3A",X"3A",X"4C",X"FE",X"27",X"D2",X"A7",X"22",X"E1",X"C1",X"2B",
X"00",X"22",X"51",X"4C",X"CD",X"B0",X"0A",X"CB",X"EE",X"CB",X"96",X"C3",X"C7",X"09",X"E1",X"C3",
X"C9",X"09",X"30",X"1A",X"E5",X"3A",X"3A",X"4C",X"FE",X"E6",X"DA",X"B3",X"22",X"00",X"E1",X"C1",
X"2B",X"22",X"51",X"4C",X"CD",X"B0",X"0A",X"CB",X"EE",X"CB",X"96",X"C3",X"ED",X"09",X"E1",X"C3",
X"EF",X"09",X"2B",X"DF",X"7E",X"FE",X"0A",X"30",X"04",X"E1",X"C3",X"96",X"0B",X"21",X"44",X"4C",
X"C9",X"2B",X"E7",X"7E",X"FE",X"0A",X"30",X"04",X"E1",X"C3",X"8E",X"0B",X"21",X"44",X"4C",X"C9",
X"3E",X"28",X"32",X"53",X"4C",X"23",X"7E",X"36",X"F0",X"2B",X"CD",X"F2",X"0C",X"68",X"61",X"22",
X"38",X"4C",X"CD",X"D1",X"0A",X"32",X"FA",X"4F",X"3E",X"08",X"32",X"FB",X"4F",X"21",X"44",X"4C",
X"C9",X"32",X"54",X"4C",X"06",X"38",X"A7",X"28",X"09",X"F5",X"3E",X"04",X"80",X"47",X"F1",X"3D",
X"20",X"F7",X"78",X"C9",X"3A",X"4F",X"4C",X"06",X"08",X"F5",X"3E",X"08",X"80",X"47",X"F1",X"3D",
X"20",X"F7",X"78",X"18",X"BD",X"3A",X"44",X"4C",X"CB",X"5F",X"20",X"66",X"3A",X"53",X"4C",X"3D",
X"32",X"53",X"4C",X"2A",X"38",X"4C",X"FE",X"28",X"30",X"1E",X"FE",X"20",X"30",X"1D",X"FE",X"10",
X"30",X"1D",X"FE",X"08",X"30",X"1C",X"A7",X"20",X"0A",X"3A",X"44",X"4C",X"00",X"00",X"CB",X"AF",
X"C3",X"36",X"0B",X"25",X"22",X"38",X"4C",X"C9",X"24",X"18",X"F9",X"24",X"2C",X"18",X"F5",X"2C",
X"18",X"F2",X"2C",X"25",X"18",X"EE",X"32",X"44",X"4C",X"E5",X"CD",X"89",X"0B",X"23",X"23",X"CD",
X"E0",X"0C",X"28",X"11",X"2B",X"CD",X"FC",X"0C",X"77",X"E1",X"C9",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"E1",X"25",X"22",X"38",X"4C",X"21",X"44",X"4C",X"CB",X"F6",X"CB",
X"D6",X"C9",X"3A",X"53",X"4C",X"3D",X"32",X"53",X"4C",X"2A",X"38",X"4C",X"FE",X"28",X"30",X"B8",
X"FE",X"20",X"30",X"0A",X"FE",X"10",X"30",X"0A",X"FE",X"08",X"30",X"09",X"18",X"98",X"24",X"2D",
X"18",X"A2",X"2D",X"18",X"9F",X"2D",X"25",X"18",X"9B",X"45",X"4C",X"C3",X"13",X"07",X"3E",X"03",
X"32",X"47",X"4C",X"C3",X"67",X"0C",X"3E",X"01",X"18",X"F6",X"CB",X"77",X"CA",X"DB",X"0B",X"CD",
X"73",X"11",X"3A",X"55",X"4C",X"A7",X"28",X"09",X"25",X"3D",X"32",X"55",X"4C",X"22",X"38",X"4C",
X"C9",X"CD",X"89",X"0B",X"23",X"23",X"7E",X"CD",X"81",X"0C",X"00",X"3E",X"08",X"32",X"55",X"4C",
X"C9",X"2B",X"CD",X"54",X"1A",X"77",X"C9",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"3A",X"44",X"4C",X"E6",X"9B",X"32",X"44",X"4C",X"C9",X"CB",X"5F",X"28",X"52",X"3A",
X"53",X"4C",X"3D",X"32",X"53",X"4C",X"FE",X"08",X"D2",X"26",X"0C",X"2A",X"38",X"4C",X"CD",X"89",
X"0B",X"23",X"23",X"7E",X"FE",X"F6",X"30",X"7A",X"DF",X"7E",X"FE",X"F6",X"18",X"0B",X"FE",X"0A",
X"D2",X"A1",X"07",X"C3",X"38",X"08",X"FF",X"FF",X"FF",X"AF",X"32",X"53",X"4C",X"32",X"55",X"4C",
X"21",X"44",X"4C",X"CB",X"F6",X"C9",X"2B",X"3A",X"54",X"4C",X"77",X"21",X"00",X"00",X"22",X"FA",
X"4F",X"22",X"38",X"4C",X"18",X"AC",X"CD",X"73",X"11",X"2A",X"38",X"4C",X"2C",X"C3",X"B0",X"0C",
X"FE",X"3A",X"53",X"4C",X"3D",X"32",X"53",X"4C",X"FE",X"08",X"30",X"20",X"2A",X"38",X"4C",X"CD",
X"89",X"0B",X"23",X"23",X"7E",X"FE",X"F6",X"30",X"29",X"E7",X"7E",X"FE",X"F6",X"30",X"BA",X"CD",
X"78",X"0C",X"CB",X"97",X"00",X"00",X"CB",X"EF",X"32",X"44",X"4C",X"C9",X"CD",X"73",X"11",X"2A",
X"38",X"4C",X"2D",X"C3",X"8B",X"0C",X"C9",X"3A",X"44",X"4C",X"CB",X"87",X"32",X"44",X"4C",X"C3",
X"A1",X"07",X"FE",X"FE",X"38",X"A0",X"18",X"80",X"3E",X"10",X"32",X"53",X"4C",X"3A",X"44",X"4C",
X"C9",X"FE",X"15",X"D8",X"FE",X"FE",X"D0",X"00",X"C3",X"8F",X"0D",X"7D",X"FE",X"17",X"D8",X"22",
X"38",X"4C",X"CD",X"D5",X"0C",X"FE",X"08",X"28",X"03",X"00",X"A7",X"C0",X"CD",X"89",X"0B",X"23",
X"E7",X"7E",X"FE",X"0A",X"D0",X"DF",X"23",X"7E",X"FE",X"F6",X"DA",X"09",X"0C",X"C3",X"16",X"0C",
X"7D",X"FE",X"EF",X"D0",X"22",X"38",X"4C",X"CD",X"D5",X"0C",X"FE",X"08",X"28",X"03",X"00",X"A7",
X"C0",X"CD",X"89",X"0B",X"23",X"DF",X"7E",X"FE",X"0A",X"D0",X"E7",X"23",X"7E",X"FE",X"F6",X"DA",
X"09",X"0C",X"C3",X"16",X"0C",X"3A",X"53",X"4C",X"E6",X"0F",X"C9",X"32",X"44",X"4C",X"3E",X"09",
X"7E",X"FE",X"15",X"38",X"0B",X"FE",X"F0",X"28",X"07",X"FE",X"FE",X"30",X"03",X"AF",X"3D",X"C9",
X"AF",X"C9",X"F5",X"E5",X"7E",X"23",X"77",X"E1",X"F1",X"C3",X"39",X"07",X"E5",X"3A",X"38",X"4C",
X"FE",X"16",X"38",X"09",X"FE",X"EE",X"30",X"05",X"CD",X"54",X"1A",X"E1",X"C9",X"E1",X"C1",X"C3",
X"49",X"0B",X"CD",X"53",X"0D",X"21",X"58",X"0D",X"3D",X"23",X"20",X"FC",X"7E",X"32",X"56",X"4C",
X"32",X"57",X"4C",X"21",X"5F",X"0D",X"CD",X"53",X"0D",X"3D",X"23",X"20",X"FC",X"5E",X"23",X"16",
X"0D",X"EB",X"11",X"30",X"4C",X"01",X"0A",X"00",X"ED",X"B0",X"CD",X"9F",X"0D",X"11",X"F2",X"4F",
X"01",X"0A",X"00",X"ED",X"B0",X"CD",X"53",X"0D",X"21",X"96",X"0D",X"3D",X"23",X"20",X"FC",X"7E",
X"C3",X"27",X"10",X"CD",X"87",X"0D",X"E6",X"07",X"C9",X"80",X"60",X"55",X"50",X"40",X"35",X"30",
X"67",X"6F",X"77",X"77",X"7F",X"7F",X"7F",X"36",X"98",X"26",X"C0",X"C6",X"98",X"D6",X"C0",X"26",
X"98",X"26",X"C0",X"86",X"C0",X"C6",X"D8",X"2E",X"80",X"86",X"A8",X"66",X"F8",X"C6",X"C0",X"4E",
X"F0",X"4E",X"98",X"8E",X"B8",X"96",X"98",X"3A",X"40",X"4C",X"E6",X"07",X"C0",X"3C",X"C9",X"FE",
X"F0",X"C8",X"C1",X"C3",X"C1",X"0B",X"FF",X"09",X"08",X"08",X"07",X"06",X"06",X"06",X"FF",X"3A",
X"40",X"4C",X"CB",X"47",X"28",X"04",X"21",X"AE",X"0D",X"C9",X"21",X"B6",X"0D",X"C9",X"12",X"08",
X"16",X"0A",X"14",X"0D",X"10",X"05",X"18",X"08",X"1C",X"0A",X"18",X"0D",X"1C",X"05",X"3A",X"57",
X"4C",X"3D",X"32",X"57",X"4C",X"C0",X"3A",X"56",X"4C",X"32",X"57",X"4C",X"DD",X"21",X"30",X"4C",
X"CD",X"52",X"0E",X"DD",X"23",X"DD",X"23",X"DD",X"E5",X"E1",X"7D",X"FE",X"38",X"20",X"F1",X"C9",
X"CD",X"0E",X"07",X"EB",X"D5",X"DD",X"46",X"00",X"DD",X"4E",X"01",X"CD",X"13",X"07",X"D1",X"E5",
X"D5",X"7D",X"E6",X"1F",X"6F",X"7B",X"E6",X"1F",X"BD",X"28",X"4D",X"38",X"41",X"DD",X"CB",X"31",
X"86",X"DD",X"CB",X"31",X"CE",X"D1",X"E1",X"7C",X"BA",X"28",X"17",X"ED",X"52",X"FA",X"19",X"0E",
X"DD",X"CB",X"31",X"D6",X"DD",X"CB",X"31",X"9E",X"C9",X"DD",X"CB",X"31",X"DE",X"DD",X"CB",X"31",
X"96",X"C9",X"7D",X"93",X"F2",X"29",X"0E",X"3D",X"2F",X"FE",X"20",X"38",X"08",X"18",X"DC",X"00",
X"00",X"00",X"00",X"00",X"00",X"DD",X"7E",X"31",X"E6",X"F3",X"DD",X"77",X"31",X"C9",X"DD",X"CB",
X"31",X"C6",X"DD",X"CB",X"31",X"8E",X"18",X"BD",X"DD",X"7E",X"31",X"E6",X"FC",X"DD",X"77",X"31",
X"18",X"B3",X"CD",X"63",X"23",X"CB",X"4F",X"C2",X"CC",X"11",X"CB",X"7F",X"C0",X"CB",X"47",X"C2",
X"40",X"12",X"CB",X"57",X"C2",X"FA",X"11",X"CD",X"E9",X"36",X"CD",X"3C",X"15",X"FE",X"06",X"28",
X"04",X"FE",X"0E",X"20",X"0A",X"7C",X"E6",X"0F",X"FE",X"08",X"28",X"49",X"A7",X"28",X"46",X"DD",
X"7E",X"2A",X"CB",X"7F",X"20",X"12",X"E6",X"03",X"EF",X"91",X"0E",X"B3",X"0E",X"B9",X"0E",X"BF",
X"0E",X"DD",X"7E",X"01",X"3C",X"DD",X"77",X"01",X"DD",X"7E",X"3E",X"3C",X"DD",X"77",X"3E",X"FE",
X"04",X"C0",X"DD",X"7E",X"32",X"CB",X"57",X"20",X"06",X"CB",X"D7",X"CD",X"00",X"10",X"C9",X"CB",
X"97",X"18",X"F8",X"DD",X"7E",X"00",X"C3",X"E1",X"0F",X"DD",X"7E",X"01",X"3D",X"18",X"D6",X"DD",
X"7E",X"00",X"C3",X"E8",X"0F",X"CD",X"E0",X"0D",X"DD",X"7E",X"31",X"E6",X"0F",X"CC",X"DE",X"36",
X"F6",X"FF",X"DD",X"77",X"3E",X"CD",X"2C",X"11",X"18",X"A5",X"DD",X"46",X"00",X"DD",X"4E",X"01",
X"CD",X"13",X"07",X"22",X"6C",X"4C",X"DD",X"7E",X"2A",X"E6",X"01",X"20",X"04",X"CD",X"C6",X"10",
X"C0",X"CD",X"DB",X"10",X"CB",X"6F",X"C2",X"32",X"10",X"CB",X"67",X"C2",X"32",X"10",X"E6",X"03",
X"CA",X"A0",X"0F",X"2A",X"6C",X"4C",X"CD",X"D6",X"0F",X"28",X"43",X"2A",X"6C",X"4C",X"CD",X"FE",
X"10",X"CA",X"F2",X"0F",X"CD",X"EB",X"0F",X"EF",X"20",X"0F",X"33",X"0F",X"3C",X"0F",X"45",X"0F",
X"DD",X"7E",X"31",X"CB",X"FF",X"CB",X"B7",X"DD",X"77",X"31",X"78",X"3C",X"3C",X"E6",X"03",X"DD",
X"77",X"2A",X"C9",X"DD",X"7E",X"31",X"CB",X"E7",X"CB",X"AF",X"18",X"EB",X"DD",X"7E",X"31",X"CB",
X"F7",X"CB",X"BF",X"18",X"E2",X"DD",X"7E",X"31",X"CB",X"EF",X"CB",X"A7",X"18",X"D9",X"DD",X"7E",
X"31",X"CB",X"47",X"28",X"05",X"AF",X"DD",X"77",X"2A",X"C9",X"3E",X"02",X"DD",X"77",X"2A",X"C9",
X"DD",X"7E",X"31",X"E6",X"0C",X"28",X"35",X"CB",X"57",X"23",X"23",X"20",X"0B",X"DF",X"7E",X"FE",
X"FE",X"38",X"56",X"AF",X"3D",X"C9",X"AF",X"C9",X"E7",X"E7",X"18",X"F2",X"CB",X"47",X"23",X"28",
X"0E",X"7E",X"FE",X"FC",X"28",X"07",X"FE",X"FE",X"28",X"03",X"AF",X"3D",X"C9",X"AF",X"C9",X"23",
X"7E",X"FE",X"FC",X"28",X"F8",X"FE",X"FE",X"28",X"F4",X"AF",X"3D",X"C9",X"C3",X"EF",X"10",X"28",
X"2A",X"6C",X"4C",X"CD",X"60",X"0F",X"28",X"0C",X"CD",X"14",X"0F",X"DD",X"7E",X"29",X"CB",X"DF",
X"DD",X"77",X"29",X"C9",X"DD",X"7E",X"31",X"CB",X"57",X"20",X"06",X"3E",X"01",X"DD",X"77",X"2A",
X"C9",X"3E",X"03",X"18",X"F8",X"32",X"43",X"4C",X"C9",X"FE",X"F6",X"38",X"A6",X"AF",X"C9",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"DD",X"7E",X"29",X"CB",X"67",X"C0",X"DD",X"7E",X"31",X"18",
X"9B",X"3C",X"DD",X"77",X"00",X"C3",X"98",X"0E",X"3D",X"18",X"F7",X"DD",X"7E",X"2A",X"47",X"E6",
X"03",X"C9",X"DD",X"7E",X"29",X"CB",X"A7",X"DD",X"77",X"29",X"C3",X"8A",X"10",X"FF",X"FF",X"FF",
X"DD",X"77",X"32",X"21",X"62",X"4C",X"11",X"F2",X"4F",X"01",X"04",X"00",X"ED",X"A0",X"23",X"13",
X"EA",X"0C",X"10",X"C9",X"3A",X"6A",X"4C",X"A7",X"28",X"05",X"3D",X"CD",X"D6",X"12",X"C9",X"3E",
X"01",X"32",X"6A",X"4C",X"C3",X"BE",X"0D",X"32",X"58",X"4C",X"21",X"F2",X"4F",X"11",X"62",X"4C",
X"18",X"D7",X"DD",X"7E",X"29",X"CB",X"5F",X"2A",X"6C",X"4C",X"C2",X"50",X"10",X"DD",X"7E",X"31",
X"CD",X"DC",X"0F",X"20",X"3C",X"DD",X"7E",X"31",X"E6",X"CF",X"DD",X"77",X"31",X"C3",X"4E",X"0F",
X"ED",X"5F",X"CB",X"57",X"2A",X"6C",X"4C",X"23",X"20",X"1E",X"CD",X"8F",X"0F",X"C2",X"81",X"10",
X"3E",X"02",X"DD",X"77",X"2A",X"DD",X"7E",X"29",X"00",X"00",X"CB",X"E7",X"DD",X"77",X"29",X"DD",
X"7E",X"31",X"E6",X"CF",X"DD",X"77",X"31",X"C9",X"CD",X"81",X"0F",X"C2",X"81",X"10",X"AF",X"18",
X"E1",X"2A",X"6C",X"4C",X"CD",X"A3",X"10",X"C2",X"BB",X"10",X"DD",X"7E",X"2A",X"CB",X"47",X"C0",
X"00",X"DD",X"7E",X"31",X"CB",X"57",X"20",X"06",X"3E",X"01",X"DD",X"77",X"2A",X"C9",X"3E",X"03",
X"18",X"F8",X"C9",X"DD",X"7E",X"2A",X"23",X"23",X"FE",X"01",X"20",X"0B",X"DF",X"7E",X"FE",X"F6",
X"30",X"03",X"AF",X"3D",X"C9",X"AF",X"C9",X"E7",X"E7",X"18",X"F2",X"DD",X"7E",X"29",X"CB",X"DF",
X"DD",X"77",X"29",X"C3",X"14",X"0F",X"DD",X"7E",X"31",X"E6",X"03",X"28",X"03",X"C3",X"60",X"0F",
X"DD",X"7E",X"29",X"CB",X"EF",X"DD",X"77",X"29",X"C3",X"60",X"0F",X"DD",X"7E",X"29",X"CB",X"6F",
X"20",X"04",X"DD",X"7E",X"31",X"C9",X"CB",X"AF",X"CB",X"E7",X"DD",X"77",X"29",X"18",X"F3",X"CD",
X"26",X"11",X"7E",X"FE",X"FE",X"30",X"04",X"FE",X"F6",X"30",X"10",X"AF",X"3D",X"C9",X"DD",X"7E",
X"31",X"E6",X"0C",X"28",X"1D",X"CD",X"91",X"10",X"C3",X"1C",X"11",X"ED",X"5F",X"CB",X"47",X"20",
X"07",X"3E",X"01",X"DD",X"77",X"2A",X"AF",X"C9",X"3E",X"03",X"18",X"F7",X"2A",X"6C",X"4C",X"C3",
X"A3",X"10",X"C1",X"C3",X"14",X"0F",X"2A",X"6C",X"4C",X"23",X"23",X"C9",X"CD",X"DA",X"0E",X"DD",
X"7E",X"2A",X"CB",X"47",X"00",X"00",X"FE",X"01",X"28",X"11",X"3A",X"40",X"4C",X"CB",X"47",X"28",
X"06",X"3E",X"10",X"C3",X"00",X"10",X"00",X"3E",X"18",X"18",X"F8",X"3A",X"40",X"4C",X"CB",X"47",
X"28",X"04",X"3E",X"12",X"18",X"ED",X"3E",X"1A",X"18",X"E9",X"E6",X"0F",X"EF",X"65",X"11",X"6B",
X"11",X"65",X"11",X"6F",X"11",X"3E",X"2C",X"32",X"FC",X"4F",X"C9",X"3E",X"22",X"18",X"F8",X"3E",
X"20",X"18",X"F4",X"2A",X"38",X"4C",X"E5",X"DD",X"21",X"30",X"4C",X"DD",X"56",X"00",X"DD",X"5E",
X"01",X"CD",X"92",X"11",X"DD",X"23",X"DD",X"23",X"DD",X"E5",X"D1",X"7B",X"FE",X"38",X"20",X"EB",
X"E1",X"C9",X"DD",X"7E",X"29",X"CB",X"4F",X"C0",X"7C",X"93",X"F2",X"9F",X"11",X"ED",X"44",X"FE",
X"09",X"D0",X"7D",X"92",X"28",X"0C",X"38",X"18",X"7D",X"92",X"F2",X"B1",X"11",X"ED",X"44",X"FE",
X"09",X"D0",X"DD",X"7E",X"29",X"CB",X"57",X"C0",X"CD",X"9A",X"1E",X"CB",X"CF",X"C3",X"83",X"13",
X"7D",X"92",X"F2",X"C7",X"11",X"ED",X"44",X"FE",X"11",X"D0",X"18",X"E6",X"DD",X"7E",X"3E",X"3C",
X"DD",X"77",X"3E",X"CB",X"47",X"C0",X"DD",X"7E",X"3F",X"3D",X"DD",X"77",X"3F",X"06",X"E0",X"28",
X"0D",X"F5",X"3E",X"04",X"80",X"47",X"F1",X"3D",X"20",X"F7",X"78",X"C3",X"00",X"10",X"DD",X"7E",
X"29",X"CB",X"8F",X"CB",X"D7",X"DD",X"77",X"29",X"18",X"F0",X"DD",X"7E",X"01",X"FE",X"19",X"DA",
X"15",X"12",X"3D",X"DD",X"77",X"01",X"CD",X"2F",X"11",X"DD",X"7E",X"32",X"3C",X"3C",X"3C",X"DD",
X"77",X"32",X"C3",X"00",X"10",X"DD",X"7E",X"29",X"CB",X"C7",X"CB",X"97",X"DD",X"77",X"29",X"CD",
X"70",X"12",X"CD",X"50",X"13",X"2E",X"FF",X"DD",X"75",X"46",X"CD",X"70",X"12",X"DD",X"70",X"32",
X"C3",X"03",X"10",X"DD",X"7E",X"29",X"CB",X"FF",X"DD",X"77",X"29",X"21",X"4D",X"81",X"C9",X"4F",
X"14",X"00",X"DD",X"7E",X"46",X"3D",X"DD",X"77",X"46",X"28",X"51",X"FE",X"55",X"30",X"07",X"ED",
X"5F",X"E6",X"07",X"CD",X"91",X"12",X"DD",X"7E",X"00",X"FE",X"1E",X"28",X"0F",X"3D",X"DD",X"77",
X"00",X"CB",X"4F",X"DD",X"7E",X"01",X"20",X"05",X"3C",X"DD",X"77",X"01",X"C9",X"3D",X"18",X"F9",
X"3A",X"40",X"4C",X"21",X"87",X"12",X"3D",X"23",X"23",X"20",X"FB",X"00",X"00",X"7D",X"FE",X"91",
X"38",X"03",X"21",X"8F",X"12",X"7E",X"23",X"46",X"C9",X"30",X"60",X"40",X"64",X"50",X"68",X"60",
X"6C",X"3C",X"DD",X"E5",X"E1",X"11",X"C3",X"03",X"19",X"77",X"C9",X"A9",X"3A",X"58",X"4C",X"3D",
X"CD",X"7C",X"13",X"FE",X"04",X"DD",X"7E",X"29",X"30",X"05",X"CB",X"F7",X"C3",X"E2",X"13",X"E6",
X"FE",X"DD",X"77",X"29",X"3E",X"01",X"DD",X"77",X"2A",X"CD",X"2F",X"11",X"21",X"1E",X"18",X"DD",
X"75",X"00",X"DD",X"74",X"01",X"C9",X"DD",X"6E",X"00",X"DD",X"66",X"01",X"C9",X"CB",X"D6",X"CD",
X"60",X"32",X"32",X"9E",X"4C",X"C9",X"32",X"6A",X"4C",X"3A",X"BE",X"4C",X"CB",X"57",X"C8",X"3A",
X"9E",X"4C",X"C3",X"73",X"32",X"32",X"58",X"4C",X"C9",X"C3",X"63",X"94",X"11",X"7E",X"4C",X"1A",
X"86",X"27",X"77",X"23",X"13",X"1A",X"8E",X"27",X"77",X"23",X"13",X"1A",X"8E",X"27",X"77",X"11",
X"F7",X"43",X"AF",X"12",X"1E",X"FD",X"21",X"3E",X"4C",X"7E",X"4F",X"E6",X"F0",X"28",X"05",X"0F",
X"0F",X"0F",X"0F",X"12",X"1B",X"79",X"E6",X"0F",X"28",X"01",X"12",X"06",X"02",X"4E",X"2B",X"1B",
X"79",X"A7",X"7E",X"20",X"05",X"E6",X"F0",X"28",X"08",X"7E",X"0F",X"0F",X"0F",X"0F",X"E6",X"0F",
X"12",X"1B",X"79",X"A7",X"20",X"0A",X"7E",X"E6",X"F0",X"20",X"05",X"7E",X"E6",X"0F",X"28",X"04",
X"7E",X"E6",X"0F",X"12",X"10",X"D7",X"21",X"7E",X"4C",X"AF",X"77",X"23",X"77",X"23",X"18",X"06",
X"32",X"7E",X"4C",X"C3",X"E9",X"12",X"77",X"3A",X"81",X"4C",X"CB",X"47",X"C0",X"3A",X"3D",X"4C",
X"FE",X"10",X"D8",X"3E",X"FA",X"CD",X"F2",X"9A",X"00",X"CD",X"CD",X"12",X"3A",X"3F",X"4C",X"3C",
X"32",X"3F",X"4C",X"CD",X"48",X"1E",X"21",X"81",X"4C",X"CB",X"C6",X"C9",X"FE",X"02",X"C8",X"C3",
X"E5",X"12",X"12",X"DD",X"77",X"29",X"3E",X"08",X"DD",X"77",X"3F",X"CD",X"1C",X"90",X"22",X"0B",
X"4C",X"AF",X"32",X"02",X"4C",X"C9",X"05",X"A7",X"00",X"0F",X"04",X"05",X"98",X"00",X"0F",X"04",
X"05",X"89",X"00",X"0F",X"04",X"05",X"77",X"00",X"0F",X"04",X"05",X"68",X"00",X"0F",X"04",X"05",
X"59",X"00",X"0F",X"04",X"05",X"27",X"00",X"0F",X"04",X"05",X"38",X"00",X"0F",X"04",X"05",X"49",
X"00",X"0F",X"04",X"05",X"57",X"00",X"0F",X"04",X"05",X"68",X"00",X"0F",X"04",X"05",X"79",X"00",
X"0F",X"04",X"05",X"87",X"00",X"0F",X"04",X"05",X"98",X"00",X"0F",X"04",X"05",X"A9",X"00",X"0F",
X"04",X"10",X"E6",X"FE",X"DD",X"77",X"29",X"21",X"16",X"18",X"C3",X"43",X"15",X"CD",X"CC",X"14",
X"3D",X"32",X"84",X"4C",X"C0",X"3E",X"05",X"32",X"84",X"4C",X"3A",X"82",X"4C",X"3D",X"32",X"82",
X"4C",X"C0",X"3E",X"F0",X"32",X"82",X"4C",X"3A",X"83",X"4C",X"3C",X"32",X"83",X"4C",X"CB",X"47",
X"3E",X"18",X"28",X"02",X"3E",X"0D",X"21",X"9E",X"46",X"06",X"0A",X"77",X"DF",X"10",X"FC",X"C9",
X"CD",X"F9",X"09",X"3A",X"58",X"4C",X"21",X"9F",X"46",X"06",X"0A",X"36",X"0F",X"DF",X"10",X"FB",
X"2A",X"85",X"4C",X"22",X"87",X"4C",X"CD",X"48",X"1E",X"CD",X"0F",X"26",X"21",X"60",X"41",X"11",
X"5C",X"14",X"C3",X"5C",X"94",X"29",X"21",X"00",X"11",X"22",X"85",X"4C",X"C3",X"24",X"09",X"FF",
X"FF",X"FF",X"FF",X"04",X"BE",X"40",X"69",X"40",X"09",X"42",X"C1",X"41",X"0F",X"54",X"49",X"4D",
X"45",X"FF",X"11",X"87",X"4C",X"21",X"73",X"14",X"1A",X"96",X"27",X"12",X"23",X"13",X"1A",X"9E",
X"27",X"12",X"C9",X"01",X"00",X"3A",X"81",X"4C",X"CB",X"4F",X"C0",X"3A",X"AF",X"4C",X"3D",X"32",
X"AF",X"4C",X"C0",X"3D",X"32",X"AF",X"4C",X"3A",X"B0",X"4C",X"3D",X"32",X"B0",X"4C",X"C0",X"3E",
X"04",X"32",X"B0",X"4C",X"CD",X"62",X"14",X"11",X"87",X"4C",X"21",X"60",X"40",X"CD",X"B2",X"14",
X"CD",X"4D",X"32",X"AF",X"21",X"87",X"4C",X"BE",X"C0",X"23",X"BE",X"C0",X"21",X"81",X"4C",X"C3",
X"69",X"32",X"06",X"02",X"1A",X"0F",X"0F",X"0F",X"0F",X"E6",X"0F",X"77",X"D5",X"E7",X"D1",X"1A",
X"E6",X"0F",X"77",X"13",X"D5",X"DF",X"DF",X"DF",X"D1",X"10",X"E9",X"C9",X"CD",X"75",X"14",X"3A",
X"84",X"4C",X"C9",X"AF",X"32",X"89",X"4C",X"CD",X"07",X"15",X"C9",X"CD",X"16",X"15",X"23",X"23",
X"7E",X"FE",X"F1",X"30",X"02",X"E1",X"C9",X"3A",X"89",X"4C",X"FE",X"C0",X"38",X"0D",X"21",X"EC",
X"9A",X"CD",X"8E",X"13",X"21",X"44",X"4C",X"CB",X"8E",X"E1",X"C9",X"21",X"00",X"00",X"C3",X"D6",
X"24",X"01",X"FF",X"00",X"0F",X"01",X"10",X"21",X"01",X"15",X"11",X"8A",X"4C",X"01",X"06",X"00",
X"ED",X"B0",X"21",X"44",X"4C",X"C9",X"3A",X"90",X"4C",X"3D",X"32",X"90",X"4C",X"CB",X"57",X"C2",
X"11",X"07",X"3A",X"8B",X"4C",X"0F",X"0F",X"0F",X"0F",X"3D",X"0F",X"0F",X"0F",X"0F",X"32",X"8B",
X"4C",X"E5",X"21",X"8A",X"4C",X"CD",X"8E",X"13",X"E1",X"C3",X"11",X"07",X"CD",X"C6",X"12",X"7D",
X"E6",X"0F",X"C9",X"CD",X"BF",X"12",X"3A",X"81",X"4C",X"CB",X"57",X"C0",X"CB",X"D7",X"E6",X"C7",
X"CB",X"DF",X"32",X"81",X"4C",X"CD",X"33",X"12",X"22",X"07",X"4C",X"AF",X"32",X"01",X"4C",X"DD",
X"22",X"91",X"4C",X"3C",X"32",X"95",X"4C",X"2A",X"91",X"4C",X"11",X"FE",X"F0",X"73",X"C3",X"04",
X"18",X"3A",X"81",X"4C",X"CB",X"5F",X"C8",X"3A",X"95",X"4C",X"A7",X"28",X"07",X"3D",X"32",X"95",
X"4C",X"C2",X"C7",X"16",X"CD",X"6F",X"1C",X"CB",X"77",X"C2",X"A7",X"19",X"CB",X"67",X"C2",X"01",
X"19",X"CB",X"6F",X"C2",X"27",X"18",X"CD",X"4A",X"19",X"CA",X"70",X"19",X"00",X"3A",X"3B",X"4C",
X"47",X"0E",X"F8",X"FE",X"C0",X"30",X"03",X"C6",X"30",X"4F",X"CD",X"DE",X"15",X"7C",X"B8",X"38",
X"06",X"B9",X"30",X"6F",X"C3",X"34",X"16",X"CD",X"D9",X"15",X"28",X"62",X"3E",X"03",X"06",X"00",
X"CD",X"E6",X"15",X"28",X"04",X"78",X"32",X"94",X"4C",X"CD",X"D9",X"15",X"E6",X"01",X"32",X"93",
X"4C",X"3E",X"0D",X"32",X"95",X"4C",X"00",X"00",X"C9",X"ED",X"5F",X"CB",X"67",X"C9",X"2A",X"91",
X"4C",X"5E",X"23",X"56",X"EB",X"C9",X"32",X"94",X"4C",X"A7",X"20",X"03",X"11",X"08",X"08",X"3D",
X"20",X"03",X"11",X"08",X"F8",X"3D",X"20",X"03",X"11",X"F8",X"F8",X"3D",X"20",X"03",X"11",X"F8",
X"08",X"7D",X"83",X"6F",X"7C",X"82",X"67",X"7D",X"FE",X"0E",X"38",X"0F",X"FE",X"F7",X"30",X"0B",
X"7C",X"FE",X"18",X"38",X"06",X"FE",X"F9",X"30",X"02",X"AF",X"C9",X"AF",X"3D",X"C9",X"06",X"03",
X"AF",X"18",X"9D",X"CD",X"D9",X"15",X"28",X"06",X"3E",X"01",X"06",X"02",X"18",X"92",X"3E",X"02",
X"06",X"01",X"18",X"8C",X"2A",X"3A",X"4C",X"7D",X"FE",X"5E",X"38",X"3E",X"FE",X"AE",X"30",X"3E",
X"F5",X"D6",X"40",X"47",X"F1",X"C6",X"40",X"4F",X"7C",X"FE",X"C0",X"30",X"38",X"F5",X"C6",X"40",
X"57",X"F1",X"C6",X"20",X"5F",X"D5",X"CD",X"DE",X"15",X"D1",X"7D",X"B8",X"38",X"2C",X"B9",X"30",
X"29",X"7C",X"BB",X"38",X"25",X"BA",X"30",X"22",X"3A",X"81",X"4C",X"CB",X"EF",X"32",X"81",X"4C",
X"CD",X"D9",X"15",X"E6",X"07",X"3C",X"32",X"96",X"4C",X"C9",X"06",X"16",X"18",X"C7",X"0E",X"EE",
X"D6",X"40",X"47",X"18",X"C3",X"16",X"F8",X"7C",X"18",X"C8",X"ED",X"5B",X"3A",X"4C",X"7D",X"93",
X"38",X"13",X"CD",X"D9",X"15",X"28",X"07",X"3E",X"03",X"06",X"02",X"C3",X"C0",X"15",X"3E",X"02",
X"06",X"03",X"C3",X"C0",X"15",X"CD",X"D9",X"15",X"20",X"07",X"3E",X"01",X"06",X"00",X"C3",X"C0",
X"15",X"AF",X"06",X"01",X"C3",X"C0",X"15",X"CD",X"6E",X"23",X"3D",X"32",X"98",X"4C",X"C0",X"3E",
X"A0",X"32",X"98",X"4C",X"C3",X"71",X"15",X"00",X"CD",X"08",X"1C",X"3A",X"81",X"4C",X"CB",X"67",
X"C2",X"26",X"19",X"3A",X"94",X"4C",X"EF",X"DF",X"16",X"CE",X"17",X"E0",X"17",X"F2",X"17",X"3A",
X"93",X"4C",X"A7",X"28",X"3C",X"21",X"07",X"17",X"CD",X"FF",X"16",X"D5",X"CD",X"DE",X"15",X"D1",
X"7D",X"83",X"6F",X"7C",X"82",X"67",X"EB",X"2A",X"91",X"4C",X"73",X"23",X"C3",X"0C",X"18",X"3A",
X"95",X"4C",X"87",X"85",X"6F",X"5E",X"23",X"56",X"C9",X"00",X"01",X"00",X"01",X"00",X"01",X"00",
X"01",X"01",X"01",X"01",X"01",X"01",X"01",X"01",X"01",X"01",X"00",X"01",X"00",X"01",X"00",X"01",
X"00",X"21",X"24",X"17",X"18",X"C2",X"01",X"00",X"01",X"00",X"01",X"00",X"01",X"00",X"01",X"01",
X"01",X"01",X"01",X"01",X"01",X"01",X"00",X"01",X"00",X"01",X"00",X"01",X"00",X"01",X"00",X"FF",
X"00",X"FF",X"00",X"FF",X"00",X"FF",X"01",X"FF",X"01",X"FF",X"01",X"FF",X"01",X"FF",X"01",X"00",
X"01",X"00",X"01",X"00",X"01",X"00",X"01",X"00",X"01",X"00",X"01",X"00",X"01",X"00",X"01",X"FF",
X"01",X"FF",X"01",X"FF",X"01",X"FF",X"00",X"FF",X"00",X"FF",X"00",X"FF",X"00",X"FF",X"FF",X"00",
X"FF",X"00",X"FF",X"00",X"FF",X"00",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"00",X"FF",
X"00",X"FF",X"00",X"FF",X"00",X"FF",X"00",X"FF",X"00",X"FF",X"00",X"FF",X"00",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"00",X"FF",X"00",X"FF",X"00",X"FF",X"00",X"00",X"01",
X"00",X"01",X"00",X"01",X"00",X"01",X"FF",X"01",X"FF",X"01",X"FF",X"01",X"FF",X"01",X"FF",X"00",
X"FF",X"00",X"FF",X"00",X"FF",X"00",X"FF",X"00",X"FF",X"00",X"FF",X"00",X"FF",X"00",X"FF",X"01",
X"FF",X"01",X"FF",X"01",X"FF",X"01",X"00",X"01",X"00",X"01",X"00",X"01",X"00",X"01",X"3A",X"93",
X"4C",X"A7",X"28",X"06",X"21",X"54",X"17",X"C3",X"E8",X"16",X"21",X"3C",X"17",X"C3",X"E8",X"16",
X"3A",X"93",X"4C",X"A7",X"28",X"06",X"21",X"84",X"17",X"C3",X"E8",X"16",X"21",X"6C",X"17",X"C3",
X"E8",X"16",X"3A",X"93",X"4C",X"A7",X"28",X"06",X"21",X"B4",X"17",X"C3",X"E8",X"16",X"21",X"9C",
X"17",X"C3",X"E8",X"16",X"23",X"72",X"3E",X"70",X"32",X"97",X"4C",X"C9",X"72",X"3A",X"95",X"4C",
X"FE",X"07",X"3E",X"70",X"30",X"02",X"3E",X"74",X"DD",X"2A",X"91",X"4C",X"DD",X"77",X"32",X"3A",
X"81",X"4C",X"CB",X"77",X"C3",X"EE",X"19",X"3A",X"96",X"4C",X"3D",X"32",X"96",X"4C",X"20",X"30",
X"CD",X"DE",X"15",X"ED",X"5B",X"3A",X"4C",X"7D",X"BB",X"38",X"1B",X"CD",X"D9",X"15",X"3E",X"03",
X"28",X"02",X"3E",X"02",X"32",X"94",X"4C",X"3E",X"0D",X"32",X"95",X"4C",X"CD",X"D9",X"15",X"CD",
X"F5",X"18",X"32",X"96",X"4C",X"C9",X"CD",X"D9",X"15",X"3E",X"01",X"28",X"E7",X"AF",X"18",X"E4",
X"CD",X"DE",X"15",X"7D",X"FE",X"0E",X"38",X"67",X"FE",X"FE",X"30",X"63",X"2A",X"3A",X"4C",X"7D",
X"FE",X"3E",X"38",X"65",X"FE",X"C6",X"30",X"65",X"F5",X"D6",X"28",X"47",X"F1",X"C6",X"28",X"4F",
X"7C",X"FE",X"C0",X"30",X"5F",X"F5",X"C6",X"40",X"57",X"F1",X"5F",X"D5",X"CD",X"DE",X"15",X"D1",
X"7D",X"B8",X"38",X"54",X"B9",X"30",X"51",X"7C",X"BB",X"38",X"4D",X"BA",X"30",X"4A",X"3A",X"81",
X"4C",X"CB",X"AF",X"CB",X"E7",X"32",X"81",X"4C",X"ED",X"5B",X"3A",X"4C",X"7D",X"BB",X"28",X"3E",
X"38",X"40",X"3E",X"02",X"32",X"94",X"4C",X"3E",X"09",X"32",X"95",X"4C",X"CD",X"D9",X"15",X"E6",
X"07",X"3C",X"32",X"96",X"4C",X"3A",X"98",X"4C",X"D6",X"65",X"00",X"32",X"98",X"4C",X"C9",X"3A",
X"94",X"4C",X"3C",X"3C",X"E6",X"03",X"C3",X"44",X"18",X"06",X"16",X"18",X"A0",X"0E",X"EE",X"D6",
X"28",X"47",X"18",X"9C",X"16",X"F8",X"18",X"A2",X"3E",X"0D",X"32",X"95",X"4C",X"C9",X"3E",X"01",
X"18",X"C2",X"AF",X"18",X"BF",X"F5",X"0F",X"E6",X"01",X"32",X"93",X"4C",X"F1",X"E6",X"07",X"3C",
X"C9",X"3A",X"96",X"4C",X"3D",X"32",X"96",X"4C",X"28",X"13",X"CD",X"4A",X"19",X"CA",X"70",X"19",
X"3E",X"09",X"32",X"95",X"4C",X"CD",X"DE",X"15",X"7C",X"FE",X"21",X"30",X"A8",X"3A",X"81",X"4C",
X"CB",X"A7",X"32",X"81",X"4C",X"C9",X"3A",X"94",X"4C",X"EF",X"30",X"19",X"38",X"19",X"3F",X"19",
X"CD",X"DE",X"15",X"25",X"2C",X"C3",X"44",X"19",X"CD",X"DE",X"15",X"25",X"C3",X"44",X"19",X"CD",
X"DE",X"15",X"25",X"2D",X"CD",X"C5",X"18",X"C3",X"F6",X"16",X"CD",X"23",X"1D",X"ED",X"5B",X"3A",
X"4C",X"7C",X"92",X"38",X"17",X"FE",X"09",X"30",X"13",X"7B",X"F5",X"C6",X"08",X"47",X"F1",X"D6",
X"08",X"4F",X"7D",X"B9",X"38",X"05",X"B8",X"30",X"02",X"AF",X"C9",X"AF",X"3D",X"C9",X"00",X"00",
X"CD",X"D9",X"15",X"3E",X"03",X"28",X"01",X"AF",X"32",X"94",X"4C",X"CD",X"D9",X"15",X"0F",X"E6",
X"01",X"32",X"93",X"4C",X"3A",X"81",X"4C",X"CB",X"F7",X"E6",X"CF",X"CD",X"36",X"1A",X"21",X"2D",
X"4C",X"CB",X"86",X"21",X"69",X"85",X"22",X"03",X"4C",X"21",X"0A",X"86",X"22",X"07",X"4C",X"AF",
X"32",X"00",X"4C",X"32",X"01",X"4C",X"C9",X"3A",X"96",X"4C",X"3D",X"32",X"96",X"4C",X"3E",X"0D",
X"32",X"95",X"4C",X"28",X"1B",X"CD",X"19",X"1A",X"28",X"0F",X"21",X"2D",X"4C",X"CD",X"3C",X"1A",
X"3A",X"81",X"4C",X"CB",X"B7",X"CD",X"E4",X"9A",X"C9",X"CD",X"FC",X"19",X"18",X"EC",X"00",X"00",
X"CD",X"D9",X"15",X"3E",X"03",X"20",X"01",X"AF",X"32",X"94",X"4C",X"CD",X"D9",X"15",X"E6",X"07",
X"3C",X"32",X"96",X"4C",X"CD",X"D9",X"15",X"0F",X"E6",X"01",X"C3",X"2A",X"1A",X"B9",X"C8",X"CD",
X"DE",X"15",X"7C",X"D6",X"10",X"57",X"5D",X"ED",X"53",X"3A",X"4C",X"C9",X"3A",X"3B",X"4C",X"FE",
X"E8",X"D0",X"3A",X"3A",X"4C",X"FE",X"1E",X"D8",X"FE",X"E6",X"D0",X"C1",X"C9",X"21",X"4D",X"81",
X"22",X"03",X"4C",X"21",X"92",X"83",X"C3",X"9C",X"19",X"CD",X"D9",X"15",X"47",X"3A",X"2C",X"4C",
X"80",X"FE",X"10",X"30",X"03",X"AF",X"3D",X"C9",X"AF",X"C9",X"32",X"93",X"4C",X"CD",X"FC",X"19",
X"C3",X"BA",X"19",X"00",X"00",X"00",X"32",X"81",X"4C",X"C3",X"EF",X"19",X"CB",X"C6",X"3E",X"08",
X"32",X"43",X"4C",X"CD",X"0D",X"1A",X"CD",X"0E",X"07",X"CD",X"39",X"07",X"68",X"61",X"CD",X"EA",
X"1A",X"C3",X"E4",X"1A",X"3A",X"54",X"4C",X"47",X"7E",X"FE",X"0A",X"78",X"30",X"68",X"3A",X"44",
X"4C",X"CB",X"5F",X"06",X"00",X"20",X"4B",X"E7",X"7E",X"04",X"FE",X"0A",X"38",X"F9",X"CD",X"CC",
X"1A",X"E5",X"DF",X"7E",X"E1",X"77",X"DF",X"10",X"F8",X"3A",X"9B",X"4C",X"C9",X"3A",X"54",X"4C",
X"32",X"9B",X"4C",X"E5",X"23",X"7E",X"E1",X"FE",X"F0",X"20",X"13",X"7E",X"36",X"F0",X"00",X"E5",
X"CD",X"DE",X"1A",X"CB",X"F6",X"CB",X"D6",X"E1",X"3E",X"08",X"32",X"55",X"4C",X"C9",X"E5",X"21",
X"00",X"00",X"22",X"FA",X"4F",X"22",X"38",X"4C",X"3A",X"44",X"4C",X"E6",X"9B",X"32",X"44",X"4C",
X"E1",X"C9",X"DF",X"7E",X"04",X"FE",X"0A",X"38",X"F9",X"CD",X"D2",X"1A",X"E5",X"E7",X"7E",X"E1",
X"77",X"E7",X"10",X"F8",X"18",X"B3",X"F5",X"CD",X"9E",X"1A",X"F1",X"C9",X"DF",X"CD",X"D8",X"1A",
X"E7",X"C9",X"E7",X"CD",X"D8",X"1A",X"DF",X"C9",X"C5",X"CD",X"7D",X"1A",X"C1",X"C9",X"CD",X"39",
X"07",X"C3",X"BD",X"0A",X"21",X"44",X"4C",X"CB",X"CE",X"C9",X"7D",X"FE",X"17",X"38",X"08",X"FE",
X"EE",X"30",X"08",X"22",X"3A",X"4C",X"C9",X"2E",X"1E",X"18",X"F8",X"2E",X"E6",X"18",X"F4",X"CD",
X"79",X"1B",X"FE",X"0F",X"CA",X"A1",X"09",X"CB",X"47",X"CA",X"A1",X"09",X"CB",X"4F",X"3A",X"44",
X"4C",X"CB",X"FF",X"CB",X"9F",X"20",X"02",X"CB",X"DF",X"32",X"44",X"4C",X"3E",X"20",X"32",X"43",
X"4C",X"21",X"A6",X"1B",X"22",X"0B",X"4C",X"AF",X"32",X"02",X"4C",X"C9",X"3A",X"44",X"4C",X"CB",
X"7F",X"20",X"04",X"3A",X"47",X"4C",X"C9",X"E1",X"47",X"3A",X"43",X"4C",X"A7",X"78",X"20",X"05",
X"CB",X"BF",X"32",X"44",X"4C",X"CB",X"5F",X"2A",X"3A",X"4C",X"7D",X"28",X"15",X"FE",X"EE",X"3A",
X"44",X"4C",X"30",X"09",X"32",X"44",X"4C",X"CD",X"D8",X"1B",X"C3",X"BA",X"08",X"CB",X"9F",X"C3",
X"FD",X"1B",X"FE",X"17",X"3A",X"44",X"4C",X"38",X"08",X"CD",X"F1",X"1B",X"3E",X"0C",X"C3",X"BA",
X"08",X"CB",X"DF",X"32",X"44",X"4C",X"C3",X"F7",X"1B",X"3A",X"2E",X"4C",X"E6",X"0F",X"C9",X"CD",
X"0E",X"07",X"7C",X"FE",X"43",X"20",X"10",X"7D",X"FE",X"C8",X"CA",X"D5",X"06",X"FE",X"CD",X"CA",
X"D5",X"06",X"FE",X"BD",X"CA",X"85",X"1C",X"23",X"23",X"7E",X"FE",X"F0",X"C2",X"D5",X"06",X"E7",
X"7E",X"FE",X"F0",X"C3",X"A2",X"1C",X"04",X"69",X"10",X"0F",X"02",X"04",X"0F",X"00",X"0F",X"02",
X"02",X"CC",X"00",X"0F",X"0A",X"07",X"6B",X"00",X"0F",X"02",X"04",X"A7",X"00",X"0F",X"02",X"07",
X"D2",X"00",X"0F",X"02",X"10",X"3A",X"43",X"4C",X"FE",X"1B",X"30",X"09",X"FE",X"05",X"30",X"03",
X"2C",X"25",X"C9",X"2C",X"C9",X"2C",X"24",X"C9",X"CD",X"C5",X"1B",X"3E",X"0E",X"C9",X"3A",X"43",
X"4C",X"FE",X"1B",X"30",X"09",X"FE",X"05",X"30",X"03",X"2D",X"25",X"C9",X"2D",X"C9",X"2D",X"24",
X"C9",X"32",X"44",X"4C",X"C3",X"DE",X"1B",X"CD",X"C5",X"1B",X"C3",X"6C",X"1B",X"32",X"44",X"4C",
X"CD",X"DE",X"1B",X"3E",X"0E",X"C3",X"BA",X"08",X"CD",X"6A",X"1C",X"DD",X"7E",X"29",X"CB",X"57",
X"C8",X"E1",X"3A",X"81",X"4C",X"CB",X"7F",X"20",X"19",X"DD",X"7E",X"01",X"FE",X"19",X"38",X"08",
X"3D",X"DD",X"77",X"01",X"C3",X"AB",X"1C",X"B1",X"3E",X"00",X"32",X"9D",X"4C",X"CD",X"D4",X"1E",
X"CB",X"FE",X"3A",X"9D",X"4C",X"3D",X"32",X"9D",X"4C",X"28",X"10",X"CB",X"57",X"3E",X"7C",X"20",
X"02",X"3E",X"78",X"CD",X"1A",X"1D",X"AF",X"32",X"98",X"4C",X"C9",X"AF",X"32",X"95",X"4C",X"3E",
X"06",X"CD",X"91",X"12",X"DD",X"7E",X"29",X"CB",X"97",X"DD",X"77",X"29",X"3A",X"81",X"4C",X"E6",
X"0F",X"32",X"81",X"4C",X"21",X"EE",X"E8",X"C3",X"9A",X"1C",X"DD",X"2A",X"91",X"4C",X"C9",X"CD",
X"6A",X"1C",X"DD",X"7E",X"29",X"CB",X"4F",X"3A",X"81",X"4C",X"C8",X"E1",X"C9",X"CB",X"4F",X"3E",
X"03",X"C0",X"3E",X"01",X"C9",X"3E",X"23",X"C9",X"CD",X"28",X"26",X"CC",X"BF",X"22",X"C3",X"62",
X"08",X"CD",X"28",X"26",X"CC",X"BF",X"22",X"C3",X"19",X"08",X"CD",X"BF",X"12",X"3E",X"06",X"C3",
X"00",X"10",X"C2",X"D5",X"06",X"3A",X"47",X"4C",X"C3",X"7D",X"1C",X"32",X"95",X"4C",X"CB",X"57",
X"3E",X"73",X"20",X"02",X"3E",X"77",X"C3",X"00",X"10",X"CD",X"79",X"23",X"3A",X"81",X"4C",X"CB",
X"4F",X"C2",X"59",X"1D",X"3A",X"44",X"4C",X"CB",X"67",X"C2",X"F1",X"1D",X"CD",X"20",X"23",X"C3",
X"C6",X"06",X"AF",X"CD",X"60",X"32",X"CD",X"D6",X"24",X"C3",X"05",X"1F",X"FF",X"FF",X"E1",X"21",
X"2D",X"4C",X"CB",X"86",X"21",X"4A",X"87",X"22",X"03",X"4C",X"21",X"D7",X"87",X"CD",X"E8",X"34",
X"06",X"08",X"3E",X"08",X"CD",X"01",X"1D",X"3E",X"0E",X"CD",X"01",X"1D",X"10",X"F4",X"C3",X"35",
X"1F",X"C5",X"21",X"40",X"44",X"01",X"04",X"80",X"CF",X"CD",X"0E",X"1D",X"C1",X"C9",X"3E",X"1A",
X"32",X"2C",X"4C",X"3A",X"2C",X"4C",X"A7",X"20",X"FA",X"C9",X"CD",X"00",X"10",X"3E",X"90",X"32",
X"95",X"4C",X"C9",X"DD",X"2A",X"91",X"4C",X"DD",X"7E",X"29",X"CB",X"4F",X"20",X"05",X"CB",X"57",
X"CA",X"DE",X"15",X"E1",X"AF",X"3D",X"C9",X"FB",X"CD",X"84",X"26",X"3A",X"81",X"4C",X"32",X"A4",
X"4C",X"21",X"43",X"4C",X"01",X"01",X"60",X"AF",X"CF",X"3A",X"A4",X"4C",X"E6",X"01",X"32",X"81",
X"4C",X"3E",X"80",X"CD",X"10",X"1D",X"C3",X"58",X"04",X"CD",X"EB",X"1D",X"21",X"AD",X"42",X"11",
X"F7",X"1D",X"CD",X"08",X"1E",X"21",X"30",X"4C",X"01",X"01",X"08",X"AF",X"CF",X"3E",X"20",X"CD",
X"10",X"1D",X"06",X"10",X"CD",X"C3",X"80",X"32",X"7E",X"4C",X"3E",X"0E",X"32",X"FD",X"4F",X"3E",
X"80",X"32",X"FC",X"4F",X"3E",X"05",X"CD",X"10",X"1D",X"3E",X"84",X"32",X"FC",X"4F",X"3E",X"05",
X"CD",X"10",X"1D",X"10",X"EA",X"3A",X"3F",X"4C",X"3D",X"32",X"3F",X"4C",X"CA",X"C2",X"26",X"CD",
X"48",X"1E",X"21",X"5F",X"0D",X"CD",X"53",X"0D",X"3D",X"23",X"20",X"FC",X"5E",X"CD",X"03",X"1E",
X"11",X"30",X"4C",X"01",X"0A",X"00",X"ED",X"B0",X"21",X"45",X"4C",X"01",X"01",X"07",X"AF",X"CF",
X"32",X"43",X"4C",X"3A",X"44",X"4C",X"E6",X"44",X"32",X"44",X"4C",X"CD",X"E0",X"06",X"3A",X"7E",
X"4C",X"3A",X"81",X"4C",X"E6",X"BD",X"32",X"81",X"4C",X"2A",X"85",X"4C",X"22",X"87",X"4C",X"21",
X"60",X"40",X"11",X"87",X"4C",X"CD",X"B2",X"14",X"C3",X"FD",X"1E",X"21",X"2D",X"4C",X"CB",X"86",
X"C9",X"CD",X"EB",X"1D",X"C3",X"65",X"1D",X"07",X"F0",X"54",X"49",X"4D",X"45",X"F0",X"4F",X"55",
X"54",X"F0",X"FF",X"23",X"16",X"0D",X"EB",X"C9",X"E5",X"D5",X"CD",X"2B",X"1E",X"D1",X"E1",X"CD",
X"ED",X"01",X"3E",X"B0",X"CD",X"10",X"1D",X"11",X"A4",X"4C",X"21",X"AD",X"42",X"C3",X"86",X"1E",
X"E5",X"C1",X"3E",X"04",X"80",X"47",X"0A",X"32",X"B3",X"4C",X"C9",X"CD",X"20",X"1E",X"06",X"0B",
X"11",X"A4",X"4C",X"7E",X"12",X"13",X"E7",X"10",X"FA",X"C9",X"06",X"0B",X"1A",X"77",X"13",X"E7",
X"10",X"FA",X"C9",X"E5",X"C1",X"3E",X"04",X"C9",X"21",X"04",X"40",X"3E",X"40",X"CD",X"75",X"1E",
X"2E",X"24",X"CD",X"75",X"1E",X"2E",X"03",X"3A",X"3F",X"4C",X"3D",X"C8",X"47",X"23",X"23",X"3D",
X"20",X"FB",X"3E",X"16",X"E5",X"77",X"2B",X"3C",X"77",X"3C",X"DF",X"77",X"3C",X"23",X"77",X"E1",
X"2B",X"2B",X"10",X"EE",X"C9",X"C5",X"06",X"08",X"77",X"23",X"10",X"FC",X"C1",X"C9",X"CD",X"43",
X"1E",X"80",X"47",X"C5",X"E1",X"C9",X"E5",X"CD",X"7E",X"1E",X"CD",X"90",X"1E",X"E1",X"18",X"AA",
X"06",X"0B",X"3A",X"B3",X"4C",X"77",X"E7",X"10",X"FC",X"C9",X"CB",X"7F",X"C8",X"F5",X"CD",X"C6",
X"12",X"CD",X"11",X"07",X"E5",X"CD",X"20",X"1E",X"06",X"02",X"CD",X"30",X"1E",X"E1",X"E5",X"23",
X"06",X"02",X"CD",X"33",X"1E",X"E1",X"11",X"D0",X"1E",X"E5",X"CD",X"ED",X"01",X"E1",X"22",X"B1",
X"4C",X"3E",X"01",X"32",X"7F",X"4C",X"3E",X"50",X"32",X"7E",X"4C",X"CD",X"E9",X"12",X"F1",X"C9",
X"02",X"1A",X"1B",X"FF",X"2A",X"B1",X"4C",X"E5",X"CD",X"7E",X"1E",X"06",X"02",X"E5",X"CD",X"92",
X"1E",X"E1",X"23",X"06",X"02",X"CD",X"92",X"1E",X"E1",X"E5",X"06",X"02",X"11",X"A4",X"4C",X"CD",
X"3C",X"1E",X"E1",X"23",X"06",X"02",X"CD",X"3C",X"1E",X"21",X"81",X"4C",X"C9",X"3E",X"80",X"CD",
X"10",X"1D",X"C3",X"06",X"87",X"21",X"BE",X"43",X"06",X"06",X"C5",X"3E",X"09",X"CD",X"10",X"1D",
X"00",X"06",X"0A",X"E5",X"CD",X"30",X"1E",X"E1",X"E5",X"06",X"0A",X"36",X"F0",X"E7",X"10",X"FB",
X"3E",X"01",X"CD",X"10",X"1D",X"E1",X"2B",X"E5",X"11",X"A4",X"4C",X"06",X"0A",X"CD",X"3C",X"1E",
X"E1",X"C1",X"10",X"D6",X"C9",X"21",X"BE",X"43",X"CD",X"97",X"1F",X"21",X"40",X"40",X"01",X"04",
X"80",X"3E",X"F0",X"CF",X"21",X"BE",X"43",X"11",X"A4",X"4C",X"06",X"0A",X"CD",X"3C",X"1E",X"21",
X"30",X"4C",X"01",X"01",X"0C",X"AF",X"CF",X"CD",X"D2",X"1C",X"CD",X"6B",X"1F",X"CD",X"5E",X"20",
X"3E",X"20",X"CD",X"10",X"1D",X"CD",X"FC",X"23",X"C3",X"38",X"1D",X"06",X"03",X"C5",X"E5",X"3E",
X"09",X"CD",X"10",X"1D",X"06",X"0A",X"CD",X"30",X"1E",X"E1",X"E5",X"06",X"0A",X"36",X"F0",X"E7",
X"10",X"FB",X"3E",X"01",X"CD",X"10",X"1D",X"E1",X"E7",X"E5",X"11",X"A4",X"4C",X"06",X"0A",X"CD",
X"3C",X"1E",X"E1",X"C1",X"10",X"D7",X"C9",X"06",X"0A",X"C3",X"30",X"1E",X"06",X"09",X"21",X"94",
X"43",X"3E",X"2A",X"CD",X"2D",X"20",X"06",X"09",X"21",X"F4",X"41",X"3E",X"2B",X"CD",X"2D",X"20",
X"3E",X"0A",X"21",X"44",X"43",X"CD",X"33",X"20",X"3E",X"0A",X"21",X"46",X"43",X"CD",X"46",X"20",
X"3E",X"07",X"21",X"48",X"43",X"CD",X"33",X"20",X"3E",X"07",X"21",X"4A",X"43",X"CD",X"46",X"20",
X"3E",X"05",X"21",X"4C",X"43",X"CD",X"33",X"20",X"3E",X"05",X"21",X"4E",X"43",X"CD",X"46",X"20",
X"3E",X"03",X"21",X"50",X"43",X"CD",X"33",X"20",X"3E",X"03",X"21",X"52",X"43",X"CD",X"46",X"20",
X"3E",X"01",X"21",X"54",X"43",X"CD",X"33",X"20",X"21",X"34",X"41",X"06",X"03",X"C5",X"E5",X"06",
X"09",X"AF",X"CD",X"2D",X"20",X"E1",X"C1",X"DF",X"10",X"F3",X"21",X"AA",X"41",X"06",X"04",X"3E",
X"01",X"CD",X"2D",X"20",X"C3",X"CA",X"96",X"21",X"94",X"41",X"06",X"09",X"1A",X"77",X"2B",X"2B",
X"13",X"10",X"F9",X"C9",X"01",X"05",X"05",X"08",X"08",X"00",X"00",X"05",X"05",X"77",X"2B",X"2B",
X"10",X"FB",X"C9",X"06",X"0A",X"A7",X"28",X"0A",X"F5",X"78",X"3D",X"77",X"F1",X"3D",X"E7",X"10",
X"F4",X"C9",X"36",X"3B",X"18",X"F8",X"06",X"00",X"A7",X"28",X"0C",X"70",X"3D",X"04",X"E7",X"F5",
X"78",X"FE",X"0A",X"20",X"06",X"F1",X"C9",X"36",X"3B",X"18",X"F2",X"F1",X"18",X"EA",X"3E",X"06",
X"06",X"14",X"21",X"34",X"45",X"E5",X"C5",X"06",X"09",X"CD",X"2D",X"20",X"C1",X"E1",X"DF",X"10",
X"F4",X"C3",X"5A",X"22",X"3E",X"0E",X"32",X"2E",X"4C",X"C3",X"46",X"14",X"01",X"04",X"80",X"3A",
X"2E",X"4C",X"C9",X"3E",X"0F",X"32",X"2E",X"4C",X"C3",X"75",X"04",X"3E",X"0D",X"18",X"F6",X"3E",
X"08",X"18",X"F2",X"C3",X"52",X"09",X"00",X"00",X"0D",X"0F",X"0F",X"0B",X"00",X"00",X"00",X"00",
X"BC",X"0E",X"0E",X"0C",X"0E",X"AE",X"0D",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",
X"0F",X"0F",X"0F",X"0F",X"0F",X"BB",X"00",X"00",X"0C",X"0E",X"0E",X"0D",X"0F",X"0F",X"0F",X"0F",
X"DB",X"F0",X"F0",X"FA",X"F0",X"F0",X"9C",X"0E",X"0E",X"0E",X"0E",X"0E",X"8E",X"0E",X"0E",X"0E",
X"0E",X"0C",X"0E",X"0E",X"0E",X"BB",X"00",X"00",X"0A",X"00",X"00",X"0C",X"DE",X"FE",X"FE",X"FE",
X"EB",X"E0",X"E0",X"E0",X"E0",X"E0",X"AB",X"00",X"00",X"00",X"00",X"00",X"70",X"00",X"00",X"00",
X"00",X"0B",X"00",X"00",X"00",X"BB",X"00",X"00",X"00",X"00",X"00",X"0A",X"C0",X"E0",X"E0",X"E0",
X"0A",X"00",X"00",X"00",X"00",X"00",X"8A",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"0B",X"00",X"00",X"00",X"BB",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"90",X"00",X"00",X"00",X"00",X"00",X"60",X"00",X"00",X"80",X"00",X"09",X"00",X"00",X"00",X"00",
X"00",X"0B",X"00",X"00",X"00",X"BB",X"00",X"00",X"09",X"00",X"00",X"00",X"00",X"09",X"00",X"00",
X"B0",X"00",X"00",X"00",X"00",X"00",X"6D",X"0F",X"0F",X"7F",X"00",X"0D",X"0F",X"0F",X"0F",X"0F",
X"0F",X"0B",X"00",X"00",X"00",X"BB",X"00",X"00",X"0D",X"0F",X"9F",X"00",X"00",X"0D",X"0F",X"0F",
X"D9",X"F0",X"F0",X"F0",X"F0",X"F0",X"7C",X"0E",X"0E",X"0E",X"00",X"0C",X"0E",X"0E",X"0E",X"0E",
X"0E",X"0A",X"00",X"00",X"00",X"BB",X"00",X"00",X"0C",X"0E",X"DE",X"F0",X"F0",X"FC",X"0E",X"0E",
X"CB",X"E0",X"E0",X"E0",X"E0",X"E0",X"0A",X"00",X"00",X"00",X"00",X"0A",X"90",X"00",X"00",X"00",
X"00",X"90",X"00",X"00",X"00",X"BB",X"00",X"00",X"0B",X"00",X"C0",X"E0",X"E0",X"EA",X"00",X"00",
X"AB",X"00",X"00",X"90",X"00",X"00",X"00",X"90",X"00",X"00",X"00",X"00",X"D0",X"F0",X"F0",X"F0",
X"F0",X"B0",X"00",X"00",X"00",X"BB",X"00",X"00",X"0A",X"00",X"B0",X"00",X"00",X"00",X"00",X"00",
X"0A",X"00",X"00",X"B0",X"00",X"00",X"00",X"D0",X"F0",X"F9",X"F0",X"F0",X"C0",X"E0",X"E0",X"E0",
X"E0",X"B0",X"00",X"00",X"00",X"BB",X"00",X"00",X"00",X"00",X"A8",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"A0",X"00",X"00",X"00",X"C0",X"E0",X"EA",X"E0",X"E0",X"B0",X"00",X"00",X"00",
X"00",X"D0",X"F0",X"F0",X"F0",X"BB",X"00",X"00",X"00",X"00",X"06",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"09",X"00",X"A0",X"00",X"00",X"00",X"00",X"B0",X"00",X"00",X"00",
X"00",X"C0",X"E0",X"E0",X"E0",X"BB",X"00",X"00",X"90",X"00",X"07",X"B0",X"00",X"00",X"00",X"00",
X"99",X"00",X"00",X"90",X"00",X"0B",X"90",X"00",X"00",X"00",X"00",X"00",X"A0",X"00",X"00",X"00",
X"00",X"A0",X"00",X"00",X"00",X"BB",X"00",X"00",X"B0",X"00",X"00",X"B0",X"00",X"00",X"00",X"00",
X"DB",X"F0",X"F0",X"D0",X"F0",X"FB",X"B0",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"BB",X"00",X"00",X"00",X"BB",X"3A",X"40",X"4C",X"3C",X"32",X"40",
X"4C",X"C3",X"32",X"80",X"16",X"01",X"43",X"09",X"94",X"42",X"09",X"CF",X"40",X"09",X"01",X"42",
X"08",X"3A",X"41",X"08",X"C9",X"41",X"07",X"09",X"41",X"07",X"5A",X"42",X"06",X"6F",X"42",X"06",
X"29",X"43",X"05",X"B5",X"41",X"05",X"BA",X"41",X"04",X"69",X"42",X"04",X"D5",X"41",X"03",X"70",
X"41",X"03",X"2C",X"42",X"02",X"35",X"41",X"02",X"32",X"43",X"01",X"55",X"41",X"01",X"F4",X"42",
X"00",X"9A",X"42",X"00",X"C6",X"42",X"00",X"E1",X"E5",X"E7",X"7E",X"FE",X"0A",X"DA",X"5D",X"0A",
X"E1",X"2B",X"C9",X"E1",X"E5",X"DF",X"7E",X"FE",X"0A",X"DA",X"7E",X"0A",X"E1",X"2B",X"C9",X"E5",
X"CD",X"6C",X"26",X"21",X"69",X"85",X"22",X"03",X"4C",X"21",X"0A",X"86",X"CD",X"9C",X"19",X"CD",
X"EF",X"22",X"3A",X"9A",X"4C",X"CB",X"47",X"20",X"05",X"00",X"00",X"CD",X"5B",X"26",X"3E",X"13",
X"E1",X"32",X"B4",X"4C",X"11",X"F3",X"4F",X"06",X"04",X"12",X"13",X"13",X"10",X"FB",X"C9",X"CD",
X"DD",X"9A",X"EF",X"03",X"23",X"06",X"23",X"09",X"23",X"0C",X"23",X"0F",X"23",X"12",X"23",X"15",
X"23",X"15",X"23",X"3E",X"60",X"11",X"3E",X"50",X"11",X"3E",X"48",X"11",X"3E",X"46",X"11",X"3E",
X"44",X"11",X"3E",X"3F",X"11",X"3E",X"32",X"32",X"B6",X"4C",X"3E",X"FF",X"32",X"B5",X"4C",X"C9",
X"3A",X"9A",X"4C",X"CB",X"47",X"C8",X"3A",X"2C",X"4C",X"CB",X"47",X"C8",X"3A",X"B5",X"4C",X"3D",
X"32",X"B5",X"4C",X"F5",X"3A",X"B6",X"4C",X"FE",X"10",X"30",X"0B",X"CB",X"47",X"3A",X"B4",X"4C",
X"20",X"01",X"AF",X"CD",X"E4",X"22",X"F1",X"C0",X"3D",X"32",X"B5",X"4C",X"3A",X"B6",X"4C",X"3D",
X"32",X"B6",X"4C",X"C0",X"21",X"9A",X"4C",X"CB",X"86",X"CD",X"01",X"07",X"11",X"F3",X"4F",X"C3",
X"4E",X"26",X"00",X"3A",X"9A",X"4C",X"CB",X"47",X"DD",X"7E",X"29",X"C8",X"E1",X"C9",X"3A",X"9A",
X"4C",X"CB",X"47",X"3A",X"98",X"4C",X"C8",X"E1",X"C9",X"3A",X"00",X"50",X"CB",X"67",X"CA",X"A8",
X"96",X"3A",X"B7",X"4C",X"A7",X"28",X"05",X"3D",X"32",X"B7",X"4C",X"C9",X"0E",X"00",X"3D",X"32",
X"B7",X"4C",X"21",X"40",X"40",X"23",X"06",X"1B",X"7E",X"FE",X"0A",X"30",X"02",X"0C",X"00",X"23",
X"10",X"F6",X"23",X"23",X"23",X"23",X"7D",X"FE",X"C0",X"20",X"EA",X"7C",X"FE",X"43",X"20",X"E5",
X"3A",X"44",X"4C",X"CB",X"57",X"C0",X"C3",X"D7",X"34",X"06",X"2A",X"F0",X"3D",X"3D",X"3B",X"3B",
X"3B",X"3B",X"3B",X"3B",X"3B",X"3B",X"F0",X"2B",X"F0",X"F0",X"F0",X"3D",X"3D",X"30",X"FF",X"07",
X"59",X"4F",X"55",X"52",X"F0",X"42",X"4F",X"4E",X"55",X"53",X"F0",X"F0",X"2B",X"FF",X"21",X"96",
X"43",X"11",X"B9",X"23",X"CD",X"ED",X"01",X"21",X"7A",X"43",X"11",X"CF",X"23",X"CD",X"ED",X"01",
X"C3",X"9C",X"1F",X"0A",X"0A",X"07",X"07",X"05",X"05",X"03",X"03",X"01",X"CD",X"D6",X"24",X"11",
X"F3",X"23",X"1A",X"47",X"CD",X"90",X"24",X"CA",X"15",X"25",X"13",X"23",X"23",X"7B",X"FE",X"FC",
X"20",X"F0",X"3E",X"01",X"21",X"58",X"47",X"E5",X"F5",X"21",X"80",X"25",X"22",X"03",X"4C",X"C3",
X"A0",X"25",X"E1",X"06",X"02",X"77",X"E7",X"3C",X"F5",X"3E",X"03",X"CD",X"10",X"1D",X"F1",X"10",
X"F4",X"FE",X"19",X"20",X"DF",X"21",X"58",X"43",X"18",X"06",X"3A",X"87",X"4C",X"E6",X"F0",X"C9",
X"CD",X"6E",X"24",X"B0",X"0F",X"0F",X"0F",X"0F",X"4F",X"E7",X"CD",X"6E",X"24",X"B0",X"B1",X"32",
X"7E",X"4C",X"21",X"7A",X"41",X"11",X"7E",X"4C",X"CD",X"66",X"24",X"3E",X"20",X"CD",X"10",X"1D",
X"CD",X"69",X"94",X"C3",X"AA",X"25",X"CD",X"B2",X"14",X"AF",X"32",X"3A",X"41",X"C9",X"7E",X"06",
X"00",X"FE",X"0A",X"D8",X"78",X"C9",X"11",X"58",X"43",X"1A",X"CD",X"98",X"24",X"4F",X"7E",X"B9",
X"20",X"0B",X"CD",X"CE",X"24",X"E7",X"EB",X"E7",X"EB",X"10",X"EE",X"AF",X"C9",X"AF",X"3D",X"C9",
X"E5",X"D5",X"CD",X"76",X"24",X"D1",X"E1",X"C9",X"C5",X"F5",X"E5",X"D5",X"3E",X"04",X"84",X"67",
X"3E",X"04",X"82",X"57",X"D5",X"DD",X"E1",X"DD",X"46",X"00",X"7E",X"4F",X"16",X"07",X"3E",X"00",
X"DD",X"77",X"00",X"77",X"F5",X"3E",X"04",X"CD",X"10",X"1D",X"F1",X"71",X"CD",X"E3",X"24",X"F5",
X"3E",X"02",X"CD",X"10",X"1D",X"F1",X"15",X"20",X"E5",X"D1",X"E1",X"F1",X"C1",X"C9",X"F5",X"3E",
X"09",X"CD",X"00",X"25",X"F1",X"C9",X"21",X"00",X"00",X"22",X"03",X"4C",X"CD",X"9C",X"19",X"21",
X"44",X"43",X"C9",X"E5",X"F5",X"21",X"F5",X"24",X"22",X"0B",X"4C",X"AF",X"32",X"02",X"4C",X"F1",
X"E1",X"DD",X"70",X"00",X"C9",X"06",X"6B",X"00",X"0F",X"02",X"07",X"6B",X"00",X"05",X"02",X"10",
X"CD",X"10",X"1D",X"E5",X"21",X"00",X"00",X"22",X"0B",X"4C",X"AF",X"32",X"02",X"4C",X"3E",X"01",
X"CD",X"10",X"1D",X"E1",X"C9",X"11",X"60",X"FE",X"19",X"E5",X"D1",X"CD",X"D9",X"96",X"CD",X"6E",
X"24",X"B0",X"0F",X"0F",X"0F",X"0F",X"4F",X"E7",X"CD",X"6E",X"24",X"B0",X"B1",X"C3",X"07",X"26",
X"E5",X"21",X"5A",X"25",X"22",X"03",X"4C",X"21",X"6A",X"25",X"CD",X"9C",X"19",X"EB",X"3E",X"04",
X"84",X"67",X"3E",X"01",X"E5",X"06",X"05",X"77",X"E7",X"F5",X"3E",X"03",X"CD",X"10",X"1D",X"F1",
X"3C",X"10",X"F4",X"FE",X"2E",X"E1",X"20",X"EC",X"18",X"20",X"07",X"27",X"00",X"0F",X"07",X"07",
X"88",X"00",X"0F",X"07",X"07",X"6B",X"00",X"0F",X"07",X"10",X"06",X"6B",X"00",X"0F",X"07",X"06",
X"2E",X"00",X"0F",X"07",X"06",X"E0",X"10",X"0F",X"07",X"10",X"E1",X"3E",X"20",X"C3",X"10",X"1D",
X"07",X"56",X"00",X"0F",X"02",X"07",X"A7",X"00",X"0F",X"02",X"07",X"79",X"00",X"0F",X"02",X"10",
X"06",X"CC",X"00",X"0F",X"05",X"06",X"0F",X"00",X"0F",X"05",X"06",X"F2",X"10",X"0F",X"05",X"10",
X"21",X"90",X"25",X"CD",X"9C",X"19",X"F1",X"C3",X"22",X"24",X"CD",X"D6",X"24",X"21",X"BE",X"25",
X"22",X"03",X"4C",X"21",X"C9",X"25",X"CD",X"D4",X"25",X"3E",X"10",X"C3",X"10",X"1D",X"02",X"88",
X"00",X"0F",X"0F",X"02",X"6B",X"00",X"0F",X"0F",X"10",X"06",X"CC",X"00",X"0F",X"0F",X"06",X"2E",
X"00",X"0F",X"0F",X"10",X"CD",X"9C",X"19",X"3E",X"5A",X"CD",X"10",X"1D",X"21",X"00",X"00",X"22",
X"03",X"4C",X"22",X"07",X"4C",X"C9",X"CD",X"DD",X"9A",X"00",X"EF",X"FB",X"25",X"FB",X"25",X"FF",
X"25",X"FF",X"25",X"03",X"26",X"03",X"26",X"03",X"26",X"03",X"26",X"21",X"53",X"14",X"C9",X"21",
X"94",X"8A",X"C9",X"21",X"BF",X"34",X"C9",X"32",X"7F",X"4C",X"E7",X"C3",X"40",X"24",X"09",X"CD",
X"F3",X"34",X"3E",X"10",X"46",X"23",X"5E",X"23",X"56",X"12",X"10",X"F9",X"C9",X"E5",X"21",X"00",
X"15",X"22",X"85",X"4C",X"E1",X"C3",X"83",X"20",X"E5",X"2A",X"45",X"4C",X"23",X"7E",X"FE",X"10",
X"28",X"34",X"E1",X"AF",X"3D",X"C9",X"AF",X"3D",X"C9",X"30",X"02",X"7D",X"C9",X"C1",X"C3",X"91",
X"1C",X"06",X"04",X"21",X"B8",X"4C",X"1A",X"13",X"13",X"77",X"23",X"10",X"F9",X"C9",X"06",X"04",
X"21",X"B8",X"4C",X"7E",X"12",X"13",X"13",X"23",X"10",X"F9",X"C9",X"CB",X"C7",X"32",X"9A",X"4C",
X"11",X"F3",X"4F",X"C3",X"41",X"26",X"22",X"BC",X"4C",X"E1",X"AF",X"C9",X"2A",X"BC",X"4C",X"36",
X"F0",X"3E",X"95",X"C3",X"50",X"13",X"22",X"0B",X"4C",X"3A",X"44",X"4C",X"CB",X"6F",X"C8",X"3E",
X"01",X"C3",X"50",X"13",X"21",X"7C",X"43",X"11",X"5D",X"14",X"06",X"04",X"CD",X"B6",X"26",X"11",
X"D4",X"23",X"06",X"09",X"CD",X"B6",X"26",X"CD",X"7B",X"25",X"21",X"7C",X"41",X"CD",X"39",X"80",
X"CD",X"B2",X"14",X"2A",X"87",X"4C",X"CD",X"43",X"80",X"3E",X"40",X"CD",X"10",X"1D",X"CD",X"69",
X"94",X"3E",X"50",X"C3",X"10",X"1D",X"1A",X"77",X"E7",X"3E",X"03",X"CD",X"10",X"1D",X"13",X"10",
X"F5",X"C9",X"3E",X"40",X"CD",X"10",X"1D",X"21",X"AE",X"42",X"11",X"E5",X"26",X"06",X"0B",X"CD",
X"B6",X"26",X"21",X"6D",X"80",X"22",X"03",X"4C",X"21",X"AB",X"86",X"CD",X"9C",X"19",X"3E",X"80",
X"CD",X"10",X"1D",X"18",X"15",X"40",X"47",X"41",X"4D",X"45",X"40",X"4F",X"56",X"45",X"52",X"40",
X"21",X"40",X"40",X"01",X"04",X"80",X"3E",X"40",X"CF",X"C9",X"CD",X"F0",X"26",X"CD",X"62",X"80",
X"3A",X"3E",X"4C",X"21",X"0F",X"4E",X"06",X"05",X"4E",X"B9",X"38",X"0E",X"C3",X"99",X"97",X"2B",
X"5E",X"2A",X"3C",X"4C",X"ED",X"52",X"F2",X"23",X"27",X"E1",X"11",X"10",X"00",X"19",X"10",X"E8",
X"C3",X"A1",X"8A",X"E1",X"CD",X"A6",X"97",X"E5",X"7D",X"D6",X"0F",X"6F",X"FE",X"40",X"28",X"20",
X"22",X"E3",X"4C",X"21",X"30",X"4E",X"11",X"40",X"4E",X"01",X"10",X"00",X"E5",X"C5",X"ED",X"B0",
X"C1",X"E1",X"7D",X"ED",X"5B",X"E3",X"4C",X"BB",X"28",X"06",X"5F",X"D6",X"10",X"6F",X"18",X"E9",
X"E1",X"11",X"3E",X"4C",X"06",X"03",X"1A",X"77",X"2B",X"1B",X"10",X"FA",X"3A",X"40",X"4C",X"77",
X"2B",X"06",X"0C",X"3E",X"40",X"77",X"2B",X"10",X"FA",X"00",X"00",X"00",X"21",X"E5",X"42",X"3E",
X"3B",X"06",X"04",X"E5",X"C5",X"06",X"08",X"77",X"E7",X"E7",X"3C",X"10",X"FA",X"C1",X"E1",X"23",
X"23",X"23",X"10",X"EF",X"21",X"F1",X"42",X"11",X"A1",X"27",X"CD",X"ED",X"01",X"11",X"A6",X"27",
X"21",X"71",X"42",X"CD",X"ED",X"01",X"11",X"AB",X"27",X"21",X"75",X"43",X"CD",X"ED",X"01",X"18",
X"23",X"10",X"52",X"55",X"42",X"FF",X"07",X"45",X"4E",X"44",X"FF",X"06",X"59",X"4F",X"55",X"52",
X"40",X"4E",X"41",X"4D",X"45",X"40",X"40",X"3B",X"3B",X"3B",X"3B",X"3B",X"3B",X"3B",X"3B",X"3B",
X"3B",X"3B",X"3B",X"FF",X"21",X"29",X"42",X"22",X"04",X"4D",X"21",X"15",X"42",X"22",X"06",X"4D",
X"2A",X"00",X"4D",X"7D",X"D6",X"0F",X"6F",X"22",X"08",X"4D",X"21",X"FF",X"00",X"22",X"0A",X"4D",
X"21",X"00",X"00",X"00",X"00",X"00",X"21",X"00",X"00",X"00",X"00",X"00",X"AF",X"32",X"0C",X"4D",
X"2A",X"0A",X"4D",X"01",X"01",X"00",X"ED",X"42",X"22",X"0A",X"4D",X"C3",X"00",X"30",X"AB",X"00");
begin
process(clk)
begin
if rising_edge(clk) then
data <= rom_data(to_integer(unsigned(addr)));
end if;
end process;
end architecture;

View File

@ -0,0 +1,150 @@
library ieee;
use ieee.std_logic_1164.all,ieee.numeric_std.all;
entity ROM_PGM_1 is
port (
clk : in std_logic;
addr : in std_logic_vector(10 downto 0);
data : out std_logic_vector(7 downto 0)
);
end entity;
architecture prom of ROM_PGM_1 is
type rom is array(0 to 2047) of std_logic_vector(7 downto 0);
signal rom_data: rom := (
X"30",X"10",X"21",X"FF",X"00",X"22",X"0A",X"4D",X"3A",X"0C",X"4D",X"3D",X"32",X"0C",X"4D",X"CA",
X"F1",X"30",X"CD",X"2D",X"32",X"FE",X"0F",X"28",X"1F",X"21",X"0D",X"4D",X"CB",X"46",X"20",X"1D",
X"2A",X"04",X"4D",X"36",X"40",X"FE",X"00",X"28",X"35",X"FE",X"01",X"28",X"46",X"FE",X"02",X"28",
X"50",X"FE",X"03",X"28",X"59",X"C3",X"9C",X"30",X"21",X"0D",X"4D",X"CB",X"86",X"3A",X"2C",X"4C",
X"CB",X"67",X"28",X"0D",X"2A",X"04",X"4D",X"36",X"81",X"2A",X"06",X"4D",X"36",X"80",X"C3",X"F0",
X"27",X"2A",X"04",X"4D",X"36",X"40",X"2A",X"06",X"4D",X"36",X"40",X"C3",X"F0",X"27",X"7D",X"E6",
X"1F",X"FE",X"06",X"28",X"07",X"7D",X"D6",X"03",X"6F",X"22",X"04",X"4D",X"21",X"0D",X"4D",X"CB",
X"C6",X"18",X"CA",X"7C",X"FE",X"42",X"20",X"05",X"7D",X"FE",X"E0",X"30",X"EF",X"DF",X"DF",X"18",
X"E8",X"7D",X"E6",X"1F",X"FE",X"12",X"28",X"E4",X"7D",X"C6",X"03",X"6F",X"18",X"DB",X"7C",X"FE",
X"41",X"20",X"05",X"7D",X"FE",X"60",X"38",X"D4",X"E7",X"E7",X"18",X"CD",X"2A",X"04",X"4D",X"7C",
X"FE",X"42",X"20",X"11",X"7D",X"FE",X"F2",X"28",X"2F",X"FE",X"B2",X"28",X"2B",X"FE",X"72",X"28",
X"40",X"FE",X"32",X"28",X"3C",X"EB",X"2A",X"06",X"4D",X"7C",X"FE",X"40",X"20",X"05",X"7D",X"FE",
X"B5",X"28",X"A9",X"EB",X"2B",X"7E",X"2A",X"08",X"4D",X"77",X"23",X"22",X"08",X"4D",X"2A",X"06",
X"4D",X"77",X"E7",X"22",X"06",X"4D",X"18",X"94",X"2A",X"06",X"4D",X"7C",X"FE",X"42",X"28",X"8C",
X"36",X"3B",X"DF",X"22",X"06",X"4D",X"2A",X"08",X"4D",X"36",X"40",X"2B",X"22",X"08",X"4D",X"18",
X"E5",X"CD",X"F0",X"26",X"CD",X"02",X"31",X"3E",X"FF",X"CD",X"10",X"1D",X"CD",X"D6",X"24",X"C3",
X"AF",X"01",X"CD",X"6B",X"31",X"DD",X"21",X"00",X"4E",X"0E",X"01",X"3E",X"07",X"06",X"05",X"FD",
X"21",X"A7",X"43",X"FD",X"E5",X"E1",X"11",X"00",X"04",X"19",X"CD",X"9D",X"31",X"FD",X"71",X"00",
X"F5",X"DD",X"7E",X"0C",X"CD",X"A8",X"31",X"F1",X"FD",X"E5",X"E1",X"11",X"20",X"FF",X"19",X"DD",
X"E5",X"D1",X"E5",X"EB",X"11",X"0F",X"00",X"19",X"EB",X"E1",X"C5",X"F5",X"06",X"03",X"CD",X"CB",
X"31",X"F1",X"C1",X"DD",X"E5",X"D1",X"FD",X"E5",X"E1",X"D5",X"11",X"00",X"FE",X"19",X"D1",X"C5",
X"F5",X"06",X"0C",X"1A",X"77",X"E7",X"13",X"10",X"FA",X"F1",X"C1",X"FD",X"23",X"FD",X"23",X"FD",
X"23",X"11",X"10",X"00",X"DD",X"19",X"0C",X"3D",X"10",X"A9",X"C9",X"CD",X"00",X"01",X"11",X"89",
X"31",X"21",X"45",X"41",X"CD",X"ED",X"01",X"11",X"8F",X"31",X"21",X"65",X"43",X"CD",X"ED",X"01",
X"11",X"96",X"31",X"21",X"A5",X"42",X"C3",X"ED",X"01",X"12",X"4E",X"41",X"4D",X"45",X"FF",X"12",
X"52",X"4F",X"55",X"4E",X"44",X"FF",X"12",X"53",X"43",X"4F",X"52",X"45",X"FF",X"F5",X"C5",X"06",
X"1C",X"77",X"E7",X"10",X"FC",X"C1",X"F1",X"C9",X"F5",X"E6",X"F0",X"0F",X"0F",X"0F",X"0F",X"FD",
X"77",X"A0",X"F1",X"E6",X"0F",X"FD",X"77",X"80",X"C9",X"1A",X"E6",X"F0",X"0F",X"0F",X"0F",X"0F",
X"77",X"E7",X"1A",X"E6",X"0F",X"77",X"E7",X"1B",X"10",X"EF",X"C9",X"CD",X"B9",X"31",X"36",X"00",
X"C9",X"4E",X"4F",X"4E",X"50",X"45",X"40",X"3D",X"40",X"40",X"40",X"40",X"40",X"03",X"70",X"32",
X"00",X"54",X"41",X"4B",X"41",X"53",X"48",X"49",X"40",X"48",X"41",X"52",X"41",X"03",X"57",X"10",
X"00",X"46",X"55",X"4D",X"49",X"4B",X"4F",X"40",X"59",X"41",X"4D",X"41",X"3D",X"01",X"60",X"09",
X"00",X"52",X"45",X"49",X"4B",X"4F",X"40",X"49",X"5A",X"55",X"4D",X"49",X"40",X"01",X"54",X"05",
X"00",X"41",X"54",X"53",X"55",X"4B",X"4F",X"40",X"4B",X"49",X"42",X"41",X"40",X"01",X"32",X"04",
X"00",X"21",X"D1",X"31",X"11",X"00",X"4E",X"01",X"50",X"00",X"ED",X"B0",X"C9",X"06",X"A0",X"10",
X"FE",X"CD",X"62",X"01",X"3A",X"2E",X"4C",X"06",X"F0",X"10",X"FE",X"C9",X"E5",X"F5",X"DD",X"E5",
X"E1",X"7D",X"C6",X"FD",X"6F",X"46",X"F1",X"E1",X"80",X"DD",X"77",X"21",X"C9",X"3A",X"9A",X"4C",
X"CB",X"4F",X"C0",X"3A",X"88",X"4C",X"C3",X"99",X"32",X"3E",X"FD",X"21",X"9A",X"4C",X"18",X"20",
X"32",X"FD",X"4C",X"32",X"FE",X"4C",X"C3",X"9F",X"19",X"CB",X"CE",X"21",X"9A",X"4C",X"CB",X"8E",
X"AF",X"18",X"1D",X"3D",X"32",X"9E",X"4C",X"C0",X"21",X"BE",X"4C",X"CB",X"96",X"AF",X"18",X"10",
X"CB",X"CE",X"CD",X"60",X"32",X"00",X"00",X"21",X"00",X"00",X"22",X"07",X"4C",X"C3",X"9F",X"19",
X"CD",X"60",X"32",X"CD",X"01",X"07",X"C3",X"9F",X"19",X"FE",X"01",X"28",X"BC",X"FE",X"00",X"3E",
X"FC",X"28",X"B8",X"C9",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"60",X"0D",
X"0F",X"0F",X"0F",X"BC",X"0E",X"0E",X"0E",X"0E",X"0E",X"0E",X"0E",X"0E",X"0E",X"0E",X"0E",X"0E",
X"0B",X"00",X"00",X"BB",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"70",X"0C",
X"0E",X"0E",X"0E",X"AB",X"00",X"00",X"00",X"00",X"00",X"80",X"00",X"00",X"00",X"00",X"00",X"00",
X"0D",X"0F",X"0F",X"BB",X"00",X"00",X"00",X"00",X"08",X"00",X"00",X"08",X"00",X"00",X"00",X"0B",
X"00",X"00",X"00",X"0A",X"00",X"00",X"00",X"09",X"00",X"60",X"00",X"00",X"09",X"00",X"00",X"00",
X"0C",X"0E",X"0E",X"BB",X"00",X"00",X"00",X"00",X"06",X"00",X"00",X"07",X"00",X"00",X"00",X"0A",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"0D",X"0F",X"6F",X"00",X"00",X"0B",X"00",X"00",X"00",
X"0A",X"00",X"00",X"BB",X"00",X"00",X"00",X"00",X"97",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"90",X"00",X"00",X"00",X"0C",X"0E",X"7E",X"00",X"00",X"0A",X"00",X"00",X"00",
X"00",X"00",X"00",X"B8",X"00",X"00",X"F0",X"F0",X"D0",X"F0",X"F0",X"F0",X"F0",X"F8",X"F0",X"F0",
X"F0",X"F0",X"F0",X"D0",X"F0",X"F0",X"F0",X"FB",X"F0",X"F0",X"F0",X"F0",X"F0",X"F0",X"F0",X"F0",
X"F0",X"F0",X"F0",X"B6",X"00",X"00",X"E0",X"E0",X"C0",X"E0",X"E0",X"E0",X"E0",X"E6",X"E0",X"E0",
X"E0",X"E0",X"E0",X"C8",X"E0",X"E0",X"E0",X"EA",X"E0",X"E0",X"E0",X"E0",X"E0",X"E0",X"E0",X"E0",
X"E0",X"E0",X"E0",X"B6",X"00",X"00",X"00",X"00",X"B0",X"00",X"08",X"00",X"00",X"07",X"00",X"00",
X"00",X"00",X"00",X"B6",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"08",X"00",X"00",X"00",
X"00",X"00",X"00",X"B6",X"00",X"00",X"00",X"00",X"A0",X"00",X"06",X"00",X"0F",X"0F",X"0F",X"0F",
X"0F",X"0F",X"0F",X"A6",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"06",X"00",X"00",X"00",
X"00",X"00",X"00",X"B6",X"00",X"00",X"00",X"00",X"00",X"00",X"06",X"00",X"0E",X"0E",X"0E",X"0E",
X"0E",X"0E",X"0E",X"07",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"80",X"06",X"00",X"00",X"00",
X"00",X"00",X"00",X"B6",X"00",X"00",X"00",X"00",X"00",X"00",X"07",X"00",X"00",X"00",X"00",X"00",
X"00",X"90",X"00",X"00",X"00",X"00",X"00",X"09",X"00",X"00",X"00",X"60",X"07",X"00",X"00",X"00",
X"00",X"00",X"00",X"B6",X"00",X"00",X"00",X"00",X"80",X"00",X"00",X"80",X"00",X"00",X"00",X"00",
X"00",X"A0",X"00",X"00",X"00",X"00",X"00",X"0A",X"00",X"00",X"00",X"60",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"B6",X"00",X"00",X"00",X"00",X"60",X"00",X"00",X"60",X"00",X"00",X"09",X"00",
X"00",X"00",X"00",X"98",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"70",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"B6",X"00",X"00",X"00",X"00",X"70",X"00",X"00",X"70",X"00",X"00",X"0B",X"90",
X"00",X"00",X"00",X"D6",X"F0",X"F0",X"F0",X"F0",X"F0",X"F0",X"F0",X"F0",X"F0",X"F0",X"F0",X"F0",
X"90",X"00",X"00",X"B7",X"1E",X"C3",X"40",X"09",X"63",X"42",X"09",X"C8",X"42",X"09",X"4A",X"42",
X"08",X"96",X"41",X"08",X"17",X"43",X"08",X"CE",X"42",X"07",X"2E",X"41",X"07",X"52",X"43",X"07",
X"B2",X"42",X"06",X"77",X"42",X"06",X"43",X"41",X"06",X"C3",X"41",X"05",X"8C",X"41",X"05",X"89",
X"43",X"05",X"CE",X"40",X"04",X"52",X"42",X"04",X"7B",X"42",X"04",X"49",X"40",X"03",X"25",X"43",
X"03",X"CE",X"41",X"03",X"4E",X"40",X"02",X"43",X"42",X"02",X"4E",X"42",X"02",X"EA",X"41",X"01",
X"D6",X"41",X"01",X"AE",X"43",X"01",X"4E",X"41",X"40",X"23",X"41",X"00",X"E8",X"42",X"00",X"06",
X"94",X"40",X"7E",X"41",X"72",X"43",X"AC",X"41",X"C6",X"41",X"E5",X"42",X"E5",X"21",X"00",X"25",
X"22",X"85",X"4C",X"E1",X"C3",X"8F",X"20",X"CB",X"6F",X"C0",X"CB",X"77",X"C0",X"79",X"FE",X"01",
X"CA",X"DC",X"25",X"A7",X"C0",X"C3",X"DE",X"1C",X"CD",X"9C",X"19",X"3E",X"10",X"CD",X"10",X"1D",
X"C3",X"DC",X"25",X"21",X"C9",X"43",X"11",X"8F",X"31",X"CD",X"D5",X"01",X"3A",X"40",X"4C",X"2E",
X"E6",X"47",X"E6",X"0F",X"77",X"23",X"78",X"E6",X"F0",X"0F",X"0F",X"0F",X"0F",X"77",X"11",X"EF",
X"43",X"21",X"0F",X"4E",X"AF",X"12",X"1E",X"F5",X"CD",X"09",X"13",X"C3",X"E6",X"25",X"AF",X"32",
X"2D",X"4C",X"3C",X"32",X"40",X"4C",X"CD",X"CF",X"9B",X"CD",X"00",X"01",X"CD",X"52",X"09",X"CD",
X"12",X"0D",X"CD",X"5B",X"35",X"CD",X"77",X"35",X"CD",X"9F",X"36",X"CD",X"D6",X"9B",X"06",X"0E",
X"CD",X"45",X"35",X"18",X"F0",X"3A",X"26",X"4C",X"A7",X"20",X"03",X"10",X"F8",X"C9",X"21",X"30",
X"4C",X"06",X"0C",X"36",X"00",X"23",X"10",X"FB",X"C3",X"0D",X"90",X"CD",X"E0",X"06",X"CB",X"86",
X"3E",X"30",X"CD",X"C1",X"01",X"AF",X"32",X"44",X"4C",X"3C",X"32",X"81",X"4C",X"32",X"42",X"4C",
X"21",X"08",X"37",X"CD",X"99",X"37",X"C9",X"3A",X"41",X"4C",X"A7",X"28",X"05",X"3D",X"32",X"41",
X"4C",X"C9",X"3E",X"40",X"32",X"41",X"4C",X"3A",X"43",X"4C",X"A7",X"C2",X"14",X"36",X"2A",X"45",
X"4C",X"3A",X"42",X"4C",X"3D",X"28",X"03",X"23",X"18",X"FA",X"3A",X"42",X"4C",X"3C",X"32",X"42",
X"4C",X"7E",X"47",X"E6",X"0F",X"32",X"47",X"4C",X"78",X"E6",X"F0",X"C2",X"F8",X"35",X"CD",X"0E",
X"36",X"3E",X"08",X"32",X"43",X"4C",X"C9",X"AF",X"CD",X"0E",X"36",X"3A",X"47",X"4C",X"FE",X"01",
X"28",X"0B",X"CD",X"26",X"36",X"3E",X"01",X"32",X"01",X"50",X"C9",X"AE",X"35",X"AF",X"CD",X"D6",
X"24",X"CD",X"42",X"36",X"18",X"EF",X"AF",X"CD",X"D6",X"24",X"3A",X"47",X"4C",X"FE",X"01",X"3A",
X"44",X"4C",X"CB",X"9F",X"20",X"02",X"CB",X"DF",X"CB",X"FF",X"CB",X"8F",X"32",X"44",X"4C",X"3E",
X"20",X"32",X"43",X"4C",X"00",X"00",X"00",X"C9",X"CB",X"77",X"20",X"0B",X"CB",X"6F",X"20",X"B7",
X"CB",X"67",X"20",X"D2",X"C3",X"6E",X"37",X"21",X"44",X"4C",X"CB",X"CE",X"18",X"A3",X"21",X"44",
X"4C",X"CB",X"8E",X"C9",X"F5",X"3A",X"44",X"4C",X"CB",X"4F",X"20",X"04",X"00",X"C3",X"79",X"37",
X"F1",X"3D",X"32",X"43",X"4C",X"C9",X"CD",X"0E",X"07",X"23",X"E7",X"E7",X"7E",X"FE",X"0A",X"CD",
X"5B",X"36",X"22",X"51",X"4C",X"CD",X"E4",X"0A",X"CB",X"D6",X"CB",X"9E",X"3E",X"0C",X"32",X"FC",
X"4F",X"C9",X"CD",X"0E",X"07",X"23",X"DF",X"7E",X"FE",X"0A",X"CD",X"7A",X"36",X"22",X"51",X"4C",
X"CD",X"E4",X"0A",X"CB",X"D6",X"CB",X"DE",X"3E",X"0E",X"18",X"E3",X"30",X"19",X"E5",X"E7",X"7E",
X"FE",X"0A",X"E1",X"38",X"02",X"2B",X"C9",X"C1",X"2B",X"22",X"51",X"4C",X"CD",X"B0",X"0A",X"CB",
X"EE",X"CB",X"96",X"C3",X"3A",X"36",X"E1",X"C3",X"3C",X"36",X"30",X"19",X"E5",X"DF",X"7E",X"FE",
X"0A",X"E1",X"38",X"02",X"2B",X"C9",X"C1",X"2B",X"22",X"51",X"4C",X"CD",X"B0",X"0A",X"CB",X"EE",
X"CB",X"96",X"C3",X"55",X"36",X"E1",X"C3",X"57",X"36",X"CD",X"0C",X"0A",X"C3",X"14",X"10",X"3A",
X"44",X"4C",X"CB",X"67",X"C8",X"21",X"30",X"4C",X"06",X"08",X"36",X"00",X"23",X"10",X"FB",X"3E",
X"20",X"CD",X"C1",X"01",X"3A",X"FD",X"4F",X"32",X"7E",X"4F",X"3E",X"11",X"32",X"FD",X"4F",X"06",
X"10",X"3E",X"80",X"32",X"FC",X"4F",X"3E",X"05",X"CD",X"C1",X"01",X"3E",X"84",X"32",X"FC",X"4F",
X"3E",X"05",X"CD",X"C1",X"01",X"10",X"EA",X"3E",X"80",X"CD",X"C1",X"01",X"C1",X"C9",X"F5",X"3A",
X"44",X"4C",X"CB",X"E7",X"32",X"44",X"4C",X"F1",X"C9",X"DD",X"5E",X"00",X"DD",X"56",X"01",X"2A",
X"3A",X"4C",X"7C",X"92",X"F2",X"F9",X"36",X"ED",X"44",X"FE",X"09",X"D0",X"7D",X"93",X"F2",X"03",
X"37",X"ED",X"44",X"FE",X"09",X"38",X"D7",X"C9",X"01",X"01",X"00",X"00",X"00",X"01",X"01",X"21",
X"40",X"01",X"21",X"40",X"01",X"01",X"01",X"00",X"00",X"00",X"00",X"00",X"03",X"03",X"40",X"40",
X"00",X"00",X"00",X"00",X"00",X"03",X"03",X"01",X"21",X"40",X"40",X"01",X"03",X"03",X"00",X"00",
X"00",X"00",X"00",X"40",X"02",X"02",X"02",X"02",X"02",X"01",X"01",X"01",X"11",X"01",X"01",X"01",
X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"01",X"03",X"13",X"01",X"00",X"00",X"00",X"00",
X"23",X"40",X"03",X"03",X"23",X"40",X"03",X"03",X"03",X"13",X"03",X"03",X"23",X"03",X"02",X"02",
X"02",X"02",X"03",X"13",X"02",X"02",X"02",X"02",X"02",X"02",X"02",X"02",X"02",X"80",X"21",X"44",
X"4C",X"CB",X"C6",X"3E",X"F0",X"32",X"43",X"4C",X"C9",X"CB",X"47",X"20",X"04",X"F1",X"C3",X"99",
X"08",X"F1",X"3D",X"32",X"43",X"4C",X"3A",X"3B",X"4C",X"3D",X"32",X"3B",X"4C",X"CD",X"0E",X"07",
X"23",X"23",X"7E",X"FE",X"F6",X"D8",X"C3",X"DE",X"36",X"ED",X"5F",X"CB",X"4F",X"28",X"03",X"21",
X"A6",X"37",X"22",X"45",X"4C",X"C9",X"03",X"03",X"03",X"00",X"00",X"00",X"03",X"21",X"01",X"01",
X"11",X"01",X"01",X"23",X"03",X"03",X"00",X"00",X"00",X"00",X"40",X"40",X"40",X"40",X"40",X"40",
X"40",X"40",X"40",X"40",X"40",X"40",X"40",X"40",X"40",X"40",X"40",X"40",X"40",X"40",X"23",X"03",
X"03",X"03",X"03",X"23",X"01",X"11",X"03",X"23",X"40",X"03",X"40",X"40",X"40",X"40",X"40",X"40",
X"40",X"40",X"40",X"40",X"03",X"23",X"03",X"03",X"23",X"03",X"00",X"00",X"00",X"00",X"00",X"00",
X"01",X"01",X"11",X"01",X"01",X"01",X"11",X"01",X"01",X"11",X"80",X"FF",X"FF",X"FF",X"FF",X"FF");
begin
process(clk)
begin
if rising_edge(clk) then
data <= rom_data(to_integer(unsigned(addr)));
end if;
end process;
end architecture;

View File

@ -0,0 +1,534 @@
library ieee;
use ieee.std_logic_1164.all,ieee.numeric_std.all;
entity ROM_PGM_2 is
port (
clk : in std_logic;
addr : in std_logic_vector(12 downto 0);
data : out std_logic_vector(7 downto 0)
);
end entity;
architecture prom of ROM_PGM_2 is
type rom is array(0 to 8191) of std_logic_vector(7 downto 0);
signal rom_data: rom := (
X"3A",X"00",X"50",X"CB",X"77",X"20",X"04",X"21",X"2C",X"4C",X"C9",X"21",X"B0",X"42",X"11",X"20",
X"81",X"CD",X"ED",X"01",X"C3",X"14",X"80",X"C0",X"50",X"28",X"F0",X"18",X"EA",X"05",X"71",X"00",
X"0F",X"01",X"05",X"31",X"00",X"0F",X"01",X"05",X"11",X"00",X"0F",X"01",X"05",X"E0",X"00",X"0F",
X"01",X"10",X"AF",X"CD",X"60",X"32",X"C3",X"DE",X"23",X"CD",X"3A",X"24",X"32",X"87",X"4C",X"11",
X"87",X"4C",X"C9",X"7C",X"47",X"0F",X"0F",X"0F",X"0F",X"E6",X"0F",X"32",X"7F",X"4C",X"7D",X"0F",
X"0F",X"0F",X"0F",X"E6",X"0F",X"4F",X"78",X"E6",X"0F",X"0F",X"0F",X"0F",X"0F",X"B1",X"32",X"7E",
X"4C",X"C9",X"CD",X"0C",X"87",X"36",X"00",X"23",X"7D",X"A7",X"20",X"F9",X"C9",X"02",X"C3",X"00",
X"08",X"0F",X"07",X"79",X"00",X"08",X"0F",X"07",X"4B",X"00",X"08",X"0F",X"02",X"93",X"00",X"08",
X"0F",X"07",X"88",X"00",X"08",X"0F",X"07",X"4B",X"00",X"08",X"0F",X"02",X"33",X"00",X"08",X"0F",
X"07",X"88",X"00",X"08",X"0F",X"07",X"4B",X"00",X"08",X"0F",X"02",X"D2",X"00",X"08",X"0F",X"07",
X"00",X"00",X"08",X"02",X"07",X"D2",X"00",X"08",X"03",X"07",X"D2",X"00",X"08",X"03",X"07",X"D2",
X"00",X"08",X"03",X"07",X"D2",X"00",X"08",X"03",X"07",X"D2",X"00",X"08",X"03",X"07",X"D2",X"00",
X"08",X"03",X"10",X"21",X"00",X"00",X"22",X"03",X"4C",X"CD",X"9C",X"19",X"3E",X"10",X"CD",X"10",
X"1D",X"21",X"E1",X"80",X"22",X"03",X"4C",X"21",X"16",X"87",X"CD",X"3F",X"87",X"3A",X"FD",X"4F",
X"C9",X"07",X"A5",X"00",X"0A",X"0F",X"07",X"56",X"00",X"0A",X"0F",X"07",X"27",X"00",X"0A",X"0F",
X"07",X"88",X"00",X"0A",X"0F",X"07",X"4B",X"00",X"0A",X"05",X"07",X"4B",X"00",X"0A",X"05",X"07",
X"4B",X"00",X"0F",X"1F",X"10",X"FF",X"FF",X"F5",X"C5",X"47",X"0F",X"0F",X"0F",X"0F",X"E6",X"0F",
X"FE",X"0A",X"30",X"10",X"77",X"78",X"E6",X"0F",X"FE",X"0A",X"30",X"0C",X"01",X"E0",X"FF",X"09",
X"06",X"49",X"40",X"43",X"41",X"4E",X"40",X"4E",X"4F",X"54",X"40",X"52",X"55",X"4E",X"5B",X"5B",
X"5B",X"FF",X"06",X"20",X"1A",X"E5",X"CD",X"07",X"81",X"E1",X"23",X"13",X"7B",X"32",X"C0",X"50",
X"A7",X"C8",X"05",X"20",X"EF",X"C5",X"01",X"80",X"FF",X"09",X"C1",X"18",X"E5",X"02",X"D2",X"00",
X"0D",X"0F",X"03",X"22",X"00",X"08",X"07",X"02",X"D2",X"00",X"0D",X"07",X"02",X"22",X"00",X"0D",
X"07",X"03",X"93",X"00",X"08",X"07",X"03",X"22",X"00",X"08",X"07",X"03",X"D2",X"00",X"08",X"07",
X"02",X"D2",X"00",X"0D",X"0F",X"03",X"22",X"00",X"08",X"07",X"02",X"D2",X"00",X"0D",X"07",X"02",
X"22",X"00",X"0D",X"07",X"03",X"93",X"00",X"08",X"07",X"03",X"22",X"00",X"08",X"07",X"03",X"D2",
X"00",X"08",X"07",X"02",X"62",X"00",X"0D",X"0F",X"03",X"62",X"00",X"08",X"07",X"02",X"62",X"00",
X"0D",X"07",X"02",X"C1",X"00",X"0D",X"07",X"03",X"93",X"00",X"08",X"07",X"03",X"62",X"00",X"08",
X"07",X"03",X"D2",X"00",X"08",X"07",X"02",X"62",X"00",X"0D",X"0F",X"03",X"62",X"00",X"08",X"07",
X"02",X"62",X"00",X"0D",X"07",X"02",X"C1",X"00",X"0D",X"07",X"03",X"93",X"00",X"08",X"07",X"03",
X"62",X"00",X"08",X"07",X"03",X"D2",X"00",X"08",X"07",X"02",X"E1",X"00",X"0D",X"0F",X"03",X"62",
X"00",X"08",X"07",X"02",X"E1",X"00",X"0D",X"07",X"02",X"D2",X"00",X"0D",X"07",X"03",X"C3",X"00",
X"08",X"07",X"03",X"62",X"00",X"08",X"07",X"03",X"D2",X"00",X"08",X"07",X"02",X"E1",X"00",X"0D",
X"0F",X"03",X"62",X"00",X"08",X"07",X"02",X"E1",X"00",X"0D",X"07",X"02",X"D2",X"00",X"0D",X"07",
X"03",X"C3",X"00",X"08",X"07",X"03",X"62",X"00",X"08",X"07",X"03",X"D2",X"00",X"08",X"07",X"02",
X"22",X"00",X"0D",X"0F",X"03",X"B2",X"00",X"08",X"07",X"02",X"22",X"00",X"0D",X"07",X"02",X"B2",
X"00",X"0D",X"07",X"03",X"44",X"00",X"08",X"07",X"03",X"B2",X"00",X"08",X"07",X"03",X"33",X"00",
X"08",X"07",X"02",X"22",X"00",X"0D",X"0F",X"03",X"B2",X"00",X"08",X"07",X"02",X"22",X"00",X"0D",
X"07",X"02",X"B2",X"00",X"0D",X"07",X"03",X"44",X"00",X"08",X"07",X"03",X"B2",X"00",X"08",X"07",
X"03",X"33",X"00",X"08",X"07",X"02",X"D2",X"00",X"0D",X"0F",X"03",X"22",X"00",X"08",X"07",X"03",
X"D2",X"00",X"08",X"07",X"02",X"22",X"00",X"0D",X"07",X"03",X"93",X"00",X"08",X"07",X"03",X"22",
X"00",X"08",X"07",X"03",X"D2",X"00",X"08",X"07",X"02",X"D2",X"00",X"0D",X"0F",X"03",X"22",X"00",
X"08",X"07",X"03",X"D2",X"00",X"08",X"07",X"02",X"22",X"00",X"0D",X"07",X"03",X"93",X"00",X"08",
X"07",X"03",X"22",X"00",X"08",X"07",X"03",X"D2",X"00",X"08",X"07",X"02",X"62",X"00",X"0D",X"0F",
X"03",X"62",X"00",X"08",X"07",X"03",X"D2",X"00",X"08",X"07",X"02",X"93",X"00",X"0D",X"07",X"03",
X"93",X"00",X"08",X"07",X"03",X"62",X"00",X"08",X"07",X"03",X"D2",X"00",X"08",X"07",X"02",X"62",
X"00",X"0D",X"0F",X"03",X"62",X"00",X"08",X"07",X"03",X"D2",X"00",X"08",X"07",X"02",X"93",X"00",
X"0D",X"07",X"03",X"93",X"00",X"08",X"07",X"03",X"62",X"00",X"08",X"07",X"03",X"D2",X"00",X"08",
X"07",X"02",X"E1",X"00",X"0D",X"0F",X"03",X"62",X"00",X"08",X"07",X"03",X"D2",X"00",X"08",X"07",
X"02",X"62",X"00",X"0D",X"07",X"03",X"C3",X"00",X"08",X"07",X"03",X"62",X"00",X"08",X"07",X"03",
X"D2",X"00",X"08",X"07",X"02",X"E1",X"00",X"0D",X"0F",X"03",X"62",X"00",X"08",X"07",X"03",X"D2",
X"00",X"08",X"07",X"02",X"62",X"00",X"0D",X"07",X"03",X"C3",X"00",X"08",X"07",X"03",X"62",X"00",
X"08",X"07",X"03",X"D2",X"00",X"08",X"07",X"02",X"22",X"00",X"0D",X"0F",X"03",X"B2",X"00",X"08",
X"07",X"03",X"33",X"00",X"08",X"07",X"02",X"B2",X"00",X"0D",X"07",X"03",X"44",X"00",X"08",X"07",
X"03",X"B2",X"00",X"08",X"07",X"03",X"33",X"00",X"08",X"07",X"02",X"22",X"00",X"0D",X"0F",X"03",
X"B2",X"00",X"08",X"07",X"03",X"33",X"00",X"08",X"07",X"02",X"B2",X"00",X"0D",X"07",X"03",X"44",
X"00",X"08",X"07",X"03",X"B2",X"00",X"08",X"07",X"03",X"33",X"00",X"08",X"07",X"10",X"D2",X"00",
X"0F",X"0F",X"02",X"22",X"00",X"0F",X"0F",X"02",X"62",X"00",X"0F",X"0F",X"02",X"B2",X"00",X"0F",
X"0F",X"10",X"03",X"44",X"00",X"08",X"0F",X"03",X"44",X"00",X"08",X"0F",X"03",X"C4",X"00",X"08",
X"07",X"03",X"A5",X"00",X"08",X"0F",X"03",X"56",X"00",X"08",X"07",X"03",X"27",X"00",X"08",X"0F",
X"03",X"27",X"00",X"08",X"07",X"03",X"56",X"00",X"08",X"07",X"03",X"27",X"00",X"08",X"07",X"03",
X"56",X"00",X"08",X"07",X"03",X"A5",X"00",X"08",X"07",X"03",X"C4",X"00",X"08",X"07",X"03",X"44",
X"00",X"08",X"0F",X"03",X"44",X"00",X"08",X"0F",X"03",X"C4",X"00",X"08",X"07",X"03",X"A5",X"00",
X"08",X"0F",X"03",X"56",X"00",X"08",X"07",X"03",X"27",X"00",X"08",X"0F",X"03",X"27",X"00",X"08",
X"07",X"03",X"56",X"00",X"08",X"07",X"03",X"27",X"00",X"08",X"07",X"03",X"88",X"00",X"08",X"07",
X"03",X"79",X"00",X"08",X"07",X"03",X"88",X"00",X"08",X"07",X"03",X"79",X"00",X"08",X"0F",X"03",
X"79",X"00",X"08",X"07",X"03",X"9A",X"00",X"08",X"07",X"03",X"6B",X"00",X"08",X"0F",X"03",X"6B",
X"00",X"08",X"07",X"03",X"9A",X"00",X"08",X"07",X"03",X"6B",X"00",X"08",X"0F",X"03",X"6B",X"00",
X"08",X"07",X"03",X"9A",X"00",X"08",X"07",X"03",X"6B",X"00",X"08",X"07",X"03",X"9A",X"00",X"08",
X"07",X"03",X"79",X"00",X"08",X"07",X"03",X"88",X"00",X"08",X"07",X"03",X"27",X"00",X"08",X"0F",
X"03",X"27",X"00",X"08",X"07",X"03",X"88",X"00",X"08",X"07",X"03",X"56",X"00",X"08",X"0F",X"03",
X"56",X"00",X"08",X"07",X"03",X"27",X"00",X"08",X"07",X"03",X"56",X"00",X"08",X"07",X"03",X"A5",
X"00",X"08",X"07",X"03",X"C4",X"00",X"08",X"07",X"03",X"55",X"00",X"08",X"07",X"03",X"A5",X"00",
X"08",X"0F",X"03",X"A5",X"00",X"08",X"0F",X"03",X"44",X"00",X"08",X"0F",X"03",X"C4",X"00",X"0F",
X"07",X"03",X"A5",X"00",X"08",X"0F",X"03",X"56",X"00",X"08",X"07",X"03",X"A5",X"00",X"08",X"07",
X"03",X"C4",X"00",X"08",X"07",X"03",X"44",X"00",X"08",X"0F",X"03",X"C4",X"00",X"08",X"07",X"03",
X"A5",X"00",X"08",X"0F",X"03",X"56",X"00",X"08",X"07",X"03",X"A5",X"00",X"08",X"07",X"03",X"56",
X"00",X"08",X"07",X"03",X"27",X"00",X"08",X"0F",X"03",X"56",X"00",X"08",X"07",X"03",X"27",X"00",
X"08",X"0F",X"03",X"56",X"00",X"08",X"07",X"03",X"A5",X"00",X"08",X"07",X"03",X"56",X"00",X"08",
X"07",X"03",X"27",X"00",X"08",X"0F",X"03",X"88",X"00",X"08",X"07",X"03",X"79",X"00",X"08",X"0F",
X"03",X"88",X"00",X"08",X"07",X"03",X"27",X"00",X"08",X"07",X"03",X"88",X"00",X"08",X"07",X"03",
X"79",X"00",X"08",X"0F",X"03",X"6B",X"00",X"08",X"07",X"03",X"2E",X"00",X"08",X"0F",X"03",X"CC",
X"00",X"08",X"07",X"03",X"6B",X"00",X"08",X"07",X"03",X"CC",X"00",X"08",X"07",X"03",X"2E",X"00",
X"08",X"0F",X"03",X"2E",X"00",X"08",X"07",X"03",X"CC",X"00",X"08",X"0F",X"03",X"2E",X"00",X"08",
X"07",X"03",X"CC",X"00",X"08",X"07",X"03",X"2E",X"00",X"08",X"07",X"03",X"6B",X"00",X"08",X"0F",
X"03",X"88",X"00",X"08",X"0F",X"03",X"27",X"00",X"08",X"07",X"03",X"56",X"00",X"08",X"0F",X"03",
X"27",X"00",X"08",X"07",X"03",X"A5",X"00",X"08",X"0F",X"03",X"44",X"00",X"08",X"0F",X"03",X"A5",
X"00",X"08",X"0F",X"03",X"A5",X"00",X"08",X"0F",X"10",X"02",X"62",X"00",X"09",X"07",X"02",X"62",
X"00",X"09",X"07",X"04",X"03",X"00",X"06",X"07",X"04",X"33",X"00",X"06",X"07",X"04",X"93",X"00",
X"06",X"07",X"02",X"91",X"00",X"09",X"07",X"04",X"03",X"00",X"06",X"07",X"02",X"B2",X"00",X"09",
X"07",X"02",X"62",X"00",X"09",X"07",X"02",X"62",X"00",X"09",X"07",X"04",X"03",X"00",X"06",X"07",
X"04",X"33",X"00",X"06",X"07",X"04",X"93",X"00",X"06",X"07",X"02",X"91",X"00",X"09",X"07",X"04",
X"03",X"00",X"06",X"07",X"02",X"B2",X"00",X"09",X"07",X"04",X"33",X"00",X"06",X"07",X"04",X"33",
X"00",X"06",X"07",X"04",X"04",X"00",X"06",X"07",X"04",X"44",X"00",X"06",X"07",X"04",X"C4",X"00",
X"06",X"07",X"04",X"44",X"00",X"06",X"07",X"04",X"04",X"00",X"06",X"07",X"04",X"93",X"00",X"06",
X"07",X"04",X"33",X"00",X"06",X"07",X"04",X"33",X"00",X"06",X"07",X"04",X"04",X"00",X"06",X"07",
X"04",X"44",X"00",X"06",X"07",X"04",X"C4",X"00",X"06",X"07",X"04",X"44",X"00",X"06",X"07",X"04",
X"04",X"00",X"06",X"07",X"04",X"93",X"00",X"10",X"07",X"10",X"07",X"62",X"00",X"06",X"07",X"07",
X"79",X"00",X"06",X"07",X"07",X"0C",X"00",X"06",X"07",X"07",X"79",X"00",X"06",X"07",X"07",X"2E",
X"00",X"06",X"07",X"07",X"79",X"00",X"06",X"07",X"07",X"0C",X"00",X"06",X"07",X"07",X"79",X"00",
X"06",X"07",X"07",X"62",X"00",X"06",X"07",X"07",X"79",X"00",X"06",X"07",X"07",X"0C",X"00",X"06",
X"07",X"07",X"79",X"00",X"06",X"07",X"07",X"2E",X"00",X"06",X"07",X"07",X"79",X"00",X"06",X"07",
X"07",X"0C",X"00",X"06",X"07",X"07",X"79",X"00",X"06",X"07",X"07",X"91",X"00",X"06",X"07",X"07",
X"79",X"00",X"06",X"07",X"07",X"CC",X"00",X"06",X"07",X"07",X"79",X"00",X"06",X"07",X"07",X"FF",
X"00",X"06",X"07",X"07",X"79",X"00",X"06",X"07",X"07",X"CC",X"00",X"06",X"07",X"07",X"79",X"00",
X"06",X"07",X"07",X"91",X"00",X"06",X"07",X"07",X"79",X"00",X"06",X"07",X"07",X"CC",X"00",X"06",
X"07",X"07",X"79",X"00",X"06",X"07",X"07",X"FF",X"00",X"06",X"07",X"07",X"79",X"00",X"06",X"07",
X"07",X"CC",X"00",X"06",X"07",X"07",X"79",X"00",X"06",X"07",X"10",X"02",X"00",X"00",X"10",X"02",
X"02",X"79",X"00",X"10",X"0F",X"02",X"A7",X"00",X"08",X"0F",X"07",X"4B",X"00",X"08",X"0F",X"07",
X"88",X"00",X"08",X"0F",X"02",X"27",X"00",X"08",X"0F",X"07",X"4B",X"00",X"08",X"0F",X"07",X"CC",
X"00",X"08",X"0F",X"02",X"9A",X"00",X"08",X"0F",X"07",X"88",X"00",X"08",X"0F",X"07",X"4B",X"00",
X"08",X"0F",X"02",X"4B",X"00",X"08",X"03",X"07",X"4B",X"00",X"08",X"03",X"07",X"4B",X"00",X"08",
X"03",X"02",X"4B",X"00",X"08",X"03",X"07",X"4B",X"00",X"08",X"03",X"07",X"4B",X"00",X"08",X"03",
X"10",X"D2",X"00",X"08",X"03",X"10",X"CD",X"01",X"07",X"C3",X"C6",X"06",X"21",X"00",X"00",X"22",
X"3A",X"4C",X"21",X"41",X"4C",X"C9",X"03",X"27",X"00",X"0A",X"0F",X"03",X"A7",X"00",X"0A",X"0F",
X"03",X"88",X"00",X"0A",X"0F",X"03",X"79",X"00",X"0A",X"0F",X"03",X"4B",X"00",X"0A",X"05",X"03",
X"4B",X"00",X"0A",X"05",X"03",X"4B",X"00",X"0A",X"0F",X"03",X"4B",X"00",X"0A",X"0F",X"10",X"CD",
X"9C",X"19",X"3E",X"05",X"CD",X"10",X"1D",X"C3",X"DC",X"25",X"02",X"D2",X"00",X"0F",X"0F",X"03",
X"88",X"00",X"0A",X"07",X"03",X"4B",X"00",X"0A",X"07",X"02",X"C1",X"00",X"0F",X"0F",X"03",X"88",
X"00",X"0A",X"07",X"03",X"9A",X"00",X"0A",X"07",X"02",X"E1",X"00",X"0F",X"0F",X"03",X"79",X"00",
X"0A",X"07",X"03",X"4B",X"00",X"0A",X"07",X"02",X"22",X"00",X"0F",X"0F",X"03",X"88",X"00",X"0A",
X"07",X"03",X"9A",X"00",X"0A",X"07",X"02",X"D2",X"00",X"0F",X"0F",X"03",X"88",X"00",X"0A",X"07",
X"03",X"4B",X"00",X"0A",X"07",X"02",X"C1",X"00",X"0F",X"0F",X"03",X"88",X"00",X"0A",X"07",X"03",
X"9A",X"00",X"0A",X"07",X"02",X"E1",X"00",X"0F",X"0F",X"03",X"79",X"00",X"0A",X"07",X"03",X"4B",
X"00",X"0A",X"07",X"02",X"22",X"00",X"0F",X"0F",X"03",X"88",X"00",X"0A",X"07",X"03",X"9A",X"00",
X"0A",X"07",X"02",X"71",X"00",X"0F",X"05",X"02",X"D2",X"00",X"0F",X"05",X"02",X"71",X"00",X"0F",
X"05",X"02",X"D2",X"00",X"0F",X"05",X"10",X"07",X"4B",X"00",X"1A",X"0F",X"07",X"4B",X"00",X"1A",
X"07",X"07",X"CC",X"00",X"0A",X"07",X"07",X"2E",X"00",X"1A",X"0F",X"07",X"2E",X"00",X"1A",X"07",
X"07",X"0F",X"00",X"0A",X"07",X"07",X"E0",X"10",X"1A",X"0F",X"07",X"E0",X"10",X"1A",X"07",X"07",
X"0F",X"00",X"0A",X"07",X"07",X"E0",X"10",X"1A",X"07",X"07",X"0F",X"00",X"1A",X"07",X"07",X"2E",
X"00",X"0A",X"07",X"07",X"CC",X"00",X"0A",X"07",X"07",X"4B",X"00",X"0A",X"0F",X"07",X"4B",X"00",
X"0A",X"07",X"07",X"CC",X"00",X"0A",X"07",X"07",X"2E",X"00",X"0A",X"0F",X"07",X"2E",X"00",X"0A",
X"07",X"07",X"0F",X"00",X"0A",X"07",X"07",X"E0",X"10",X"0A",X"0F",X"07",X"E0",X"10",X"0A",X"07",
X"07",X"0F",X"00",X"0A",X"07",X"07",X"E0",X"10",X"0A",X"07",X"07",X"0F",X"00",X"0A",X"07",X"07",
X"2E",X"00",X"0A",X"07",X"07",X"CC",X"00",X"0A",X"07",X"07",X"A5",X"00",X"1A",X"05",X"07",X"A5",
X"00",X"1A",X"05",X"07",X"A5",X"00",X"1A",X"05",X"07",X"A5",X"00",X"1A",X"05",X"10",X"00",X"00",
X"00",X"06",X"00",X"00",X"00",X"00",X"00",X"00",X"80",X"00",X"00",X"0C",X"0E",X"6E",X"0E",X"0E",
X"0E",X"0E",X"0E",X"0C",X"0E",X"0E",X"0E",X"0E",X"0E",X"0E",X"0A",X"00",X"00",X"BB",X"00",X"00",
X"00",X"77",X"00",X"00",X"00",X"00",X"00",X"00",X"70",X"00",X"00",X"0A",X"00",X"70",X"00",X"00",
X"B0",X"00",X"00",X"0A",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"BB",X"00",X"00",
X"0F",X"8F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"08",X"00",X"00",X"00",X"00",
X"D0",X"F0",X"F0",X"F0",X"F0",X"F0",X"F0",X"F0",X"F9",X"F0",X"F0",X"F0",X"F0",X"BB",X"00",X"00",
X"0E",X"0E",X"0E",X"0E",X"0E",X"0E",X"0E",X"0E",X"8E",X"0E",X"0E",X"07",X"00",X"00",X"0F",X"0F",
X"CF",X"EF",X"EF",X"EF",X"EF",X"EF",X"EF",X"EF",X"EB",X"E0",X"E0",X"E0",X"E0",X"BB",X"00",X"00",
X"00",X"80",X"00",X"00",X"00",X"00",X"00",X"00",X"60",X"00",X"00",X"00",X"00",X"00",X"0E",X"0E",
X"BE",X"0E",X"0E",X"0E",X"0E",X"0E",X"0E",X"0E",X"0A",X"00",X"00",X"00",X"00",X"B8",X"00",X"00",
X"0F",X"78",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"70",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"B0",X"00",X"00",X"00",X"00",X"00",X"00",X"F0",X"F0",X"F0",X"90",X"00",X"00",X"B6",X"00",X"00",
X"0E",X"07",X"0E",X"0E",X"0E",X"0E",X"0E",X"0E",X"99",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"A0",X"00",X"09",X"90",X"00",X"00",X"00",X"C0",X"E0",X"E0",X"B0",X"00",X"00",X"B6",X"00",X"00",
X"00",X"07",X"00",X"00",X"00",X"00",X"00",X"00",X"DD",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"0F",X"0B",X"D0",X"F0",X"FF",X"FF",X"BF",X"0F",X"00",X"80",X"00",X"00",X"B6",X"00",X"00",
X"00",X"90",X"00",X"00",X"00",X"00",X"00",X"00",X"CC",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",X"EE",
X"EE",X"0E",X"0B",X"C0",X"E0",X"EE",X"EE",X"BE",X"0E",X"00",X"60",X"00",X"00",X"B6",X"00",X"00",
X"00",X"D0",X"F0",X"F0",X"F0",X"F0",X"F0",X"F0",X"BA",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"0A",X"A0",X"00",X"08",X"00",X"A0",X"00",X"00",X"70",X"00",X"00",X"B6",X"00",X"00",
X"00",X"C0",X"E0",X"E0",X"E0",X"E0",X"E0",X"E0",X"A0",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"06",X"00",X"00",X"00",X"00",X"B0",X"00",X"00",X"B6",X"00",X"00",
X"00",X"B8",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"88",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"90",X"00",X"07",X"00",X"00",X"00",X"00",X"B0",X"00",X"00",X"B6",X"00",X"00",
X"00",X"A7",X"00",X"00",X"00",X"60",X"00",X"00",X"09",X"00",X"00",X"68",X"00",X"08",X"00",X"00",
X"00",X"00",X"00",X"B0",X"00",X"00",X"00",X"00",X"00",X"00",X"D0",X"F0",X"F0",X"B6",X"00",X"00",
X"00",X"80",X"00",X"00",X"00",X"60",X"00",X"00",X"0B",X"00",X"00",X"D0",X"F0",X"F6",X"F0",X"F0",
X"F0",X"F0",X"F0",X"D0",X"F0",X"F0",X"F0",X"F0",X"F0",X"F0",X"C0",X"E0",X"E0",X"B7",X"1E",X"22",
X"42",X"09",X"89",X"43",X"09",X"C9",X"40",X"09",X"E2",X"42",X"08",X"E9",X"42",X"08",X"B4",X"41",
X"08",X"F1",X"40",X"07",X"62",X"40",X"07",X"0C",X"42",X"07",X"49",X"41",X"06",X"A2",X"41",X"06",
X"74",X"41",X"06",X"5B",X"41",X"05",X"29",X"43",X"05",X"62",X"43",X"05",X"00",X"00",X"04",X"C2",
X"40",X"04",X"91",X"40",X"04",X"00",X"00",X"03",X"56",X"43",X"03",X"14",X"41",X"03",X"4E",X"40",
X"02",X"42",X"41",X"02",X"00",X"00",X"02",X"AC",X"41",X"01",X"B1",X"40",X"01",X"00",X"00",X"01",
X"BB",X"41",X"00",X"34",X"42",X"00",X"00",X"00",X"00",X"E5",X"21",X"00",X"20",X"22",X"85",X"4C",
X"E1",X"C3",X"8B",X"20",X"06",X"7E",X"41",X"69",X"40",X"E6",X"41",X"E2",X"41",X"33",X"43",X"36",
X"43",X"11",X"BF",X"8A",X"06",X"0E",X"21",X"CB",X"42",X"CD",X"B6",X"26",X"06",X"09",X"21",X"CD",
X"42",X"CD",X"B6",X"26",X"3E",X"FF",X"CD",X"10",X"1D",X"CD",X"DC",X"25",X"C3",X"AF",X"01",X"53",
X"45",X"45",X"40",X"59",X"4F",X"55",X"40",X"41",X"47",X"41",X"49",X"4E",X"3D",X"42",X"59",X"45",
X"40",X"42",X"59",X"45",X"40",X"5B",X"CB",X"67",X"C0",X"3D",X"C9",X"02",X"D2",X"00",X"0D",X"0F",
X"06",X"88",X"00",X"08",X"07",X"06",X"4B",X"00",X"08",X"07",X"02",X"22",X"00",X"0D",X"07",X"06",
X"2E",X"00",X"08",X"07",X"06",X"88",X"00",X"08",X"07",X"06",X"A5",X"00",X"08",X"07",X"02",X"D2",
X"00",X"0D",X"0F",X"06",X"88",X"00",X"08",X"07",X"06",X"4B",X"00",X"08",X"07",X"02",X"D2",X"00",
X"0D",X"07",X"06",X"A5",X"00",X"08",X"07",X"02",X"B2",X"00",X"0D",X"07",X"06",X"A5",X"00",X"08",
X"07",X"02",X"62",X"00",X"0D",X"0F",X"06",X"79",X"00",X"08",X"07",X"06",X"4B",X"00",X"08",X"07",
X"02",X"C1",X"00",X"0D",X"07",X"06",X"2E",X"00",X"08",X"07",X"06",X"79",X"00",X"08",X"07",X"06",
X"4B",X"00",X"08",X"07",X"02",X"62",X"00",X"0D",X"0F",X"06",X"79",X"00",X"08",X"07",X"06",X"4B",
X"00",X"08",X"07",X"02",X"62",X"00",X"0D",X"07",X"06",X"A5",X"00",X"08",X"07",X"02",X"C1",X"00",
X"0D",X"07",X"02",X"02",X"00",X"0D",X"07",X"02",X"22",X"00",X"0D",X"0F",X"06",X"88",X"00",X"08",
X"07",X"06",X"9A",X"00",X"08",X"07",X"02",X"B2",X"00",X"0D",X"07",X"06",X"E0",X"10",X"08",X"07",
X"06",X"88",X"00",X"08",X"07",X"06",X"9A",X"00",X"08",X"07",X"02",X"22",X"00",X"0D",X"0F",X"06",
X"88",X"00",X"08",X"07",X"06",X"9A",X"00",X"08",X"07",X"02",X"B2",X"00",X"0D",X"07",X"06",X"E0",
X"10",X"08",X"07",X"06",X"88",X"00",X"08",X"07",X"06",X"9A",X"00",X"08",X"07",X"02",X"D2",X"00",
X"0D",X"0F",X"06",X"88",X"00",X"08",X"07",X"06",X"4B",X"00",X"08",X"07",X"02",X"22",X"00",X"0D",
X"07",X"06",X"2E",X"00",X"08",X"07",X"06",X"88",X"00",X"08",X"07",X"06",X"A5",X"00",X"08",X"07",
X"02",X"B2",X"00",X"0D",X"0F",X"06",X"88",X"00",X"08",X"07",X"06",X"9A",X"00",X"08",X"07",X"02",
X"22",X"00",X"0D",X"07",X"06",X"E0",X"10",X"08",X"07",X"06",X"88",X"00",X"08",X"07",X"06",X"9A",
X"00",X"08",X"07",X"02",X"D2",X"00",X"0D",X"0F",X"06",X"88",X"00",X"08",X"07",X"06",X"4B",X"00",
X"08",X"07",X"02",X"22",X"00",X"0D",X"07",X"06",X"2E",X"00",X"08",X"07",X"06",X"88",X"00",X"08",
X"07",X"06",X"A5",X"00",X"08",X"07",X"02",X"D2",X"00",X"0D",X"0F",X"06",X"88",X"00",X"08",X"07",
X"06",X"4B",X"00",X"08",X"07",X"02",X"D2",X"00",X"0D",X"07",X"06",X"A5",X"00",X"08",X"07",X"02",
X"B2",X"00",X"0D",X"07",X"06",X"A5",X"00",X"08",X"07",X"02",X"62",X"00",X"0D",X"0F",X"06",X"79",
X"00",X"08",X"07",X"06",X"4B",X"00",X"08",X"07",X"02",X"C1",X"00",X"0D",X"07",X"06",X"2E",X"00",
X"08",X"07",X"06",X"79",X"00",X"08",X"07",X"06",X"4B",X"00",X"08",X"07",X"02",X"62",X"00",X"0D",
X"0F",X"06",X"79",X"00",X"08",X"07",X"06",X"4B",X"00",X"08",X"07",X"02",X"62",X"00",X"0D",X"07",
X"06",X"A5",X"00",X"08",X"07",X"02",X"C1",X"00",X"0D",X"07",X"02",X"02",X"00",X"0D",X"07",X"02",
X"22",X"00",X"0D",X"0F",X"06",X"88",X"00",X"08",X"07",X"06",X"9A",X"00",X"08",X"07",X"02",X"B2",
X"00",X"0D",X"07",X"06",X"E0",X"10",X"08",X"07",X"06",X"88",X"00",X"08",X"07",X"06",X"9A",X"00",
X"08",X"07",X"02",X"22",X"00",X"0D",X"0F",X"06",X"88",X"00",X"08",X"07",X"06",X"9A",X"00",X"08",
X"07",X"02",X"B2",X"00",X"0D",X"07",X"06",X"E0",X"10",X"08",X"07",X"06",X"88",X"00",X"08",X"07",
X"06",X"9A",X"00",X"08",X"07",X"02",X"D2",X"00",X"0D",X"0F",X"06",X"88",X"00",X"08",X"07",X"06",
X"4B",X"00",X"08",X"07",X"02",X"22",X"00",X"0D",X"07",X"06",X"2E",X"00",X"08",X"07",X"06",X"88",
X"00",X"08",X"07",X"06",X"A5",X"00",X"08",X"07",X"02",X"D2",X"00",X"0D",X"0F",X"06",X"0A",X"00",
X"08",X"07",X"06",X"4B",X"00",X"08",X"07",X"02",X"D2",X"00",X"0D",X"07",X"06",X"2E",X"00",X"08",
X"07",X"06",X"0A",X"00",X"08",X"07",X"06",X"4B",X"00",X"08",X"07",X"02",X"E1",X"00",X"0D",X"0F",
X"06",X"79",X"00",X"08",X"07",X"06",X"4B",X"00",X"08",X"07",X"02",X"D2",X"00",X"0D",X"07",X"06",
X"0F",X"00",X"08",X"07",X"06",X"79",X"00",X"08",X"07",X"06",X"4B",X"00",X"08",X"07",X"02",X"E1",
X"00",X"0D",X"0F",X"06",X"79",X"00",X"08",X"07",X"06",X"4B",X"00",X"08",X"07",X"02",X"E1",X"00",
X"0D",X"07",X"06",X"4B",X"00",X"08",X"07",X"02",X"02",X"00",X"0D",X"07",X"06",X"0C",X"00",X"08",
X"07",X"02",X"22",X"00",X"0D",X"0F",X"06",X"88",X"00",X"08",X"07",X"06",X"9A",X"00",X"08",X"07",
X"02",X"B2",X"00",X"0D",X"07",X"06",X"E0",X"10",X"08",X"07",X"06",X"88",X"00",X"08",X"07",X"06",
X"9A",X"00",X"08",X"07",X"02",X"22",X"00",X"0D",X"0F",X"06",X"88",X"00",X"08",X"07",X"06",X"9A",
X"00",X"08",X"07",X"02",X"22",X"00",X"0D",X"07",X"06",X"9A",X"00",X"08",X"07",X"02",X"02",X"00",
X"0D",X"07",X"06",X"0A",X"00",X"08",X"07",X"02",X"E1",X"00",X"0D",X"0F",X"06",X"79",X"00",X"08",
X"07",X"06",X"4B",X"00",X"08",X"07",X"02",X"D2",X"00",X"0D",X"07",X"06",X"0F",X"00",X"08",X"07",
X"06",X"79",X"00",X"08",X"07",X"06",X"4B",X"00",X"08",X"07",X"02",X"E1",X"00",X"0D",X"0F",X"06",
X"79",X"00",X"08",X"07",X"06",X"4B",X"00",X"08",X"07",X"02",X"E1",X"00",X"0D",X"07",X"06",X"4B",
X"00",X"08",X"07",X"02",X"02",X"00",X"0D",X"07",X"06",X"0C",X"00",X"08",X"07",X"02",X"22",X"00",
X"0D",X"0F",X"06",X"88",X"00",X"08",X"07",X"06",X"9A",X"00",X"08",X"07",X"02",X"B2",X"00",X"0D",
X"07",X"06",X"E0",X"10",X"08",X"07",X"06",X"88",X"00",X"08",X"07",X"06",X"9A",X"00",X"08",X"07",
X"02",X"22",X"00",X"0D",X"0F",X"06",X"88",X"00",X"08",X"07",X"06",X"9A",X"00",X"08",X"07",X"02",
X"B2",X"00",X"0D",X"07",X"06",X"E0",X"10",X"08",X"07",X"06",X"88",X"00",X"08",X"07",X"06",X"9A",
X"00",X"08",X"07",X"06",X"E0",X"10",X"08",X"1F",X"02",X"22",X"00",X"0D",X"0F",X"02",X"62",X"00",
X"0D",X"0F",X"02",X"B2",X"00",X"0D",X"0F",X"10",X"07",X"88",X"00",X"08",X"0F",X"07",X"88",X"00",
X"08",X"0F",X"07",X"79",X"00",X"08",X"0F",X"07",X"88",X"00",X"08",X"0F",X"07",X"27",X"00",X"08",
X"0F",X"07",X"27",X"00",X"08",X"0F",X"07",X"56",X"00",X"08",X"0F",X"07",X"A5",X"00",X"08",X"0F",
X"07",X"27",X"00",X"08",X"0F",X"07",X"27",X"00",X"08",X"0F",X"07",X"27",X"00",X"08",X"0F",X"07",
X"A5",X"00",X"18",X"0F",X"07",X"C4",X"00",X"08",X"1F",X"07",X"00",X"00",X"08",X"1F",X"07",X"56",
X"00",X"08",X"0F",X"07",X"56",X"00",X"08",X"0F",X"07",X"56",X"00",X"08",X"0F",X"07",X"27",X"00",
X"08",X"0F",X"07",X"56",X"00",X"08",X"0F",X"07",X"A5",X"00",X"08",X"0F",X"07",X"C4",X"00",X"18",
X"1F",X"07",X"88",X"00",X"08",X"0F",X"07",X"88",X"00",X"08",X"1F",X"07",X"79",X"00",X"08",X"0F",
X"07",X"56",X"00",X"18",X"1F",X"07",X"00",X"00",X"08",X"1F",X"07",X"00",X"00",X"08",X"0F",X"07",
X"88",X"00",X"18",X"0F",X"07",X"79",X"00",X"18",X"0F",X"07",X"88",X"00",X"18",X"0F",X"07",X"27",
X"00",X"08",X"0F",X"07",X"27",X"00",X"08",X"0F",X"07",X"56",X"00",X"08",X"0F",X"07",X"A5",X"00",
X"08",X"0F",X"07",X"27",X"00",X"08",X"0F",X"07",X"27",X"00",X"08",X"0F",X"07",X"27",X"00",X"08",
X"0F",X"07",X"A5",X"00",X"08",X"0F",X"07",X"C4",X"00",X"18",X"1F",X"07",X"C4",X"00",X"08",X"1F",
X"07",X"00",X"00",X"08",X"0F",X"07",X"56",X"00",X"18",X"0F",X"07",X"56",X"00",X"08",X"0F",X"07",
X"27",X"00",X"08",X"0F",X"07",X"56",X"00",X"08",X"0F",X"07",X"A5",X"00",X"08",X"0F",X"07",X"C4",
X"00",X"08",X"0F",X"07",X"C4",X"00",X"08",X"0F",X"07",X"A5",X"00",X"18",X"1F",X"07",X"A5",X"00",
X"08",X"1F",X"07",X"00",X"00",X"08",X"1F",X"07",X"00",X"00",X"08",X"1F",X"07",X"79",X"00",X"08",
X"0F",X"07",X"79",X"00",X"18",X"1F",X"07",X"79",X"00",X"08",X"0F",X"07",X"79",X"00",X"08",X"0F",
X"07",X"9A",X"00",X"08",X"0F",X"07",X"4B",X"00",X"18",X"1F",X"07",X"9A",X"00",X"08",X"0F",X"07",
X"9A",X"00",X"18",X"0F",X"07",X"9A",X"00",X"18",X"0F",X"07",X"79",X"00",X"08",X"0F",X"07",X"88",
X"00",X"08",X"1F",X"07",X"88",X"00",X"08",X"1F",X"07",X"79",X"00",X"08",X"0F",X"07",X"79",X"00",
X"18",X"0F",X"07",X"79",X"00",X"18",X"0F",X"07",X"79",X"00",X"08",X"0F",X"07",X"79",X"00",X"08",
X"0F",X"07",X"9A",X"00",X"08",X"0F",X"07",X"4B",X"00",X"08",X"1F",X"07",X"9A",X"00",X"08",X"1F",
X"07",X"79",X"00",X"08",X"1F",X"07",X"88",X"00",X"18",X"1F",X"07",X"88",X"00",X"08",X"1F",X"07",
X"4B",X"00",X"08",X"1F",X"07",X"88",X"00",X"08",X"0F",X"07",X"79",X"00",X"08",X"0F",X"07",X"9A",
X"00",X"08",X"0F",X"10",X"3A",X"40",X"4C",X"CB",X"47",X"21",X"4D",X"81",X"C0",X"C1",X"21",X"DB",
X"8A",X"22",X"03",X"4C",X"21",X"38",X"8E",X"22",X"07",X"4C",X"C9",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"CD",X"02",X"31",X"3E",X"F0",X"CD",X"C1",X"01",X"3E",X"FF",X"C3",X"C1",X"01",X"CD",X"16",X"90",
X"C3",X"ED",X"03",X"C3",X"29",X"90",X"21",X"3F",X"4C",X"C3",X"65",X"80",X"3A",X"2D",X"4C",X"CB",
X"47",X"21",X"00",X"00",X"C8",X"21",X"96",X"13",X"C9",X"CD",X"30",X"91",X"CD",X"16",X"90",X"21",
X"46",X"43",X"11",X"56",X"90",X"06",X"18",X"CD",X"24",X"91",X"21",X"47",X"43",X"06",X"18",X"CD",
X"24",X"91",X"3E",X"80",X"CD",X"66",X"91",X"01",X"0C",X"91",X"16",X"06",X"CD",X"D0",X"03",X"3E",
X"50",X"C3",X"77",X"91",X"B8",X"7F",X"5C",X"5D",X"60",X"61",X"64",X"65",X"68",X"69",X"6C",X"6D",
X"70",X"71",X"40",X"40",X"74",X"75",X"70",X"71",X"78",X"79",X"7C",X"7D",X"86",X"87",X"5E",X"5F",
X"62",X"63",X"66",X"67",X"6A",X"6B",X"6E",X"6F",X"72",X"73",X"40",X"40",X"76",X"77",X"72",X"73",
X"7A",X"7B",X"7E",X"7F",X"88",X"89",X"06",X"40",X"43",X"4F",X"49",X"4E",X"40",X"40",X"43",X"52",
X"45",X"44",X"49",X"54",X"FF",X"FF",X"FF",X"FF",X"FF",X"06",X"4F",X"4E",X"4C",X"59",X"40",X"4F",
X"4E",X"45",X"40",X"50",X"4C",X"41",X"59",X"45",X"52",X"FF",X"FF",X"FF",X"FF",X"FF",X"06",X"42",
X"4F",X"4E",X"55",X"53",X"40",X"50",X"4C",X"41",X"59",X"45",X"52",X"40",X"40",X"30",X"30",X"30",
X"30",X"FF",X"FF",X"FF",X"FF",X"13",X"4E",X"55",X"4D",X"42",X"45",X"52",X"40",X"43",X"52",X"41",
X"53",X"48",X"40",X"56",X"84",X"85",X"40",X"31",X"3D",X"30",X"FF",X"FF",X"FF",X"13",X"43",X"4F",
X"50",X"59",X"52",X"49",X"47",X"48",X"54",X"40",X"31",X"39",X"38",X"33",X"3D",X"36",X"3D",X"FF",
X"FF",X"FF",X"1C",X"42",X"59",X"40",X"48",X"41",X"4E",X"53",X"48",X"49",X"4E",X"40",X"47",X"4F",
X"52",X"41",X"4B",X"55",X"40",X"26",X"50",X"45",X"4E",X"49",X"26",X"FF",X"86",X"90",X"ED",X"42",
X"99",X"90",X"EF",X"42",X"AE",X"90",X"F2",X"42",X"C5",X"90",X"7C",X"43",X"DD",X"90",X"9D",X"43",
X"F2",X"90",X"9E",X"43",X"1A",X"77",X"E7",X"13",X"3E",X"03",X"CD",X"C1",X"01",X"10",X"F5",X"C9",
X"CD",X"6E",X"91",X"21",X"00",X"44",X"01",X"04",X"00",X"3E",X"0D",X"CF",X"C9",X"06",X"20",X"21",
X"46",X"47",X"3E",X"09",X"CD",X"4F",X"91",X"3E",X"0D",X"CD",X"4F",X"91",X"10",X"F1",X"C9",X"E5",
X"C5",X"0E",X"02",X"E5",X"06",X"18",X"77",X"E7",X"10",X"FC",X"E1",X"23",X"0D",X"20",X"F4",X"C1",
X"E1",X"3E",X"05",X"C3",X"C1",X"01",X"CD",X"3D",X"91",X"3E",X"40",X"C3",X"C1",X"01",X"21",X"00",
X"00",X"22",X"3A",X"4C",X"C3",X"00",X"01",X"21",X"06",X"E8",X"22",X"30",X"4C",X"22",X"32",X"4C",
X"22",X"34",X"4C",X"22",X"36",X"4C",X"DD",X"21",X"C0",X"4C",X"DD",X"36",X"00",X"53",X"DD",X"36",
X"02",X"A5",X"DD",X"36",X"04",X"FF",X"AF",X"00",X"00",X"00",X"3E",X"03",X"32",X"C8",X"4C",X"DD",
X"21",X"C0",X"4C",X"06",X"03",X"C5",X"CD",X"B9",X"91",X"C1",X"DD",X"23",X"DD",X"23",X"10",X"F5",
X"3A",X"C8",X"4C",X"A7",X"20",X"E9",X"C3",X"74",X"92",X"3E",X"01",X"CD",X"C1",X"01",X"DD",X"7E",
X"01",X"A7",X"28",X"07",X"3D",X"DD",X"77",X"01",X"C3",X"23",X"92",X"DD",X"7E",X"00",X"A7",X"28",
X"05",X"3D",X"DD",X"77",X"00",X"C9",X"CD",X"5F",X"92",X"3E",X"08",X"DD",X"77",X"01",X"DD",X"E5",
X"E1",X"7D",X"D6",X"90",X"6F",X"00",X"7D",X"FE",X"30",X"28",X"20",X"FE",X"32",X"28",X"23",X"7E",
X"FE",X"CE",X"20",X"07",X"CD",X"1D",X"92",X"23",X"C3",X"67",X"92",X"FE",X"FE",X"D8",X"DD",X"7E",
X"09",X"CB",X"E7",X"DD",X"77",X"09",X"21",X"C8",X"4C",X"35",X"C9",X"7E",X"FE",X"BE",X"20",X"EB",
X"18",X"E2",X"7E",X"FE",X"AE",X"20",X"E4",X"CD",X"1D",X"92",X"23",X"18",X"22",X"47",X"23",X"4E",
X"C3",X"13",X"07",X"DD",X"E5",X"E1",X"7D",X"D6",X"90",X"6F",X"34",X"CD",X"42",X"92",X"FE",X"04",
X"78",X"00",X"38",X"02",X"79",X"00",X"DD",X"E5",X"E1",X"11",X"32",X"03",X"19",X"77",X"C9",X"C3",
X"70",X"92",X"7D",X"FE",X"30",X"28",X"0C",X"FE",X"32",X"28",X"0E",X"06",X"10",X"0E",X"14",X"DD",
X"7E",X"01",X"C9",X"06",X"18",X"0E",X"1C",X"18",X"F6",X"06",X"70",X"0E",X"74",X"18",X"F0",X"DD",
X"7E",X"09",X"CB",X"67",X"C8",X"E1",X"C9",X"36",X"01",X"11",X"00",X"04",X"19",X"36",X"06",X"C9",
X"36",X"01",X"18",X"F5",X"3E",X"88",X"32",X"F6",X"4F",X"21",X"E6",X"00",X"22",X"34",X"4C",X"21",
X"80",X"43",X"36",X"FE",X"E7",X"36",X"FF",X"0E",X"04",X"06",X"08",X"3A",X"35",X"4C",X"3D",X"32",
X"35",X"4C",X"3E",X"05",X"CD",X"C1",X"01",X"10",X"F2",X"C5",X"2A",X"34",X"4C",X"CD",X"11",X"07",
X"36",X"FE",X"E7",X"36",X"FF",X"C1",X"0D",X"20",X"E0",X"21",X"E6",X"00",X"22",X"34",X"4C",X"21",
X"2C",X"0E",X"22",X"F6",X"4F",X"06",X"18",X"3A",X"35",X"4C",X"3D",X"32",X"35",X"4C",X"3E",X"01",
X"CD",X"C1",X"01",X"78",X"E6",X"0F",X"FE",X"0B",X"0E",X"30",X"30",X"08",X"FE",X"06",X"0E",X"34",
X"30",X"02",X"0E",X"2C",X"79",X"32",X"F6",X"4F",X"10",X"DD",X"3E",X"0F",X"CD",X"C1",X"01",X"18",
X"21",X"3E",X"20",X"32",X"F6",X"4F",X"06",X"0A",X"3A",X"34",X"4C",X"3D",X"32",X"34",X"4C",X"3E",
X"02",X"CD",X"C1",X"01",X"CB",X"50",X"3E",X"20",X"20",X"02",X"3E",X"24",X"32",X"F6",X"4F",X"10",
X"E7",X"C9",X"CD",X"E1",X"92",X"3E",X"20",X"32",X"F6",X"4F",X"3E",X"20",X"CD",X"C1",X"01",X"3E",
X"24",X"CD",X"9F",X"93",X"21",X"CE",X"E8",X"22",X"30",X"4C",X"21",X"3C",X"06",X"22",X"F2",X"4F",
X"06",X"10",X"CD",X"7D",X"93",X"06",X"48",X"CD",X"8A",X"93",X"2A",X"30",X"4C",X"CD",X"11",X"07",
X"23",X"36",X"01",X"3E",X"30",X"CD",X"C1",X"01",X"06",X"10",X"CD",X"A8",X"93",X"21",X"BE",X"E8",
X"22",X"30",X"4C",X"06",X"30",X"CD",X"7D",X"93",X"06",X"48",X"CD",X"8A",X"93",X"2A",X"30",X"4C",
X"CD",X"11",X"07",X"23",X"36",X"01",X"06",X"10",X"CD",X"B1",X"93",X"3E",X"20",X"32",X"F6",X"4F",
X"21",X"AE",X"E8",X"22",X"30",X"4C",X"21",X"3C",X"06",X"22",X"F2",X"4F",X"06",X"58",X"CD",X"7D",
X"93",X"06",X"70",X"CD",X"8A",X"93",X"3E",X"80",X"CD",X"C1",X"01",X"18",X"3D",X"3A",X"30",X"4C",
X"3D",X"32",X"30",X"4C",X"CD",X"97",X"93",X"10",X"F4",X"C9",X"3A",X"31",X"4C",X"3D",X"32",X"31",
X"4C",X"CD",X"97",X"93",X"10",X"F4",X"C9",X"C5",X"06",X"00",X"CD",X"45",X"35",X"C1",X"C9",X"32",
X"F6",X"4F",X"3E",X"40",X"32",X"24",X"43",X"C9",X"CD",X"E8",X"92",X"3E",X"40",X"32",X"E4",X"42",
X"C9",X"CD",X"E8",X"92",X"3E",X"40",X"32",X"A4",X"42",X"C9",X"2A",X"30",X"4C",X"CD",X"11",X"07",
X"23",X"36",X"01",X"3E",X"80",X"CD",X"C1",X"01",X"3E",X"22",X"32",X"F6",X"4F",X"06",X"2F",X"18",
X"1A",X"3A",X"34",X"4C",X"3C",X"32",X"34",X"4C",X"3E",X"02",X"CD",X"C1",X"01",X"CB",X"50",X"3E",
X"26",X"20",X"02",X"3E",X"22",X"32",X"F6",X"4F",X"10",X"E7",X"C9",X"CD",X"D1",X"93",X"3E",X"20",
X"32",X"F6",X"4F",X"06",X"10",X"3A",X"35",X"4C",X"3D",X"32",X"35",X"4C",X"3E",X"01",X"CD",X"C1",
X"01",X"10",X"F2",X"3E",X"30",X"CD",X"C1",X"01",X"3E",X"24",X"32",X"F6",X"4F",X"21",X"D6",X"D0",
X"22",X"30",X"4C",X"21",X"8C",X"0D",X"22",X"F2",X"4F",X"21",X"46",X"43",X"CD",X"4A",X"94",X"0E",
X"0D",X"06",X"10",X"3A",X"30",X"4C",X"3D",X"32",X"30",X"4C",X"CD",X"97",X"93",X"10",X"F4",X"2A",
X"30",X"4C",X"CD",X"56",X"94",X"CD",X"4A",X"94",X"0D",X"20",X"E6",X"3E",X"30",X"CD",X"C1",X"01",
X"06",X"D8",X"CD",X"E8",X"92",X"3E",X"A0",X"C3",X"C1",X"01",X"36",X"40",X"E7",X"36",X"40",X"23",
X"36",X"40",X"DF",X"36",X"40",X"C9",X"C5",X"CD",X"11",X"07",X"C1",X"C9",X"CD",X"ED",X"01",X"CD",
X"FF",X"12",X"C9",X"3A",X"2D",X"4C",X"CB",X"47",X"C8",X"21",X"3C",X"4C",X"C3",X"EC",X"12",X"21",
X"43",X"96",X"22",X"4C",X"4C",X"21",X"00",X"25",X"22",X"85",X"4C",X"21",X"83",X"94",X"3E",X"02",
X"C3",X"85",X"20",X"00",X"00",X"0F",X"0C",X"0E",X"0E",X"0E",X"0C",X"0E",X"0E",X"6E",X"0E",X"0E",
X"0E",X"0E",X"BE",X"0E",X"0E",X"0E",X"0C",X"0E",X"0E",X"0E",X"0E",X"0E",X"0E",X"0E",X"0C",X"0E",
X"0E",X"0E",X"BB",X"00",X"00",X"0E",X"0A",X"00",X"00",X"00",X"0A",X"00",X"00",X"6F",X"0F",X"0F",
X"0F",X"0F",X"AF",X"0F",X"0F",X"0F",X"0B",X"00",X"00",X"00",X"00",X"00",X"90",X"00",X"0B",X"00",
X"00",X"00",X"BB",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"90",X"00",X"00",X"7E",X"0E",X"0E",
X"0E",X"0E",X"0E",X"0E",X"0E",X"0E",X"0B",X"00",X"00",X"00",X"00",X"00",X"A0",X"00",X"0A",X"00",
X"00",X"00",X"BB",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"B0",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"09",X"00",X"00",X"00",X"9A",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"BB",X"00",X"00",X"08",X"00",X"00",X"00",X"00",X"B0",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"0B",X"00",X"00",X"00",X"B0",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"B8",X"00",X"00",X"06",X"00",X"00",X"00",X"00",X"D0",X"F0",X"F0",X"F9",X"F0",X"F0",
X"F0",X"F0",X"FB",X"F0",X"F0",X"F0",X"B0",X"08",X"00",X"00",X"00",X"00",X"A8",X"00",X"00",X"00",
X"00",X"00",X"B6",X"00",X"00",X"07",X"00",X"00",X"00",X"00",X"C9",X"E0",X"E0",X"EB",X"E0",X"E0",
X"E0",X"E0",X"EB",X"E0",X"E0",X"E0",X"B0",X"07",X"00",X"00",X"00",X"00",X"A6",X"00",X"00",X"00",
X"00",X"00",X"B6",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"BA",X"00",X"00",X"0B",X"00",X"00",
X"00",X"00",X"0A",X"00",X"00",X"00",X"B0",X"00",X"00",X"00",X"00",X"00",X"07",X"00",X"00",X"00",
X"00",X"00",X"B6",X"00",X"00",X"00",X"00",X"00",X"09",X"00",X"A0",X"00",X"00",X"0A",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"B0",X"08",X"00",X"00",X"00",X"00",X"00",X"00",X"90",X"00",
X"00",X"00",X"B6",X"00",X"00",X"F0",X"F0",X"F0",X"FA",X"F0",X"F0",X"F0",X"F0",X"F0",X"F0",X"F0",
X"F0",X"F0",X"F0",X"F0",X"F0",X"F0",X"B0",X"06",X"00",X"00",X"09",X"90",X"00",X"00",X"B0",X"00",
X"00",X"00",X"B6",X"00",X"00",X"E8",X"E0",X"E0",X"E0",X"E0",X"E0",X"E0",X"E0",X"E0",X"E0",X"E0",
X"E0",X"E0",X"E0",X"E0",X"E0",X"E0",X"B0",X"07",X"00",X"00",X"0B",X"D0",X"F0",X"F0",X"B0",X"00",
X"00",X"00",X"B6",X"00",X"00",X"06",X"00",X"00",X"00",X"00",X"90",X"00",X"00",X"00",X"00",X"00",
X"00",X"00",X"00",X"00",X"00",X"00",X"B0",X"00",X"00",X"00",X"0A",X"E0",X"E0",X"E0",X"B0",X"00",
X"00",X"00",X"B6",X"00",X"00",X"07",X"90",X"00",X"00",X"00",X"B0",X"00",X"00",X"09",X"00",X"00",
X"00",X"00",X"08",X"00",X"00",X"00",X"B0",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"B0",X"00",
X"00",X"00",X"B6",X"00",X"00",X"00",X"D0",X"F0",X"F0",X"F0",X"D0",X"F0",X"F0",X"FB",X"F0",X"F0",
X"F0",X"F0",X"F6",X"F0",X"F0",X"F0",X"D0",X"F0",X"F0",X"F0",X"F0",X"F0",X"F0",X"F0",X"D0",X"F0",
X"F0",X"F0",X"B7",X"1E",X"81",X"43",X"09",X"C9",X"42",X"09",X"32",X"41",X"09",X"81",X"42",X"08",
X"B3",X"42",X"08",X"6E",X"40",X"08",X"04",X"43",X"07",X"CE",X"42",X"07",X"86",X"40",X"07",X"A6",
X"41",X"06",X"8E",X"43",X"06",X"56",X"43",X"06",X"41",X"43",X"05",X"C2",X"41",X"05",X"77",X"41",
X"05",X"26",X"41",X"04",X"D2",X"41",X"04",X"5A",X"42",X"04",X"C6",X"42",X"03",X"D8",X"42",X"03",
X"F8",X"40",X"03",X"C1",X"42",X"02",X"09",X"43",X"02",X"98",X"40",X"02",X"C6",X"40",X"01",X"26",
X"42",X"01",X"8E",X"42",X"01",X"13",X"43",X"00",X"89",X"43",X"00",X"5A",X"41",X"00",X"09",X"09",
X"08",X"08",X"07",X"07",X"06",X"06",X"05",X"05",X"21",X"BE",X"43",X"06",X"0A",X"11",X"9E",X"96",
X"1A",X"77",X"E7",X"13",X"10",X"FA",X"C3",X"DE",X"1C",X"02",X"53",X"50",X"45",X"43",X"49",X"41",
X"4C",X"40",X"40",X"40",X"40",X"40",X"40",X"FF",X"FF",X"FF",X"11",X"24",X"20",X"CD",X"17",X"20",
X"11",X"B9",X"96",X"21",X"A4",X"41",X"C3",X"76",X"97",X"7E",X"FE",X"53",X"C2",X"30",X"25",X"E1",
X"21",X"40",X"40",X"01",X"04",X"80",X"3E",X"40",X"CF",X"CD",X"51",X"97",X"21",X"89",X"42",X"11",
X"BA",X"96",X"06",X"08",X"CD",X"B6",X"26",X"11",X"D5",X"23",X"06",X"05",X"CD",X"61",X"97",X"0E",
X"33",X"CD",X"8E",X"97",X"06",X"0D",X"77",X"E7",X"3C",X"10",X"FB",X"0D",X"20",X"F3",X"3E",X"30",
X"CD",X"10",X"1D",X"21",X"8C",X"42",X"11",X"6A",X"97",X"06",X"06",X"CD",X"B6",X"26",X"11",X"71",
X"97",X"06",X"05",X"CD",X"B6",X"26",X"3E",X"55",X"CD",X"10",X"1D",X"21",X"7A",X"43",X"11",X"CF",
X"23",X"CD",X"ED",X"01",X"11",X"69",X"97",X"21",X"BA",X"41",X"CD",X"ED",X"01",X"3E",X"99",X"32",
X"7F",X"4C",X"3E",X"90",X"32",X"7E",X"4C",X"CD",X"D6",X"24",X"AF",X"32",X"FD",X"4C",X"C3",X"5B",
X"24",X"21",X"06",X"9B",X"22",X"03",X"4C",X"21",X"00",X"9C",X"C3",X"9C",X"19",X"00",X"00",X"00",
X"00",X"CD",X"B6",X"26",X"AF",X"3D",X"C9",X"10",X"1D",X"07",X"09",X"09",X"09",X"00",X"00",X"40",
X"FF",X"50",X"4F",X"49",X"4E",X"54",X"CD",X"ED",X"01",X"21",X"44",X"43",X"11",X"82",X"97",X"C3",
X"ED",X"01",X"06",X"09",X"09",X"08",X"08",X"07",X"07",X"06",X"06",X"05",X"05",X"FF",X"21",X"89",
X"46",X"F5",X"3E",X"02",X"CD",X"10",X"1D",X"F1",X"C9",X"C2",X"24",X"27",X"E5",X"2B",X"56",X"C3",
X"0F",X"27",X"00",X"00",X"00",X"00",X"CD",X"CB",X"9A",X"E5",X"21",X"B8",X"97",X"22",X"03",X"4C",
X"21",X"F4",X"98",X"CD",X"9C",X"19",X"E1",X"C9",X"03",X"88",X"00",X"0C",X"0F",X"03",X"88",X"00",
X"0C",X"0F",X"03",X"88",X"00",X"0C",X"0F",X"03",X"08",X"00",X"0C",X"0F",X"03",X"27",X"00",X"0C",
X"0F",X"03",X"27",X"00",X"0C",X"0F",X"03",X"27",X"00",X"0C",X"0F",X"03",X"08",X"00",X"0C",X"0F",
X"03",X"79",X"00",X"0C",X"0F",X"03",X"79",X"00",X"0C",X"0F",X"03",X"9A",X"00",X"0C",X"1F",X"03",
X"79",X"00",X"0C",X"1F",X"03",X"56",X"00",X"0C",X"1F",X"03",X"88",X"00",X"0C",X"0F",X"03",X"88",
X"00",X"0C",X"0F",X"03",X"88",X"00",X"0C",X"0F",X"03",X"08",X"00",X"0C",X"0F",X"03",X"27",X"00",
X"0C",X"0F",X"03",X"27",X"00",X"0C",X"0F",X"03",X"27",X"00",X"0C",X"0F",X"03",X"08",X"00",X"0C",
X"0F",X"03",X"79",X"00",X"0C",X"0F",X"03",X"79",X"00",X"0C",X"0F",X"03",X"79",X"00",X"0C",X"0F",
X"03",X"9A",X"00",X"0C",X"0F",X"03",X"79",X"00",X"1C",X"1F",X"03",X"79",X"00",X"0C",X"0F",X"03",
X"9A",X"00",X"0C",X"0F",X"03",X"4B",X"00",X"1C",X"1F",X"03",X"4B",X"00",X"0C",X"0F",X"03",X"4B",
X"00",X"0C",X"07",X"03",X"4B",X"00",X"0C",X"07",X"03",X"CC",X"00",X"1C",X"1F",X"03",X"CC",X"00",
X"0C",X"0F",X"03",X"9A",X"00",X"0C",X"07",X"03",X"4B",X"00",X"0C",X"07",X"03",X"CC",X"00",X"1C",
X"1F",X"03",X"CC",X"00",X"0C",X"07",X"03",X"CC",X"00",X"0C",X"07",X"03",X"CC",X"00",X"0C",X"07",
X"03",X"4B",X"00",X"0C",X"07",X"03",X"4B",X"00",X"0C",X"1F",X"03",X"27",X"00",X"0C",X"0F",X"03",
X"88",X"00",X"0C",X"0F",X"03",X"79",X"00",X"0C",X"07",X"03",X"79",X"00",X"0C",X"0F",X"03",X"79",
X"00",X"0C",X"07",X"03",X"79",X"00",X"0C",X"07",X"03",X"79",X"00",X"0C",X"0F",X"03",X"79",X"00",
X"0C",X"07",X"03",X"9A",X"00",X"0C",X"07",X"03",X"79",X"00",X"0C",X"07",X"03",X"79",X"00",X"0C",
X"07",X"03",X"9A",X"00",X"0C",X"07",X"03",X"79",X"00",X"1C",X"1F",X"03",X"88",X"00",X"0C",X"0F",
X"03",X"88",X"00",X"0C",X"0F",X"03",X"79",X"00",X"0C",X"07",X"03",X"88",X"00",X"0C",X"07",X"03",
X"08",X"00",X"0C",X"0F",X"03",X"88",X"00",X"1C",X"0F",X"03",X"88",X"00",X"0C",X"0F",X"03",X"00",
X"00",X"0A",X"20",X"10",X"04",X"22",X"00",X"0C",X"0F",X"06",X"88",X"00",X"08",X"07",X"06",X"9A",
X"00",X"08",X"07",X"04",X"22",X"00",X"0C",X"0F",X"04",X"02",X"00",X"0C",X"0F",X"04",X"C1",X"00",
X"0C",X"0F",X"06",X"88",X"00",X"08",X"07",X"06",X"9A",X"00",X"08",X"07",X"04",X"C1",X"00",X"0C",
X"0F",X"04",X"22",X"00",X"0C",X"0F",X"04",X"62",X"00",X"0C",X"0F",X"06",X"88",X"00",X"08",X"07",
X"06",X"4B",X"00",X"08",X"07",X"04",X"62",X"00",X"0C",X"07",X"06",X"2E",X"00",X"08",X"07",X"06",
X"88",X"00",X"08",X"07",X"06",X"4B",X"00",X"08",X"07",X"04",X"33",X"00",X"0C",X"0F",X"06",X"79",
X"00",X"08",X"07",X"06",X"4B",X"00",X"08",X"07",X"04",X"33",X"00",X"0C",X"07",X"04",X"D2",X"00",
X"0C",X"07",X"04",X"B2",X"00",X"0C",X"07",X"04",X"62",X"00",X"0C",X"07",X"04",X"22",X"00",X"0C",
X"0F",X"06",X"88",X"00",X"08",X"07",X"06",X"9A",X"00",X"08",X"07",X"04",X"22",X"00",X"0C",X"0F",
X"04",X"02",X"00",X"0C",X"0F",X"04",X"C1",X"00",X"0C",X"0F",X"06",X"88",X"00",X"08",X"07",X"06",
X"9A",X"00",X"08",X"07",X"04",X"C1",X"00",X"0C",X"0F",X"04",X"22",X"00",X"0C",X"0F",X"04",X"62",
X"00",X"0C",X"0F",X"06",X"88",X"00",X"08",X"07",X"06",X"4B",X"00",X"08",X"07",X"04",X"62",X"00",
X"0C",X"07",X"06",X"2E",X"00",X"08",X"07",X"06",X"88",X"00",X"08",X"07",X"06",X"4B",X"00",X"08",
X"07",X"04",X"33",X"00",X"0C",X"0F",X"06",X"79",X"00",X"08",X"07",X"06",X"4B",X"00",X"08",X"07",
X"04",X"62",X"00",X"0C",X"0F",X"04",X"B2",X"00",X"0C",X"0F",X"04",X"D2",X"00",X"0C",X"0F",X"06",
X"88",X"00",X"08",X"07",X"06",X"4B",X"00",X"08",X"07",X"04",X"D2",X"00",X"0C",X"07",X"06",X"2E",
X"00",X"08",X"07",X"06",X"88",X"00",X"08",X"07",X"06",X"4B",X"00",X"08",X"07",X"04",X"33",X"00",
X"0C",X"0F",X"06",X"79",X"00",X"08",X"07",X"06",X"4B",X"00",X"08",X"07",X"04",X"33",X"00",X"0C",
X"07",X"06",X"FF",X"00",X"08",X"07",X"06",X"79",X"00",X"08",X"07",X"06",X"4B",X"00",X"08",X"07",
X"04",X"22",X"00",X"0C",X"0F",X"06",X"88",X"00",X"08",X"07",X"06",X"9A",X"00",X"08",X"07",X"04",
X"B2",X"00",X"0C",X"0F",X"04",X"22",X"00",X"0C",X"0F",X"04",X"C1",X"00",X"0C",X"0F",X"06",X"88",
X"00",X"08",X"07",X"06",X"9A",X"00",X"08",X"07",X"04",X"C1",X"00",X"0C",X"0F",X"04",X"22",X"00",
X"0C",X"0F",X"04",X"62",X"00",X"0C",X"0F",X"06",X"88",X"00",X"08",X"07",X"06",X"4B",X"00",X"08",
X"07",X"04",X"62",X"00",X"0C",X"07",X"06",X"2E",X"00",X"08",X"07",X"06",X"88",X"00",X"08",X"07",
X"06",X"4B",X"00",X"08",X"07",X"04",X"33",X"00",X"0C",X"0F",X"06",X"79",X"00",X"08",X"07",X"06",
X"4B",X"00",X"08",X"07",X"04",X"33",X"00",X"0C",X"07",X"06",X"FF",X"00",X"08",X"07",X"06",X"79",
X"00",X"08",X"07",X"06",X"4B",X"00",X"08",X"07",X"04",X"22",X"00",X"0C",X"0F",X"06",X"88",X"00",
X"08",X"07",X"06",X"9A",X"00",X"08",X"07",X"04",X"33",X"00",X"0C",X"07",X"06",X"FF",X"00",X"08",
X"07",X"06",X"79",X"00",X"08",X"07",X"06",X"4B",X"00",X"08",X"07",X"06",X"44",X"00",X"18",X"0F",
X"06",X"44",X"00",X"08",X"0F",X"04",X"00",X"00",X"08",X"20",X"10",X"22",X"00",X"4D",X"3E",X"80",
X"CD",X"10",X"1D",X"E5",X"CD",X"DC",X"25",X"E1",X"3E",X"80",X"C3",X"10",X"1D",X"3A",X"40",X"4C",
X"3D",X"E6",X"07",X"C9",X"32",X"81",X"4C",X"AF",X"32",X"89",X"4C",X"C9",X"05",X"A0",X"00",X"0F",
X"0F",X"10",X"21",X"00",X"9B",X"22",X"0B",X"4C",X"AF",X"32",X"02",X"4C",X"21",X"BE",X"4C",X"C9",
X"05",X"27",X"00",X"1F",X"8F",X"10",X"07",X"C4",X"00",X"0F",X"07",X"07",X"0C",X"00",X"0F",X"07",
X"07",X"9A",X"00",X"0F",X"07",X"07",X"0C",X"00",X"0F",X"07",X"07",X"2E",X"00",X"0F",X"07",X"07",
X"0C",X"00",X"0F",X"07",X"07",X"9A",X"00",X"0F",X"07",X"07",X"0C",X"00",X"0F",X"07",X"07",X"84",
X"00",X"0F",X"07",X"07",X"9A",X"00",X"0F",X"07",X"07",X"9A",X"00",X"0F",X"07",X"07",X"9A",X"00",
X"0F",X"07",X"07",X"2E",X"00",X"0F",X"07",X"07",X"9A",X"00",X"0F",X"07",X"07",X"9A",X"00",X"0F",
X"07",X"07",X"9A",X"00",X"0F",X"07",X"07",X"44",X"00",X"0F",X"07",X"07",X"9A",X"00",X"0F",X"07",
X"07",X"9A",X"00",X"0F",X"07",X"07",X"9A",X"00",X"0F",X"07",X"07",X"2E",X"00",X"0F",X"07",X"07",
X"9A",X"00",X"0F",X"07",X"07",X"9A",X"00",X"0F",X"07",X"07",X"9A",X"00",X"0F",X"07",X"07",X"04",
X"00",X"0F",X"07",X"07",X"79",X"00",X"0F",X"07",X"07",X"9A",X"00",X"0F",X"07",X"07",X"79",X"00",
X"0F",X"07",X"07",X"2E",X"00",X"0F",X"07",X"07",X"79",X"00",X"0F",X"07",X"07",X"9A",X"00",X"0F",
X"07",X"07",X"79",X"00",X"0F",X"07",X"07",X"C1",X"00",X"0F",X"07",X"07",X"F8",X"00",X"0F",X"07",
X"07",X"9A",X"00",X"0F",X"07",X"07",X"F8",X"00",X"0F",X"07",X"07",X"2E",X"00",X"0F",X"07",X"07",
X"2E",X"00",X"0F",X"07",X"07",X"2E",X"00",X"0F",X"07",X"07",X"2E",X"00",X"0F",X"07",X"10",X"32",
X"3F",X"4C",X"32",X"81",X"4C",X"C9",X"CD",X"99",X"36",X"C3",X"ED",X"13",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"07",X"62",X"00",X"1F",X"1F",X"07",X"62",X"00",X"0F",X"1F",X"07",X"42",X"00",X"1F",X"1F",X"07",
X"42",X"00",X"0F",X"1F",X"07",X"22",X"00",X"1F",X"1F",X"07",X"22",X"00",X"0F",X"1F",X"07",X"02",
X"00",X"1F",X"1F",X"07",X"02",X"00",X"0F",X"1F",X"07",X"C1",X"00",X"1F",X"1F",X"07",X"C1",X"00",
X"0F",X"1F",X"10",X"02",X"00",X"0F",X"1F",X"10",X"02",X"00",X"0F",X"1F",X"07",X"C1",X"00",X"0F",
X"1F",X"07",X"C1",X"00",X"0F",X"1F",X"07",X"C1",X"00",X"0F",X"1F",X"07",X"C1",X"00",X"0F",X"1F",
X"10",X"FF",X"14",X"E1",X"41",X"09",X"A5",X"42",X"09",X"45",X"41",X"00",X"B6",X"42",X"06",X"00",
X"00",X"04",X"00",X"00",X"02",X"89",X"41",X"07",X"BB",X"42",X"08",X"8C",X"42",X"02",X"0C",X"42",
X"06",X"6C",X"41",X"04",X"0E",X"43",X"03",X"51",X"42",X"01",X"00",X"00",X"03",X"76",X"42",X"00",
X"97",X"41",X"05",X"F7",X"40",X"07",X"5B",X"42",X"05",X"3B",X"42",X"01",X"3B",X"41",X"08",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF");
begin
process(clk)
begin
if rising_edge(clk) then
data <= rom_data(to_integer(unsigned(addr)));
end if;
end process;
end architecture;

View File

@ -0,0 +1,35 @@
# ================================================================================
#
# Build ID Verilog Module Script
# Jeff Wiencrot - 8/1/2011
#
# Generates a Verilog module that contains a timestamp,
# from the current build. These values are available from the build_date, build_time,
# physical_address, and host_name output ports of the build_id module in the build_id.v
# Verilog source file.
#
# ================================================================================
proc generateBuildID_Verilog {} {
# Get the timestamp (see: http://www.altera.com/support/examples/tcl/tcl-date-time-stamp.html)
set buildDate [ clock format [ clock seconds ] -format %y%m%d ]
set buildTime [ clock format [ clock seconds ] -format %H%M%S ]
# Create a Verilog file for output
set outputFileName "rtl/build_id.v"
set outputFile [open $outputFileName "w"]
# Output the Verilog source
puts $outputFile "`define BUILD_DATE \"$buildDate\""
puts $outputFile "`define BUILD_TIME \"$buildTime\""
close $outputFile
# Send confirmation message to the Messages window
post_message "Generated build identification Verilog module: [pwd]/$outputFileName"
post_message "Date: $buildDate"
post_message "Time: $buildTime"
}
# Comment out this line to prevent the process from automatically executing when the file is sourced:
generateBuildID_Verilog

View File

@ -0,0 +1,58 @@
-------------------------------------------------------------------------------
-- $Id: dpram.vhd,v 1.1 2006/02/23 21:46:45 arnim Exp $
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
entity dpram is
generic (
addr_width_g : integer := 8;
data_width_g : integer := 8
);
port (
clk_a_i : in std_logic;
en_a_i : in std_logic;
we_i : in std_logic;
addr_a_i : in std_logic_vector(addr_width_g-1 downto 0);
data_a_i : in std_logic_vector(data_width_g-1 downto 0);
data_a_o : out std_logic_vector(data_width_g-1 downto 0);
clk_b_i : in std_logic;
addr_b_i : in std_logic_vector(addr_width_g-1 downto 0);
data_b_o : out std_logic_vector(data_width_g-1 downto 0)
);
end dpram;
library ieee;
use ieee.numeric_std.all;
architecture rtl of dpram is
type ram_t is array (natural range 2**addr_width_g-1 downto 0) of std_logic_vector(data_width_g-1 downto 0);
signal ram_q : ram_t;
begin
mem_a: process (clk_a_i)
begin
if rising_edge(clk_a_i) then
if we_i = '1' and en_a_i = '1' then
ram_q(to_integer(unsigned(addr_a_i))) <= data_a_i;
data_a_o <= data_a_i;
else
data_a_o <= ram_q(to_integer(unsigned(addr_a_i)));
end if;
end if;
end process mem_a;
mem_b: process (clk_b_i)
begin
if rising_edge(clk_b_i) then
data_b_o <= ram_q(to_integer(unsigned(addr_b_i)));
end if;
end process mem_b;
end rtl;

View File

@ -0,0 +1,627 @@
--
-- A simulation model of Pacman hardware
-- Copyright (c) MikeJ - January 2006
--
-- All rights reserved
--
-- Redistribution and use in source and synthezised forms, with or without
-- modification, are permitted provided that the following conditions are met:
--
-- Redistributions of source code must retain the above copyright notice,
-- this list of conditions and the following disclaimer.
--
-- Redistributions in synthesized form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in the
-- documentation and/or other materials provided with the distribution.
--
-- Neither the name of the author nor the names of other contributors may
-- be used to endorse or promote products derived from this software without
-- specific prior written permission.
--
-- THIS CODE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE
-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR
-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF
-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS
-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN
-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
-- You are responsible for any legal issues arising from your use of this code.
--
-- The latest version of this file can be found at: www.fpgaarcade.com
--
-- Email pacman@fpgaarcade.com
--
-- Revision list
--
-- version 005 Papilio release by Jack Gassett
-- version 004 spartan3e release
-- version 003 Jan 2006 release, general tidy up
-- version 002 optional vga scan doubler
-- version 001 initial release
--
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
entity pacmant is
port (
O_VIDEO_R : out std_logic_vector(2 downto 0);
O_VIDEO_G : out std_logic_vector(2 downto 0);
O_VIDEO_B : out std_logic_vector(1 downto 0);
O_HSYNC : out std_logic;
O_VSYNC : out std_logic;
O_HBLANK : out std_logic;
O_VBLANK : out std_logic;
--
O_AUDIO : out std_logic_vector(7 downto 0);
--
in0 : in std_logic_vector(7 downto 0);
in1 : in std_logic_vector(7 downto 0);
dipsw : in std_logic_vector(7 downto 0);
--
RESET : in std_logic;
CLK : in std_logic;
ENA_6 : in std_logic
);
end;
architecture RTL of pacmant is
-- timing
signal hcnt : std_logic_vector(8 downto 0) := "010000000"; -- 80
signal vcnt : std_logic_vector(8 downto 0) := "011111000"; -- 0F8
signal do_hsync : boolean;
signal hsync : std_logic;
signal vsync : std_logic;
signal hblank : std_logic;
signal vblank : std_logic := '1';
-- cpu
signal cpu_ena : std_logic;
signal cpu_m1_l : std_logic;
signal cpu_mreq_l : std_logic;
signal cpu_iorq_l : std_logic;
signal cpu_rd_l : std_logic;
signal cpu_rfsh_l : std_logic;
signal cpu_wait_l : std_logic;
signal cpu_int_l : std_logic;
signal cpu_nmi_l : std_logic;
signal cpu_busrq_l : std_logic;
signal cpu_addr : std_logic_vector(15 downto 0);
signal cpu_data_out : std_logic_vector(7 downto 0);
signal cpu_data_in : std_logic_vector(7 downto 0);
signal program_rom_dinl : std_logic_vector(7 downto 0);
signal program_rom_din2 : std_logic_vector(7 downto 0);
signal program_rom_din3 : std_logic_vector(7 downto 0);
signal sync_bus_cs_l : std_logic;
signal control_reg : std_logic_vector(7 downto 0);
--
signal vram_addr_ab : std_logic_vector(11 downto 0);
signal ab : std_logic_vector(11 downto 0);
signal sync_bus_db : std_logic_vector(7 downto 0);
signal sync_bus_r_w_l : std_logic;
signal sync_bus_wreq_l : std_logic;
signal sync_bus_stb : std_logic;
signal cpu_vec_reg : std_logic_vector(7 downto 0);
signal sync_bus_reg : std_logic_vector(7 downto 0);
signal vram_l : std_logic;
signal rams_data_out : std_logic_vector(7 downto 0);
-- more decode
signal wr0_l : std_logic;
signal wr1_l : std_logic;
signal wr2_l : std_logic;
signal iodec_out_l : std_logic;
signal iodec_wdr_l : std_logic;
signal iodec_in0_l : std_logic;
signal iodec_in1_l : std_logic;
signal iodec_dipsw_l : std_logic;
-- watchdog
signal watchdog_cnt : std_logic_vector(3 downto 0);
signal watchdog_reset_l : std_logic;
signal freeze : std_logic;
begin
--
-- video timing
--
p_hvcnt : process
variable hcarry,vcarry : boolean;
begin
wait until rising_edge(clk);
if (ena_6 = '1') then
hcarry := (hcnt = "111111111");
if hcarry then
hcnt <= "010000000"; -- 080
else
hcnt <= hcnt +"1";
end if;
-- hcnt 8 on circuit is 256H_L
vcarry := (vcnt = "111111111");
if do_hsync then
if vcarry then
vcnt <= "011111000"; -- 0F8
else
vcnt <= vcnt +"1";
end if;
end if;
end if;
end process;
p_sync_comb : process(hcnt, vcnt)
begin
vsync <= not vcnt(8);
do_hsync <= (hcnt = "010101111"); -- 0AF
end process;
p_sync : process
begin
wait until rising_edge(clk);
if (ena_6 = '1') then
-- Timing hardware is coded differently to the real hw
-- to avoid the use of multiple clocks. Result is identical.
if (hcnt = "010010111") then -- 097
O_HBLANK <= '1';
elsif (hcnt = "010001111") then -- 08F
hblank <= '1';
elsif (hcnt = "011101111") then
hblank <= '0'; -- 0EF
O_HBLANK <= '0';
end if;
if do_hsync then
hsync <= '1';
elsif (hcnt = "011001111") then -- 0CF
hsync <= '0';
end if;
if do_hsync then
if (vcnt = "111101111") then -- 1EF
vblank <= '1';
elsif (vcnt = "100001111") then -- 10F
vblank <= '0';
end if;
end if;
end if;
end process;
--
-- cpu
--
p_cpu_wait_comb : process(freeze, sync_bus_wreq_l)
begin
cpu_wait_l <= '1';
if (freeze = '1') or (sync_bus_wreq_l = '0') then
cpu_wait_l <= '0';
end if;
end process;
p_irq_req_watchdog : process
variable rising_vblank : boolean;
begin
wait until rising_edge(clk);
if (ena_6 = '1') then
rising_vblank := do_hsync and (vcnt = "111101111"); -- 1EF
--rising_vblank := do_hsync; -- debug
-- interrupt 8c
if (control_reg(0) = '0') then
cpu_int_l <= '1';
elsif rising_vblank then -- 1EF
cpu_int_l <= '0';
end if;
-- watchdog 8c
-- note sync reset
if (reset = '1') then
watchdog_cnt <= "1111";
elsif (iodec_wdr_l = '0') then
watchdog_cnt <= "0000";
elsif rising_vblank and (freeze = '0') then
watchdog_cnt <= watchdog_cnt + "1";
end if;
watchdog_reset_l <= '1';
if (watchdog_cnt = "1111") then
watchdog_reset_l <= '0';
end if;
-- simulation
-- pragma translate_off
-- synopsys translate_off
watchdog_reset_l <= not reset; -- watchdog disable
-- synopsys translate_on
-- pragma translate_on
end if;
end process;
-- other cpu signals
cpu_busrq_l <= '1';
cpu_nmi_l <= '1';
p_cpu_ena : process(hcnt, ena_6)
begin
cpu_ena <= '0';
if (ena_6 = '1') then
cpu_ena <= hcnt(0);
end if;
end process;
u_cpu : entity work.T80sed
port map (
RESET_n => watchdog_reset_l,
CLK_n => clk,
CLKEN => cpu_ena,
WAIT_n => cpu_wait_l,
INT_n => cpu_int_l,
NMI_n => cpu_nmi_l,
BUSRQ_n => cpu_busrq_l,
M1_n => cpu_m1_l,
MREQ_n => cpu_mreq_l,
IORQ_n => cpu_iorq_l,
RD_n => cpu_rd_l,
WR_n => open,
RFSH_n => cpu_rfsh_l,
HALT_n => open,
BUSAK_n => open,
A => cpu_addr,
DI => cpu_data_in,
DO => cpu_data_out
);
--
-- primary addr decode
--
p_mem_decode_comb : process(cpu_rfsh_l, cpu_rd_l, cpu_mreq_l, cpu_addr)
begin
-- rom 0x0000 - 0x3FFF
-- syncbus 0x4000 - 0x7FFF
-- 7M
-- 7N
sync_bus_cs_l <= '1';
-- program_rom_cs_l <= '1';
if (cpu_mreq_l = '0') and (cpu_rfsh_l = '1') then
-- if (cpu_addr(14) = '0') and (cpu_rd_l = '0') then
-- program_rom_cs_l <= '0';
-- end if;
if (cpu_addr(14) = '1') then
sync_bus_cs_l <= '0';
end if;
end if;
end process;
--
-- sync bus custom ic
--
p_sync_bus_reg : process
begin
wait until rising_edge(clk);
if (ena_6 = '1') then
-- register on sync bus module that is used to store interrupt vector
if (cpu_iorq_l = '0') and (cpu_m1_l = '1') then
cpu_vec_reg <= cpu_data_out;
end if;
-- read holding reg
if (hcnt(1 downto 0) = "01") then
sync_bus_reg <= cpu_data_in;
end if;
end if;
end process;
p_sync_bus_comb : process(cpu_rd_l, sync_bus_cs_l, hcnt)
begin
-- sync_bus_stb is now an active low clock enable signal
sync_bus_stb <= '1';
sync_bus_r_w_l <= '1';
if (sync_bus_cs_l = '0') and (hcnt(1) = '0') then
if (cpu_rd_l = '1') then
sync_bus_r_w_l <= '0';
end if;
sync_bus_stb <= '0';
end if;
sync_bus_wreq_l <= '1';
if (sync_bus_cs_l = '0') and (hcnt(1) = '1') and (cpu_rd_l = '0') then
sync_bus_wreq_l <= '0';
end if;
end process;
--
-- vram addr custom ic
--
u_vram_addr : entity work.PACMAN_VRAM_ADDR
port map (
AB => vram_addr_ab,
H256_L => hcnt(8),
H128 => hcnt(7),
H64 => hcnt(6),
H32 => hcnt(5),
H16 => hcnt(4),
H8 => hcnt(3),
H4 => hcnt(2),
H2 => hcnt(1),
H1 => hcnt(0),
V128 => vcnt(7),
V64 => vcnt(6),
V32 => vcnt(5),
V16 => vcnt(4),
V8 => vcnt(3),
V4 => vcnt(2),
V2 => vcnt(1),
V1 => vcnt(0),
FLIP => control_reg(3)
);
p_ab_mux_comb : process(hcnt, cpu_addr, vram_addr_ab)
begin
--When 2H is low, the CPU controls the bus.
if (hcnt(1) = '0') then
ab <= cpu_addr(11 downto 0);
else
ab <= vram_addr_ab;
end if;
end process;
p_vram_comb : process(hcnt, cpu_addr, sync_bus_stb)
variable a,b : std_logic;
begin
a := not (cpu_addr(12) or sync_bus_stb);
b := hcnt(1) and hcnt(0);
vram_l <= not (a or b);
end process;
p_io_decode_comb : process(sync_bus_r_w_l, sync_bus_stb, ab, cpu_addr)
variable sel : std_logic_vector(2 downto 0);
variable dec : std_logic_vector(7 downto 0);
variable selb : std_logic_vector(1 downto 0);
variable decb : std_logic_vector(3 downto 0);
begin
-- WRITE
-- out_l 0x5000 - 0x503F control space
-- wr0_l 0x5040 - 0x504F sound
-- wr1_l 0x5050 - 0x505F sound
-- wr2_l 0x5060 - 0x506F sprite
-- 0x5080 - 0x50BF unused
-- wdr_l 0x50C0 - 0x50FF watchdog reset
-- READ
-- in0_l 0x5000 - 0x503F in port 0
-- in1_l 0x5040 - 0x507F in port 1
-- dipsw_l 0x5080 - 0x50BF dip switches
-- 7J
dec := "11111111";
sel := sync_bus_r_w_l & ab(7) & ab(6);
if (cpu_addr(12) = '1') and ( sync_bus_stb = '0') then
case sel is
when "000" => dec := "11111110";
when "001" => dec := "11111101";
when "010" => dec := "11111011";
when "011" => dec := "11110111";
when "100" => dec := "11101111";
when "101" => dec := "11011111";
when "110" => dec := "10111111";
when "111" => dec := "01111111";
when others => null;
end case;
end if;
iodec_out_l <= dec(0);
iodec_wdr_l <= dec(3);
iodec_in0_l <= dec(4);
iodec_in1_l <= dec(5);
iodec_dipsw_l <= dec(6);
-- 7M
decb := "1111";
selb := ab(5) & ab(4);
if (dec(1) = '0') then
case selb is
when "00" => decb := "1110";
when "01" => decb := "1101";
when "10" => decb := "1011";
when "11" => decb := "0111";
when others => null;
end case;
end if;
wr0_l <= decb(0);
wr1_l <= decb(1);
wr2_l <= decb(2);
end process;
p_control_reg : process
variable ena : std_logic_vector(7 downto 0);
begin
-- 8 bit addressable latch 7K
-- (made into register)
-- 0 interrupt ena
-- 1 sound ena
-- 2 not used
-- 3 flip
-- 4 1 player start lamp
-- 5 2 player start lamp
-- 6 coin lockout
-- 7 coin counter
wait until rising_edge(clk);
if (ena_6 = '1') then
ena := "00000000";
if (iodec_out_l = '0') then
case ab(2 downto 0) is
when "000" => ena := "00000001";
when "001" => ena := "00000010";
when "010" => ena := "00000100";
when "011" => ena := "00001000";
when "100" => ena := "00010000";
when "101" => ena := "00100000";
when "110" => ena := "01000000";
when "111" => ena := "10000000";
when others => null;
end case;
end if;
if (watchdog_reset_l = '0') then
control_reg <= (others => '0');
else
for i in 0 to 7 loop
if (ena(i) = '1') then
control_reg(i) <= cpu_data_out(0);
end if;
end loop;
end if;
end if;
end process;
p_db_mux_comb : process(hcnt, cpu_data_out, rams_data_out)
begin
-- simplified data source for video subsystem
-- only cpu or ram are sources of interest
if (hcnt(1) = '0') then
sync_bus_db <= cpu_data_out;
else
sync_bus_db <= rams_data_out;
end if;
end process;
p_cpu_data_in_mux_comb : process(cpu_addr, cpu_iorq_l, cpu_m1_l, sync_bus_wreq_l,
iodec_in0_l, iodec_in1_l, iodec_dipsw_l, cpu_vec_reg, sync_bus_reg, program_rom_dinl, program_rom_din2, program_rom_din3,
rams_data_out, in0, in1, dipsw)
begin
-- simplifed again
if (cpu_iorq_l = '0') and (cpu_m1_l = '0') then
cpu_data_in <= cpu_vec_reg;
elsif (sync_bus_wreq_l = '0') then
cpu_data_in <= sync_bus_reg;
else
if (cpu_addr(15 downto 14) = "00") then -- ROM at 0000 - 27ff
cpu_data_in <= program_rom_dinl;
elsif (cpu_addr(15 downto 11) = "00110") then -- ROM at 3000 - 37ff
cpu_data_in <= program_rom_din2;
elsif(cpu_addr(15 downto 13) = "100") then -- ROM at 8000 - 9fff
cpu_data_in <= program_rom_din3;
else
cpu_data_in <= rams_data_out;
if (iodec_in0_l = '0') then cpu_data_in <= in0; end if;
if (iodec_in1_l = '0') then cpu_data_in <= in1; end if;
if (iodec_dipsw_l = '0') then cpu_data_in <= dipsw; end if;
end if;
end if;
end process;
u_rams : work.dpram generic map (12,8)
port map
(
clk_a_i => clk,
en_a_i => ena_6,
we_i => not sync_bus_r_w_l and not vram_l,
addr_a_i => ab(11 downto 0),
data_a_i => cpu_data_out, -- cpu only source of ram data
clk_b_i => clk,
addr_b_i => ab(11 downto 0),
data_b_o => rams_data_out
);
-- example of internal program rom, if you have a big enough device
u_program_rom1 : entity work.ROM_PGM_0
port map (
CLK => clk,
ADDR => cpu_addr(13 downto 0),
DATA => program_rom_dinl
);
u_program_rom2 : entity work.ROM_PGM_1
port map (
CLK => clk,
ADDR => cpu_addr(10 downto 0),
DATA => program_rom_din2
);
u_program_rom3 : entity work.ROM_PGM_2
port map (
CLK => clk,
ADDR => cpu_addr(12 downto 0),
DATA => program_rom_din3
);
--
-- video subsystem
--
u_video : entity work.PACMAN_VIDEO
port map (
I_HCNT => hcnt,
I_VCNT => vcnt,
--
I_AB => ab,
I_DB => sync_bus_db,
--
I_HBLANK => hblank,
I_VBLANK => vblank,
I_FLIP => '0',--control_reg(3),
I_WR2_L => wr2_l,
--
O_RED => O_VIDEO_R,
O_GREEN => O_VIDEO_G,
O_BLUE => O_VIDEO_B,
--
ENA_6 => ena_6,
CLK => clk
);
O_HSYNC <= hSync;
O_VSYNC <= vSync;
--O_HBLANK <= hblank;
O_VBLANK <= vblank;
--
--
-- audio subsystem
--
u_audio : entity work.PACMAN_AUDIO
port map (
I_HCNT => hcnt,
--
I_AB => ab,
I_DB => sync_bus_db,
--
I_WR1_L => wr1_l,
I_WR0_L => wr0_l,
I_SOUND_ON => control_reg(1),
--
O_AUDIO => O_AUDIO,
ENA_6 => ena_6,
CLK => clk
);
end RTL;

View File

@ -0,0 +1,209 @@
--
-- A simulation model of Pacman hardware
-- Copyright (c) MikeJ - January 2006
--
-- All rights reserved
--
-- Redistribution and use in source and synthezised forms, with or without
-- modification, are permitted provided that the following conditions are met:
--
-- Redistributions of source code must retain the above copyright notice,
-- this list of conditions and the following disclaimer.
--
-- Redistributions in synthesized form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in the
-- documentation and/or other materials provided with the distribution.
--
-- Neither the name of the author nor the names of other contributors may
-- be used to endorse or promote products derived from this software without
-- specific prior written permission.
--
-- THIS CODE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE
-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR
-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF
-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS
-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN
-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
-- You are responsible for any legal issues arising from your use of this code.
--
-- The latest version of this file can be found at: www.fpgaarcade.com
--
-- Email pacman@fpgaarcade.com
--
-- Revision list
--
-- version 003 Jan 2006 release, general tidy up
-- version 002 added volume multiplier
-- version 001 initial release
--
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
library UNISIM;
entity PACMAN_AUDIO is
port (
I_HCNT : in std_logic_vector(8 downto 0);
--
I_AB : in std_logic_vector(11 downto 0);
I_DB : in std_logic_vector( 7 downto 0);
--
I_WR1_L : in std_logic;
I_WR0_L : in std_logic;
I_SOUND_ON : in std_logic;
--
O_AUDIO : out std_logic_vector(7 downto 0);
ENA_6 : in std_logic;
CLK : in std_logic
);
end;
architecture RTL of PACMAN_AUDIO is
signal addr : std_logic_vector(3 downto 0);
signal data : std_logic_vector(3 downto 0);
signal vol_ram_dout : std_logic_vector(3 downto 0);
signal frq_ram_dout : std_logic_vector(3 downto 0);
signal sum : std_logic_vector(5 downto 0);
signal accum_reg : std_logic_vector(5 downto 0);
signal rom3m_n : std_logic_vector(15 downto 0);
signal rom3m_w : std_logic_vector(3 downto 0);
signal rom3m : std_logic_vector(3 downto 0);
signal rom1m_addr : std_logic_vector(7 downto 0);
signal rom1m_data : std_logic_vector(7 downto 0);
begin
p_sel_com : process(I_HCNT, I_AB, I_DB, accum_reg)
begin
if (I_HCNT(1) = '0') then -- 2h,
addr <= I_AB(3 downto 0);
data <= I_DB(3 downto 0); -- removed invert
else
addr <= I_HCNT(5 downto 2);
data <= accum_reg(4 downto 1);
end if;
end process;
vol_ram : work.dpram generic map (4,4)
port map
(
clk_a_i => CLK,
en_a_i => ENA_6,
we_i => not I_WR1_L,
addr_a_i => addr(3 downto 0),
data_a_i => data,
clk_b_i => CLK,
addr_b_i => addr(3 downto 0),
data_b_o => vol_ram_dout
);
frq_ram : work.dpram generic map (4,4)
port map
(
clk_a_i => CLK,
en_a_i => ENA_6,
we_i => rom3m(1),
addr_a_i => addr(3 downto 0),
data_a_i => data,
clk_b_i => CLK,
addr_b_i => addr(3 downto 0),
data_b_o => frq_ram_dout
);
p_control_rom_comb : process(I_HCNT)
begin
rom3m_n <= x"0000"; rom3m_w <= x"0"; -- default assign
case I_HCNT(3 downto 0) is
when x"0" => rom3m_n <= x"0008"; rom3m_w <= x"0";
when x"1" => rom3m_n <= x"0000"; rom3m_w <= x"2";
when x"2" => rom3m_n <= x"1111"; rom3m_w <= x"0";
when x"3" => rom3m_n <= x"2222"; rom3m_w <= x"0";
when x"4" => rom3m_n <= x"0000"; rom3m_w <= x"0";
when x"5" => rom3m_n <= x"0000"; rom3m_w <= x"2";
when x"6" => rom3m_n <= x"1101"; rom3m_w <= x"0";
when x"7" => rom3m_n <= x"2242"; rom3m_w <= x"0";
when x"8" => rom3m_n <= x"0080"; rom3m_w <= x"0";
when x"9" => rom3m_n <= x"0000"; rom3m_w <= x"2";
when x"A" => rom3m_n <= x"1011"; rom3m_w <= x"0";
when x"B" => rom3m_n <= x"2422"; rom3m_w <= x"0";
when x"C" => rom3m_n <= x"0800"; rom3m_w <= x"0";
when x"D" => rom3m_n <= x"0000"; rom3m_w <= x"2";
when x"E" => rom3m_n <= x"0111"; rom3m_w <= x"0";
when x"F" => rom3m_n <= x"4222"; rom3m_w <= x"0";
when others => null;
end case;
end process;
p_control_rom_op_comb : process(I_HCNT, I_WR0_L, rom3m_n, rom3m_w)
begin
rom3m <= rom3m_w;
if (I_WR0_L = '1') then
case I_HCNT(5 downto 4) is
when "00" => rom3m <= rom3m_n( 3 downto 0);
when "01" => rom3m <= rom3m_n( 7 downto 4);
when "10" => rom3m <= rom3m_n(11 downto 8);
when "11" => rom3m <= rom3m_n(15 downto 12);
when others => null;
end case;
end if;
end process;
p_adder : process(vol_ram_dout, frq_ram_dout, accum_reg)
begin
-- 1K 4 bit adder
sum <= ('0' & vol_ram_dout & '1') + ('0' & frq_ram_dout & accum_reg(5));
end process;
p_accum_reg : process
begin
-- 1L
wait until rising_edge(CLK);
if (ENA_6 = '1') then
if (rom3m(3) = '1') then -- clear
accum_reg <= "000000";
elsif (rom3m(0) = '1') then -- rising edge clk
accum_reg <= sum(5 downto 1) & accum_reg(4);
end if;
end if;
end process;
p_rom_1m_addr_comb : process(accum_reg, frq_ram_dout)
begin
rom1m_addr(7 downto 5) <= frq_ram_dout(2 downto 0);
rom1m_addr(4 downto 0) <= accum_reg(4 downto 0);
end process;
audio_rom_1m : entity work.PROM1_DST
port map(
CLK => CLK,
ADDR => rom1m_addr,
DATA => rom1m_data
);
p_original_output_reg : process
begin
-- 2m used to use async clear
wait until rising_edge(CLK);
if (ENA_6 = '1') then
if (I_SOUND_ON = '0') then
O_AUDIO <= "00000000";
elsif (rom3m(2) = '1') then
O_AUDIO <= vol_ram_dout(3 downto 0) * rom1m_data(3 downto 0);
end if;
end if;
end process;
end architecture RTL;

View File

@ -0,0 +1,360 @@
--
-- A simulation model of Pacman hardware
-- Copyright (c) MikeJ - January 2006
--
-- All rights reserved
--
-- Redistribution and use in source and synthezised forms, with or without
-- modification, are permitted provided that the following conditions are met:
--
-- Redistributions of source code must retain the above copyright notice,
-- this list of conditions and the following disclaimer.
--
-- Redistributions in synthesized form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in the
-- documentation and/or other materials provided with the distribution.
--
-- Neither the name of the author nor the names of other contributors may
-- be used to endorse or promote products derived from this software without
-- specific prior written permission.
--
-- THIS CODE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE
-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR
-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF
-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS
-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN
-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
-- You are responsible for any legal issues arising from your use of this code.
--
-- The latest version of this file can be found at: www.fpgaarcade.com
--
-- Email pacman@fpgaarcade.com
--
-- Revision list
--
-- version 003 Jan 2006 release, general tidy up
-- version 001 initial release
--
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
library UNISIM;
entity PACMAN_VIDEO is
port (
I_HCNT : in std_logic_vector(8 downto 0);
I_VCNT : in std_logic_vector(8 downto 0);
--
I_AB : in std_logic_vector(11 downto 0);
I_DB : in std_logic_vector( 7 downto 0);
--
I_HBLANK : in std_logic;
I_VBLANK : in std_logic;
I_FLIP : in std_logic;
I_WR2_L : in std_logic;
--
O_RED : out std_logic_vector(2 downto 0);
O_GREEN : out std_logic_vector(2 downto 0);
O_BLUE : out std_logic_vector(1 downto 0);
ENA_6 : in std_logic;
CLK : in std_logic
);
end;
architecture RTL of PACMAN_VIDEO is
signal sprite_xy_ram_temp : std_logic_vector(7 downto 0);
signal dr : std_logic_vector(7 downto 0);
signal char_reg : std_logic_vector(7 downto 0);
signal char_sum_reg : std_logic_vector(3 downto 0);
signal char_match_reg : std_logic;
signal char_hblank_reg : std_logic;
signal char_hblank_reg_t1 : std_logic;
signal db_reg : std_logic_vector(7 downto 0);
signal xflip : std_logic;
signal yflip : std_logic;
signal obj_on : std_logic;
signal ca : std_logic_vector(12 downto 0);
signal char_rom_5ef_dout : std_logic_vector(7 downto 0);
signal shift_regl : std_logic_vector(3 downto 0);
signal shift_regu : std_logic_vector(3 downto 0);
signal shift_op : std_logic_vector(1 downto 0);
signal shift_sel : std_logic_vector(1 downto 0);
signal vout_obj_on : std_logic;
signal vout_yflip : std_logic;
signal vout_hblank : std_logic;
signal vout_db : std_logic_vector(4 downto 0);
signal cntr_ld : std_logic;
signal ra : std_logic_vector(7 downto 0);
signal sprite_ram_ip : std_logic_vector(3 downto 0);
signal sprite_ram_op : std_logic_vector(3 downto 0);
signal sprite_ram_addr : std_logic_vector(7 downto 0);
signal sprite_ram_addr_t1 : std_logic_vector(7 downto 0);
signal vout_obj_on_t1 : std_logic;
signal col_rom_addr : std_logic_vector(7 downto 0);
signal lut_4a : std_logic_vector(7 downto 0);
signal lut_4a_t1 : std_logic_vector(7 downto 0);
signal vout_hblank_t1 : std_logic;
signal sprite_ram_reg : std_logic_vector(3 downto 0);
signal video_out : std_logic_vector(7 downto 0);
signal video_op_sel : std_logic;
signal final_col : std_logic_vector(3 downto 0);
begin
-- ram enable is low when HBLANK_L is 0 (for sprite access) or
-- 2H is low (for cpu writes)
-- we can simplify this
dr <= not sprite_xy_ram_temp when I_HBLANK = '1' else "11111111"; -- pull ups on board
sprite_xy_ram : work.dpram generic map (4,8)
port map
(
clk_a_i => CLK,
en_a_i => ENA_6,
we_i => not I_WR2_L,
addr_a_i => I_AB(3 downto 0),
data_a_i => I_DB,
clk_b_i => CLK,
addr_b_i => I_AB(3 downto 0),
data_b_o => sprite_xy_ram_temp
);
p_char_regs : process
variable inc : std_logic;
variable sum : std_logic_vector(8 downto 0);
variable match : std_logic;
begin
wait until rising_edge (CLK);
if (I_HCNT(2 downto 0) = "011") and (ENA_6 = '1') then -- rising 4h
inc := (not I_HBLANK);
-- 1f, 2f
sum := (I_VCNT(7 downto 0) & '1') + (dr & inc);
-- 3e
match := '0';
if (sum(8 downto 5) = "1111") then
match := '1';
end if;
-- 1h
char_sum_reg <= sum(4 downto 1);
char_match_reg <= match;
char_hblank_reg <= I_HBLANK;
-- 4d
db_reg <= I_DB; -- character reg
end if;
end process;
p_flip_comb : process(char_hblank_reg, I_FLIP, db_reg)
begin
if (char_hblank_reg = '0') then
xflip <= I_FLIP;
yflip <= I_FLIP;
else
xflip <= db_reg(1);
yflip <= db_reg(0);
end if;
end process;
p_char_addr_comb : process(db_reg, I_HCNT,
char_match_reg, char_sum_reg, char_hblank_reg,
xflip, yflip)
begin
-- 2h, 4e
obj_on <= char_match_reg or I_HCNT(8); -- 256h not 256h_l
ca(12) <= char_hblank_reg;
ca(11 downto 6) <= db_reg(7 downto 2);
if (char_hblank_reg = '0') then
ca(5) <= db_reg(1);
ca(4) <= db_reg(0);
else
ca(5) <= char_sum_reg(3) xor xflip;
ca(4) <= I_HCNT(3);
end if;
ca(3) <= I_HCNT(2) xor yflip;
ca(2) <= char_sum_reg(2) xor xflip;
ca(1) <= char_sum_reg(1) xor xflip;
ca(0) <= char_sum_reg(0) xor xflip;
end process;
-- char roms
char_rom_5ef : entity work.GFX1
port map (
CLK => CLK,
ADDR => ca,
DATA => char_rom_5ef_dout
);
p_char_shift : process
begin
-- 4 bit shift req
wait until rising_edge (CLK);
if (ENA_6 = '1') then
case shift_sel is
when "00" => null;
when "01" => shift_regu <= '0' & shift_regu(3 downto 1);
shift_regl <= '0' & shift_regl(3 downto 1);
when "10" => shift_regu <= shift_regu(2 downto 0) & '0';
shift_regl <= shift_regl(2 downto 0) & '0';
when "11" => shift_regu <= char_rom_5ef_dout(7 downto 4); -- load
shift_regl <= char_rom_5ef_dout(3 downto 0);
when others => null;
end case;
end if;
end process;
p_char_shift_comb : process(I_HCNT, vout_yflip, shift_regu, shift_regl)
variable ip : std_logic;
begin
ip := I_HCNT(0) and I_HCNT(1);
if (vout_yflip = '0') then
shift_sel(0) <= ip;
shift_sel(1) <= '1';
shift_op(0) <= shift_regl(3);
shift_op(1) <= shift_regu(3);
else
shift_sel(0) <= '1';
shift_sel(1) <= ip;
shift_op(0) <= shift_regl(0);
shift_op(1) <= shift_regu(0);
end if;
end process;
p_video_out_reg : process
begin
wait until rising_edge (CLK);
if (ENA_6 = '1') then
if (I_HCNT(2 downto 0) = "111") then
vout_obj_on <= obj_on;
vout_yflip <= yflip;
vout_hblank <= I_HBLANK;
vout_db(4 downto 0) <= I_DB(4 downto 0); -- colour reg
end if;
end if;
end process;
p_lut_4a_comb : process(vout_db, shift_op)
begin
col_rom_addr <= '0' & vout_db(4 downto 0) & shift_op(1 downto 0);
end process;
col_rom_4a : entity work.PROM4_DST
port map (
CLK => CLK,
ADDR => col_rom_addr,
DATA => lut_4a
);
cntr_ld <= '1' when (I_HCNT(3 downto 0) = "0111") and (vout_hblank='1' or vout_obj_on='0') else '0';
p_ra_cnt : process
begin
wait until rising_edge (CLK);
if (ENA_6 = '1') then
if (cntr_ld = '1') then
ra <= dr;
else
ra <= ra + "1";
end if;
end if;
end process;
sprite_ram_addr <= ra;
u_sprite_ram : work.dpram generic map (8,4)
port map
(
clk_a_i => CLK,
en_a_i => ENA_6,
we_i => vout_obj_on,
addr_a_i => sprite_ram_addr,
data_a_i => sprite_ram_ip,
clk_b_i => CLK,
addr_b_i => sprite_ram_addr,
data_b_o => sprite_ram_op
);
sprite_ram_reg <= sprite_ram_op when vout_obj_on_t1 = '1' else "0000";
video_op_sel <= '1' when not (sprite_ram_reg = "0000") else '0';
p_sprite_ram_ip_reg : process
begin
wait until rising_edge (CLK);
if (ENA_6 = '1') then
vout_obj_on_t1 <= vout_obj_on;
vout_hblank_t1 <= vout_hblank;
lut_4a_t1 <= lut_4a;
end if;
end process;
p_sprite_ram_ip_comb : process(vout_hblank_t1, video_op_sel, sprite_ram_reg, lut_4a_t1)
begin
-- 3a
if (vout_hblank_t1 = '0') then
sprite_ram_ip <= (others => '0');
else
if (video_op_sel = '1') then
sprite_ram_ip <= sprite_ram_reg;
else
sprite_ram_ip <= lut_4a_t1(3 downto 0);
end if;
end if;
end process;
p_video_op_comb : process(vout_hblank, I_VBLANK, video_op_sel, sprite_ram_reg, lut_4a)
begin
-- 3b
if (vout_hblank = '1') or (I_VBLANK = '1') then
final_col <= (others => '0');
else
if (video_op_sel = '1') then
final_col <= sprite_ram_reg; -- sprite
else
final_col <= lut_4a(3 downto 0);
end if;
end if;
end process;
col_rom_7f : entity work.PROM7_DST
port map (
CLK => CLK,
ADDR => final_col,
DATA => video_out
);
-- assign outputs
O_BLUE (1 downto 0) <= video_out(7 downto 6);
O_GREEN(2 downto 0) <= video_out(5 downto 3);
O_RED (2 downto 0) <= video_out(2 downto 0);
end architecture;

View File

@ -0,0 +1,273 @@
--
-- A simulation model of Pacman hardware
-- Copyright (c) MikeJ & CarlW - January 2006
--
-- All rights reserved
--
-- Redistribution and use in source and synthezised forms, with or without
-- modification, are permitted provided that the following conditions are met:
--
-- Redistributions of source code must retain the above copyright notice,
-- this list of conditions and the following disclaimer.
--
-- Redistributions in synthesized form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in the
-- documentation and/or other materials provided with the distribution.
--
-- Neither the name of the author nor the names of other contributors may
-- be used to endorse or promote products derived from this software without
-- specific prior written permission.
--
-- THIS CODE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE
-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR
-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF
-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS
-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN
-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
-- You are responsible for any legal issues arising from your use of this code.
--
-- The latest version of this file can be found at: www.fpgaarcade.com
--
-- Email pacman@fpgaarcade.com
--
-- Revision list
--
-- version 003 Jan 2006 release, general tidy up
-- version 001 initial release
--
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
entity X74_157 is
port (
Y : out std_logic_vector (3 downto 0);
B : in std_logic_vector (3 downto 0);
A : in std_logic_vector (3 downto 0);
G : in std_logic;
S : in std_logic
);
end;
architecture RTL of X74_157 is
begin
p_y_comb : process(S,G,A,B)
begin
for i in 0 to 3 loop
-- quad 2 line to 1 line mux (true logic)
if (G = '1') then
Y(i) <= '0';
else
if (S = '0') then
Y(i) <= A(i);
else
Y(i) <= B(i);
end if;
end if;
end loop;
end process;
end RTL;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity X74_257 is
port (
Y : out std_logic_vector (3 downto 0);
B : in std_logic_vector (3 downto 0);
A : in std_logic_vector (3 downto 0);
S : in std_logic
);
end;
architecture RTL of X74_257 is
signal ab : std_logic_vector (3 downto 0);
begin
Y <= ab; -- no tristate
p_ab : process(S,A,B)
begin
for i in 0 to 3 loop
if (S = '0') then
AB(i) <= A(i);
else
AB(i) <= B(i);
end if;
end loop;
end process;
end RTL;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
entity PACMAN_VRAM_ADDR is
port (
AB : out std_logic_vector (11 downto 0);
H256_L : in std_logic;
H128 : in std_logic;
H64 : in std_logic;
H32 : in std_logic;
H16 : in std_logic;
H8 : in std_logic;
H4 : in std_logic;
H2 : in std_logic;
H1 : in std_logic;
V128 : in std_logic;
V64 : in std_logic;
V32 : in std_logic;
V16 : in std_logic;
V8 : in std_logic;
V4 : in std_logic;
V2 : in std_logic;
V1 : in std_logic;
FLIP : in std_logic
);
end;
architecture RTL of PACMAN_VRAM_ADDR is
signal v128p : std_logic;
signal v64p : std_logic;
signal v32p : std_logic;
signal v16p : std_logic;
signal v8p : std_logic;
signal h128p : std_logic;
signal h64p : std_logic;
signal h32p : std_logic;
signal h16p : std_logic;
signal h8p : std_logic;
signal sel : std_logic;
signal y157 : std_logic_vector (11 downto 0);
component X74_157
port (
Y : out std_logic_vector (3 downto 0);
B : in std_logic_vector (3 downto 0);
A : in std_logic_vector (3 downto 0);
G : in std_logic;
S : in std_logic
);
end component;
component X74_257
port (
Y : out std_logic_vector (3 downto 0);
B : in std_logic_vector (3 downto 0);
A : in std_logic_vector (3 downto 0);
S : in std_logic
);
end component;
begin
p_vp_comb : process(FLIP, V8, V16, V32, V64, V128)
begin
v128p <= FLIP xor V128;
v64p <= FLIP xor V64;
v32p <= FLIP xor V32;
v16p <= FLIP xor V16;
v8p <= FLIP xor V8;
end process;
p_hp_comb : process(FLIP, H8, H16, H32, H64, H128)
begin
H128P <= FLIP xor H128;
H64P <= FLIP xor H64;
H32P <= FLIP xor H32;
H16P <= FLIP xor H16;
H8P <= FLIP xor H8;
end process;
p_sel : process(H16, H32, H64)
begin
sel <= not((H32 xor H16) or (H32 xor H64));
end process;
--p_oe257 : process(H2)
--begin
-- oe <= not(H2);
--end process;
U6 : X74_157
port map(
Y => y157(11 downto 8),
B(3) => '0',
B(2) => H4,
B(1) => h64p,
B(0) => h64p,
A => "1111",
G => '0',
S => sel
);
U5 : X74_157
port map(
Y => y157(7 downto 4),
B(3) => h64p,
B(2) => h64p,
B(1) => h8p,
B(0) => v128p,
A => "1111",
G => '0',
S => sel
);
U4 : X74_157
port map(
Y => y157(3 downto 0),
B(3) => v64p,
B(2) => v32p,
B(1) => v16p,
B(0) => v8p,
A(3) => H64,
A(2) => H32,
A(1) => H16,
A(0) => H4,
G => '0',
S => sel
);
U3 : X74_257
port map(
Y => AB(11 downto 8),
B(3) => '0',
B(2) => H4,
B(1) => v128p,
B(0) => v64p,
A => y157(11 downto 8),
S => H256_L
);
U2 : X74_257
port map(
Y => AB(7 downto 4),
B(3) => v32p,
B(2) => v16p,
B(1) => v8p,
B(0) => h128p,
A => y157(7 downto 4),
S => H256_L
);
U1 : X74_257
port map(
Y => AB(3 downto 0),
B(3) => h64p,
B(2) => h32p,
B(1) => h16p,
B(0) => h8p,
A => y157(3 downto 0),
S => H256_L
);
end RTL;

View File

@ -0,0 +1,4 @@
set_global_assignment -name IP_TOOL_NAME "ALTPLL"
set_global_assignment -name IP_TOOL_VERSION "13.1"
set_global_assignment -name VERILOG_FILE [file join $::quartus(qip_path) "pll.v"]
set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "pll.ppf"]

View File

@ -0,0 +1,320 @@
// megafunction wizard: %ALTPLL%
// GENERATION: STANDARD
// VERSION: WM1.0
// MODULE: altpll
// ============================================================
// File Name: pll.v
// Megafunction Name(s):
// altpll
//
// Simulation Library Files(s):
// altera_mf
// ============================================================
// ************************************************************
// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
//
// 13.1.0 Build 162 10/23/2013 SJ Web Edition
// ************************************************************
//Copyright (C) 1991-2013 Altera Corporation
//Your use of Altera Corporation's design tools, logic functions
//and other software and tools, and its AMPP partner logic
//functions, and any output files from any of the foregoing
//(including device programming or simulation files), and any
//associated documentation or information are expressly subject
//to the terms and conditions of the Altera Program License
//Subscription Agreement, Altera MegaCore Function License
//Agreement, or other applicable license agreement, including,
//without limitation, that your use is for the sole purpose of
//programming logic devices manufactured by Altera and sold by
//Altera or its authorized distributors. Please refer to the
//applicable agreement for further details.
// synopsys translate_off
`timescale 1 ps / 1 ps
// synopsys translate_on
module pll (
areset,
inclk0,
c0,
locked);
input areset;
input inclk0;
output c0;
output locked;
`ifndef ALTERA_RESERVED_QIS
// synopsys translate_off
`endif
tri0 areset;
`ifndef ALTERA_RESERVED_QIS
// synopsys translate_on
`endif
wire sub_wire0;
wire [4:0] sub_wire1;
wire [0:0] sub_wire5 = 1'h0;
wire locked = sub_wire0;
wire [0:0] sub_wire2 = sub_wire1[0:0];
wire c0 = sub_wire2;
wire sub_wire3 = inclk0;
wire [1:0] sub_wire4 = {sub_wire5, sub_wire3};
altpll altpll_component (
.areset (areset),
.inclk (sub_wire4),
.locked (sub_wire0),
.clk (sub_wire1),
.activeclock (),
.clkbad (),
.clkena ({6{1'b1}}),
.clkloss (),
.clkswitch (1'b0),
.configupdate (1'b0),
.enable0 (),
.enable1 (),
.extclk (),
.extclkena ({4{1'b1}}),
.fbin (1'b1),
.fbmimicbidir (),
.fbout (),
.fref (),
.icdrclk (),
.pfdena (1'b1),
.phasecounterselect ({4{1'b1}}),
.phasedone (),
.phasestep (1'b1),
.phaseupdown (1'b1),
.pllena (1'b1),
.scanaclr (1'b0),
.scanclk (1'b0),
.scanclkena (1'b1),
.scandata (1'b0),
.scandataout (),
.scandone (),
.scanread (1'b0),
.scanwrite (1'b0),
.sclkout0 (),
.sclkout1 (),
.vcooverrange (),
.vcounderrange ());
defparam
altpll_component.bandwidth_type = "AUTO",
altpll_component.clk0_divide_by = 9,
altpll_component.clk0_duty_cycle = 50,
altpll_component.clk0_multiply_by = 8,
altpll_component.clk0_phase_shift = "0",
altpll_component.compensate_clock = "CLK0",
altpll_component.inclk0_input_frequency = 37037,
altpll_component.intended_device_family = "Cyclone III",
altpll_component.lpm_hint = "CBX_MODULE_PREFIX=pll",
altpll_component.lpm_type = "altpll",
altpll_component.operation_mode = "NORMAL",
altpll_component.pll_type = "AUTO",
altpll_component.port_activeclock = "PORT_UNUSED",
altpll_component.port_areset = "PORT_USED",
altpll_component.port_clkbad0 = "PORT_UNUSED",
altpll_component.port_clkbad1 = "PORT_UNUSED",
altpll_component.port_clkloss = "PORT_UNUSED",
altpll_component.port_clkswitch = "PORT_UNUSED",
altpll_component.port_configupdate = "PORT_UNUSED",
altpll_component.port_fbin = "PORT_UNUSED",
altpll_component.port_inclk0 = "PORT_USED",
altpll_component.port_inclk1 = "PORT_UNUSED",
altpll_component.port_locked = "PORT_USED",
altpll_component.port_pfdena = "PORT_UNUSED",
altpll_component.port_phasecounterselect = "PORT_UNUSED",
altpll_component.port_phasedone = "PORT_UNUSED",
altpll_component.port_phasestep = "PORT_UNUSED",
altpll_component.port_phaseupdown = "PORT_UNUSED",
altpll_component.port_pllena = "PORT_UNUSED",
altpll_component.port_scanaclr = "PORT_UNUSED",
altpll_component.port_scanclk = "PORT_UNUSED",
altpll_component.port_scanclkena = "PORT_UNUSED",
altpll_component.port_scandata = "PORT_UNUSED",
altpll_component.port_scandataout = "PORT_UNUSED",
altpll_component.port_scandone = "PORT_UNUSED",
altpll_component.port_scanread = "PORT_UNUSED",
altpll_component.port_scanwrite = "PORT_UNUSED",
altpll_component.port_clk0 = "PORT_USED",
altpll_component.port_clk1 = "PORT_UNUSED",
altpll_component.port_clk2 = "PORT_UNUSED",
altpll_component.port_clk3 = "PORT_UNUSED",
altpll_component.port_clk4 = "PORT_UNUSED",
altpll_component.port_clk5 = "PORT_UNUSED",
altpll_component.port_clkena0 = "PORT_UNUSED",
altpll_component.port_clkena1 = "PORT_UNUSED",
altpll_component.port_clkena2 = "PORT_UNUSED",
altpll_component.port_clkena3 = "PORT_UNUSED",
altpll_component.port_clkena4 = "PORT_UNUSED",
altpll_component.port_clkena5 = "PORT_UNUSED",
altpll_component.port_extclk0 = "PORT_UNUSED",
altpll_component.port_extclk1 = "PORT_UNUSED",
altpll_component.port_extclk2 = "PORT_UNUSED",
altpll_component.port_extclk3 = "PORT_UNUSED",
altpll_component.self_reset_on_loss_lock = "OFF",
altpll_component.width_clock = 5;
endmodule
// ============================================================
// CNX file retrieval info
// ============================================================
// Retrieval info: PRIVATE: ACTIVECLK_CHECK STRING "0"
// Retrieval info: PRIVATE: BANDWIDTH STRING "1.000"
// Retrieval info: PRIVATE: BANDWIDTH_FEATURE_ENABLED STRING "1"
// Retrieval info: PRIVATE: BANDWIDTH_FREQ_UNIT STRING "MHz"
// Retrieval info: PRIVATE: BANDWIDTH_PRESET STRING "Low"
// Retrieval info: PRIVATE: BANDWIDTH_USE_AUTO STRING "1"
// Retrieval info: PRIVATE: BANDWIDTH_USE_PRESET STRING "0"
// Retrieval info: PRIVATE: CLKBAD_SWITCHOVER_CHECK STRING "0"
// Retrieval info: PRIVATE: CLKLOSS_CHECK STRING "0"
// Retrieval info: PRIVATE: CLKSWITCH_CHECK STRING "0"
// Retrieval info: PRIVATE: CNX_NO_COMPENSATE_RADIO STRING "0"
// Retrieval info: PRIVATE: CREATE_CLKBAD_CHECK STRING "0"
// Retrieval info: PRIVATE: CREATE_INCLK1_CHECK STRING "0"
// Retrieval info: PRIVATE: CUR_DEDICATED_CLK STRING "c0"
// Retrieval info: PRIVATE: CUR_FBIN_CLK STRING "c0"
// Retrieval info: PRIVATE: DEVICE_SPEED_GRADE STRING "8"
// Retrieval info: PRIVATE: DIV_FACTOR0 NUMERIC "9"
// Retrieval info: PRIVATE: DUTY_CYCLE0 STRING "50.00000000"
// Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE0 STRING "24.000000"
// Retrieval info: PRIVATE: EXPLICIT_SWITCHOVER_COUNTER STRING "0"
// Retrieval info: PRIVATE: EXT_FEEDBACK_RADIO STRING "0"
// Retrieval info: PRIVATE: GLOCKED_COUNTER_EDIT_CHANGED STRING "1"
// Retrieval info: PRIVATE: GLOCKED_FEATURE_ENABLED STRING "0"
// Retrieval info: PRIVATE: GLOCKED_MODE_CHECK STRING "0"
// Retrieval info: PRIVATE: GLOCK_COUNTER_EDIT NUMERIC "1048575"
// Retrieval info: PRIVATE: HAS_MANUAL_SWITCHOVER STRING "1"
// Retrieval info: PRIVATE: INCLK0_FREQ_EDIT STRING "27.000"
// Retrieval info: PRIVATE: INCLK0_FREQ_UNIT_COMBO STRING "MHz"
// Retrieval info: PRIVATE: INCLK1_FREQ_EDIT STRING "100.000"
// Retrieval info: PRIVATE: INCLK1_FREQ_EDIT_CHANGED STRING "1"
// Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_CHANGED STRING "1"
// Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_COMBO STRING "MHz"
// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone III"
// Retrieval info: PRIVATE: INT_FEEDBACK__MODE_RADIO STRING "1"
// Retrieval info: PRIVATE: LOCKED_OUTPUT_CHECK STRING "1"
// Retrieval info: PRIVATE: LONG_SCAN_RADIO STRING "1"
// Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE STRING "Not Available"
// Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE_DIRTY NUMERIC "0"
// Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT0 STRING "deg"
// Retrieval info: PRIVATE: MIG_DEVICE_SPEED_GRADE STRING "Any"
// Retrieval info: PRIVATE: MIRROR_CLK0 STRING "0"
// Retrieval info: PRIVATE: MULT_FACTOR0 NUMERIC "8"
// Retrieval info: PRIVATE: NORMAL_MODE_RADIO STRING "1"
// Retrieval info: PRIVATE: OUTPUT_FREQ0 STRING "24.00000000"
// Retrieval info: PRIVATE: OUTPUT_FREQ_MODE0 STRING "0"
// Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT0 STRING "MHz"
// Retrieval info: PRIVATE: PHASE_RECONFIG_FEATURE_ENABLED STRING "1"
// Retrieval info: PRIVATE: PHASE_RECONFIG_INPUTS_CHECK STRING "0"
// Retrieval info: PRIVATE: PHASE_SHIFT0 STRING "0.00000000"
// Retrieval info: PRIVATE: PHASE_SHIFT_STEP_ENABLED_CHECK STRING "0"
// Retrieval info: PRIVATE: PHASE_SHIFT_UNIT0 STRING "deg"
// Retrieval info: PRIVATE: PLL_ADVANCED_PARAM_CHECK STRING "0"
// Retrieval info: PRIVATE: PLL_ARESET_CHECK STRING "1"
// Retrieval info: PRIVATE: PLL_AUTOPLL_CHECK NUMERIC "1"
// Retrieval info: PRIVATE: PLL_ENHPLL_CHECK NUMERIC "0"
// Retrieval info: PRIVATE: PLL_FASTPLL_CHECK NUMERIC "0"
// Retrieval info: PRIVATE: PLL_FBMIMIC_CHECK STRING "0"
// Retrieval info: PRIVATE: PLL_LVDS_PLL_CHECK NUMERIC "0"
// Retrieval info: PRIVATE: PLL_PFDENA_CHECK STRING "0"
// Retrieval info: PRIVATE: PLL_TARGET_HARCOPY_CHECK NUMERIC "0"
// Retrieval info: PRIVATE: PRIMARY_CLK_COMBO STRING "inclk0"
// Retrieval info: PRIVATE: RECONFIG_FILE STRING "pll.mif"
// Retrieval info: PRIVATE: SACN_INPUTS_CHECK STRING "0"
// Retrieval info: PRIVATE: SCAN_FEATURE_ENABLED STRING "1"
// Retrieval info: PRIVATE: SELF_RESET_LOCK_LOSS STRING "0"
// Retrieval info: PRIVATE: SHORT_SCAN_RADIO STRING "0"
// Retrieval info: PRIVATE: SPREAD_FEATURE_ENABLED STRING "0"
// Retrieval info: PRIVATE: SPREAD_FREQ STRING "50.000"
// Retrieval info: PRIVATE: SPREAD_FREQ_UNIT STRING "KHz"
// Retrieval info: PRIVATE: SPREAD_PERCENT STRING "0.500"
// Retrieval info: PRIVATE: SPREAD_USE STRING "0"
// Retrieval info: PRIVATE: SRC_SYNCH_COMP_RADIO STRING "0"
// Retrieval info: PRIVATE: STICKY_CLK0 STRING "1"
// Retrieval info: PRIVATE: SWITCHOVER_COUNT_EDIT NUMERIC "1"
// Retrieval info: PRIVATE: SWITCHOVER_FEATURE_ENABLED STRING "1"
// Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
// Retrieval info: PRIVATE: USE_CLK0 STRING "1"
// Retrieval info: PRIVATE: USE_CLKENA0 STRING "0"
// Retrieval info: PRIVATE: USE_MIL_SPEED_GRADE NUMERIC "0"
// Retrieval info: PRIVATE: ZERO_DELAY_RADIO STRING "0"
// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
// Retrieval info: CONSTANT: BANDWIDTH_TYPE STRING "AUTO"
// Retrieval info: CONSTANT: CLK0_DIVIDE_BY NUMERIC "9"
// Retrieval info: CONSTANT: CLK0_DUTY_CYCLE NUMERIC "50"
// Retrieval info: CONSTANT: CLK0_MULTIPLY_BY NUMERIC "8"
// Retrieval info: CONSTANT: CLK0_PHASE_SHIFT STRING "0"
// Retrieval info: CONSTANT: COMPENSATE_CLOCK STRING "CLK0"
// Retrieval info: CONSTANT: INCLK0_INPUT_FREQUENCY NUMERIC "37037"
// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone III"
// Retrieval info: CONSTANT: LPM_TYPE STRING "altpll"
// Retrieval info: CONSTANT: OPERATION_MODE STRING "NORMAL"
// Retrieval info: CONSTANT: PLL_TYPE STRING "AUTO"
// Retrieval info: CONSTANT: PORT_ACTIVECLOCK STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_ARESET STRING "PORT_USED"
// Retrieval info: CONSTANT: PORT_CLKBAD0 STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_CLKBAD1 STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_CLKLOSS STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_CLKSWITCH STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_CONFIGUPDATE STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_FBIN STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_INCLK0 STRING "PORT_USED"
// Retrieval info: CONSTANT: PORT_INCLK1 STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_LOCKED STRING "PORT_USED"
// Retrieval info: CONSTANT: PORT_PFDENA STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_PHASECOUNTERSELECT STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_PHASEDONE STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_PHASESTEP STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_PHASEUPDOWN STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_PLLENA STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_SCANACLR STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_SCANCLK STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_SCANCLKENA STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_SCANDATA STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_SCANDATAOUT STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_SCANDONE STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_SCANREAD STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_SCANWRITE STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_clk0 STRING "PORT_USED"
// Retrieval info: CONSTANT: PORT_clk1 STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_clk2 STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_clk3 STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_clk4 STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_clk5 STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_clkena0 STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_clkena1 STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_clkena2 STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_clkena3 STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_clkena4 STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_clkena5 STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_extclk0 STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_extclk1 STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_extclk2 STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_extclk3 STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: SELF_RESET_ON_LOSS_LOCK STRING "OFF"
// Retrieval info: CONSTANT: WIDTH_CLOCK NUMERIC "5"
// Retrieval info: USED_PORT: @clk 0 0 5 0 OUTPUT_CLK_EXT VCC "@clk[4..0]"
// Retrieval info: USED_PORT: areset 0 0 0 0 INPUT GND "areset"
// Retrieval info: USED_PORT: c0 0 0 0 0 OUTPUT_CLK_EXT VCC "c0"
// Retrieval info: USED_PORT: inclk0 0 0 0 0 INPUT_CLK_EXT GND "inclk0"
// Retrieval info: USED_PORT: locked 0 0 0 0 OUTPUT GND "locked"
// Retrieval info: CONNECT: @areset 0 0 0 0 areset 0 0 0 0
// Retrieval info: CONNECT: @inclk 0 0 1 1 GND 0 0 0 0
// Retrieval info: CONNECT: @inclk 0 0 1 0 inclk0 0 0 0 0
// Retrieval info: CONNECT: c0 0 0 0 0 @clk 0 0 1 0
// Retrieval info: CONNECT: locked 0 0 0 0 @locked 0 0 0 0
// Retrieval info: GEN_FILE: TYPE_NORMAL pll.v TRUE
// Retrieval info: GEN_FILE: TYPE_NORMAL pll.ppf TRUE
// Retrieval info: GEN_FILE: TYPE_NORMAL pll.inc FALSE
// Retrieval info: GEN_FILE: TYPE_NORMAL pll.cmp FALSE
// Retrieval info: GEN_FILE: TYPE_NORMAL pll.bsf FALSE
// Retrieval info: GEN_FILE: TYPE_NORMAL pll_inst.v FALSE
// Retrieval info: GEN_FILE: TYPE_NORMAL pll_bb.v FALSE
// Retrieval info: LIB_FILE: altera_mf
// Retrieval info: CBX_MODULE_PREFIX: ON