From b8ed50536e22f4fe9cd546a1d623da8fa20ef3c7 Mon Sep 17 00:00:00 2001 From: Gehstock Date: Sun, 12 Aug 2018 12:42:46 +0200 Subject: [PATCH] Speech Update --- .../Berzerk_MiST/Berzerk_MiST.qsf | 1 + .../Berzerk_MiST/Berzerk_MiST.srf | 3 + .../Berzerk_MiST/Snapshot/Berzerk_MiST.rbf | Bin 269612 -> 276786 bytes .../Berzerk_MiST/rtl/berzerk.vhd | 64 +- .../Berzerk_MiST/rtl/berzerk_mist.sv | 6 +- .../Berzerk_MiST/rtl/berzerk_sound_fx.vhd | 7 +- .../Berzerk_MiST/rtl/berzerk_speech.vhd | 703 +++++++++++------- .../Berzerk_MiST/rtl/berzerk_speech_rom.vhd | 278 +++++++ .../Berzerk_MiST/rtl/build_id.v | 4 +- 9 files changed, 768 insertions(+), 298 deletions(-) create mode 100644 Arcade_MiST/Custom Hardware/Berzerk_MiST/Berzerk_MiST.srf create mode 100644 Arcade_MiST/Custom Hardware/Berzerk_MiST/rtl/berzerk_speech_rom.vhd diff --git a/Arcade_MiST/Custom Hardware/Berzerk_MiST/Berzerk_MiST.qsf b/Arcade_MiST/Custom Hardware/Berzerk_MiST/Berzerk_MiST.qsf index e3bf2ff5..1051134e 100644 --- a/Arcade_MiST/Custom Hardware/Berzerk_MiST/Berzerk_MiST.qsf +++ b/Arcade_MiST/Custom Hardware/Berzerk_MiST/Berzerk_MiST.qsf @@ -223,4 +223,5 @@ set_global_assignment -name VHDL_FILE rtl/T80/T80_Pack.vhd set_global_assignment -name VHDL_FILE rtl/T80/T80_MCode.vhd set_global_assignment -name VHDL_FILE rtl/T80/T80_ALU.vhd set_global_assignment -name VHDL_FILE rtl/T80/T80.vhd +set_global_assignment -name VHDL_FILE rtl/berzerk_speech_rom.vhd set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file diff --git a/Arcade_MiST/Custom Hardware/Berzerk_MiST/Berzerk_MiST.srf b/Arcade_MiST/Custom Hardware/Berzerk_MiST/Berzerk_MiST.srf new file mode 100644 index 00000000..bde7a414 --- /dev/null +++ b/Arcade_MiST/Custom Hardware/Berzerk_MiST/Berzerk_MiST.srf @@ -0,0 +1,3 @@ +{ "" "" "" "VHDL Signal Declaration warning at berzerk.vhd(107): used implicit default value for signal \"dbg_cpu_di\" because signal was never assigned a value or an explicit default value. Use of implicit default value may introduce unintended design optimizations." { } { } 0 10541 "" 0 0 "Quartus II" 0 -1 0 ""} +{ "" "" "" "Verilog HDL information at scandoubler.v(114): always construct contains both blocking and non-blocking assignments" { } { } 0 10268 "" 0 0 "Quartus II" 0 -1 0 ""} +{ "" "" "" "Verilog HDL warning at hq2x.sv(247): extended using \"x\" or \"z\"" { } { } 0 10273 "" 0 0 "Quartus II" 0 -1 0 ""} diff --git a/Arcade_MiST/Custom Hardware/Berzerk_MiST/Snapshot/Berzerk_MiST.rbf b/Arcade_MiST/Custom Hardware/Berzerk_MiST/Snapshot/Berzerk_MiST.rbf index 59cd6b7a41ba93d448206389984d3d5d946aecdb..00de76ab3aa3d30d9aafe15f4b902df8ced26842 100644 GIT binary patch literal 276786 zcmeFa51bswRqxv~yLQ%zlkBuMoAx9q)4hslt+A~gC4R$liDy=FvT+n7I{^#?Y$lu5fHEi3FvVNmYo2;{PRc& z7w50v_gCFL+q>Fb?LXhA5FM?iyQ`~DojP^u)H$cBx}SY^VR*;C8~F0q&wl;uUqAEJ zXTJH3XTJLNuRZh4Z+-o1&wll*-{2DX*4MuFwcCGXVMPDo?^-|rWRE((2+QL@X9C%$ z)3lWwg|7?99HN8bw7t_ZRIVVs*3oo=`Ac}NL^ypdPs{`Y} zF9D+A&w;d@`HrW7^j=Dfj<*7(1hVw#T**5A79gIwfN0Y*YVR~%_jLU?3Fth9G;OZq z_E~TMNJL@AK{N;?3j*B~KSaNHsWuU)U3D#<=qS+n%?ZRQaoVW_bZZtF+h-J>T&V8i zv*^g)|MdivE*eCW`a#-u?*Y<&@o6AEpMCsX0%^MNWa(0wHjq8eMTgQH;C4VLMaPE# z9fezIX&H+--UQqMh#s}OWItQ(?DLl=kfy5+ZvoZ_fho9~#Gm);lcs#}^a zc8Lb1rEor)bbmG-8e`MGFq=0jTe?%AbLo$_0{;L=*7g88N+whIN&>ppX_}rM-wtTp z7QQr1{Wc4_mj%^5dmU3WO_S&r9VvVy0bPqm$*B5~%G2=yK(y&R1*J(RrLb`h5bso0 z3ikri7wNUeqm;I}j*kNS0m-NCYkW%6l>QJPoZ|831U3O{W`WXD&@Is*5FN4yM5l(2 zLkVcy(KwTW?xp8C{!;?8(?o~hoeA`1AT2+86#arUO?0Rq7~=Sm%x3#Vwx7;*uHeVh zfZ9I=(UT!#&eQu65FN@O@`XpqqEEcfmbX}%QW{b?rK=*X5vFe9_ z-UL1f==yH~9p!6?799mY2Yt>gb5P+CeydQ^E?NNG#y65oFtP@a@u8h^wGfzDIVwfK@f&OT4&N_yGn(851@NW`&B@8%j*H%m#rpVDor+8 z+Sim%={EvdnpCzx{Z&WZ(^2>Z;*CJ(vK@6)IUh?v=l>PZQFiqo13LaS@N0n1(==VD zAel=+GMIwO7Nm4Y-RU~z>1;YwKb4(AN|$uTVL->d3H%B0fdtYtsk1jFARfFA&@lzw z(z$35q;{I#&d!Cu1n^wQFRjN{7OK_?*=3*0@0zP`m&DF z6H3!j*8-g@Ed`~eGG=au1T}x-ljuz|!NwVle(LRy|^N+C_tQRN79E}B%2zW^jxDd>7OJT?nX0@NEU3?_Z>FH~=7Mhk>U-IHvLys_r78XK1a!U>$l|+r zevtzgIdG8!i{*gypY-XB{K1@|`59R<7d@GCoj&yI#=6U-ZpoZ4@$}LC?Wvh1K6!5T z)(_t}E3rE7{KBk^=U%qHF+;bXn_(#_cfI72rDR_)@42;HF#EzcSD!G4_^`M>+~f1x zZA2yDcgW&S3e`MkHH)O~&LD4FMu4iGp3}pnW+x9fyYskGTh>{gb>Cfi^GN!y&8(hvPtdv8TJuyso zHt1Im2VFyOp){O_Vck9a{_7v;{_4Q$OULTaWnCm}sf@hHvHyr1iNfrG#hx_Hi^ZK?xK>tS=Q#Rt#Qv=@&m13eD5O2S!9;g?q{neg13MCvPD+m{Mjyag8`4VieOlh z3o8)|xv?ureCT5@1{uO=83$R8Tq21pO>F)5uW8VDE>LegQ#x6Gpf+jSS8aaTI#0i^ zDsO$}Jwq?+DZk~JJv-mw9v`{IpX{}-`pl1gPrpmvPfgluqg@p}GxO*@S$pO^7w+TU zW6cSA%=a4X?FMjs;stK&dq#!nY=b(CtNj=?XHr>E8t7lu!XN+49 z{ljVzZCR@*fz@2=9S5z|GPPC|n ze*5RMeQE{gAZxwJEc9FflFXyeLHEvJU%~1B|AfW9#5q-H{g7F^dQSSX5|&rX*q1n` z!2f>BIZ4Y-SRP?>7pGx&wMI(oJ1IOhH#?V_y2?$tsut-|>oR|SYPo7MW<7pnRVPhX z&F;1yyH9a|`Hbb&GsnLpLfsdw?(fK0chBJECdzHX*fq~n;JfdW1cV=`xt|=l%l~k% z{g!7&ymz=K@Aw0v+~g(X{e&^E*Udh9aqRm7eca27_8C#`_~Pj97q6PKT=gl-RSR|} z6NB@Q8B4jdim?Oh;_|koaNguyWg}+E<dmPYtLuEU#P20 zOr+?d**$MBnDfR(v)k+*P8Xf`-1m`=i}7x)$zL7l*>%Nb7pAtn81G(k3tzMqmddgV znPV46%B8jDh1`~_rearpe)7VlY}M@Ui(4w?U#(h0e<%6yD-1u+`S^1ilkU2>rE+B( zIxlXiT-va^K<*29L|%aGrQKTX*wxZXUm*8I!WLl8oVPA=Y)-Z;ka&?}3ovKSTmSJn zrYWe_`;YVcZ4~M@o3(dcabYf3>3M8flg4w(-vvLch#k(#tR&l{Z5WK3Y%%aZQkGq%G&z zx%eaa->U@QF_m1c$kcb%4ye_UvYB0cWjGPoRhzT0dLI46r7I&R^YjHxS+Hs$){+%xO2TEU`NA$%`)!T*a3MX7u>VU;l00@;@(I z?P)>jpq0b9T5LJyvWY@K%&vp0HYTjPeqA}Q5)9|Etg3bP)y`$fN$YJdos%+ybIv;p zB)0Z`ajv_|X;KEb|2&(rWmPaHsI~uJ=b~(RX{%`bRdYXka0U^kj{2$1NM7Vc>(5Tk z$Z3%9vpmkV{?o(^Y%980MW$W2eN)Q@B%vJT~_uvng9v3#X`CAAV(ey7FVJRpzq7w{Mv%bqVjyZvExoi@uCm zT! zU!D?AC^-E}<^yBB8ivcJs+41+4&O-&a;=fCTai`m3cI_k(5gDgu%k&cbR}#AwRLrc;!`B7`6B&++$;xQ)eEob{WE|4ys$1ol*C&{&y`v zs%Xl9P?}5UvA=vCSPeoYw5trRC@CoO+`Ol8&ADy2M*lI+l~7YlpWy^%EETS6rhP5J zCj{6h<_iAKhtHN`&4MGkthM;pr2(_ zlPB#`4rDf8@cW(Hpsd{_F$p19yNxt0o zYsV+_w8%2-G?mXZkwLwfA0wBJ)jINfN$yN{SJ(p)lPykZq-C26WI|@7b}NC z72~t4TRwJ~6^1bbC6Cc`!*V%{_#ocXX@-h)ITx=UKI=Q*=0u5pQ9{u$5tZrD+ z?!Q^QRD1e{;lctx0a$H|ubT3A@Aq6*>S7=T8C^wv114>6hK68Cyog^c&{0ynyWZBJ z4ihH&M)kJhKj{r4K8wXlK0b$PYt(x0Q-+?n3yZf2WKPqh1&^RghJ+6uH8nOh)gzhO zL+%plZpyQ*qMFRMu6b#mzA@4j5D73<@T@n_JB%?Vij1nS1rH>FTx*+w` zu^d{f^@oq#+RP9VZs>%reWFeODZG0)972TJA39oe88JDnH~-qLwSVE$Kvz3^YCp?y z{hiL|u6>~P*2X^b;=~<|edf)Hy{B&0s}pyGzpd{CaWL;rJpRmkc|`9{)bVO(fBZ7V z_0Krw3qs$9NQQ3EXOEud8$xkyhHf|;{dLJ`7KwdZU^!nZAY$lB{{CdsQXic^KhAO! zxr^zTh(CP{4k z(>_#t+Im$t&jU6pSrFvzd)bTA@qhLZxIld&M-;WkZgU!sh*Ui2F!jwmpW$cbR1{=@ zCk@|>7PjI7NTCZq`$sQ`i3DZ)`53)nMFvUc6nw@2QW7{-V>}U=s`8ycd+)3DrW-J5 z_@Fg9ImRs&p?kW;(OC_r%js$bInF7CKLe%^+dAf){!+Z=)6hDNLQh8g%%WMz)1d4k zH3b<3-Ch6XKXI7Gl+u|^N*|c_m|!izg4Rp^A;~5ZyE{`7ws2XC?__BpCCx!yp-4m} zBBXZW57~ULzUUR#Eiv}f%h>9iWq6W#8XTbjw<(o#EGD1{G7iK7%H5G zW{?7{2aIJ2<3q7eNimv5utCjZSZM0c5XhfN+x8F3dbbnL#zYCPFqa^aZq)kHAeV_F zd;UtAhe2d&nnjr2uwL<_FHD*_n$<~6mh=D6xlPL?@?%{SsV8FjXSpWS#^*^Hy8vEl zI#Y;RgI}h-O^YyAgtF6<_LrDS0?yU<)A&_u`Lsp{NsLIa9sT1({)*jt_a{oAgfvA( z3~Cw5h8;2C)7WO5ffcm|Vv`!Tkw}*ptu|}@#ZVv;HSFlmoSVEF^^!kxJ^N=S1HhQW zu;)+tS`SRLeU<9Xqh8NGoE5+AtnejKiLX2s^}d(*v%WGqr%N)xhe_>XZlhyIC~Tvw z3tsPpYuUYC=an^#)Fb!gw))tRiPv(?l^w=w!EsToWSep_GVN>3E1Tm5YLmDUa4)s~ zO>ZI26dKcU>>~)d`XQq^rYI$3v743=E6#=SLQ!Fn*#Dt1^@Y{Gb z@B%7fNLGKF=Q2MuC{9Hn{{p8ga}JA46<~=RoX6k(BIDp3bD%r%VvL7#>V$D|6Ay<1 zXMUqp4FosZe$RMeYZnC-cZ71C0^zPs3>ZIZ!-rUkeT)Z6PtZJ2wCi0j#2R$EjyW{6 zFmyYIhG1CuSxIW_>lAQ%a75_&%W*{Tb&#z4_jSF876_<+LOhL;x=kv4g|5!&Uw(e? z=U($b?aBIXe$oC zCsB2LvVJD^aCX*Xm*?9M2`-Lt+=gz5Ys2-n!!L{%ATOXCGD4f4#$HW)WgMJD^U{(J zeQa4HcZzw&k@<{3dQ!t!JM!3&4n=oQZ6n6ZLIdC zo>OM(lxx+$_1~UAEvur!q!vN>B=x2s8L*_y1ya=pQH)mYQpzdhPYCCL)B3LI_g@sb{3{D{D*bZnpTQNS{{I&S;I+8~W3R zXy-ZqNv!{-iAtWJ#;!ychlTGS?Sa~i0oJ(Iiw`bd&I7dy?BLPuw`Y= z7E!?#H)Mah#?YT)h2soUei7)6lLB8tVSv}h2SVgzK7(AwGHFjYUr^GNT;eaxOXrLm zJT8vUz^sZ0wW!v-P|y8uR0MKqhz$!Yqmf~O5f9N@#QYY`q}}!VFHR+821yHDh%AYR zM9vIPFc`BPgaXI9f7gqR53)oah$=A2<3qFRCvGjMG+aogT(-T6VQ6GHnR4M)W&(v~ zgs8UD(KhA-P^A6|g&dD)!tp{pu@cDVsD@Kw$A*ZE$RM>T9(SxF^C6Xgswxa{Ai-Ph zQgM$d;y?$B&R<;Mn$iLsALDG=PIbZsncSA(ctoS?7Lv2=BbUwcMq;nX3?}ETm2sf5 zSydU@=$QIH|EnkWKkuS5GVNO}@lrsG0JSU9_sU{+PRPRVP%?f;&g`+V06V7vXn986L-JwIg7 zWKQ)Qjhdu9{$Eyg??NR#t z=ETgb6D};ol5jG~cl}vBsoE}Y@h7G|WQ1iNdGvp#Ycn&6%RF7V#AFJ-@b?0lxw8z# z3!M@(lmAe1i5f~cOo-FL%0_OI5YS_<>|6-LT=&2uL5pRN)=eD5IO{b(@uK)-DReSo zV^SzyXs8sWfy{!mlwF(T&@LHDik5=IK-`(*tJVV=Yva*z&Vgc{qJ*Q=mScmt}*ct@{A{N?!$8r>&D`V8)aicC~BIgq0_$ocZ{ibE-s~< zmj$M#LZ#?}aJJrgqinEnZhVaHbKZzuMRRKwyINnkA$|gXX3j?!lPKEs-|Fpp`b)$I z#U{e4GhFh8@B}>DE?pM_5|Bn#84rJS=@CNun`Bx@4L(kFUNR={%X5$a>GPAOoW&0E z%mXFJibci{)}~kKR_l&`GmkAA8@b!a^rRs&PAoxV>&L-&Ug6M#ZD`YH2vTy2lUl#x zU>p|J6vbje%ErNcCx1d}V7M0}ZvDR#+%OG|p`V%YSQx#K#!ZuuXUsooAO#>C>zaL< zK?)6$r7&~4RMVMbak8!InbE{CicwByThv)e>N*AZ8l3rozbKg%IfM|1IL)mN(g)f{ zLJ|b@cs`cF5XdnU1Sm;(3qz>CWB1(qId*nN5USZ<{SK%h()&-{Ydk>3ZT&Vh8v<>gvUpI3lDuuvtPQsomJcW{K#52qlpUh#oM+eXODqT_Q$If4}GVr&Ml&eg~u-uBON?U$e}rZK60 zX8ngwOevIeUoDO(EJ3RwStfF5NYokfpk|60YUuoz*GWf!ekG>2xXYpx6EbwN(MQXA z=pCS=YU-BZ(E7 z^$|;513{mG)%uV0CKQ2{R5TzA>Uq@d{I(Akex7yJnKcx@d*kxgP|Oi5{N}$dxo5)d zfX1T{rNrZZoF>5joBy>ly;%8C%d`nx^UtikQ@lC@?L|(RwB$61m9{z!l7}Le>W*jp zoRaI-e7CUsdX$K|x+G|^V1@$oQ-TR-6vGE~MkjKZhUgGBs5JyB-fi41BvjFJ$LiaC=_L)Bek@%Y!UuTrsF;AiAv|n*`NjR~gS+ zs^OdNwm$uT&6{b-H&CMWc^u>=cs z2o2JnU4MdRUlmzV+==1NZhi20py)%C{;h;aJzLoJIwClsWiunIotrDz)2Y=6=u8;L zfHY$p=o_xUt8jDoJGlsJ&wlhJnxZkL(F(*NHlVT3+01wLws^E6=&@XenCBcByZk-< zwH!;MNy@GzTn6UEAt~m1TJO9Y-WWAI&)B;d^og5fDsA2M6uTDU3eVKUi^0^+V-{TK zs`cping!6y7yJaLSy&)0w!ZL^s#(>{R?3xl2wA(DGFVU`SC8ceT3B%J8Vs7y3Tj>5 z!^5EsKjiw(xl|z&)o56lAUkS(D!;}RVa^$gSQD2BGr()Jsw zXWstNi@P{8n^Nc5#R(5r1u`#kTsgk>vgT!>N=Mp&E+Y4_d-p3>VH#G$k1)1=>I?Hx zwFs4AXiA^wqV?uytx~@3HGQkUtCUaw`DvCpj^>RsTeP`PXEm#K>lSOs>W(@~owX`& zN9^t#suhN6!-ZN;cjs&0^<$S;{lbo+tA?#B+#&Cgd!nA-@;bLUVLsaMu4wq6zu}T& zQP{F;Tc1z9Cx%YdI}a4Rb+0{fPxZJr)QSpY`2$0nmy)m3*?P!%%zoqnJ4NUe%JU(- z-+tsnR%D-6xwrgYN3~ga<*yBGtvwj-UU#DY+U6Boj(e}|d~f0P`RJ-GuWR=BR}D9E zTOI$T{m4D#YS)@4H=nAn(+WL%nqa?rXlTByIe4%$I#lcVo}F8$+jQ12AsVdyfV=fn zeSO|!ZEim9u6yl=+-=Tr-`eo1sAuf%q4C1LsQXCqk&)0pUAU>a_0Z1s4?aEP4R&+1 z+TxSG!=@k?&zO00UgX%kteNM=YB_^KfAPKg^DudVdOdKJ(}=$q-%ijLOl@*lag0z4VK)!a|+%3QAS zbSX?bUs1-9TX7{dA8fdG&0dDY7~0L1CM@~*_;=yrub8%^*lYexOP0eW6EW8V)!lC= zyrl^TVEOvPO#3t4Wwk!@!&G$GiNoN_N2o2IrX70jdNrCYj0}M*;2`S};`%?nl{p}0 zYjm~f6+-c&*RJwvAX0V{t}Unz2PIh~<> zR`qiwSDRzn^=#iNotfB`>{3keaZi)1>A>C2I_F6;@TK=;-8{QNZ_?x>L8x=7L-=_f zmd-(7DaW|~`^H658M78sQ$hyh@uUTtWQf!A1_BKs>2<63x0IOjP3J%@KAiiTD)Rsg zs$mQne8*O{$t}fbjv2Fc=9>4EiX^wFor9mDZch_wS^u&nd0@E*Q_uKe?KG?}7tgHc zOdxGmon~cO%H>06wESju;5nGKnblI~t#`uoxO-)r^R9oJZ_0RTS}4i`Y!8eOLb0DHzVZ=agC(d+(PU`+Ak1|=nzoLSbOgg{s_E<}1t za(a3$R`Yb4+%7;Tt)KceX`NL?TFrgts9@|8sWWR5@NYdw46?;!wOPLy$@}$QbQDR?515P{RnJ@pmb=*(~PL&nvWliD|!_BQMVKrM!@ax$VVk*X+ z6k^i2vSC_$^O)ITYM!^Ocf7Eh_(hv$EwW~LrMAP2=DM9GyEIv2$(v#co@u}43;-jb zjVNS@39=5vFS&`cwniRMj?jFHQ*X*9nz`k47cQtdPJD`6I?&zJ%NfUT_NYyiR z*lZ(=DF-R}Vm48%%DCdcIhs5&yM3vnXbkkOPXMr3f1Dwo8MXI4UCOXXpMzv=A6c}Iol(}PF50!AE-85ngSj}}Bq_hG= zVj5B$N@-KU`J+D^&-x_m?c)vxfd-5y1<6I6l>MD`CzcAwdc53OGPX>Dv;9aZ7n;rd zrbbzU+Bv3Agj>u&GWk7LXzl*e^i7?yzMWs%DUnLrBE!6ydDE*TLrnJ@u1J&3QH@#3 zjj@rKt-nfP{}~zMw+_t6nYkc?$s1$jM(NCt{6u^|Zehu+NVdea^0baSbqZ=N~gIC0ggz552xt@QVA;YGkU^4k);h&?5a}|=Lwbp%- zzRphVs>A$3ykncFes@=ISNdL&Q8#oG(1{p47ns)K28hk_$$>>=c;cO|&aUs@bKX7D---$Uz$|j0B0H z_kBqtX$masCdN5!B6%k#DbnaksLAR_2=7mEP6-ynBqmxX|p4Mo_ z%>m6wm#PC@dkv35zV2of53;-B3`0ECNqxB)C1rdQlO7j9X+Z0*-#VQ)@$MqAKe90> z>|V-?UrsrlYx*qVQ(UTP96bqRQ@HomQbd{NOIM0{K?%Cx(P|J8!U%g;IZx&bQ zrdeMmyXl6b{c$`iwxi(XGC{&-Ijy4~O`kUj=|$#Poi#$5TZnfX^k7NY=*0i<@&IWt zW{9yw(5B5?sn8W_3^1D-G4eGqB}0xfq&nLj`}jZ^%9W3s~M0#jPR$jt3h z&Qr;TV#ALftMyqHwL~L5o$+@Dx`+K512)qr4eY@Bjgb}Hjq?(bV0HUD8s=#)$U8n8 z=R>Mrg7^G(1YzC@Ivl&=nGb~qbytldlAI8B&>E#$6GP$AHJ$R6AbN|T!;wpcq!^An zmPbDoc|krbF?5ykP@eZndAqwafNOBwlxI1R1!p}y=mt-t+< z{Jk23<*?I<_sFn&%)!UP)QNn%w-eskVXvQOi;Qpt6h&F!vMtY{NNaPRB1rcgkGjG@ zzvy|cOk>iZ(J#^XyqmfUc8oJDNB*dr+y5~VH@-ZIwhvppQ$fu}>)aZOqo{HA^F@~T z`nw&+uXbIFOIvqATng*CaNI4qVZjf@QtDpezcR_5vHj9<*BW9KK5i82VF)p~ zy)LLCy(a=%yj<&Dr!31urKVoJUY=Fu+ot%GkmA=OwVXs@M@Xge7KA&zTESKeiNB6T znMQ)I$XkxbD{^c{;{cwbmq(b@s+R9Lt%0WyC+verh9pmz7xF~Gx^&tX0#xH~m zL5}C7(@XhSQcWv|Vq}oFt&Ke7V{&-`W(F)iCZFTkp99TSJ;a(FrfZqDOk3)x9_Y@yEiK`v2Cx2~~FC)xtx5lw`gI9&`$qBPtnCQyV{ z^msg(C(-f(FAqX5sB`J5`TZc|yzU`2R)zmYJNPio_dU;YMyZGu-5R0+9{*o2MnIrL z)lg}YW~Ve^BWMmyoeu^Ggm(p@TcP2t!+kV9!#d7r1%^xMeRd zV~Pu~v^}?>cc}5O&wu!%kcBn&Fbv7jcRD=ZE7irUAP*xz6+k`NJ!;J_3^Rs29Q2*g zcbw7s-Sl8dA1`LR;fV5f_w?wcBs#Ss`fda%f!*cP=pi^ua(WR;(Fc>fAmqfRELBd= zkcAWjPMJ4fLTEjwE~M?QR_kqJprs)q308!i9ha04%41wp2Sc{+1z6kQqZSU0OC8F5 zmXY$PIDgO)ux-$i5^4~F334IZ`EJp>+d`(SI}H&btO=7ZMf%ZLp6VjTektrgQuyZ? zj#vn2Ot-2?MT`J|9mbJP^F%fs5sXmO`t%#=X|V@u$cH|Fe4(?Rj(NZztJ9s7o%oNJ z3m_3!O`PKB^(%K^jQhN4cu$|uC`lpl-mlVxTT%vvi0qz6cgi}gnyP3P9;VrhYIwC{ z^h15{mF@-`yqw36S{^1r2t4PU6KQM;pL_O~ODr6Lt2WiSdEn zP^Rk0hTA}V>wO+9@6S0!FRV|wj^7_nGVF*qYN-jAD%nwgZm(@aulq1c7(Eh>?FggR zyEnlw1a{=jTVzO^KkfxP`0wU?9z^#V;fm8khduOF z?XZVCGY(5ilWPj(E#}Aj)(v@Az7y9*xjx!g%z1k^InnqgR;AT*Bh; z(&WYZlb&&%tGRnU@!yI*_2w<~EaP(y)BA$~JWwI_to!}RLU2wn(VW8z6`!(XVr-Cfsr z(w|~)yNWYQbjGH?T4QS4C=8y|NET7|@iH~R&F#jr=EkNE-tjf+PNZ9(_>?k7pTg?q$heP+ z(@o+WXap4GhE7yzek-;W?H3ffTA%*lxuJpITb+-VE52~guER4z12eyTo-S&fjxW42 z{#G~>r|akX^7)2%q34Ww(iF+`Wb1j5_$iXTtQ!4=Z+xEdhtFqx>#_v?W8r4Xhk9A%Wv*dXK#>uza|veLB2{O6$s8DhiZ z5QQzQnK5#o2 zva!ub#*Qx1A26eCnlg)!}BoTB%^R zs%W|`7$M)=f@7r&fKv`ZlOMwT1+R#tWK@Q$`Aq(>8wqq!BNU2Nu-@M(`|o=EPMh`i z)h_4O&=|#-xiZA_QCOo*r1i$#Q7`8k4=fZ8FYM@Tx_J+Gg4PY-AGD8C6!r+EDk>j( zr|ZY@vixWi4-Ae%Y@^X(-}M`L`;)mX_zc_85=>e$h->}U zz>n;pL;i#uQgB4*IJjQz@?o%IHMUh@Y{xN`DiVZ>x?8lgU&hA{?)IO(r}Mze?OGUa zk0?{GD73<{T=kGcv>_;*;IdZY)l&{30xMzyK0EgCM0%1nwB^J6)?-0izwel*NArf^E^{?K)gI6LcpBkwrW zt+xx4H5`zUNECnu@ce$9RphDB=XD@Ewr_Pm=wLke4H?sw?oiBMcjxn<8aau-i|8>w zqE-Z8ErX*?qu`b}!4vgdQ1emp6~v`PcKL(q&Txc*y3PO=^fAV{Sp7w>k4t(6S!MYL z3>9h)ul3Oe4TtR@QM6SM@~g=e4L1ZeEI&NpV|>6-8ZFNN6PTYcf55M)QN>@1ChUR+ z*WkJluh-{s%wa7g&?(%bU7tSkyi&eK5!EK)3-wKTs{|mzVja@F5Y|#SR-6JMJQl+C zQr!)4Sg5#3Qe%TLz(_Kl7rDe2*iy1x4RWP?>mP4WG*a~2ksL;j{DzUES4{=^(R_Ea z-VvhG8gzGDc-q_dE3^@UVi5-Ns8opK!3F3%pk0c^P~}C${~EFfBGxld(60u z3qhfgMoyR~L8Lzr5#^+aID|n5F`1kdxOJF>^n-bn((!~SPb{UCOgR=O#4}20E^ZT{QjSK0Z2{NXn8s@#f&QQRWLJyeaHpP*WHCb7nNM>G)8tc2^FJBu!Gg} z;GF>WQ7_N1l76d!8nSP#^F-@s2TU$C4WfwV(YtVUyX3h;yxqqS(cUyLVu~iEvb2)N zKk|%*c{>Uy7=le9el4zu!IQ=By&y$k_O)B|-#c(~OFN8j#5NPagePvs4u;;Vx09}{C zpx3WC!xbmueS|pj4SK+6p&1@@8VxLkS4E7M>B_B#i1t<)qn_skaZeZzx6z0qlZo$cm2^%5{Voo_F<1c$Th&O=9nhq;9qn_j?<|`6|GfiN@y5e@SSmocsZuvRSJ+?3nT6flKN$@L!T>fc!p$2YyEGJ@I2 zm)8qjgKO~NH;oqj$$`;A7om?v0aGdzpOcF)7|eZ)?!Ucx{f7!-D#tx$-21&cUO9n>ZQCy0R@*UryTj-;a`P6wQ*+Gl4IUCi zDl(9L{MIc^J+?mREe(iBKHthsEB=8rzJC$dFNDaAh#9 zP_UtAoFT5E(9L+6<^s)byjN!EBUXb9HzCWjdc5m;E=P|tdZMhwI8OJe=B6L<< z>>VI%L?EEWWRX}n;!l>rO zaF#g$nijR|gb3>1LaELj;wwR!p=vbGXBjMKFO?|4ZfRTOTowxC5_{>B(^bliG`$Y7 z2oJrH^Hr6~o^afI_LG;(LZm^o;)9QlN}4!>Fisj0RYE3~jeI+NEwmDj_}&oRV2vR( z8fi`v+c{0|wZ;g`?5vM4m50@1q8^0f^j%lPnCJ}uX)WS@q;MfIQpgSL<9!S&5MX`x z8OT@Aobzgk%n>d@K#|ttEFZxrA#$XuT2^(!#oUO(D|c2DF&o$)62Z_Coygi#4}&{= zho-iN!?TV&1cDUA+jxB_k*UdoS&o6}FuuvIP7KiD+#8!4K1{rFys)*azXL0ger?_U z$`@2=qc$zKhT(=zEZxTxcZWNSr^sCoZYLU_J5lLjXJ15YE<&PF##Y1bDkIAJd;yst z0jqPXj~(Tgd$IX&oh;(p3Y3)XaKk#RH=g%=0dZJ$(>bvl05k#6+BO=D z=03~0ndruv>tzlda~-?Q+D0d=tYyy6$2*Ad=??n6hh15Fk%I>wRidzjN!#uC`So3; z2`Gm^@M_8%>m-UZQ$qAQ8pY2@?3{s4&h@Rd~p2o@ZjNCe{%HyoE z#PXKoF83)%b3Ib+BrmZ`9PYiND{yDe*r zY6qixC6=^NskRPGkW0fx+_XHyBI?II63Jp!*KtA#{8(PaGwC#@nha)@JdIW)K5D;^ zlMtry2m(PV@;60zF5E(JjZ>y9Lst$y!f!Zlg=%(N6l)|*AOI(FplGTWV0G{$PtzFR z;?*a&)_79GorqLuD9G3FE5&~;1TwNFQG|R70aOS4U-E~@Gd_^+QX$n892K4^$RDQ- zT5ErXiEPt7^yC&JaOSMG#p2HZ`2#uS88D1D#nB7~yBR4}{gT2+*VJCbqJ_?ydBo|C zYLXxd-(uOvmBk1wKb(|0te`-P;!R5%!4BF>aZ=CBr5j!v{C92h%Q4&JBKF@lNi{hP1HR>F2wC`r6Br zET$)AvO}?YQwB`kL{~&$~Mn_j`$0S@ST1 z{EZZ1nuYXXhx|&Xy)x-SLs-)5MB1m5AAb@9tGg4onfo^t`n_D$+j4ZMlPs+Wq{JVf zKECPk^t|^R_N=bEa*I_e&wMZ{crQW!?r_ar-{0J|4O^?b3uCZ!<`q9F4#c9YGm9#! zeYapSYN{2k8D1%s28Cm^To#lxZp4P*x^C!a+tn`!_k>o>On-Lob|*Y*W6!$Rs#e{v zir!0>ZrSLXAPwW&rP@wt_bhK?BvY1G*vxj z7-^iH13loao-E)XS&w-Sz-DXfGZG$i*UZ?q9~GC5#Y_}pxeaqaDi%Ka=!;qT7sb3 zLlPr|dJ$1I7#KrfYa2?M>Zi#>mzi;5F%o$_jfw`Y`E9hDRZG!NPwb(26P2IjobRAc z2Cow69)9NC<=fq}J8M^XLvP>mw%6XV<#XP;&et`g&3BHSh&FfqQCN7;A$Ipfp?*hU zqR+7lR}OveyOIa~e#?pXy!PxP(N#l3w@1U3=rhf&m57ya;obr(^47ZkOACW_w{XO} zXY)(FYkKc-y>&kj{mgCawrxE%qma>s3VCwDLiVS0-S6EqwEpPM$Q#lFx4aAfA`VP? zMf3+O0nNQw)*6Y=diq+eTG7%6dEoXl`E{>7xbrdZRa-W6 z)~?!eEc)b$sQbj2L)0$9JULJ(JB9V(=9BKuUO&nC$cE0%r-rtkn3dJPqOVcdVOM&- z%X@n`w6kZ!rB@AY{`H}a(N&K`TPtH*yTZ>lk2?)Q6Jz1s14~AG%-cy1Ns!+4`*1@O zjydT915p@OSp$koYU3M(rCfQuuQcHicYtRCbk$g{(*I=r?h31GSkqFUB;e3LNi>ro z0l&7pYb<;MnGFf01{18w#X!SPNS_BqaCXb4z$G?|8o}~QMni%h5$jK!XbdZUlrX&p zZKAk=DVSJ4Mr*`kwTCNyrN8b?5mWQ}3HDMlT24fd6`qoVLHJ_AUH>3)T-HM=XhpQO z-J^J4%}+f)kO>3@rZy1794Q*$G&^zj7LE}CbLAsAWlVqbAi9Z3ys*f0(Lty9*19*? zm@IqDIH}eIogol2jR}gij#CUzHV;;UBaT~lC+wgv;hH$dhP(H4vaCY^9D+eX%yJQ~ zDKkxGz(?})f7~OtmJ}0QJssxEKC9xBEZZqN#VKl?FS>BO&RhhAZ*Ma5NtLK}fr)vi z*kSj369uZAsr9bEzJ!*8_aUtvkFod-RE$EHsvZ3}tMpvY1hi;SjBL?0!ha?l!s-cs zfBg=hc!XCjbr4}Ng=u=%+7biU(lHF0B;PLA!-U;NgMO?0d3)#AkjN)TRg+EDVfW+86X# zld0^HVG0|mFefoMv4)sek}pqLWQ5@87#ki%h0}PrGTLY`S;2$_^-AgB_}<3}-4;W- zh#O86SUjgcc?$q7+3oQ3-U3r5Q8+s3UG49MB9}!+TJdG-4SlA0BF1HSv(F*Ywm&@R z_n%eIsONF|N{=PH-`LpK+K0xUo4JGmRjzIOkg>IiXa8mE<=!LpEm*AGXVc0sANEzR;%( zk~?O3qmhc!`=Ikgc!XGN|J0^Iemhv%g*2)e+FaZJ-7kP31%$C6+N7D=v=3t93?HIh z!|hr&wjZV74ocMay(j(S7qr3g5>ac>SDg;uJG9{88g=`WeUV6|$GLVi<{iYE;*7}-u zR814`@A`?$@VF&qM$|ZAnM2(BneZ|_T)l_Vi%*7UeP2>dW43#k5!{HFa1;d!QADG6 z`wbQlPuYWRJ(eA{Cn^ZmlFKIe9*w(u-La$2#6YEgs;?{-tG2XgN>*?-e71!2=MYrG zD$}HiQUVclg$Ed^|F%o;F=C-Xrvd4Cn3VQ(Yf7W25@_`(J>xVi25=@gm%1* zzGv@q_Jm_DV&X9C$8csaNW-DyScsuHMZbgDMy6wD_s?~dn5spbx8k9hgWWz!-@Y_b{lKhWG?IvqEO58{$xRoP#@(Y)y zK`UJg(0p{{o0}*sDAoER1`H6A6!Kqj?$91%{?i}h^$LShE5>S6WAl%(<4)(0Lr#q} zM6I)M9A$5|%~N#35ovN(kuXv+^)X6xq9iSq*C3_cu;BMDKEn5^`1+nbVjJp@uY8X^w?VNkpUdhZ)ltsQU8s5MKkEr`E(8V3Re= zu@Cz6xKXKs31$+e^N19bUH5IUqiZz$A`7ENC;J%py}VSc(Jn?bM3eur4>0UYExB4D zUStR?CDc&XF(=&Ugd}Yq;e`HRVJhT{ICRM3Vk< z8*1PQ465T5IK7ua7X-}GO}Zc{#jMic%yPC|gGbjo`zogy!~2x2Z=4kZ`8XTIYmIw| zX6?Pxd4{bv$17p~kqQd9-0!&)F4V`GC2m50*Qa01#szFZ)}cvnq!tslF>S*l@G*&> zhmnbyDCK16F|7v|WFrjw$DMu7W6hJz@U8W|<gFOs+@2hAO)H>^=G!Fj$cU`7xm_NfC#5*-! zGRwu2d?F(D(&?NaD{k#QN*4sz)Kabt-~5^t&5v0Q{8q51c^8-3QI&`6~| z*a@_$X?@>=DPi%E8k=E@Xp(cP`7ri$8S*6ti8z>YJdJGxThPo*Oc%&m-&x0ql$g%S z7m{xT&*6l8%wB9M(Lq@#@HRYp(^| z5-?qw1s_dCAA1-T1M~Z0moKJQvVs3`bMqK#o8g$=?}w%ZrOq;AzIFROCKL>TiD4vm z(93ZIpr{H@Tomne*-U>{9$)IZ8* zk$yB92873=XZS7qNdFN*7AEZp)hvb~FbvKa?xV43I(ohwQyMz5n5!EM8ICf%uv+(h zKXzE$osAYo?qU@q3XC389ma^yhA^6N*E3s>}|&dY_AI`(_X@QVoug2icxQ?86@Gdmp3Igln^q!6E#S zw5X9A|C&c$6uTE9-mph3N)1am5IS1Rc5`!#oh*$B#HQb4%we$z5I07|AQrLd`yi^d z@D%I0Xi;Y4RE%sfkpdG>HKoH*B{dstfJcQ>G#j>z-QU1wYb5m-RhX@mdQ2^{2Tsug_J{%g_`o=;{-6t1;Tng8 zSR6+ATSL}we-S-U*=ra3*a3iXAssMn00w6t_!PFCnm&|#>wiiU);qmtc=#~uaH1lM zxkewxh^!EP=o1n|048*+>&Q@z(Ds-fzSa%}VTimcAf;YT4>L^`?l*(faewfr|Gr9b za$vmAt7v%1XJyIXb<5?9EfOKDMU9`SZ5q3EsFX%-FK>i7g|)M%j;&W=OE9jO=}7c8 zGq}=nqd7bpMiZPKR>gOe!oD1&D+8k4MMncMme*6^5$B8HQU6F~s&5cae*#u8NaeF; z&i%K%fN4d75&UB9v?bd_R6u5*#&V^pH?!e}yfg38iUqeaD& z&AxZ~hc}g3_~Q73$TtRtVU?T?59V1NI*B<-^T{N{Oy66uvC~X= z;Ry^8_6rnU!iFxJP>d;JI8RPQ%4U7l*qWy>9zAv{7QH&=ufdkkgynPHo-PCmZsBIa z%+v;>mzx2btqwRB4r9llJJH8>+yWASaa=bnFyf>LfJ`Gg?4EGF5l zw=jrfPYr1#sTBfU!n$3gAVH#hGThj>A&b=CkMaupr-+q! zWt>DBTCF0MVPiW@)c9`rwIf_x*^lXl(De;YY(mN*YMNDq!SDEf!ol(o#V1%28*4%o zG0GnxMB8_l5=2~*M6DX|2v#8JvS;V{9l&q1X24!p(>iDSW3X*)4YbeVPx zyAKy&{hp9bxQD2~-42{86P;nboO)nJq5HS|%JZ<&5OC9mrI=kJ3ccCP*<&Xe?GO4a z$J|?BrE`Zu(ya0n24olq2?u#U>IW%q5KPk6mB}?4TNSO(tNlbddc(p$bV9rL&dMPl zS6Tc-pXX_2lwQ(A#E(DHi%8LTp#v$GyqkNBEqK5OoYC3|RUZ9$23NX)i!d>OVK^vW zn^^~nBOG(caqf2rRx*_NV?-MnUh5s&WutB-V+KVaX0mX!xFaOKHs$Ukf;&|nY%uV- z(ouOyUkt(7qobHFgpJVVbUwJ1c&->YVmL!XZTL<+*!}bytb=_%i@b?&_Kg?H#z8l2 zqdKr#f-sB5S!b;AWWCXtD6`;|u3p!ld~YrAacDn$T!jKgk;(~_l!(%Bl_BcmS7KeG z4cK8XwUEdYaROORQat;3~1!^4Q&scqOlonwdzGQBqOFyBKr!9&7?UuJuiKVvR-xBqWv+tV?5;i{w2a z0lWQS{e2adqLv{8i@Ba}H`>FWk%`8lHa0aI+tS>@urR~@G3S6Y;@rtHIDgz7oGPHNJoBx6Fesoa!ARrRC{Ego=?q=HhX?2*cygP{%#T%6!D$(? zT8&S@9!xr7L^Ko~FHrz=59Sgmj48+~-d>H9b~$i%=Py-VBLjf|Q2 zh(+f>W8K(1O>f=pv*4LIORZf7lW@gw|4_Vu+KjpK1mdyHqAKzY(Hyu$hbrM%qt39) zOp{c;7(*MtxKTy~6bwU5%|FRZ9*)3-E7jr2R-_oC7$KVBt>HpW?zBeS(FxBfVtp}} zA#^l9gvY=L@`PnV9!L)yPE1OC6Ga(uJQ_6jW4P8K@m87{fhZXpUoN2)72Sh0<~}+M z#n}5WHT*K$SEN`FQ57RY0&Rw)nZriHp0arz!=zdqqnkZ9jM{DPSl7J&a*-grn_f2U zTQevK1^0?f(AFxIt1IK1#@G0RA^vk7d6vy#Do=|k=DyZJ)1l9w2)(ItI5^=BB8jd> zKFNhfp{{OYzN4aQhYR~Edm4Mo&R~PdUfeibP?>?#&DMJL&%>@#4#F7ylhI6)OcBSO z-V^8zw)gC*k8P?{a5r!~kU)AqlzCYCGzcyw-fOXNxWJ-@{h@u>9%M+so}%rfBQ$^@ z)DXrb^ngRSyoMR?H||DVVjxWQIXF$GkEjAM1ZV%-p%Ubvs&g1I3~dQ%8r0=EGMj5= z*owwi4D@mj*yTwG#4U0$t%tbsnka;BAEqKKKu+-Tk*Lz7I3Ugwv%HykZDR)S2(jm7 ze}9~)0;7@MNrIV!j2hO)l=%<+^Yb;@LJ^9WR%>&OLXmLC$1h|{SK&_fYm82=fuypd zW;7wbm^77pI%M!*YPm3c0wJ~sJ1~|sW>E>LN<$jag@87o5uLpQ5wAoEG1I)h5a~0D zH(}QOXQ4vgfOy69c`}D(8b|XiC3m;u??wUojgO;^!dwNjgL>uVh#Pf-#N%C#NhKe| zVX2SG-VIPpC3=NKU2|`cWLzhfI)U!R4>`1G2VPvPl*x=eG(477Lz79)y4 z0-(<8G>MW|9D9UG^9Jv6@ymml?=r)Y07fliBq3EapQB--7#{a;_nY+)WsoPzV*)gy znMJfHU@X8Rj_lk)`@zc80MacZsYCOqa4`Y@(JNq(n#;Vc^q&!3H3jQg4-vsT*<>b*;olGUGW=-`138e5l#NILgP39 zQeW9A=Fwsq9{Df57tRD|K{O>XfmFPW^^TirVZ6a2b~(^(r&v?2SswAnG#G}oHAX`* z&@k=JUOG5a#<8OQc?nS5suH2#ApX*+rd}`j?9pUwMQPGpEC9hz96*ov zL6M31X!yg0K^aT+GEKCf{d^Tn->@%a6QI1W6mI`Nu|zaSq|j21!M@#QXx-sqhDEA3 zmh(q#y#b~Jd8N%^kc3rDijJr_ki^ix))~{rv5A3VI8`X4yXlIwuBjRg#@KeU$!^Bl zp~~1D{^0=}l7ardh9(JVvy>j|@xd30AQKFLoHWv9VO=5Bh%~LiP#TR9l8gfr1ZaFI z8ZU2Hq!0~J00|JCb*${$#Yu!e%s~+jLaa+^vWVArX|P4rU;CiH$scS?F}M{w==1q$ z{%rh-0QHFV0YyYk+VVrcfkAkOydfvp*cL?%w_*j>h0c#6 zwCc&G^bXzAQ)tf&X|axjUdq9TZnB$nN1aW$MJ#U`eX1`h1(A_`9@5!|pJFVgQ6Fpa zLV;2rDuz`tR4ReiEic8@CpE|^mXyZ*3@NZh_9qs`)&6+dslarF;3Y27lc*Cm-7>T? zJ{@#$Mn)T87-u7+6`0D#9aBqrq6VS5lg-*8r^tTRVn@&??=B-L{y+VUTrt=|KVqy; z>{gK>-m_9F*9*)4yqT{Mdtk!Ot|;~ZTPEpHutmC-c?BXk|Tw-P8!IQsvdFl2kJ93`7cCgS#P1J-D~HBCwN@c-Q%7y+8}-;X^P;%(=t zF3w?w7^}6#%w?MRtX3-Xc8*kNq-&@Z;jqwUGzyt<#kJDBU9lrJI}kr3oe}ebtUi#c zMZOd`M*ry@QjCtt5*mYxagCMmBMg2_q@mac%202kQ>D6vEQN=zzLWt)eujFKoA#)* zZcKo|X_|y!PyI;@984)=P8ekp$2?i=HAcrh)hysAeX-t`M~s;XE@!^>M*TF_ zLbDqZSMkEVn~3sEU|9_)!Y=nkc?|?-PyP@gNPK84NBTQMG+`2g$K)P$`zFe$t9aa!)XLdlu@BwKj>gHfwlaFupTcXO z>~rYueTadvmJ*U$kMEP_(egxXSD|&y4NQ1~Kz6hdCFl@Exzj&PjmqUxL;cKDs9Tbz z@%sN`?frw}IL|xJ?!nj|Te36LoFHw4D^K@~u`QTO6Ck6SMVuHtXjp&@StO-(n2l>g z(z=}D%a(q)D(n4GalbQ-aIhGLq@=BIFS!^$7#A;<#3rrHvMzTkf`StIqLgIWuIRFR zXGzZ9s_r&xi1B5W+sgVr-?wLg0WDYlxsgbMM5FuZ=Y4*DpXYtvP1yvu$0o`ZR*aIh zR*uV3s1Ysb+C~4QflJF&w|$HJu8{_=SIDoGOIn%B>50DZqqH_dEnJ3ClBT|MSJs-P z1e@1c)rJ(V(yy7v7dy7!>JH$I$zCvN7eE&-;#M%t)2O*OW`nt1f}D^4 zTn$=k80b_I+!r0k#$G^yXbX;yEG7y&beDkSxiS^1=~(Y2+iS31g82XqS5RZWxjN}h z^KzI&8DA93Feb|M)G~rVfCJs|SJbD{9BZRIyr6AU>GNp&<1fpXBFH`|*uY)b*XLn~ zGu!vLQQKo5ZiTea6{aNs+J;q_aN_sTNT?HQMgmQ#4f=4X;Cn2G5_Z?GQ!yR%y z|H*ea=ofz{q0bi!q(la1iBOVuT-bXG;h4RNVHxX4#O%+?Z6iHBz4)}!V_sZzIei~*fhlgmti#a~^B_q5SID#Jeg%~W zDH9+1*aBiE%SoKIX0=))9-^O&R;E_Cfa+K{#pr=Nt5#IYFMFvpAS0lhpJ$obrL_iW zU<1~3NF4Id_V0hIri4&5AqpkHHANJ=$Y zAh5vobEZJAe+OSSVMgFn9yv)-B;dpo%a{P{(wR03NH-=iNP$(Cwe5c%+s`%&8_g^K z%0)^}L1GCPSPrjuq4|?S$}2=ebk$*A^Af7P1n`nn5I=vxC)Q~~O2wa=nqnufeP?N< z-VEWEfn0XUKWJhi)W(H`bW^r%cF-p#z4|}hfe}(5?jw6K(OE(#? z_LU~kW!>T=ypok(QA`pRxm3omm&EnX>SRi&%RoAtm$OzDIzzxs908apFKRyp=*P>C znL5@D)g17~iT=}P@a=MFeB0Uq5!cr{Udy^g0yCc5c>+&}sy5Z%$u0WwmV(ehurkiz zR2wra%n8py8jB&~r7T6{W4}qMo8sh1YA^E zTIJ0oK?;ap>Bf(kgG~gTq7BYN!c&7XuE7o00;JTal@s8O+A&%`%}`&Bjo?o9CftVs zFY1cMLuMUymV$F6bvSP?C+?TrYYHc%;;#&u$ z5x$An!x?7FOboe*ek}t$5)K5sH5Oeye1{xvi$uzxHzRuX1kvte@0HEF2jtKMXZlTW zxO`lP#2M7I&mvp2z<|h;BC_*0n~sma*X9PC86Ws#%t_O$d=B(_>~v?mOP0JI)IdAM z9i&l#a$blXR;z~BN(DPf&@x5^mS0rU4BjbH;Y06D+v}3;C!(WTW@l_f z4MiK1msHU zVtoP*D#Ia-GFmgSh=9Pl79L~bdAih__q@{GcNxT<%CO=k)Re1s1n6HWVudUGpYl5| z!Bqo)Zf}LA@(=3noA8KALj!y)`(l%k%wE13LpTU(I`N6=J3vwqO@k;UM5IUh=cx+ zV8JBL)q!U6+125GA_Z3b&sFU0{Ty{aC2Q=bnEJs@MJvCr_?6`s!ocrhS%2xc7m+o| zf~}L_IAB!|#E<^aJlMCY#8qmBqT)-{bP11kCA#tbtwnb2XrCUF302zKTd%K7{ovN3 z&sXmN+z_vFx(10E9I`0ND@1I%9qyA_Nt@Yfw>KBDI>KZ!Lya6OQ5wH|dv6gr9wte| zWW;{9nD7<QisiU}WQd zuBPW;l&q2Hxp_}?wOOyX1IoX3ixeTnvQG*kB9d5Kpn{|68fB@1RWA*S{!Jj!L48$+vtuIOm+iP zj$o2tub>lPt0YO(siTEQm!%Jqex z^-Y3Z!6l-IG%hV8RA;DhU-j9xwO*NEIt!o^p@6gyf-s)f7&oP4!DI01a)Ew%$}Y4J zTH`6>f@M%=6s$xZ?rL^Apwni3UlTioF>e>-81m0{_hU7AfATfKe1nE3>%FP(kQ+&6 z&aqGONi}%G7~&4uPKl)zC#L-J^LAygN4>%dySmiUJVl!TA08zBaX+8V{*}tMPbG44 z8hbtoMjg_vWZ7+*J)r5_|G}w@im#PK_A@0L)yjjDLV3&NhDg!XCO258&Ou>{NL1bx z(M9P}#71g*o)%0J1Y%}ZUe| ziv=u!U`H}Z?3MlZ{<@PR&vfZ|%$8s7UiEPYfZ||$Fo-xvD-R)fJgRn>MD-9}VQ(Jp z{wV-86$As!G*sm>InN|Nv<;IWa3r6Bh9xJ63#jvnen~<9^U}tj zxxO@N&}vvC1wim1zyDeJd#s$8r1T#jWB*w~tKi;3(`1y_qYOXH^iYx>v58pOQVX`G zMIqgmm4>#%&~~^<;))v$H2GiQo`|0z%;RucbP`V8)jVUq9Bu3a5eJKttr#vWKKjM? zGR+KuP)3C{A7B71TP8TT$INEHp~|&rqmHh!V6rvT!v-W79Mj`;j!B)CKMgw56gdRe zWF|quNpsrue%LscSK-PeiPswHhW6Gsum@J@20Th{{r7(=fK3XAh(lW62}`$ahdZdb zEQ+9;@g|`@Qc3V=Z2;WP2?GFAc|)PTeqjwdT0wbF2qwc_54n_ELKwwLE(J-X>!D5s zJuncj<4lG!0w?;}hu%{oGOEc^!mON8;x>LPHLmf2AB+ss6`wAHHqyAJNRvP9npkX4 zR`QCEVN%!z`b~>X(=K0~+^iGa#$*+vAG2VSSAPOEUjIFDi%cgQe2K?af*hn+aP83iD@+$*Lx2h+JLDwU9WwP^a zW)9MYNB`=o3eD@v-*eHD^Pf>?1|?0ZWm%_wH45wX^?f#{BjT2=vh4RuytCTIno^EY zT57fbi%N=|BX=BjFzKytH57XG11SZA zy0R?|hM|U2&E-I#$i`$y3y)11AT1Xy(lHPj=9lX|4uRZSCmNvU^MF@5rDQI5wSL(DJPU4d2z(Q-;F;eo)h9 zYLT=PB#3+#2Ejrn&jGFrR;DdrpLMwcIdfm=%QPcN76sx5h#cDha~nWK*5xo5ofqwY zw!e89^n$;TZrPHc{ded>tCfgkHA!Z+!C z4wOky&8n~=mKWf0w!hA#AepghKU8_+H*oi+L(kTjp;Lo*ZSPQ(-umJfiKk>5Fz_-K zDb_V7&1U^%$AlhATVCnjQd=|a)|6p6H-)$Z){ALYD}+zc$qDW@7HmeDk03UuT}&7k zNV@XafqvXx+_V}Q1$6zhDMq#QXcx1L>1&3{!V+M_)yVeZ zX{ATFt{~R;Kk2Ri`ilxY6~ffauII5?vRn?O`wTg$(9e9PjiIcggwrFnkcZh05#GN< zu8bpLl}UXF<~kIV>X`T%Z4!_q$7z=j>M2W*J!qBjVC#ng=<8FfQfVCdfFvh6^L6WQ zWFN5fSgTwgxr^Q7qIj26l;|nzoi-q=v}+U6)ePZGU#J8Y;0;m1>-<`xh!~F5L5Azd zA4xS2v`eQO=^Y6lgU1O*<7-W%G@Pyzr3;H?os22d}o(KVl5s%t)}7EwKND#5eD*B4X%iO7M{ z>x13q3d-tD2W+%3Y%ra8_`nqGVQl`LzyXb%igW-yx$L zEV{G1oawDMdo%=Nx&&}iD(lC}Y9X=jNX|?~!X(ytn#QA5m6B>92vh!!D42XYX9qAV z2gHhz;hWm-Oalmvkfy?lc0o}DmY2j0X%Rj^jhaTcHWh_tSQB21B~Y%n-spTe;l@oH3i3EJ4XVYdV*+=L*-WNfy%s4_nAqIn&kzE*FpA`$4T z#5p$%Bmx#t2h+;!De)Wa6^IwXYXQP_VgIxJGmk6&Mz%x^4k(WSb7T;75-NiV#^H&k zYQGaBQ#FDbwFTvJCF4MQh1$<(iN6-LsiB50(?hB8AbmR&LB8!%BtcUfrN6qbwy{t2 z2xWr!9VR(n`nPwei5qHCkRi+0oFof!lTuLyqVW(h=v`0@g*XA9u6ykHmrjdQtejjF zfsgD1=z^iMejQM2iq>@dSN5g`mgy1QC0{?fZyAmYxN$^A+$fgllt8kP`3+Q`(5endRYh(OpV z-Sm3|E3V)3Y|vI2qx+9A7QWZyC**UKhI9xqNJX+R?bOx13UNbDH+|x#cy}A4?4;*< zDvt)vW_g5Pv`3IIJzN`pHU+|l7-?iJOtXM8YK`GVNPrq0zzqrL1Cia#zV0dG9Xsz z&$GlQjlnqkZ*%}qa23-VNTb@u#AU0^@?(e%Y6kxNBoS$d5vNTVktYt+yXBu}f3t{L zma|Y!P;+fO!ngVm3QGb*)SpJEZY$|m66EG38W|^k+IRl zVK!u5Ld!&?i;7hmM+yK(yI;X-?_)n4VI3xWSaY8JkMG5U$vt3^@v10KPN~?VrC@rl ztSkb8+|@hCn2b55tj|S)Vpn}Ol-P(4v9BwDiL#73#SuxB;b+0caD(yk@P%|&FKe$` zeLc_yCbjl*QUCM3(H~-wR7imo?B2jV;8084!pwkQs}%thMcbi6wvTGSRGNeQQVD`a znE>qry35~=IDC0p2!b}5qNkEgmS22?)d5bP;7U8@83#WtqsC4en4Jq`PSZ;dzLO{$ zH;QL~S+aZfBQdo_J*YN z(N)~a62m?leP5+j4C5mPK2CEEX6R7*R@_mrhB1F~^ilIem=Y+l^Mz#c@^A1~P6mRV znhMXG!d$dFBhz&TPuX|p)lqx-4dWB?Gtvz-630&3GhGimbY&uFIX%)%6buUtNq#+fv&GcMEe0}p-N>H3EGEYrw*xc7yo1NCgSgD z3`u>ONP~c@7Q(SXd?06lIuiIBGaEgLSm-y?4TeV(rswK{e%$$UCWxR zaBhl}MbrZ_Sv%TazYpJh2jmE6s@cUO;K;v|*Dh0xtQzUA_*ltI%|&(Xu9fhjCsule zRB+HYF~%Es+GvX2On+*ckhqQ^&13!i?WCGxd1AB?vN?&}TlmeXG2j@yikR8|Y`^~x zB~O}Z6!7VLuG}PJicxcQrKCl=W;Mv8GQZo)vE)2yn86%9;&ja^(k z0O_w1Im+?iIfRC5`vm3?Vknu@t@@wGeq#hXC#%iU!KDE?2qVWRkj`R1U|N_`RHA^+ zJ|PLWC=sSo?!IO?q%0%RA(((-+hG{zny|j63A-Tp#62LG}1b2|$pRtiCp$R)lERuFeQm(vr10?Gq$0>^aj3CTU^F&%bn#voYxGmM6mfMxHz+zoOB9w3Q(cqeByvs2!YWu*hV5OZWguxn4m5ZR7i^gn)i76-SQ(W0M-DX#xyh9+Z*j+5= z(SKQx%!o5mF}&L#3e3@Nns=_tF~m)uQXIx?%qU^!KOP3PaxFHiFajeJ7``z2z61eS7covQUc}ePBObSnzUC9_oTFT z#q$N>$cvAF+A3WDTvH*nZ=tz^4bQYG#EfcEj{zrhwQBQp{Ih-U3#mb*2p*7QyOv~u zeO=G2mKri1j2t0av&q0Hc6(BrT4SRQ!8@ytCqU!zXDbzTvdeh}qZ~@Z#s`311x{A& zr55t}We!F1v6yUHW6K&`z}IyE=uvm_4SpX`=0xB7iFdMQP-k~M+s=+$s`Y}lqaDxR ziAmw+iHR^ol->kEDp2eD17lvY5y&!vMuG|!jvF)ejVa!gMX^lHg?lD41W)RzT-L_$ zIyEn*%Q1Tdhz`#8KieB?r14lpoj-tF540=~QJvI9URML#9{|D^cpOvEITkMU;|arnSUs=lGxu=Ux#>wj0v9UR0) z6YT3xOJzT@$_&;7LCJcX(bT~x1zVb;Sp^}1S4fFGfy1NJ%1;HFBYfCQB8W|GtB@p` z@*sBUB6j69URQAuVXxhmO9VQ_&4z;y=Yjg27$9ZGa7f7B5JjX-HGB>{7U&A-Wq)=g zRI6Anv&z3H+mIqt?Y|IlO!x{rGgP@P)TH=Uu$4xkj#y`HKmgA=(`gb4g1eBXkqu!v z+YsLWeCxs;=sh|H0GbAafRVa2jLr+hbd!qHokB<5iIg^p;Vpw~G^CJ%zATli;u(SK*vzPC4^BsL0smdUKJchTV|W-1LU7{v=l@PJKa$WE(5`$RSC#l z?oDl{_QiH-NpJm&zol&ulIEI0$97iB>J~eTwBi)CR_Z-E4eMYUES2I!`_kw=4|&Yj z4rX?DhtNYCX;@z;CLq%4=NvE^L&TisD-=;5=UQ4jJutWFwvf@jG!E(fZ+xvL-(}ld zAuLZ_t({g79I2DX%X*JDU+Equ0-Oj)aj+Q#Wh{k_0I@Qtp9_yRS+?He5hA4`6)*+K z7ncp_7iG-6ZVJ+%O`uMTD~axuSOtA3t|VaaS@~P2JpqOzS?VXS);ADvIY_K#Jyb3S zD;h!t_Eo@^VUV=&y2@4^h|A7WJA2EIM(Mc4R#BcILdRC+>n4u}#G)y};88?FGeXeY z>vaSOSiTKS18Q(+FV-CaQPz{7XC_yD`4Gj^%YpD^ycRv=;JBcFM8SAP(pm14Z<=Qu z9IWAb73blZUY`vr)4XR`(6cPEN7Mv6Rr-T|!X|3wBp;wY8yJ&mtOT}XNTgo>lOk$Q zijP2{Z?>>ysg{-?mZqbE5V#akU-PX92M3Bo-;-1h*RC~GT!fKia4FdU*0gR`h?N5d z4I`;ME45P2eq{KH6$f=xq{cP?3ONk(J&ftb{aY)y!!PH7|Mi^`XS|?dH5g`N5`AAn z17(`*s0~{v;mrtp>v+g|DhaTrotmYsal?c>DuiE5jerk9$4a0LAZ1e_3)NNMH#wxX zbxkm61D>?82SiEe&ft^2{K~ta$JRdx=9P@}ml2P|%k>O7NU!2|_m!fQmKwwav9z1} zgp3=9QW56;RRh3I!bIRmeS$Jxn`ekI`FYCs-cAQ&*aJI2uUV zHh_uOvpCAc&W3?3Z5o*Bwdr%&n6%|2ZAwyI%Q9g^UUQ}MUL6UWm z3TTmk0cOYrz#3p5P(?I7vTBu-%U}>%qNtV-Ku|gyvYrrK;N2*s0g7-pG;XkH#7IAW z2an8_wuKnsrKwuUTlRsXX+_p2H+q9P3GNS|9srId{!kWfWb zN)|z}gmxezpn~3sFo|Mm#$f-R$`@a53b?1NPbi7%BObEL)-cIPoF}5os52T6KjlP+ zv0Y&&zf+%#m;UzqQn*9zDtQL++vN;`LkYnu%3aYorigS3(IH&3-Bzk1l!!{O+pl{9x6MibTAC9rF1*l$Ba@F~Nt>CwxKXJIcd#jLeSng3k{OK(+=ld+=ja6?-U zb5g_;y$oLc5gMYG4Z&xpplKIUEpCx>UVC~nLND=c^pQ5!Nh9Gf)I0!zk=-I0*N8ps z$^?)(-ko0eNQN{7z!l?mDRF+{Kgq``M}#@Hz@IOWY_!nWCPJEJM5*I%wCih#9lpxq zh|vRe$VczBlbp9!E96_>!|FiJ)Eq$Vub!D z`qn3y4W|MbqJ-n<%S_(b=rG0u)M}Z0bb|H=6tx|OMw(zPG_EX8)gJ%tx3r6(p;0~mx!h`2r3t~FNmOFVv<&%kkrCz9;Qdc+fRDyA5oW< z)v=m|lIQ=fV;JaOzaTH!dyTm^hZ$N-@sY6{ZBu7MQUS~3z#SY4y&-~r=mQ?R(qv1% z-N8ps*c5hG5rq_#{Ikm`u&6LD4V_y_P%1#5L@^sRpJ{lfq+SM#SixIc=6JmkH`>&}0SQA=1xgeB0}W`5__7&e3M6P7Zwh zzLZh#ydos+vgxCKk*v(-u6D!LpleLUCDf?NE<_cFmY=d?_b3G(wpct{Q6Px$k}+Hw zzpnl|4niflNb78rz*8z>#DM4&d8N@kU7@}9-tq<>q7DjJu?*T{ieCN8A~B0>Azp%@ zUmx-ptP3(kb9i)0(X5f}FGxr2835YyD=<%R$t&Gs-RLg5Sc#-Ze8H)r(;8JIti+~F zRuVTue+vTy$+nqpAaU=1(p#7R1aU#WY+xveNCIuYYv*6iloX1pM^M!OU|^66N-vu1 zsDu=crOcjy`vRFXoB63XN8=1!1yp5r`Z`L;FRi7z-jGBAG72Ek5cX!ROwvzVAE97@ zH5A?bPjvtL)o&=1(MQWk8T0SSb@KYJz#qigN;P35}1+b^cdSQg$ZG2|0F&>UwX(Qc-0vCvkWQ&TgWC@7w`?-6y5`_ zvgGm}3XH`oSqbsA!`Rem5?=Z+h=K?=*0lopC;ISD+##VB{)unZ7qHHLFXsYKzH4+a z&DXF=%VvWd6tiXm%Xpa^o+!9lxD>9Yqag{Q7I}3Fbt6m5mz#@hnbywhLClvwOUX%h zqqYZE$kf~I-G$u#gOAD>DG!pNgR3COnLFXoReXyyTEwivH+NYF6UmP%c$g0dlgG z*7APTe%C7Wz>tW%fxfW*k}ZKgf@|THwF@AAQ1O~>CfS*6E^mOuOajKTO|tJZ`VwZe zbBbGHUwfZzUTk*O)%bZ>c^xi~x8C8`_TO2@O0j&{2UUNi0rs1ECjMTfDMpLX{IbHJ zN`hAM2xZ)gZ1tA5Cqvr>VVDb3urrLGQnN9r1P<1=RNL?$wAr=IsA_ql%taJVQHeB4 zb0hGKyV7;^r&seaqqch+uJsKU&$0@H0S35biq2JkeG0UN-J9f~0RQlc;6UaaESVkm z2(x+)$nw9=oHUvfiTZh2VnzZidmUzuy2%;`LxD-M+u>a2c$Y~e9$77I{lWeSy#_TQ$d^J?e%)b#8R4z zC<`E0ww}qOaF^{BRk5Q25i6?W=!MwVl*r_ltJ|DpTHE{hnOC}>1?{UuhBTxODTvxG_cW6D z4hw>Tff*?%;i_HfJvU=bxwj=$)p&K0Qsog&i4o~rjsdBJcl06%$Ay{1=IR}*fG-D( zx+e~?424ZnIP+-G-OAb-Ttuy~wz7wk}g5{rf8gq7DoZc@z z83J&h+Qfqgm83Hq2apU}_iz=%3VXPit$Pt-%h602Cjaw{P-wf5!;d8~QLBvD z-=x*^Vv|t`*dY=E^gxjHFfWN4VY*6fxo9n2^MeirG=_}A2CnZD$seEp1llXNs<|F~ z)mI?CGyHVzp|w&+2m#)x8Jmp#WaI&U48`BGqzFr&J71$W z@|EsW445R4&SfRt%gig(2&~fO(-t0&0MkH2rrY&;K$%k+ZDGLB=*8c9FCr>mEGJ`J z!UyYOb}2=~bC!y)G#vU}ww4j^;AmjyZDgv>oL9il87(|oZ%4$kR&COHA^Ew5u)pV! zs>qa86OwJHu1*sK(s9-nDn6joi5`vLB{J2#fc{HT2i|b^j(w&o!jsWE)4@P)NIGk6 zqCsjqd&L@8EsJAKl(w^;qaBW$GGc%nM+nw!Z#I{w%8*9w*mhnu+ZdL+`#bG90U-ZcT%>`N#>; zBIc%+{+tMB`@;K$B=-NNKXwgVJ%K??Yn}xQG7$$9yK2<<pVdT zst%_&I9>zaC|>U}0ne6)30$r>QHh!Wp0o*A3VepB2>uRVuS~$+7%OR?!R`nYc|+5% zIlDDq{G46s>%a3Z{Gkeb^5E92^PSO2xpSck&Tg0#v9XHev zt`J`WUxzuxLgXzbNbD21^J`5_i^B@bkDw8IE|#Ip2b1e4uclUS8K=s-F}RG2(_OCq z^U@5hMzBclHU$uu_#SkG{t2?5QQJOGnw__*TYOJjIgMV z{Ir}p6TvAYad)oWb1{xp?gD>lU(sHT4Zv!&@q{u1JM2wwZM{qd3A!NY-PO|K4{mOy^=nKRApf+0Jo{!CoFT=^7n$E5P42+x+IofT z6%L`VSi85(?G>b2Rf((tXd-eLK;+2!g1ywnJXqm`HS3Z+1g{xVj%3dfrWktnyjJh#ygmxL`*0g2TM1A?)s*WeEXPYW)gOpl z@1>N?PqJnCkIS-mXDcuzY6e3{U*%y2Ty?g)`<1WTxd#}nzFFO+m4^X>=i>JY^*F4L z&abJlsjj9a8h*CyBz{$rnwv^{l*@Y9$}i;Y^$S92K=GVFq6!veW+cY&q+>~J8)BvRT^PMY42;nO(i@qONqy_sn_Xx z){ca|`5X$TonE#C zwY{TH&KEvVkcUF;g`q0AvMe3q5%y6rkCxxYk`z9t=^A6|iA8q5Pe*iYlH}T&Q!FIu z+0-hq7!fh6aQo8Bqvk@2S0QZ1@e=@%0;!IG5?E^V+x7<@lqJP3!%qH?jru-X0pRl$ zLYkVo-wN3_c`mgmqPZML7<#p9n8`e-k!927LIY&DkBSq>1Mz|+Z&EZTmSyQq&O!cDQi-N zZ@!J`??5QZDdYcyO=HO-Xfa?dZx5-7QgiET8Kj@$oHe5!TSW;-^{`I^5b1jMZ}7xVww*=ap0f3?RgHtVFvQ|#$M@?=l< z@V}qUV*!*>v-bmUDi-AC5n^|*w)&cf307c`>y^Wh8KFG9SI&n%SEDceKSiL%0Jo2v zazBLt-{+=;ILep9SZnjX;zMcRuw@fUi9d&*ZgWFDotLvgSevs?C_#rnK-rUH%XK6klUR$s+gE0QfoNOpWM@i=k4DpfdXGGiKK^RUNn~ zi?^#Ca*ny}?c0529Zyl*S)XLcfaFf^w1=g{(^`3jQg)}qS&m603L!-yJlW%)qAz^- z9i+pytxUQvOpnj*)3Kj+u`DF3m1j>ebGm%y42SY{!z&L*@^Dio4QNuHhh`3f=_xk7 z;3(2Pmt*;Jh{(p@a%MEP2v5PPtNvi)Nm0Y+#hS;%OWVvjjxtKoiLpOr8P2C&}n&zA%3Dxxt``iA_>*xaPEw!P=G!Z zMt#|PFTgFXN!dR-l^fDwDixdL@w=YNy=g|%u@^8?^0VjCJcpOee2xQ;f%Xp*l?DZz zH=*G$i96;&LNDlCCvvUrV_i7`!-g=Hi~8+*7w=U61Jw;}08!(W{&n}UYLw4ao*T&~ zMuz4*6BK0QaB$(CYl((-QxP14vL{pl-~{^iu$)bz=vhB_LDdCD;*vBv63c8684)4~ z{Kx-a2_)brUej7p{qr6QDK2TD?cFrGnqA^#cg$SX_#r8JZLeG^!IT6OXy`yHR(oV3 zsJpd#!P?GYb3`Iy!iNbMBx4U`7D6dmk^QPGLY8288P@rjE=;rrl`BHKH!hvCxt~S{` zB1{U)r&wrs&FG)zDjN?%u58!^HZJpWb&CoO=lL)F-22o!fbCR}#}{v7<&8z8Y#1KN zhSL7qq+gtq*@Z8_+S}BF$rf^8QenX?YGmdZfq*6%rEGgIXBljaZ@DV(AfP2+{|TZ> zeN}fKQu*k2+d$#+nu2c$qWWyz`||1~LQhl>h+zqnW*D~3Wa_a`P`&#YKS zz_mV1>xcjKd(?CUrfW4Ue}_GalJiJ{YN72XsVZ~|&6Ei0w#Cnp)*V96alq*$&3J2v zdGe$kKX#j}kY)7~7sRCresrxFu1x|92#?5FvBOlL-kX4@czN~vk~sfhb81sC3N{Mc zr>1;Ou-%&pVG!lF^BrVzWwwhRzA%#Cj;=uacZJHbACby|P7)E_8vlu2Zzx|LZYzyG zaGYtg(j+Vt{dhmvd*+_o0?daw(~4!CfC^6V3&Ij3+02avj{$j)-zj+kOlb62W)*UtkeI>!2To2loFT)EJaApJOPd z4M_CX;T}Ts>VZ`}`=dOSw2s}tvSvdhD!P}mmC7l?mbaB97=8g<$QS|!{<`hB| zBwFgA@dw3C_OYNei~**YOt@yHb4cq5np9P?mI+mUf{c~!U{bZ3YTk09!)s32M3S6) zvIs&clwwOXoJ*Eu$c;u{`U5+A*>(-&bc(M(h?lZU+)i-0M4a|`>7&5cA+57qx7n(! zC`U-Gg|@qcw8}OR3|*SLCTpJ+Xz2`U4X!A4FuI znP+Jub|X!EM>&YR_t2;Z_gOS>yDK;e_Dpi`9ph{2`o z1IbKp{WsT$n)(%FoM_tM!9hveyzX`mS}IUHi$ zCFwzZhSZ$@NAb~s5D@sHl2P7GK`?rF70Y1i#;eyBo6r0AP8 zV67#ZsKqdQh+g_`Q*VP8?FDkiRUqr?0VOh@;58V%80})V{U7L(>U7*~ahvT?xN@jm!QVsbbvr5v!?KkY@ zpdfq%yCJw~Qa_~z4~LL+UVn#^>8(Hiu~dviD*L&n`1p2OF2|myax7yfloHw{sSQV< z@uov$#lI2kBAyZ_%y6{L@0|FtMt#$Uu4v|F4f4ZgkGymUa z*nP1SD~DD`5|kTG8~j9uku<>J#J*C+y-eTfRIpTFxeBzv00{ThVGpG_B*7c0&O&5O zP&I{}1C}V*GLI!gzil6UNVy2>4HqSnKA*SYrfR*)TDheF5u7?XBfBGQE&evqv?(qt zZl8|t#7(AjGmX?x-MyFYR->$SS3-@i(ynV&1nGm=q4T9j-j_?Gh}HV%|C`$(X1ku& z8c8^bdkUn(s{swrEdLPWl{zZc(Q~B~Y^JNHv_Mi;Kt6^TKX5?2ja;yP=5RE{c_AQX zq+RGHZ#5t@y>;Jzu+nL%v-}jHIg!Ip-!(?cd6s!oEG*i{vxgN8r(#9e29Z$kBmlUf zb+SVbgmBQ|TiTp`Ae{;~qh(jyP)tE+KzoNqXZuHfW#A_vj?hCZ&cfT57=HRdG%~f-A*}W&6HT!4yq55ogZR{e%e- zWHaEW6FvLN9THBYGY7#4lt_;4_jd(;$ZSg0*8{fGafqFmbla-uz=jR=6+cq=T4gVe zkFr^I?jf@?DAI~rG80f>j^v_4BhV-kIpF>$dhxzNKqT~2I;2&=`p zheUSKMIis<+U*5Hyi~4XV-5(giXPUO-oPqKhW3hweWrY$#hn8z-ekWoWB9s0pd){3 zF*PF0^?e$(0vLdM8zS-Z|Kr<`2$g>WjbIFl9{}g=y@uXJ&EC0ZQKUmIN)BpREA5%# z+F>d!x-byo&nnzvxO) z@Yn8$b%|OT`9swCGsSXoQ5Pi-8=<3lIcV?(nZ0P$JI}-TUmyP9EIn#dd})^XNj*}p zWSs9z-J!qcpP8b4mQ${lco5XU{K5B}jE%5@l3e~V-1%2X=l>Mnrhj7Ioc_q1$3FYs za#3HVZjIZ_6rKFe`4v>hYK1;+x`?anAA{S9ANkX3yU%A_$2VpYZdxOrP|(9|lWLN% zvNOe`oFv)?XAXhJ1%TUiBJUkjs>7t%b8|7z-gH0;!aQgh)JR3zi#bFJi>2>zZWl{X z#@6bbCw9_u+YcXnx25p^kKeX`>e!y!*wz0V{$&Q;2_(YJp4@6J5o7wU0ow3J={h~f34#F>dI(WK9g9`8pcxn7NVCssCW8Yn7ftzue8 zX1awqT5z){JRhB}8ZLPDmq*U~!QHWc#4nd#U>|FxXtJ2?z{Y3m9-4TAT}5l&0(~Z7 zu^18Fm9t+A?lrO$v(5SnZ)mO>tt-o#p}`c{;&7New_HRGk4RkRjN0uGYwu9gG_V; zMaEAS*1VJEd?VW`L&S2Mif?(o5p)>c@>Im;q$jIM=XC7M8pI+*zHrwEs|#PtPQ{%{ zQao54tri!MB+nVY6vSbzbvX!~I6?Hw#TZ@iI$o*gb=e{1kKgIUsF9h9zx0pa7tdCp zHrq7L(af7EdYrjBpOk79jryH+F|3o(#@vKZV*TDTc7+3{vKCL2s1=FA*Q=r zs>QsTR<^iY;F%8MT%T?KeTs5h4(jJ8MF&;lQ*%!mUQ&gS2^Y>`Uaqs=wE%T5F!*Cj1|*b~Lor#a zBDdlz>J_fhyYTHA@i8$*A)1;g^3M*h_2EAo$t>9&mz{waCP+7s&8NzcI-bs^tuBh? zI43)3Bp=*L#BZ5D?l&kICNsq(N__sPLAvaQ{J#E{aN1(%8ct$brIDtfEcZtqYKUcC z<|Ot`{FXt;$yOZs*?CS64U=9WMYQcJhhL6dLRObo$`I>X`$pB&+?toQ3$>9ZKN~9y ziDJDTAI5n`8UNOf{bFp!S*mgO-!@O0Q|0191*`323fYg(EwobLTr8X^M+HP4iFTqf z&+o!bKSkxNtVgI@xe>V6eTc-7)#66sd;>lzc5r4ge)oAPx{CNK6q`c_67%A}dFRYL zHWWGJU%eR&v*R3+r*JlTVA7O)@<&eR zM831&hAMug{KY;f;jgjmK5ydBVmBQwNj=`>d)50|2$rHCXlItvqCZTc*ohXx0%RaNX@N)!7v?+TS>d@tRx9{0 z{Z`|Wy@8nLVB_ou&IOf{3DZKd*k)Dq`aV9WTv?MVJU9#q6{&&fkzu z)HcFVI-&RCH|fun)j;BX-sQZ{wrQ;v9uV|GqvB-b4d17Z9AVC#+CF>&st0SGv4X>n zlLCrzy%8=d&YCIm4Bk6M3lKL&K51c5vH~UhpNQX+G}5?)Txk6ntp&HB#xuyC*DE;J z4{S|2b0v5jh8i ze{AMqQ#&>ybBs|x6)$Wy3_D0TqBDq=pNx{QSMX!7cT(?Oq1T!R zAX(L1I4n-syhrnc+l(t}Hy>zk`iXBAC;i2C7(Q7Ialw;~8re4{DRIJu(31^sK#LDw zu1Cvg&C)cY2{A-v6h6JO59Dd9u`p(y~;FNhT zD16)--w(WRHs@|~R#YqFo8BR?-wlud?TCu#9$*r$2SaPrAiYlA^KX9Ss}~xa`#pN` z$Z~78WEk;+W z$CBDcW$wVOW;3g?xhFf8gwy*z_tt@W_|YU{VcB%!FDY)!OVNnX~w0N1xY$@+}uI-&jwtw~D%qM>?IC^ugXr6y4VRWnp z0kPHHkg``wW3e_)AA13nooMeXO}ySdKEGO-KAxm+;;o>K&4|n{SPiE~L@sR#m*L$+ z*eVGwJQ&U0Sv%HCocP|>bSEd&Sq%v^CR)iQ{YFwVQJ8y(WO8AB>S#BFw21;6eZ!+& zl|Q)Ai6s2e2{)M=eYqPyl#qHcU&}C$%<(`Z*pPp$>#S55H3$A&oNmXL!j;3^tP&E z6!^s#wZdG{nQN>wouKBfhCGi~XSZ*OH^T2d`~3UjMzG`;XHIM}0%*QegEEG`Fe78B z^eSYgjP&B2VdRY_6rOHBUz~oeBd;Phmh_EvUOSxdPISQWO47 zi#gSkZTw2hnMLC;2;x|G6_d}}A>YSefVa<=R(UUu_jHg1Mhb=J;UPOKpN!71)U-4u zOxthi)l8gLo@vlc5ii)T;ux~amjrA(xU;!pnWg2nV{vf|>1h|@)yc$}{tLg#;{5qv zrpFTJXi_fCU8;0k#Evs`5j!1Uz;gj-j&*DB;Vgl|xps>|k4;t_n$z_Pmt_bY>tH+e zq3wwX>1Hv0GRheEK38&HZL!}ifeI&yk)tBNVAjk-2?AGilKUHo?0%N>%lwi=gozf^ zjdh;DYWTn}zkBZZCysWXKam{m-n$7?GJYXvnnjJ%uzXwOH6b8{xR<)eUa+HDs8F+G z8Yy-{<4}3>*dnVXbScUr1yeWwP z?%hSyC3F@^+3fdeG)35ArXnVDOJc~E!!H84hTH&(!ns9$J(RFOb4c0@v8pro-EkC| zrD8Bh5BaKAm+l;^JhZsVzIgY`m3KAN4US)&SqmIadcM2JXij`o$zLQ8AFC*73#opO zwGuNzsB(AFCmz~l7INE73#_MDLa3iCwXwxv`RR{&e!N(?o)M`y=vO#X^a)myhia{@ z#>B|^mh7{)*lghD2}I)U**`dGg6o9;ggoAio>95jQjBsobG9})p+mvyL4T>5wMx~9 zR6ML)JdN{#CnUpda=3B7^lICUo1v*TGehir_ju?M&U6b&9L?-6O6%B>F!NAV&%FDc z_yf!ERT6uA#a8g)`H|6Y{N#7z#slrg{crrw$H@>@Z-OBeIeyw`X zD<}Ty%x6AB;c@9_qpOdcGQaaDKXYJ$KW6#kwavGFW%frK6R*Yg&x8KC_^n^&AI9JE zvqziH#Gme*efrVM&%~Vcwe*%H?O~Z~f6P&Qw2EeQ18}g#OQr{u>4A)ne{` zh=%x}Z9oJ1N`KYXdMBBcsOe*uKmU^7%i1VE-$Y*Y)d~Oe(<3|Id)qJik?YX+_WVnl zKgKB3<@3>>k7;BEac~mcN|Kqa=UVe809}%d=x0;;TWaXmStx`{MM6JkrrQoU@DB5N zoz4sj+6?<;!XueSHhL)-eY#pMCX1cA8`dtMKU1qf|HvHb-XBjsT*{MUbkj{4_auX68yAG6V(7X}j*45LiGqtJg7vO*oX9 zsNMFuVNiF=QBcTY9z{HQq)C_NAwouy)ii_}mp+MDtet5Rr;QiM4V`98%B7l%vwhzS zq~;#3tDL!U%*hqA9>vqm3@ zG z!g`#JL=;y{UIk(RGHoWK9B;~4IDgqK&tI%|hyzDUNYMRF%(mwDIaJ!6UaOd#JnCb)dO?qe{L4NLQOJfeZNwcANAgVOo^m z2sS)M?0PIGqJ^j@#+h2?5z1#cIgCul$*2I3s6{x8+GLPc{33&)T_-6=Q;&=%Z~foL z4|!{g7WV)I9#2Z(EXmC(<0C#b&qVN(pf%muOTn@>0(zc^;v{Wmo6a9_pL4Tj2ZY1h z@-i;Fb8P-lV=L;kXG;)uI)aDXrx${yOdTNj3jLX_DB6t4 ze1S_{R(QPeB=22V^xpWb``b6BNT#X{@6KK&p~ih42ruo&I`MytiZ(i1L3{xlWlgEc+V9!qz{y$T~~dFo0hAf?Ng&$ewS0MaFAI864Vpo zo4U&(4?q;7a*=6lB_yv~AY*k7k@eS3x3Um9cETCqZ^nz#N+T{xCX<+5>2V3wFFIlD zgsqrJ#MulP&EPE-vXD*_g_h~a*i_XCKYVZzgvTrUFEm&gfhH*4*&mJ_-uV>T3&=oN zLSlZ>gm4t`OkJ=BhxenwxCRKeIa3S~(ioBTKoP0aU}!3SDNawdAf1;?U1t@m$rk{8 zn=k&8_m&SBGFR-Cvx0F?>`6a`h1V7r@vlXG?FA%m4)vGme)QxRGHyf;nUp)Wp$u>n&1RbgYz=}Q~AvhB{ zH~|sFe5XttJ{D#Bcn{2pb8jcY`Wl|c+^ppwjJKk)knIulTb_Rr!Ua6>Wmsz!qqGH6 zoiA4l&U3As4Wv;Pf|bj@H2OX-K2$9yl-2I!i8a&e+G{!XgJE$oP*_+nAmf}dI*)GQ zn`f~Qqs4@mh#T%g3t5LHbKQ_2p(eZ&L2}B?My(L>vhaA8qQWEXEde2rj9$Xca`xTH zPabMJ0*ljD6Sz}@P-h-#vs&?d1l?zNG}vC2@+%QOKV?GjMaN)HW8x*kjYK+gHn7=oB(fjU;2OHsdfjZ3PAjB|X#g>U()`NQubKh?qh?kzO zjz)(g%6yE0ePPX;eTw#(Q=R4GS(2TJ`6qXIa=(8+11PKan#JJFYUF)&@*{UOz_Q1l zIPy9FlK0hm{AV{Rzuq`oeeTqa@qd?1HC}jd{#@%AYjQQZ0Ol9Zu2X0mZxm`l+bb?S zNlyWhA>5m4EEW=?@lVaaaJoIa?~xnf3+-0co;qNb9yaG%rT}luQuRM}zWX1Vubw*b z#k*f8+o@)!+oV>fb41OeZ5JEiC~zDBl8NkZ%#}!AXB-8FxDV42ah;f707t`Ra>&n9F+=VcVSp_sHokB**1cl~>#}kl}=d-ZocSB)+6OUK@o4PMw z25yuseIt41*vmii-UG+RKNL2Zi^jaws&lqk2}g}rEuIS=Uo74IjDPHfx#Dvtx{IYh z-amIaoc12d$|riY$D^D3)35l)zItzK^g{Fb-uk}A#{l5&{y)vJBpy55TY95)nH>Gt z3!D}6KzwY=A$BL-Ejh6nbwSIUsd?@+QXz}vX)hR#Y7e~`R zNrB|p+`X+TotrPrU79+#CQR@Nkg#@Gnz($Jfg~%3sU8~hKyvA0AAar~qsLEwC=6FB zPBwQZr~j>1fW60#^}>_pXu`x@(1U7q(~0BN@OkiwR&o0E&c072z;(|bSSO250M3A4 z!3CfOjDgYa&@ALqe#w=P1*0eeW02k>hv-Ae6{piOE zb3aFe_{&LLWEy8O5egn0%PC$0NIKSyuXFYz{rB_4L95 zoG}17#5dF5j*l(ELf$W))(6;VREagwCzkS1Ql{wg{0LakHzDG-~O@B6Q9JGFQBr z21w&H5e{G<%$=A8Q9BqVsT+eo@)P@*`1=O9tIj>AR{>t0}SuqkL6!I{D%bHJZHU5zBX5-BcWJ>`C-NrS@)FL zV84k$LHbd#POSRnVSLK;VV}6IjfZ(zTKup5b*|GPJDpyPbFXWkKLSWgmDq~n;v^1^5Drz zn8cx(yYnUDbdEuLQ1W`tRQyYU;jF02S6MKa2)KqSJsBN^^&S%P?-D3~wZ$XuZ*Uya z`s4!Z@>Dg6roWyX1I`2K!_9EkD&ld)2R9$1UU0L)@JZL%L;xR5aHE&t<+%?bFosj^ zI}m&Hq3Dcxet*%Qds?XVQ4H7gNE{wbVDwzI*i%w~dnh+5^G~<*O8YN){@E;Q&Q(hQ zcChfKGw~pHdOciPG==B=OEkEB=yc7UD_+mS>Bp;*kb4p5vB@f;{$MXgr>bMKrPX~$ zw;pX>+IKX$w*|eMnKPFZg=aeRt?_q^ezFmT=i*A{%_qgN!rX5L{@f!i8YJ#6&Lqg^ zVzeG4&e%wt9-FVVIgqCCP&AXRPdY#UPJgDcR$1(}KOM)>bX+ag;!9z3Yc-fk=GKCv z*(|ev&!2W?E(IRY9czWgkO&dj)n}9646I}G&bKBu(IR&dS2z=eE|F2=)*t`NlVGGw zy`)l?35~{Ap=Hrv?WX==}72TglOfn!#JQ5KT-bv8rjKLojcn$$g2H%O-!i>u1{3n7h z-1{Ez!e`fyq|Kxw>r{C@-aefo- zmfz&#gEt!7WcZExkmG}W{Ihjmjurl9|9x8bz5Gk1_6I$m5VrrxLBYY_{^mcEmCy!fKT_N`i%Wu8^bfY=C`NnM6y2-icE{T^3@8StJB10mLmN(i&*New8*4B;7e$fDZ8YM=) z_o@k}B9rk4J7mrBx7(Ey^H?2#4lg}r(hCtSKR_SEvK&@gU`U~P^s1X2s-CZo7hz=- z7q{r+@o7pcSC1U2xj<93$%t-3Fit}zHPiGDRXc9hqZyAbJ#}ngf5J56(|RK=08wZD z$+lCw;d`d%HTTm*Q}MYLd?`wwY&f)$jnl+fyU=7KUpNw)&eOC_W8;Dd^hfTrIcLtT4XAmpVBQDwwFP(sU4rkyn{r6 zl+w9+&<{0)*c1-VXX7OU9^Eq8x4z@1-Y2ugsp@9(oaZ;Dj+`{vX38lMPXV-<7p8#K zX6GNikxeE3XlAZdr}l%4JYd#?dwx9vyR>J&EVn>^(@)3#`R4upd6E!N_kE9a&c*HE zi3XF5y@?C^nYMiCgK^e#mruoKTK-t;Vb-kI3B#Zegb`_ZMgn&y(n3cC`x8dHBb|;* z>tv6Eed}vMNbur~f8)%%LX-tz~qqv38KN+WW*E#uIIsypjCbN@3 z)Gc5Y4L;=k$;#I~K{}vYwK(azGxK+Hnh}7knySe1S$vmLn{k+B;fD8gO*`~%`r{GU zc-jtbMgP}1RD5R(@D89*F>bpwKq zVWVlY8>&H;ou z9(IMi4qd+U4YY8k;V!yKxj3E_ z!OcMSr0ULqN>s6M)j21Jr3}w#*Y9R#lNTC4)yaD}fVQ1ug1O+p+4e=IS6wc4T z`0XD8LygmRtB7??oKXrL86B7)Ra*n_8m&IuN(8Z)*svJSxxSepkRC6J5!@!NG}>-VBLPG}5G_G;48m_GW?BC~ z%H9V$uIs+@d+%XvO(fdJJWSAM1WUblF~(lPh)fK)?1Zbt^JX5*fieKCn*5p%=?BL**w0o%jolgt@or35P2rsV5zu zE=bP~6=pik%nTkFct1b#oEQjPBbUfrPCw^J*Ip%up%Ho;6`RoGd3lmGg6V5RZ?k;( zh13m|hrSCYXiI|XW%zm$OR!ushorA_?W}D_S`vF;t4{8c-b9^l>D>N8mUAUil$_zo zTNV30R9meNzT^$tAtb^u!g8A>cC<*B>E&_7bE8v~rB6Bn4g@zM1 znduf9^`yn{_==BpA8Z*f6(tcZHO%_&#G2`9xNJ8oshcvwy4g5zpuJKFs*?vQs}TW%<_oRaa`VAvd$UsSEH&mKmlK(+C)M5){>hkd+olex zejd$gbFE>#g{&7{)-!Du|=6bs?Dt)7ZjqfJcJB1jq*-8p}9s z3Q8Ota|miafRi1CSGMjS=JZo>&||a7xFc+GHJUuW6OS$CXbuMFf+Y%1-2IQf3To_J zeBVcZ?;Xz6k{NAXoanu+yVSU^vC`<4pfis=jz+I^b{7aCGPuQuI zB`}|U1uGC~;SG_bb#tV9B-E`XKUaCy+*ZdZ4CYYGsd#|H3d2O38m;dn#IG)@vCoj8 zzFxiZkq(L=Fv}ms;Jp~9A6#){yA3%2B6_1B97IL%j=AF42>1oPnZl|(#5U!{dH|<6 z+UbXl<5%fl%f%yClhrEh3#H?#I++t+Fr4p_Q_8WP7e~m$s`VpiTXFZ%TppdZ{nJe^4Z|S7b_oJd-p=?TGlw8)Q?|vxD!kw($Y&;z|x+PW~Iqy zVYaIyuoHBaC@Ga_4b=Gq9;pqRsG19)O7?NSVmfQf|jRrNAFr~`8wZb6}G{}#~B z%oUg(27nWEg#6NxhK&QBfn!nMS>+hIB>tZ0`@o1GzRh4Y`QESHR==ox`WX<2rUF5g z3jw6+TrkJ8@Rq07C)k=T1qW8vXX50Qnts-}0IT*zIPe^Ft_MDni#^K~PN7EwIqwO1 zJF+z)YHZKTh12}!0y)KUtLIrm7sBiT=17}5hy^h`xOT*1#JcNxeI}3TPk>r{tQR^< z=34nj|J7ax#@W~d@$1of;D^rgh00hn=&hq|V{<9z9=KYGzt+kK4_k=XSb$ft2$UsB z)@2z;FP8z)&MMpzoCn$(@T^V%bFV=25BOpys~Gwn4mt53sW8|EB&xr;!zeLlY$`OnG$U~O{xS> z^V5TN67e3pp~TWue(^|09`PK{)ZuaP(*tyw_{eq=(oQT^lgB$CcWAGJK(M1&pV`)m z9tEibyjsD-XUt2+{qT?e?EjP@*Lx(`qk42K@O4?+D}liEeZRxa92&Y>Bo@Guw_Kbn z1j~WVT>Ll(Y~1-c+&d_cU*B_<+t_$VI@<%-YE$5Oiy}%_zJj{*Jd(q?!tf5JOLC6xU?x`sh{}KzNhNJp3w_IvJuR+Y~#2skF5}y z1fmtt`MI36fboVtJAv$lk&kK+x50iKpxLSjhg+>~11YxY<;w+R&n8^TImQTFWx2Qy zBgpUdEl!QXhhXG7WVS_cP~;=IyYKVxm~5ht9S&?CB|iqo=>x|7JTkr{M(iC2)gxtH z7ftxl*2gD3GY|S}%ff-**xYalKICmG93k`unf(VgxG;pEPit!W~@5cb)(Kk~@RD=US_@y5Mgg==w>WjPj$i|0vAtN%z7wqjB#$F5Y$Y7rwHoJwn zU>DN0#jX<PP|J7!*Eevy!Ax~0_*)rfA@FZw)^#W zN4xcxH~o9-eHga8f0rw{^#zRo;2*rZlho42o^4Ft!)AEA(`fy`EX6+Z=Z$gaTBA>f zT!AUyOvE`3RK88rnTuCO9-q1PTLP@}iUt zqs6`^>+ryVq}x1zaLPLBo4)CDxBl>P+fzuwnt*};N6ui%hnZ_^>H_tkj_&zSjopTf z0cf8%x!a6Ng}LH3^67ZIb)pXmN-B$6=;h*CNC}E^_C?NnXYauNX1YU420E$t&XPY}#TjdqzxwQZ zk~jugA}Ff3_zn2#bD~5Qfk>02n514I`>C1v+h7>u9yaM>W^8Yh&C1+wEb6k=dE(xHc-sw-X!v)d2ZnPPogL6VAjvQIPXRaB) z_{<$Xci?Qt>|RdPGB$0q*cg5&J7QzdlxuY}uFSwm;mJUwB?C!JOXTLj=*Wha@W!Z) zCJ;i#nzr=!D4}YQojTeyW>boPya?_+SY#uH$Zcn(R&lS!+eW~%f_@dcYQotX&ks3k zIw5=NW;Z5(qh2W;pa;h`M#bhZtp|mmdCbmMg<(hfn1G^0rP*R2|3nkeyKBF0++pe;O0tC;`C-1+Mu{{+PB?PX&z*Kmwq?7M-PP zl8H>zuV7V@QWmLm$Z>S+Fpj@VDK7^lhC$jdp?NHo1*0MM?z3bVz zO51qd=tZWp_+EVIAphEUL$fn%Lcx@tm*ctP%=={Ql0~fiQ@%WFV<$UNBbY|i2Tgm) zR0DrQ3Z!>w&mdomv|m%FBA<kFuuy);p6O8YUT?ZhveH5b0 zNvDt5XK;Q)WxN3Y{A5E287xqyLdB7$T!;0A3&7wKx46!h&gmM`W1Uhi#G^WZnQ~wL zg?EfKift5#%ViX~B*r;Bx3r;=Eu~q5G!ltYpyP>?&~u0~Vp^^62-3wkfXJ6>WnuuC z%k|~%0ttSJ4HyhP;~|rluI(|d(2vrqWSZm>q6afX3n^x?Vt%!zmfrZ>uaRR6t-x%O zdC2L;1#&ynxYcoi7Q@!7qo$O`kvl-Q2%zlI7?PhGy5M7tq-ZPV9gG#p5@kod_yWV8 ziZ4ZxmW4yM&$)O-=n_o7>eN~s@8y3ww2K2U;rxY|n{xmyykKbYdLhkR5i0?-0R34o>sI!Zp}0ef60|?nK2-pXD*34-qXR z;LFhgeRD)zIzDQSUt)I4F>fGTAamKaXeZe6~5`k@HVD*&p{dr&M`rnDN>cWxzOV|gR>X`hJ+KF=0vt4 z*D2q5(lYLZ51XO64htl=EO}-!mgPM?SJq2TL(^*f2|NqT9NDud98&BZsnvc7_}n*h zhjX?(PxGO32qWqQ2-hGyg-gip)m%Hhpy$z>>IIHs>k^M6Xe4a4-cf`AXhxAh65AjN zlA&5#rMod}$O2??e#Y?9kIA-gauL$UxxZKc>Ms}|J#;aS>E5Z55ZJ zH!4lkv-!D7vfYlS;|`026U>49&z46!{fgSCa2bR|NPVUATHh{Y200+Zm2#-!&00ES zRnCaj(2#Nz#6U2j~MLrsPQ)27`R|8DEy z13Q%}z-&@y4+q=j`IYjMm6_Vqb@PZmujdh-(35^G%PkuThlo zOi853N;T$`8O*9+@Z(PtP{VzZG&cLLz6~7<%x6t4v zJLn3V{W1$-aNLgCqjvOeLV?EI3rG0pW%AJvl3!!ykoR>TuDqtp&+(Ra>7h*t40hG* z-OH7U%KH%2YT6Ea-hp*~q7|{XA8lf7w6tQ^s18UEbcs$t|=@`;#iNxeM zJ|=&xR?Xv1G!xWn1j#+FTgf3;fQvymL@bdxEjaZD3$eXM3zZ8ry5-Ve|7HflLcU3! z@HlqYO(|jF$CZb>`oqC@pC7+=GE6w8rD?^3u($1^rBVofB2+9b2e)shrc_^s~w{J0Kqe6trQ|nI}P9n&Z*I- zf+5I>{L+!dwaLz!`mDAU1SYtINd=5yOctF*wpAKe%yx2hK7l*`%^R4M%>ZT%JP#dTbkU z83e^JiNLKOf^qR?zOh^d(>TE82CK{&NfJez$5W7;T33TffNYZATJq)6sTHnxKQu82&EjsEI1r@Vz{)c4!|zZ^}@;QN+2D9v}EQo+JgjO+yizBqX{+OI6T%_jVO=u zFslgIiv^VZtpIX>*P=ptc)518^JH}6|NbJ#sN=F8BIBZ~idM}gqEefyxO0Wnb-qOx zTrZ)5O?3BYFFn?=@z@KUk)$yEPy;z0OI^a^t{gI;SIUCRj%{;p@GWhDE|V+tDFi%~ z4RMhOwTe^HeC%!RN~?d-#&GOkTQ>MSitCB zis|?w)#9*7W3d^QMODfoHdhdob|w=%$@4nWN%&#;Y5E`>J0&Z7F^)r0$qSN{3;?8- z3UIVoC!d!Ouu1dkh@Y~*T2LH3)iDJS$C@qjswD3tDAmv|+WFeA-jN7z#GZ0c;4$DC zIWbRj_<_W6=xGV{eoJytM#^dgQWjgso!cXY_bAK5r}i9rs!SF>Fj)aiQ;(h@O#?Pk9zI(1e*w<8b=&2mkava~O5fhn%{Bxt59_XZ70v zCGH`w9TMJ={Ce7i4L_dcLqUV0xMlseU#~~>+97-0< zmPqjkf5b@fOcML{?1ywvx2A9LQl;aP@k`UiMSp6jO!H&T>?=@dRfTrvLVJ>D^XL1NcxoJO0H!-k3`p@1mx`%Vc8^JJZ2`RuV9*)_EnI8wwA&v24 z!bp37gRBIAKV>9|SSI`B^B)U9g(M>vojy7vURy2*`(sf6xnk;)XpE<$M!(w{2-5}b zk!#H5DR1zG7+~38OrEyk00kD`tNdd5&WrCBbs%cGw^Ik+($an64Y|Z`PT&2>xx~o( z-b4^D-AdmbP`kgmB6sz7sl=~~1K+CadtIscmTUQG#DRz2sxWN)e;?$>*x&cdH$M9~ zy;1D4!54PhtuK1n8(z5e*TKI+#Lsl2M$0nh2lA7t=@ zY7DEZR(pn0cuJ)s#V3P7GE5iy!`T4HO%g>RAE%~zOZi?+Rh;_Sk#&%8Br%Mkt9LokbkRt0~ zupw_rxB@9$q&Ui8Uudj$K44G~bX+RAxjrt2$3mhTulY`s9mFUW#BD za^2@0bZ^gQl))17C4j}ypP!0bcqf@=+M*a>fhCpkmQySQ*YyG@V<&7B4kOVXWzDRgpfq9^uaHOtVUm zgyT!`Et{e+RVXi_36>%QX`=Gy2?M%YpxyASxE9=c1yPJhZ0&m1u#Dth??HSIAHzP- z`*AdSNXv>rBfX)2uu*P57*ECH!B?UfZi9>bf_Y~$N)kA*GJk}ua$f7R7Hj@Tw#NaMy7L4w(8faXv6^E1_o&*Hy-$RDOCh}D?xqFWO0E6 z?2s1F&7&ZyeogdB572|=qkj49xJCALb~^S+qCR1mu@}uKePw^p8|p=BEuvIBy%_&F zK%PqV0%(z_Vu?pax{B%t*fZtU4$}6hENhdkp7?;d0f-On)jd3$syJadD2hcIHRq|w z-21PbPDpgMwZDd{6E8YFhnWh_ptA%dP#0MBe8g++?zD$qt6vLUzb2p!u;v*UOQ>8R zEZ32*M+yZ70vKErLSm?HxD)g>?C*$pRUjDNe(LMU3*BzxwZ(rGF6Ifj0tJ~)T^%S9R{E9Yvd)ycdrfdhWy;ZlII%Evehw~9bVh@%IJakUAfO|*PAXV^N} z%#0jndpKkoMJ}L?PY6SDlyki2z5Gv#kc!N$7dRYOiaAD;p|Ap6(QQ=7h!2q)%4a0E z7Tn+U_0XiI_NAz>Tv|w|8aEDWbId|ExMVVgIWhlNe3EwIC%R<4SpDAh+kBr~N9EO! z2P1P!`79)37B;ZhK&8rud{oh0TsY8rgf*nCfoTMkIcqWHu|9M?#ccz7Gr$7wxi9F6 zR9M)WQDnC+am6+yUc;eRBf1l3cXa)=$%mcEg4_xP^c-82jnabU3Y@`)-thTyOeP`X zw9(QAdok*Md zSkun%rN&3U_0A(L6s8TbWGKWyeN18loFnC-dA_~M0A?ach>+Q^$*nnPr|EKZAcmy} zLZ)fC4cf_*=7GmjJcPe<3fhY|@Hy($f(aw~e!|5~iiv>jPUe3@Z;r=?%l==2D4NGDdJmfTOQ;MIM<5w0K! z&tEi`1M0y|H@{Y{R#>jdl-K7DOtBN_q9Mb8wb|cV^OI*@iZ{zA8C=^~ zS;KA-KV>#%ukdp^?`zpsl|6VKWc*IKPX-Wr)O^g2UkjF?ND*;zUM5_!l=;00R#Ijd zC6zGv1BP2qami{uGWlX&H9blQQW)eqalXkS3BX;2S;(xa*Zq%OX!ZW`6Ypc}tDKvR zo0rS}*DH}1pVbGk399C>A>gF0XKO&cGM9E&F!_VVt3hQ;&5S48hcohOE7?RJW2Tl* ztohxiv^&ZQ#%#n=#cnAiVkpy{(fNv=yr3s9u?|N=R59_Pk7`SjN@}J{giy#GS9i)! zNlBTCl-u2e9wE^P4(fBYe3mjKO!vqobHAv2Rif2OMK5I+4}9X)eWTYXqB79>v4#Ow zYX@5t(7MUlXnHe$3&@Esa50`k$oFtCj{5}=oEVWxfygf8+sNxby|EbJNyBlwtkS?j z5e@#`n?zzGzh6>VO_rUm2KvD7c3f)p8#Op?_4RkF!B28s;vwed;`{FWlcD)vNpQ() z-x`PA;Xp&$j?JmPNX(`ocfXNbQt$sv*S$xntri>(m~vG;S&lENoVs6$e_GE2{bs+Y z8?pi5>df+Qv@WTAKSI5SDC@@K!Saq3sSylG#5tQmh(3^dZ9lBl_{iFf1Elj$+9#`j z9{{$iL%cL0{0Go5AWU#0=m(zwqkXYBfRL!yP7}u8_s5+W^ztmCulDbjYeqO zGsaw8`tt10tk4+w568*C+`X&pexXfA$ZF-{Q046n=Dyz;2>H+q_Nd=dmWT3Uzf@Vu z>K99gAUxKlz#QxJ*d|hNiVv60onpS;W^buPD7-q znfS1PbE$;qGF>Pj1HbPZHw+qW>v~B1s!Vpt}03u3cF)RA(W$T2#o8{q9YF4FvCWP zrGX$!O*ME3CD5D{w)-@V`LSQT|2eW&g=NzXG*ZCmbd9Xu`lFSL6M;S4pc3IiLo$NZ zE92uJ>GcvIu*V7e+y(@Z`KNwKaZ1hQKN-ZxL`$g@%IkU|Lq;%@bWG7L3Pb~!(OuzL zPio*7NHJU)08|-MytWmD>!iX<)j|`x0(VsO(&pU@?oy z!*yVQ3`0Usa%LmLq7$;V55$5FC>53)~x}RXK5D?HO;s((wq5?W5@za8<5aVdjiLuCu>8i*i@=! zX^Syz*>%>mfnh)oq*~q7kAC~#bC>8sR~6P#-H@*Q0F?PVAF6od3{$m_B7_A-=Ky2Z z4TjO#B{fV3hW*oddXxje6k-+kTTC>mXZBSTLWT|bgqGTRE;hG{bV6sbN3Da(PEl20kD3egi#Taho4Q%L80k8z8^$38 zRX2&jLg1-nXc;Be;6}OlgHE;1m9Tf1;dGX{Vxy-IVHyI|Ry2f^yH>rS@}S#Cfl~vpZYIRD7x|wWNYAMwNXbC!QE7}qI0bCWAs`|B zXHZ9wq+*wpW!GRe(I?1atXb$EjEPiamKAT5hT|&9Sj5V90RqyfW*;0#ng%lmb8pIR zHSRm+P`${$#D*TPq0Os{D6T@b|^Hsr>g_==-LwQ-+X#cPszBz2I;8 zH~#~w!CU16g+snw`M_JM!NEcHYN?0_IecwODCTwZ!mZ@wAESO3D|fzE(spU;foI8& z@)f%LNjfJzT{3?7ReGC4auk2L^%XKFJ4#-nula-bGng>D2kEW!iQttl9|{>%c#yX~ z!;kYEXEnmX`n$iq%};~TA~Ehaf4y?_hfV~rqu59i$Fb>BE}0r%C-Tv@NMcIm3M#P2 zYNO}J@nQDsTmiDq!V}n_g2Kj9tcA+LPmoOkGOA%icAtRZ9L}(bjp;7sSksc|Q`2>F z{EF3Da97a90LdrV%|06nY*=E^TK5TP=huyQDx#L()Z)3C?Q$sWtqWRDF|%H`Ymxox z)9?5~=|#p9O=u&LwvmZq=TQw%0&v=lelq3-!qx^{fm6p=#{h@XR3{NVo z?X6O05AhwVpEJq{FkitS^$Yk=9(922C{s^3_n$DD|#2={}x?C^Ln?{*6B3r>?CJ_eSSsO(z zHcCom9S2PO2^}ecv>6BrpcSVbg1z$m8zNlo_Jcmc-7krOc`4aW;K}AMdch zA|r#`85UZFKN-cZ-T6{TzFevrl|~_MdneC)rZsT~%bJS7A{c=@G5IvTc`rZ5JZOfCHE0cj2Y(C*fi+*n zP>)!9yB?^^z$D>({o06%T!lNTauQ4zk+vuUKRK?y`s+h+9{*tT`BvNt_Vh`CbpzY> z>U+ABw|c%6NrJAPC#}^LpD{sO5qgKs_WUB%)po{DN;x90&xSG@o4jI@&~47t7!b&( zFrN^=8MH%b(2pdigwh zz%5i3P0^HA04$}eI_~zV@6~;&&v~3rqhY5&-#{C+ly}+9L@ybJ1f@u2V@dojxEEqD zqVr2QU^(gcb`-_m3@J|MfKjLfPemt7U9Wcz#b8vMdP?^hAwnI2It~4|&)z;2m)n5h zB;?sz+vIuW^t0BV+S1*@Gj6_Q`qZWJE16krs!`o2r0x^Q&Uz+#uG(ZNU$m$JL) z`+jc=lz(uCYa}lW*Rl7$S(ZDKzi*A*ER7mz{oohgBgx?yHkJ4SMAMkq;CRP`#5@)% zE7kG=7SIf;lI}@2nS7|3Mv5qD!qg7-hC$HY{>nxTQV=QvhE#{dxIl>^NQw;X&|}s` z)rXuVcR9>;Q?L*-wySbE{HK2R_W2=UiadKKG&3@1AOmT&gF@OYBr09Po^fIkEQ#31 zpaOyrv1;QzqOl`GKyyW7p2dm~uEt|?^YzF&JY*ib7y89}BC9N&tNWsPG4?Fr5+_U< zJWxFO1=E1Jl|EaDc=t8pXEQ?4| z4!}TWt!h^hJ8{Z-nW}n?o(w5~bFAYwDp9Athjxt_nhOm~H4PgiN1LC5;oy=_^u-xl z$`H_Hi<$474Z2JXtY}UMOJ|;CBXY~L!8X*+r!L$Gw-Z_ESGU)YIG)8_HbJ_2hiz(?KDJM|*x^&uT2i5TwN#&Ew;8 z6Tn?kpI&U#3$YXIoeuKFJ|Zo})%NiV2{J3t2ZB@Mj*^uNXe>H#3!aC|tp+K`# z1(soiQ@cTtU2Ovn7qO-SbNL@FVX!b6p7uyRAZDkqi5lM(@rsQv22DHn@@GO>l>V7o#sN$xy|x!we5h)9LSkvUq$ zj@l?Ap=Su$)J*|&Rnm_qs#+{OW(w>h59&AYP4b8&0VDu?o)^D&@OEr(aB{fL7Eb5O z42A~$Msxxty;7lmKGw?~njz8ka?ychlZ~4CWwuRYI6))Z-uyYDmNp|kCrZCl5uX)% z(lIgx4N@13QLJ?|aJU{h%t$HGD2;1^d$?p;ca{FOdfPn1n|aStL9+E-i^W5teT+C- zo={-timYqnQeSh<8$TO_|{Vu`qmt|%lEeq=YG5w+kJ1PEsN!6YM(8Cw^beQ zP0UUo{F_R^xI^k>{q1hSq2#_lM6GP`TsY%Mkp zl7M3UeC9wi4!+;_<}Z&wq95unKa^3_qIP$c+Po8u0)l3~8q}6A zDgX4?NwkYgh{HnJRE5U^9(q%T5-}#tc6uB{*NLY{ zrk1TDi1~;%s?^B&vw#klk6cN)3Qub!GsQxDVbWoRFJaMIcB{Dc@K(ujK&L zR^xk{x5>lt>ULB37Kx}pz`5~@Bi(q~qZ%A@$SP!cTOG>aT%cG8)bU>YWN?2^q>qqI zKUjWzw+qM!^B98qagnQ7u!vqNijk`L#Hzy@mD>EEP8AVod}Pos-u|1C0s3ApcWz63ag zB(9TO3;Cq!+4BeGu%QQpYoZ=y)s)RhGFW>)8?=^w^AGRHQo1P-HsrxEiP9?AKHR|G z!S!&i>^VevupA}n;83Jz$6(eFrYTKFI!Muf2Jzl~aa?&MIKG3cB4rq5K_vs4oju8= zW7RzcTu1geAl;VM!7?WI*d<=Q9)YvhCkCp|&>J%sKlZ(kyqoaDu{PEM2GX4Ikc>(V zMV3?#DIZ&YfEbqxG=5=qBAHu5R~iTYvQ$}Iwgc2j_oF-j$l8jFT*d+v!62vIupyLh zk%3IdVAWPrUKs<0e!$DZ9oH%5Rs7^|T5wnogIO;yazJy&rAwt-P8=hVIbO7QlSt>tb8tA?J^%VTNS1pJ1^YYkG zsK8$PE=Gh?LeidzOyhxFLM()p`5-ySMOaFxm}L-pLYKFos{zx{hzPli{Zan%LMK(n zS}}+siNm9&;dD9BJMOP4y-72B@ z<|}#ALCzd|ZTSuC_N&!FHOH^K{5HV!Q;*+)_jB`0Qh(sj#ZmrYjABXB{rNWdyZk0! z#1!~*AW7AOw+(*2`HK7#dRXR=PCqFBi?8Ddyv^6!{Luo$2Vcw#Z}?hvcYj%p2LC>L zC$7-pY>tgp?DqW877;423A?)FW~JOWWGZCjxDh{w0t5^3)>fG`n~`E~bJ`xHgx&M4 z#*WT&lq?%KkW{=I-KkAJlp$UmYn*7>GciST2!>CUT7hOSkG&dpl1CK9u2E0xgu$8z zETo?~&?4E!ne04VIgyd5Z$@Qn0$lViAc)#KcOeeZ$fzPh;iH#)jNQMdPkx*o3UDu5 ztpnxL6~-x!7<-_QDsA>w=(z0{8wOcgeOekXvArSoUoGHkdEvQ=PesKJ8v8P}2Fb{p z8SiEaWq}KU>8@m>Lf0uqOHL=@x1b1~q2(5llD>D-03l)l%=^Vs=cF6*V6i z@lf`gAAScFk@im8)6_HInI}bdbm>oaj7KICiXKeG(sx_Toc9LphXcuhC64b5qi!%r z3FrmpDr7Dq-D~pE%8qtwV_tixtr|(KQm+M!R|4KPZw4Klv{Fa1N-_B*x#(a~0ElU4 zolYAPtARjstxk0=TDY=%y>10hk1Gz|N~V|Mg&gv*kR#bpc|N3aAL5NIgU{I`_yxLy+>30W2H-K1eupjmh0mRDwOX zpF$B_f&dqQz3he_5j^wJHkRyqw2)h5Cy-{37?QSVs_3IM!-sTKWyi+NME8F5*b7d= zp4TQPslf#O(-m94P`2@Q&o7sEFhYDSu)O=oft~UB#}1qwzb_+&(>2T6dfwaOUNK^I zj#wfas3#I5WZ|g*eJ9X@K1E$mhFh4^gxs?w^2v`-w;5mrPt7P;_Q9gzN~=9j88j+Y zz&%f%-%Fhly@haW>@xe)KC>3}mJ(n6XMe&*uBFdbPQ+A+O1k&AuuV>wtEHe_8*h(X z9UrdM4#GZyV7~d;+Gyo^+^ALBeNxDa{TOj`pTdjxq7ku#JFa?7m;D1sYM|21+cCL`bNI1CBy(?+H*{RDoSUZZWGo6k zEDkCYfC-&>k4Xyz8q?1UA_-K?uNp;`+6E(tfGj{xocrg&J8T^WRi}dmGPTLfmf|Y6 zh7qkI2WAVNJ3shtLP6iLUhbCm1n3a?HuhXUxMb$=cp-DlhI zM|4sQU`BN3y7tL3Uu+2Qs@unEAUAZzu5!YD00kp|1B8;&aTCKnO=Xg}ji2U24gzub z3gRN=D#T*xkpJxByC|vWFqKH)l}$(tDd&jJguf&~l=?*yHBBA+#KP|!xlD#N1dkwY zn+Q+nk`K?=Va$mDlo>K*mS9Y1l_E2yo!S6dmKWxXA+p?o+`K`?Z{wAbUxqAub?Ql@ zy{p@pQ1^23$$0p}c+*SWzF`@|`UI+2=5}Tsp4XmQ2-#LQk@|nO7zocJTqo-k$`ghA87xKm7!CwM8s#|VxemjX3RlB7fVt=ku5C5 z?8X-vMwdM=GDf&_#GBJHdFi5fK?O6ZP z{pk_n1Sz<*$spL=QMbX;3nV6pKqS;n2i=bQ;r!5mJA-%8*hKw8)o3L}JVwg*>w2)m zhDWLjly{_hHPA7_d2l7}vLE3ne3;o6(|~9ITa)l;d1#7ZqtFMh`uv2oMrX!W;r28t z7FzslZG-OVaExo#n|$r>O|NQ)5=wkr6OpFYgr>w_uTNBoxsTosCi1Uliw$U{-cJxP zby-~*8>9~MfTW-#kLeP_fNSf*qv-|?4V6*m00!)^`tUAZQ}Tk5#~ymE@&|wUj(~9* z^EaBC`{Qe)GD^{d_H|P&Gma>d;TMvteJM&g%#_MzbEsV)n6xr;hf1jEjE%&;@HL0v zjG@<>v)D;oAE)8c>4N-2WXZ$$dxH^0HnRumg@CQbjC!)#kH~PBTlwEH||Fq>N59RknCmZ=}O+s3|XtuWXQX^V4 zPmXgDwcJFJ!p51XQUmf{-DQ1TVIyfaO~J0N*|E&)VV#B(YmrYLcQnDgOsdh6={}~f z8R`jP(JUG)Ny=D8CQ>Ub$fR$>$s=M&(hfh!Uj53iUZau+HW>5h@LS3~3cg(4g`Tp5 zOaBdQv>y}rS{|3pn_ri9vMUTuqYzagpYmyneX#xyskoci0j3E@)_PxpRr$AsfTYf;!YWaC512y0#1}d|{VX z9)%J(_jTR7;Gu&;jm+9{?2~bOsC0ag#C;4XG;?wdX{TS7&0DJMR&$p{!dPTFK9g?- z4yrm~G3ORY+mts@0#d(!3AG}p`OM?&ZS%Vk?b}&m)73|?!x~e^M$w(3@|-oXBy58Z z`i|MYhx}hMPOHHc_z*|Bt8&C%;aww#V+*CBH4CD5jDs`z2>Xu6sY)QWDQ}?D9Amc} zXcvEGLt=--(wNGWE>#O>WfM#cTNxOUo4SM;>2ZB0D`Ws{mQ1GA{Eu z#OsolEE&o@W_nILLBSMu(I!rszL@zOlW&L6RKjSDrR%YuICTOKJw~fi;?b;fg}vuH zv3q9vo%cu@HK)aKIl7IU6OcSXWz33ye6RxyB<|a6cSm|Y@b--PA?jF>08^5XnHR0* zdIT7TV=~)=1=oR!(ggtCt42XU zZ2CxzmczrX4-9& zy3StcNS|})Ih=!&m1E3|Q)(~*XfrW~cw)Q*fw0GOW2fl|Ye@(61dv&**GI-&Jw^YO zLaG}zMBSf!t&U!SEq^*A$VY?L8kyuR7TCdV%xtPcpScE%E+xX94?Sq=Mz)Y~c$uA( zPIESqToZRML%L%SWx2-X@$x|4NZUDMh%*|fM(@%lU7M+6>@a@f3k{5}M}i&poWhKj zs*+3`ul)HJ$R44qKi7dJ@2%N6!`Ok={&SKFgO+tq+j+%CQ3_R8Ve-Ow;#jDmg&I26 zeB73p%>bG*U1(6>*lamLv>g}K7+1yLNT^skbU7&L%-`wZ$E54b(Now(_4iqSyb*d->AVNeegsR+kKC`5M@kyFU)Tr>^raew22ib8K>F z>yRZt)-pxG`Hj4x@%kRn{(6LI^_-3G)_6eYE2GmdwE8-pEGKNYVJLXSW`LM+!8|Yv z$5m&GX1`Ya>UL2JZHqM$!9v`e$>0ee8gBV5#SGkC^*T-GSAdm&&aJ6s~*T;wbh?fItTpprU*!PYxRkWYO%( zYpeK(H13D&9U^7%kX) zgN#D`+^pl1WBp&(+Ts8r>wCWOWPiV)XYax-ZLco%tNVN}JCef6IIK1CFPw;x|^ zycSf3i)bJF6o#Sp3Pw4uBdtAG#PNM7wkDvcne1IGZ9u(pA1@V;1nGtHgQ=3u*`Q>9 z#isdUYpjilsT=poL(0Q{cvaMlofFf2oNmd$MxDIVYN&(7-I8H!>W@|yq*B|_y z+v6afBU!eXY)o&Ahb};%-PDaeqGwSS`T8{q7RHmdq)mJtr-?Hmd!VaW8)zTzPfyHn zyV~^J+As_OPo%D!vemIfT+ECt01}@e8I06)ol}B<9fA5m{rj1DDp-%uWU+%$jJaRe z_y>-qUR3bx;?IO`pG{&eOm9C^0;Nj^sHA@Xcu}fkovq~lC9)4`{ca@b)O&S0gNQPEEa;N9M*FNq zj!~w!LdbyRxUC>>o-lfE<=_rIm;WOS#Z~E%Fz;dD?W~dX$m|%cxXH>)|WVE1C$K*iTT6DrHE?mTrg=-oUlPb)o znQrSHzj*E0cZEasVm!RfHr-w%836?3la?A0Ir!}rLVP;bFmp%O%q7ILU{2NWHrP+kQCnLsAF9U?eENeqIwS z8R!Z_?%qLC+9>c(vk1H#&?6y0J{ijFaoq6)DEJ@JIJ^$hKrk3423W(i&X{*6q9A1e z1Sc9PMjN1i)kg)7NkbiLl~AyO>amO+=rOR@fb3vWELCjQYe)n2RQN>1`UH*l(5Up# z>4?~|w!l2)Y*4=dK9#xzBUl@VPCGml9u_9wzj;qjeSx`=+pg^9k5`n?QI;F#9s#t> z<8sV&2+&iGn*Ejgx*12JeEwZ9SUaYX(sY` zrkMCX8w4>|BW#5yaI zmN${QL1hKXf)Kw7+3u(|{B@?EYgu%eU78Ogjc*irSnS0F;iaND#qt!nJak`M1Sul@J>+@_XNEWf%q+&?vZVX)+=^93praJ$3C*lr3SCMaED{F57PC5Z`5&{P zi*Y>2N*vsS8OF5ENaFj@eIc+vNxg*z&B$vt60gEW1+{oDV-l!VRII7df~wTP=*SQf z)34T0xQ-Ir^#u1>xg6*_V--va?{#3GL}?;mFwMe?qM`KC^+=wA=1KSCU+wXx%N3qW z$}(G=(=K-r^kTbGkso9Vgxr((JZK_M0KUQ?^b}#dAP`l081qq{2CasX`DCz(B$8Ss z^c$`vDv5?K1`)ygcMb+x-Z6Dhu=2Y#7q4fL8W=! zWc}Jb{x_ps-EUdVd}Npy5Z|$-n%*XO8ds~`MMGrvAdxLa7*0MQPagH+Vou#eD4vIE zc0JPMt>;ANF0=6)b+($^Q$L3exweg-U%-~i>3bm{p2u?Pt z-3J>7A8JgV$hTPQo4MP5p*2z%EkCL+vct>@MwbEoj$B|AjOrQcL=sW%yl5~tMo#3M zTh*%UqIXWSIAFb^e~)545}e2<^+bbF%Tm=PylKz_NaT{xCg$+u(*ZJRS-82w(WiAx z8%;!OtmS=dSUBbk^?Rn+W&5Simx>?w$M4*0MeuSmj#+;OQcRq@n^1D#E{Y$B3DCwH z9LL^|-o&Ev_w(IOhm&vd|Cl7qfIbvZC3XTs1{70JDVx8ROIN~u~n_MzhY7k34Tvwzf) z@{tH6p(8`ox{A$gRq=KzN0RuA#tk}jtOMyJfgKFO z&ys)+4b+ETilU3^{g22g*wcb6cTO?GD^k9Zjbd`qZT`l0&fhM&P5Ct0yOQ3EHf|4^ z!2}k_M_W@k=JBDUJqv<8w%uO^66t3tNJ#c@FTEjL6>tZ-Ap889;oBiZnF9)WiEuV7 z71yEDb!EpLXN;_W5?{H=4L-)-`NqD~mlP`DDNKJ}J()?{fFyVyQYArOBY;pXdvYuz zEtGGPwk`!W`BS6uPwqok7$dMt3VOLns`R#?Ib@^i$W@pn7l`0+1TkRgzCNO`QXRti zZ0xQoL~&zH+L`7AjiqE|>qAf#>O6L)&}=%V6r6I9gzlf3a0Y@yxHH!>HDIR*6pZLc z!}SS35FK!es!IgRAXs`#aZhBwbFIiecy`ND;|8D%%K59iR!i9{wcuM!Sp-YN?4<{|F;&=1SwSJ0vPF*xss<7K&y9Yk*>uGg&2uv{6qr3799oFR4%C--H` zkT5Evz_9JYpx$Ap{%dz+ezW>tyoaD4~+fA~k^$Ih1N z<27{38WkuTteq$7uX5W#LSNlGb5e;8{b zAwj_^9eWwWm}aB;0GZ#^A6Z8mYE$5UaSEU*Fp)dDTqDCig9xH2SuH`;6e^OH0kWlm zfWo5Q<(eM}T9?>u`rm$oon}}G6xcTEHV}$lDZon&!89l#1yDyUCrwtX&}z6P;y#vY znLX%e2rU(f^7_yal2!^TLep9F37P`l0AoD60l0K1wOJ$unl+#HUX7>-NwVoB*51_- zDkP$6r5Uj`WH0xy^4b6THg@73n}}`ZtyTjp8^P}=c2Fp+&UAr|r6697oTJC0Kvai32sCX$Kjl|_q(k*n zcrS!?kV!ul7eD>Kz0*Pk%Y>*eMj%Smf5TLDWM^*+qC}Lapm{V5DN;lh;Dy>b+rX~a zu&Y(*nT_?+@Mr zwk(242Od1t1GwAamKoyuEgAf9U7usHZWR}(b3nwMXHR355z9b9cTXdtsWv>q>`FAv zcJ#e}>izU}D&M5P{9`(FPt=b5Yk#8Z@&AW(@+TkUwKqv8Z|0i6b%&ZmU%$0O&C#3s z%ika9FaNJEy}8uoU!Pq11fth34ieVidXTq~U%vcMF>`Ky)e9J!V&Tg_1^FX(t^C}g zA{th*E1Dc5ER!LP*Uz6r6S*S8#O8O>C}!(Kv25{=LJY})%`Z+`>(yWRg#0@Aisg_u zzr+%iFFEO3ziz$8=y9<2`OhQJe)E{>xo6+i{+H!@_4d|GW%6#_Tx#S?eSi5t8NgTi zNXw3?#Xk0`WfzmNmFfAFpp6>FqCa8XM#N)8A_W%bnFH!QFELS{8gKv+~+TLL` zfa`;+>&K*Bb=aLGiK$$19mtWP1g#^6i)%IZ zv02RU1C*`F7Rv@AVxRk-EcB&4EX`2<2$l#E~M8 zdc|)))MPgomvwCPlMT#rC>%W@2^ruibQC#+Xo}QihhRpdK$lpcvk)G_ijAUZXgIh~ z39dkKdfBmH!8eotEmAx%g|3lskws)2lG?#&r$)ybP9-=oym96uQY{a;BWz;ZP!thX z9C|Sh={A)vQ_{iOSmRb0ilKwBG8E9^AVR2OD^;6b&DUv^d8vy(#7q$axd@wdhc<|p z;GNb&e=%ow0&#T7zhZ?;J(@dLk@)d>cH`CG8ZNY5U+NO#FXNj+4-2C~83i9((Bd;e zk7C&<91NRM)Fz&8fRSjIF|W>zGlCKj8UR$Y7KIUI9Z`R=6?Vt10(n@F^O$mCY~9zW z;C6euL`A@j8B;6exC+1|DNwKT<+bveiFXbkDASao8w0s}QH)=(kZrknBk73?RH{%9 znQM&kum~cs1wf0xZ3ec9hDccXS%#)R)+n5cVol9ElKaKk>z(7K54N~y>T4^5z7WUi zoaRK6MKj36<+A({>H&C@_#lSSV8bXf6JBqv@r}QA?};YQ2ix}Wy=K<)6q{OilC%)thyk5Iu|L@kICi%211B#5>*PG zPauT{hS0H?5B@I*V7bg;qyb@UV=fV|nv`oKOWY8f2RL>cJP`?j3_ZtCi!^dsj>3Lr zKihj~QIz2#NB#*Z{ziv^hA=PD-yDV$;#wCV?BmZv-z0HAHWt8 zgTXZDq;BjSF{E`ejM8|g%&2y9b|6`{kCn-Hzr9}ZfH*QsQ`-ZK%fie&1AGC?uo-WG zbz*d11D-$$8F4a@V=8y55Tjkycdp-oZ;lmFFZA5u1jm=tVQ4e|`lNt_6CMmL3uW{0 zFpAKFYmf{x{xJGE)R@>qA;VX3MbJRS)fCB`K}on#Bio*0lMXuP;sDT42+&PU#C(7m z|H_>Y*EP3H*-X-h4XaZIKH#YE9xyHTF}B5EF{x`ptsSG7U}a(Qdl5YVMHbazBc@sa zIOCa;1_nWQ@x35bbdfW}V@j(LchF~jh#;@!0E?Pe;c!{D9{s#<(%8nDmX{2Zhr3!mcoYPdgtJkyut+i|>rFx|d9zt_PqMD+slhX= zF_s(?%!Y-Tk?+F2*>k~4E}O?q&=`3U=aOUD9{I6Il-^G9s5j z6fuvF@g$Ygh*`bhDP~Zr(r6~8QHzz=6AzbhvTvEMUl&q@r)UN{#Dhjc@tLQP2DnZM z@%`U(l^*GzWpY1@!pQ)r>WX(?hU5uDH`oVWGDu^ZM2Uc^pq+Fn|HYMQYMoX}VY}iW z003ouKsSnNb$dr+iM@WGOspQb7GSi4SnIfXk%2bet!1~wc%VPH={Y1D1fzH++^^+m^?) z#b%d5!n1DLOc-aQ#)|Uc-zst9yb3J1%M=l}TLL1BWN=KzdFInJOoxVz1J1 zW1GyGBAs6WX40XPENHMD?^KA_XAdg80Xsy~5XEwAf!qAKN|+pOIL*VNw5Fxr_q|tH zIH~TwEwCk_YK*|jV8B63TZ6Ky3QeLjOfgldlDqH-BoTbm6G$+$w*yAbrl+ZlqUqBy z4=B3A`0%_iqSu@6YOlEQDuWgn`@VR|<%SEuM9s1>t~z8p@9MTC2r6Nw^lw*Y4keGP8uI4NeS2{im>Lo2xE#z3W-;c%;sH>ypf5YXk7OL zgOrAhNYdn4=%v>sBPq;_4yimCax*5=0sTPnp^%LCXi_mzl=jTv1#|u&TKBKjiv92M zPrkk0xbC`|b-}GPo8vnNr+oK%S8&T?OuuKeVu|6EDYSjwVPV1e1Fk8X#?!_kt6y0Cz>YAp_eSdpncKN& z($-Rn8f!Y-eFx^&)3<%&DgTUnk+=z2SiTr-HfhAi5v}=5M4o?=Wgx#2`aWwxmKi}> z4*WGgSgHg;z{paHezNh@G-Ko6 z<;>qRU|8X}KR4}fo4Rg?zdLNWjfqZUSA%8htG-JCctD)-rEM$P9&Emm_O!s8CEzjH zT|{=4=*x;KiY$>+k&qqWFXDos~Yi3z1dEd59zk! zn(w$(rL_FJrd58Nour({uGB-WP}(|w=Wt@l!s@=`R>luSJlzZ|;P7HhyK4}RvMl#1dH zQihw^{Rv)pLxtz#Z*gYkqh}jDL{0H6&WRvxT;Dhmd^Cge(O>bk-R9m8`A-JFvv}Zf z?xwK#(LZ6!P0?nh!7QeWiQRes|FG|j(!Al-YP(*0M>q3#22$hj&ct z)if&U>D4^7@1Ldyqou?%s;FqCxN1l|r%I9*NmCzD-Q@)P-ZH(9HuQ#_tXN7-oXtuo zH$ACJnVDeWr@m%WIY}N_w^`d z_i2i-TA`{vA7Koos~Ts(l6Drc7eZYpn0=rLoV3+ZbxS4RiQR5O73#ppq2#s4eK?z5 z>z@gG?z4^Kq4teD(P%VyDF|$0g5<&vHz?Cw`**%i<<7&Mu=*a7J^ys&SjwEWyZe)s z{_GR%f2o<3dZ`TkGE?3}c=4ABf%NWqz?n2>dWCYO}>~MfT9!j zF+ZVx-tgbE_|XsfK1E$GvGo?5;H6)C9rOG&SG6BMg|}i>Evt?dbuJTkP>Z#A;Y8xy zA0j}SW{7CwU8qE}%?>TxpglDy0JHlg^)fhZVPq!!CV_+p?HgYc||Oyj8*{?24J;svzSA@w2&=I^%)HwJ#=n z4mDW5eW=0OAp*#2f0zlf&1;k3z;z9_VC7F9?ohx?SAzTgU2S#?^CgHyl5SG5X2w)b zj1!__g~obM2pH)KWi-0L;%EoT5D~2CqniYv%3EbpJFZNu)6X>Kxs_m^V1pMrCTs(l z5Ra&WNsW>QmZ_5>@i+bpn|GvA2uXW$6fG9|Nu}GisUW&Z+#$`~Xez3t48ibjhfS52 z8guv&M$GGv_oynwye}pU87rmcXT?-_r`RQPjHG~FC3|y=gCogTs6;ld!~dSzF*WTr z8(5nojT3%%)t`^1d~4y5f#{TG=t1r8e<(?b+XWH7y@;jZ= zc==Yovx$)(z0!7=-}w~HE9|NHk=_rOS}8?FZK?S`KHU3(&3_VlSv=1B3@%y&)j(P?m4#qn%cIfaflo&-OJoL%ujNb$rnsPv-jET zf;Rpbg~O=nR(G%}lD?+P>x8A#>>71AA!#}}ElDA0uCnek>`XX|tmoFQ2J^h%^*o_y zvef&Pkj;jYz;zFQky2cc2FcblZf`D}YE1g8+t0erwR4A(9gA!OnQZ0VA@>YcNQsZm zKNL~j*!Pf@UGoZrT3GKc9h zsmGMZb53MkNNqYg&g*Ga=3v5_Gd)4=WS#MC=8#Y~Ysi9inFMlS&L>)k8*`1N-L6B4 z%55%Ht`CE2@hUrrDS11A*J3Lt=Ih^g@pb*_WUrlS!BgL3X_QmHg_2)Fg@geu%KB+C zNT%E*(u`NK)APzVNfb2g07GGMA+eN1P(aa3HJL}q%(5c`X2LNKiQ)%S{(^LCFctC& zV9yVpx|g($l_Q7 zyz2;A+dn4>O!`*hQI*iULQ*)#_KhcRr#if-dZEK4N4Azb-&A!lJYd!Btu|5oR~(W@ zX1^V7%&${4U9u;W=MtNle22HjW18gRHEIui>}<17{V?hKtdQ%+P-q>Yrdq|p!q$5U zsO6iH_+3o~5t#^?QZHj7D82*y!Og?p)G5zVB18>HZFnVfEk@RCmaGlzgu31mnQ)(J zk0^Qt4x(=X{C z^Rc$@elrrsbBWvn^icVjHJa28Ql`RFlbt`Ucl1!Q3#k7#=AQ5g6Ul9Ucwp~p?03@> zJ~=3?Y@BB!9GA){FFHATikZPLG%A`TN!f^s(JO!Sue*I}_12z)X}bThZu4Hs9B4e% zA#zkyYiWvkzU*l1^qKvWo|~nd&SZ&Hsnp3ymE_q=ZJF1A?D}4&kg_c+c{Y2BOjE=_ zw+Mk^QW1~wDFh=qK>+Gemq>zvvp7cAsPuQwhs&$Hf60fC9bN>m{Di{{t$-ecdLemS)ukQ8B!U5^ch1$X;Cj$A-p~e z4SAeGbsUgYbI#*&!USJC#XX>_1U=9F?b!abOQD1c-GaSPW$w~1yH1n2hJ;M~>Na_S zmFup@@N?p+ZD>q=j+J+3w>ef{dP5zMIZ#vq+U$FlXlGnBmucG+qFBIB{fiQ~ncuW4 z42k8I$LPm+ZOQIXDr0nm(tBKSUf}Hr$YvLPsjB}J?CQ;$xS7^#5v727HO2E$^Z{tP ztOE1nY@=>=)Q)`>i+H~XH9%psgwW1ctWN6L^EOkoK+{Tt9bv`7{7Jh5Z*Ub`c>LA% z@6#1Zn|kdZU$J4b@aF`AKl}VLbOiet!X+$dWrh^3^Kzs?Lb#~HkBT%&eUVg|+L<`v zF}WvsTTPQF1&V?Qg9glE6Dz*iW6*+t%1fvyb(#DD+l)&>`{HN|H?ys#+vMH^6UqYn zyyEeVWB?H-dXz|^l10oIT2ydQOJ*K}G;RgUW-}j-0+GWescdGUjY{za&5ip%Y8H?R zm3n^*<=hD4OBE8(39nweZ?9;6v|9;V(K+UfHzP?7z$eBgjb2z!vsUQ z%miunXRNR>5Op|FOog)N{~dc!&r(N5@G#;$uL~f@JBb&u5t2IkKxc&pJmPo4bUx-S zgByPuMKnti;)->ak|GzqN<}-YphjG!t|o%do6TgO)L#Lax0%d|y-9Xa zY}XEyqzq4)H&!pheaGuT?3j77j1IBMCBilxe)hI1?leu3G;wFy053{ooAH$O=DXZ; z@Ps#|D?<4)?jIMnWS>jf8;0R8CdV(^-5O&AC^JN^^Jchte{7lEji;BG^verNsJpQR z3Ff>u(bF_WMnVBX&5OaH3d>Zqlg6Xd%(~N^2@`3@A7^Lc;540PFoqRQK}XnHZ<~9$ zHA98gt=*1$f;K6Gup*!E}+T z+mHt*_TLmOMRe=KJ#+Ho_NtO2W(WrcqVG)bN;HgcF2xYg3eUnDWOIxC%ZaD$!-1Z!ad+1yBe3%N6F zQxB>M(<)Cw00kUIXu!V#Pw!+PbJ0qku2Fk}LdI+8SN8f9!=x85&?&)6Le(1>uoMDu zJ3daYMShq)>X=DZlXMvr_3jdyqKby-@!lLxS_zE(=1tYvD&h^(*vinUSBaNzaJy~L zq-^9JI46NpZIYKg<6NwVurfrfR0$i*qQb~(;uoM%U9;XBu;#7T@QiMoIcXb6Iqrp` zDCL=BLilzv9C_|!W>HGWt_;n($sxc2y zo5IB|)IP`(=l)9LEPDj&7D{#9L(4d3m7$mj$KOn4(|dj(fY4>dZBpSI>rz#_LW13;x_2jn23>#5pSkcq!1h?moe@fDm1CZ0i*c5AckVHikUl~AZHn< zqSZ-MLQ0dB;P3wvi$yTfG>21~)jUA!(|!4YKmT@Xaw+(H z{$BF}=FgakZU0cbI{D#jX4_vy;iQUBZm@*^^6G<-V$s%a*||Ej}@&?gutM^hwyRLsQpO zPEux}oIs+7kz@Ncq&c?r#y%FpG$vO7o4%@HRT zf0{7d{P8Wfec^ni&u*G_#C}!D{`43NBoD7U5er@S%rTy$(hd`Enq(ETwJ1rtO)@VQ z-4W?9+k#2~NiQ{nX_j#=k2%xs5?i(~CE;@}3y261op>t)lGJ3`Bo2{dqb+74oOSOU zP(omRLAb=^8Wy*wNr%-K7``)M;|&J!f~ zgsuD2Fqw}Qu@lLTY3)wM{G)i#vR(3apLwF{>t}!Yb&B=-vvX`Ng{j-sWIjoSaBfkX zuvrna5c(J-_j_G$iZN8?lfZVuJ7*UqerhFb7)qxCZ;ChHa+aj9J?2r*J4tjEC+z#r zp0g-01zt|FU7sJ=yEOIu-a+z0G8ki0ecaiWY`pJwtXl#fyVb6y>so!1<_ZqL>V{kU zRcb^`)BXfwb71j&p$T*4mbJI3keib5|NS1~$~C>J3o^xlY?~Gvyf))kYN`s~pF2`m{xi z??sDpZ1xFuY6GWLDrh|6i>TH) zhv{%9o9q*b`KM2d6&M5G$xFJ`4m(24g&L(;sFJZ(BD`8-G2%=bjlc5t?}sGI4c@bo z^yz&yVk7RwsQ*CwUS=r~PYoK%wE0RLOkxB_-E)+^LS}TuKgTqdE{oyDyi*W?t6Wc* z3&;XjuQ3hl+58usAlp>wAxx9h_$ii0`)h2e*NNHR>gOn!u?Cd<<&h6u)n``>>l}Pw z1tGOSE3SY|U86hv(cK0)AzM7K=fTq01y194loR6EV!R?u=72QhaOCndPW~QO5%8GI z+_JuK_cgFgC6#KwPt#VPiHi#yDuWrnT#Vxg{T9<5NK zOuf%nu~Nb!x6XJ4J26oQ!>k1ap(}p3_TVj*Zfe(SK6g-ABDJOV$#sBmNa6_FP#P_o z>e=GO>Idy=b9aq|P zidqqQe}x5n0Z&NQ7Crzq1Xk%UPAs+qXrw=peQO^c&7iNl1>W);b5SU3!cDySwKGtG zQV?CEaSrGVujmghnA4zOb$KIvw};GEuo1Dn(-sKNPOUCQEJ_2|3B(`!r$Q{Gs4qH5 zYOFAb(uU>qtlOU4!V9C?ZPHzzx_!#?C>eJ!@yrLzK5(6P)JaXS{o-ozFL9>(e?5F@!0t4xFyzdP%_RNYpYAy zNocO4tB!Ld`VeW=%ltqZ=$%Xw=&*p8iNLYpK3k#F09l{5m_+3s;JYX{t&+dfSdu^Qo|th#@&F=LF=&wmP9FIok-hE*_L;-@lgzM-RGqr9*9V zNE|P7-1qsb>wR`$1b}cS7D86Lm3A9b(61s9rYrJB()_mN!!=8%Cc-iPI`?8@aDQX9#Q@~a$MzHt zygU7gd)s&I`&!WMKakE%r(as!H=Qz3?^_?ax%c*;@;8r0*9Es%gH2Q zA|Z{1c$Ka8YhK#z!?eSCs*3tyb`qoq-_1i(z#XV-BmE(SjW?HbyIMRly*ZK2}BhK{pXIn?d!}dE)9qr%xjy<2~?MiPw zSKb>j9LQML$wtR*>`30@Tp#>s(#xAYx3jwEYrTEvm%n&Et)E}kK90IK%-d~V>E6_I zA$c@wckGtn!@c0KyaV5N9Gie0$I#0Y6o)(ECq4^n8;(nzgg@+CB8X${4}8mSo4evQ z4H{F<3RVK*k%19b8H?2h}{Yxykqa?FWOpb8tvZ%L~}$gGy7toG)yI4&00nf)fm= zO|G_PTCC7WnDvzP#@zA2uYwsQ$COW8$~@cj7Cw0EKy>}~cF^1IRJK=uY@UrPlZ=Ye ze=0BHpg9Rlhbq^EUm=R-%QSPUN(AvbzyJH(225(=GIGUH@Z165gng@XoBy%{nt*Dz zyS-ko;v+gb1c{Y3?5n`8b!F4Q6QbfBBIB=iC_tJ%m5jEm_y56`ShzZH!8DbBI+)%Se`0O-Wb1UJ!^L6C8;`u3M3GS@ zK^?^vaX~&4A2Ds;vhF}s*jNXqVXB|Cz`|OvV~RHBIBzpoFem6x%3@|klXI?VeTwNhU85M7LRN0NMP})FXeI9m)|IM*~p9GTz)~CCx#md zy%4M;EEEqM2kX+6ykxgAE?5azD=jjjX2V1I0vcH;r7k@9L2Xb5MuuO-ts-6VV~m(P zamu;nlv5W*6~A5YAtUBy31jht&hzqTjsj+!BrUf5UgO1o^XA*gHL{}*J}2SuOvQ@N z`~XM6#(-w#lkX_R%?(E?;nzjbwqFRQEGVkTr;&-QCpjTKwct+EKZo1G$uSn_n12N% zYvV5_kSv+87>1e;5@n1zmK!UFavXQ=cQB7_bP$&MZ6BIvO{4xs$z<)aGcay~vX~bn z0TYMlNzr@yaK}Hr%Gfq6>Lmx(N2oav^H#@*r(dsZm)`q1M{xnBd|xU%yun-t0V~Du z5^O48B1_8~-}~316o%A%mawqqun-2jPU|=r-K5qD>fl{3>%JFYLp_;Mz9E^#f#!XS z@@@Rr+qj5hD{e8rB_c=)=y-|$Y|wZvI4+HoE7~7mvvWR$fMA@G|G*nIfO$ksFwvLj z-4~wUA_94+nCvuY6$gTn$s}iCi68*Yun29)?t*LpgFGV95Eh(~F$2)b@MOHX`@g_H zX)oKOC8N@SBk_bAY98B}838XjJ;{E%aOoH;6pjxeh@j;?RcHV?5p{`Y#>(tceDQr_ zjE00&1F?w=y<2L_P=3jl}L`J2H@SA5|ZOn{8$=aiNgQlg_f}i5pc+1-bCz1Y2 zslBoTmjizTCVRCpE9hX9fq4`gVc>!_wJKp@of3LqN5Zadh=Z9QU{v!{V zeZ2uA+KOa7_anYQl>nOuz8z%LAQxw27;+%T{um$iEyra5Kj}!68^H3ZCo^j>s-w|X*&?~+* zF~8;;-;h0&!F*|FE&DQRS6>5bd=|QjiKyc;v!}id&Io}#3APEnLiV$V^m*T}ju~q- zinDb&0n41F_zbov6PzZ7G!0!Dcx-zTUZ&ymKNrTb1M%jyf3ID=Xa^Y|(dWx`!8pu` zDaY?t6sHq06eeVp%cH%?DGY4=VNW~0a2o6VLd}9)D2n_54 zl%NfH)Niu*^1!|lLKPe^0?=AxZ#zP1YUQK;BYf%8SLaR_fW%g@4Ead5#uM~~u!-ZW z7_W3UY{;b)s$Y7R0Sd(Kzdgln$7u!3MXWDAEYa5ZBH3{8r{l@E|5K7tts<#fnAvI=oJYOlC0gZ18Ll-7nh;I4q4wPLVG^@Y5g##$_HJlPvNs zCMg#HjkXmxquCc9WCu?Gd4{`1d^PMB=Y=NtA{^WAcb*H5m^cASi_{rhup|}$RWN0w zrI0My`|Nv30U*bl7vDvz>}DUPRw?D0`!A#o&^t z5_<~&j$k5aq&-1)GoSHuKmO*}WKfn6Rp?(_lT|^wK#;Si$WeXlXcy~Y*qPr2?bMud z9oguzVGSIq@L{%GDqDQ+U01PjQ${$^8=Wb^d4()(UqixF9+Ib!lA43I71VqDR-BwS zi8Lq<;cvQ8fWbQcB@uUGEB+zA0;eI{q^NeLmivQSY)gL7C)Ogj$QqTFa{vycqzJ=i zDR5vuFgxl*aeCuR|DfO=2?irLhvJSxgMP>@io$iV%?1)KSRz^&9stoE3WtOspM@%- zyof9`)c&p@H^lF@pB^K`5@sj^nor|JZ$w>eoLquQYi{z%o-C89V*7n_TO5!LgPNjB zg7gfNQt`RMg%NP^=6kLuPs4o1M*AhAI1 z`>Fv<9ho&yQHPa#=3Oo=lQQ`%^ zh^gdLO_^v2c_ol_)44W(PMXys+& zON<*+85b09!CAJkJi@f6=s*Y5^bjGy8gHFFEn*@&obtzLpF)BXzw8>Hw>|lK5S4Q> z%8!-~p&I0Ilw76qcPq6&#RU4$x6)^kwkjp3YE-lfqo6O$;+NtA$PttfH-6@ydr%Kg zDW!msaJ{%_Vl?c$pK!-YqkStHgVh6C78DGtNXRV^D>!Ho+dOZiRk+e$#8-JpFhtY} zZ~NFaMrb9P2$9sMfNKf|CM7@!ljK0K^SBd4=%^e?m*3__EUlO)bTPby2zm1+44OFY zvl-7MJCb)sbb;qKeq?LQ3{1&>BMO;WQ;KkkI z06kL(L49%!GFP6t=k?SgfP~W-ISgenwlYw@5L`!D5LZ(TDL_HgHRx&3D^!I+3+W}C z)X&Hf-uTnkfS7)UbmTg^NthxAZ@-A?iSja)LOVZu+^fK|7@3g4D-1iW&}a5mVAc(r z0&8esC^YfrN84&r_FE`q?s+ITkWuxRgha$UfPLS2ARuwYwa$W0H5 z&GU6axan zH4rwfXMn^kuT~Ck&(#afZ?)ZNePYy;Wx3fw6=r zB;mcX%r*$9=?yAUpEB0WvJoe4G24+gh&x9*1b}1rTrFoUN+Up!UV=M3jIaTmN)uK# ztN%I`86-cu2}y?76ju1q|LiO>BV~o2;5##Sk_C*V0MZ5T*& z2FE**p*SAcmdZ&=sEOyzq1toyqx2y=XNaj#v-Rpw)B2%33t2k(q$-?qBKmA-x&R~Gx&_`fd7G@Dx zqKQ+#-{}Pr^OuxAD+bD-Q6$hH8d0Uu6Ecnb;bZ4Z+FS%YQ4E9mmqbHWSGrG#Tk2R6u$>s7M`hYO0esal4~8>XH@a=YhC! z{CbI>v_bklBAb{U&@}w>uy4-=lwF8(%%IE=5_}7=X*4(zre>iBG76K3)8%4{C#;QI zZ^eT7SZx~j#ibH&%sl5&5^Oz5EfF)?0d}%5*-a?Gig-r>2?Pz;{OP!YRU?@kfrPYg zPJhfqa%g#0VH$~uo|0kjw^bFdpSIc9dsQH#61@ZO@}+2jX@b24>5~3 zn?G;dpl~w@U5Od0fCS@pwu6;&0D}_lu|O+h87SpvkS4SSx?y8t@0 zTAAOlPa2XPCUTUOmL6cmPdPiGGBm8?92C{%U>UH(6(g05R}XTyYGJ5Md4n4d{RqMU zMqrMnmwOa2L7(Z%KMj#1P)}|bRl$?JMu|UI!u_B+fQNcF=|GPHhlk_QO z($v24kXGviLa9WxvFSJ}!lP+s*b`wfhX+KAn4qC=rN=FrK@o=7#+!fjnK*0F!uJW6 zAtkYvVw@!9R>xPSDlKCsj>)G?dq@Ft76^}`RRtGpvblofPYzm04{}n#jyJ#YyosF@ zwV63FUWuPfH3ARYOrX=A5Nee+<2g8<95v(NAR=5FYL((}A7PnfQzF(x0c~hYK6h@5 zT7zP8c9}OYj4XPD5fwzq%K<{gV~%2&Y|IX2C`1L4O4~B7l}UxF zDCu23U>}qX-*xH@O1D87-pSsTSFoiZYCIymzR8!Q5*liDMX7?cC3j#D9MX?8Mb1ep zV6=s$F|kFn@#gRR6*z#7Dz~8I+g>R_bV5d(Fi%oM@fd3ZNTd~-=B)Hos}(PSP@rL` zsyFT6omPAWjO8Wq^1eR-LyWbr0LF-lJX6sl<&W};mV3;(-x(Z1QoFJ?M5Ng*QVjF6 zlEX7|BiI!`2zXzffi>h|y!mhb4|E@9Nh0;Zf59%{iKghJ3@nw_m)TOl_*)e@M$5XA z44gv7K{~<%gkXNlp6-~M%kWBk<`1tj&enux(gfi+WF%%zeC5@c0Y!!e!6zbv=n&`^ zc{nB-or9YrbOR@T05e36+~Sw2w2eF%2IiVMLQ5hax ztbNQIjVfONG#^2BT5f?ng6ef_^BXf!0Jf~peu2S59e zx~jo}!n_)?0usW~32BQC>^j|DLm?eDi17ST(D7#YC`L{hB2eWg)dPhz`623hIA#F5 zE;!!Y7|)zChL+^1n4(LOOIw>`i z%T$%+*&xayeNkEkbkns=`w05s?+{V>B;I1p{@4L2aRu5Jp^#+w-Ypf)<2v~$ya{&-4^V}~B|e6{lfhWLwpEF;nHQP28E@XwHiMn;ji6uF zd`MnPRvO!UI{>{B%{bqn_1GE3#@7IuC+H3{eq1rtR#{{RWRY5VByO& z8)}{2pzU?SxnVLRTnOdX5J*+pOry;I@NC&0+v;3GS!?5mcS0%R8#GLb>WE~Z=jw;? zj0)mz#V0b@09I{inAiP5Ks=NBLCG9jK_jJS8v)JK8^P|MKZ(r1n32p={lm_fu_E9r z*OggQcmYnnuj`gfh8Qncr2r5e$b2DCR27*;9p})wJ>LBCZ(8&}lMCF1KSD*{j39GP z9!iM0j5@+7Py#UnPL>NLB8teAEvKRhF^~!bO+L8Lc=O2fGuIgTBfl_F1|-OulEuXf zn#2kPFyoF4zSE>i7DesH5Kt}`*f7@OBA!akXO+&_BfXPJX@Ui(>QQuSM0U4Ey7HwMLeIH;mDMg5~7d|8% zQ39zOcV?wSGijwKziTXt7@4<1-l>M=9?AnEPX{sy%H@JwV!0YVc=I)ikzyE?@oZ=& z6*}raYOgGc_`(bfT66JNcGf~!Jk)t~o&|ClEo@Ia5+)R3Sm zE+9?PNtG=sx2e>xnyiN16qjb$$ipkhMk|IhH@IY|tjeB#iX-0qvFG3~ha9re?eY>q z4d*j|Xm59dh&)CvRxXb(<~}H?c0Hk})S`G8uRvvDjSn!#6cop?M_;dy*9f8sDv%lU zZb(#am14%Os8!3$*V9yt&!jR05naJjhSpI(?;4 zmiRF3AaG}RS&A=_h9D*M3m4yHK&ZT7LD3jGxiQ%E7*kR+M=r2fSB zG`bQ5#TZH~{_x|3D~*5-Y@K$<#F_PxJ;Q@9{Lq_CN@KKzBAF7960Tvr6bR4qf`&EQ z<46;tYm`N1NQZo^Tq1HTW16>(1}Yv40@gM$sf_o}D*Gs!ws!3lu??w(*a)`x7EG6| zP{2%u_O)mPbn*P~*EC>ol}?mKR%->w4L=kwVeJI11f(+_09a3g)28e)2q^P1}k+Ncu2uSrG0vDl9OaoY=$@CYeK((NqGfBR-?KnNuNI&Kf{sjpQi2Dy0Mo zA~v8WGpBi>Jm5$}N|5p9_789aKvfD94N=&lJ4TA}aK_8wSQtaL5g(<>6@Z)Dz(R)^ zl*+*8PZPB#%I7G(lkss!-bc*RU;Z8@$%rZdvG*fk77~k|c)ma7jQ0YYAx;HYLoba| za>N8b!`u;o(8jNCX!8x)wx;kIH{1XVf{${^uoNmuKoAAf`7k(dvC@f)wrTIgZ?nHiPO%$w8;9x=_*nnWoFW5^MND2@mJ7gP3-l{ck$gECJP z2V^D4R(QJ6)E-jG2`RRiY zJU?Iv+9D&EjU0EddYXgeD>pB#EsRp~1~g579I{kN5vdbBS!1bOKxVEU4Y?X`{@Kq< zR5UzGGF)OQ6Az;mmuc{3d&f~l*)rZN7g#_VWi<0vPcZV)npkVGqbn9_P@-ss zk8HbI`oW!m%OBtu*eM?4%5BIiftQgRDW!2{Sp(QQBRlvKBq@Iw^X*vG!zC!v2-SorVS~a0)Z6_0h28XZizb` z5OzAylk3HKp7?xKc?Y^LsAf7@-jyMijwOIkDa$fpb5YPy2%rL-fXUz$4Su!~!EizgsZS zojmPNPZH8$bw)Cvcys4hO>C#ZZ^TrvOC&{?vRfz?t~Ga=BJ z9x*Z}qBH{$M}DWMZ@@0B-pDvITix74@&&|9PBfxgg4StBFZ@xDn;Y$M#scy=4cLL~ zK8>P6TYls+v&lL|3ruKbpYNFg1{j#bY$4u!`cJTG>Kgn6KO|`+?nAb8k{e8{ZR5YF zx*B^2zA^~KAg-BnDXA60P$1VBsW`?RThu|3T9r#`5qJDNu{?eROwmRB)zBcwp+RIB zd8+jgS&O7FvQijqkwHtrHHa!G(I}ufr^v>lPQS+H)Lv__Mr@57XV2Kk$mbsNNo3W>hZ&*Oh5^}u z0KqP(TFR@;n7_(H^Gt%2?Z?EAF!aWWnrb1MM{UTPw_}VEJRv4Som{H+jw-AbbVVa* zg_h8ktPMh>K@lA>%Ed#x+zyyNvHO$+%dom^`X_%9V2bpRlpM|Snv|2%fJT;1IVdQR zJ2fE5QR!Fk`zumO{uPQT(jt^TFQS`ZYkGHrqAMIN=weyl{HAOm?DQm|l9}Q#Rcsjv zBuKUFBZTZK@0y^tlp`eyV4{3LG%Q$#DM;2j7|E?P7ho%k3yAH<4oQ7I*&q`{4|xf} zL63L_^gUI(nA55QNz!mk)-#j+Nob{v(ulJ0Snfl{Ko-!Ajb|^gvBKZ~OTHs08nBlL z6<1^th(GBL5=}hoW&yMYfHPyH8#Q-@WLEl8JO@v0SPVPIaNCfyMA7=@)HRA^;g&I| z;+dMy4@K-!oeW9M7dEA3Dm17IKYn&!AiB!pKwz0ZbJomIR+{9U?o8TZbkQn(eEzU z5oq*iNZWYx-3fJa8kGf-buW6tNSeH=GHa-aW@htP6rXe}<|bURK?_AOU-Ktn4@G<4 zLc-{a!afRr+5Ng6-uGd-FC9pi4C@e}5a$+T{kROR?{tw>s?HEKZ-8bcsUlhhn&tr2 zECx?{)8fYA>D&G#RyY3qM~$G!KuAMm28xT2(-#cm26FsDJ1Tt9 z5zDp8II8?JvATQ4gnqgI&fC8257{a()5*+{457xp2yVhp%o1fUnYgyu`i0M|=OSfh zXfSs|I#)Z1Jqz7@Ua`@cNLqtcNoz?8a_QSw@gitHPKK%2HG^L%w6Y7F11uUu zJw|~%VZv@$Pir?k*o#ND}>jIA*8*<@yG|?AUk8gXU04!xr;>M zU<`|41RhKi-c3D5E(KF-8iBs5My%na0vzP9Y3NMILUt{Wvyu~nM>bCV18x&-L9D=P zl*-thENg-iswlp!<*`gU2T@KT=3>85)b6Y40a$SN(n_w(fex-5Dfwc;TH0B1-Q3}f*AvYLSFE_d*BRIwu z9;HL^OQ|*_8G^MQ{Hd#qu~XgF_?Hr-d>ntMDt3d@T5ZVOBE%H;F!kmxf?8yR39fuX z-&|`mN43_Prf$mm=L+IR-;ZW%#K!0<7O*7L(GyyKEN zk2($rFQKHuTEz$1U8=f(7RVL$&x&Q#Faq;yJ|QlrM*@=Y!FRtwnH^-8A`O{`#YSI* zosV9MmUhclWI*(V9m;^2S=b0EEG$T=krL0IRta%3(NaT;;f*8ARr*HQX~ zMo1Kgrj9*z7==WN%tyAdXEatb#87rnUgV zvLM8!br2ciC##zgG?t;GU@F#RF(olq);La6)dQ3bx&b&li_F*-e-GHZY^6nKM&y$T z#E)dM0$73PkL)QvO&E*Za_*RO)OT+(mR#8olNd8B)s}#PX9egvM1-5S<&?GA0rwR6 zW6?kMh|(n~n|{l{04P7`0@4F=P+bAU&Lbu~AjC6*n&YYD64IG4Pn8-()*L3>pnf*3B1yj~D<&PiVhFa5lsmG%9Tw9c2rJf*_4~2yM~@;Hz<^ zR|tfD)>snn&#diM!aafczm(FO??aw6?~VKj(KP~G^bU!}^ukfM#R9d8=!}_Rx|J1wSmBXKg6204{30T3^bu?&QlmKIjF9cv_oJTi*yxLf zj0!6&Gbgn{?}zRJ3<$>5oypdid0qDCz|H5Ld7V5Q2bxv#)v`R19N~tuI8|1Qy6nXz z8iS`X?ji-Eq)IF{7Ty+I<@{yCX_nxv(Q|N;4L0GlWJc}iFqVLB7+QN%Sd8-c0lozMqsl%0}k#`j_nTZHp&$LP@zYWUf$It@YflPMDvF5%)y z1QRQN(rHBkEBA%!6>JI>1J;D$!%Xt04AQ#s^K+8b*0&)0xwpMZ zifD`x@FY;Ee+d@RlI4ZJ1kp!)1(jNMS5)?3YT>#{fSOVVX@mI+Sqcmt#n8I(&TD|6 z1WN3~wLs#_17k?u6h~mG85}RM1jF(PzCctXAqfVS4KV}Tu+tDeyDi>4{lzSzQ+*Ac z%an8o*7!10kYSi1f}6^!iJ$%Kd6|}>aAXkW4-^haQRKxg(G=^mzxHOcX51vY(i6y+ zwS{UT#3HIFdN63&pyf+m%|24uO-d1DT!*oS1V;JRyAqh55WJGX_1Ash?rb(jZq$}i_m^vYwiw}{3K~srPNnr@%!Nb;Rc1+qj!)Ub$ z0*h8R_B^8n2TB8s@(&3srB)1We04S+R_?+k87h9VmWYK+0103@?@WQvFC1ZKdS-R& z3emIU;4>jec9G}8uU>8Dc52x%0x%2|2y{hp(cW?{1j-U}Yh=pOm%^5bM@LZ$MPwtm z%L$8bpgeE$CTEpx#gzhgrjg#kZbd-yK-<)B%S*CWDifo^_@4toVGRMDg=HD~87i@O zjGf1g4#1x7n09ED#~nhmwZ`;hYcM8x7-DaIWUuUlSSYGCMC1S3P)u^3%D>0E=j}qT~hh7I1`v5 zY*0N0UEE&IRAYy%$qaOB{!-AJ|MnjQ0g~FDKme;mSqjNWx5%FPyqEJWZZ8jg5D>ed zI&umc57D9K4Cd_SIY{QmC_v((Mk0-kpPC_B!tG_!2K*6g326FcEIe_5W@Jd{b2g9C zA|sX}BqS-Oj+ULk6cXA`t#qahaF?aRCUDNKBJBV9yFpqZjUm2RP+YQ*6c~Z3X-=F9 zc4l+m%VMr72XYKpm=EW(Hi%j5iB>W{KUnQdw#4sCSpEl9$uWpa*yRp~&No9_dSb5e z#nf7^j5}o&!Z_SN=^e7XJT~r0&ZO4}xpI%P7a|ZWkf@p6xiqgT z6R^u_I4Yhe(s`IiYnI+4v^M3nn4`cD%@FRfU)S7An^YM+BG(-HEZK5-YPtpLCXH57 z@S1=%uM`D?0*2-p#X;KGRHTRk7qj&!I88%%$W)>iKJwdJggf^tl9p|gd&v)vEVsB$ZJJWNz`hzbaW%GDtfz89YF!8x>@*1ppJM?U73MUrvT zjU{v=2WIqD;;w(Mt>mJnktX2FzGht)A1R3S;JVm)K?Q9Rtx8BShBEjRO6DCKS+}K)!j3zQ`mU%d?@-G@B2eB z*60;Iz#tT$v9BNuL8!19%+dQRlAl;YT%{gZF>M%CmAbMI-)du~MX6Lv8-qe9xG8+_ z-;c?I81P!;Frx8B7Xi%atf=$aM8{}KmoTmw(=CCyG5EsIkk4(8hHEtnw_IW0ZF1eEQ6_K$0L58OF+ zPC?cXYp9@JnPd~c3haFQjn@JWX%)Q*g36PnDWm;~1Rk$3J$Q{>}CUV~z&IGFsu9~69 z0>;L{PiDD-ip_Ao1fHFRZ4!tLY}}RA9Ii47B?}jTf6=<;>-ej?*=dEr3}b3XFkd;M z_)!MlqzxiL`5bA3N5|2$1B_K)8E;}UrPm(7^XDZ+!aJNey?YwxLiy`bBNuN5U&w5* z38p|&dR;)5XO`h7n%CNpVH9(@u-y!#s0SYIBWuC}gh*-yDNEN7Up7%gkLGNh>%9xv;*nle`THzNC@P<}Z z<&i?n-$<}b_#@?(h~Y>z9pZ|1g%lhw7NA{m23WcYnWbqCI zKOHJGS0o!k6+V4C?#4t8*fKr`80wsVvJ@aqBT$-JZfk972IeY78RC7{k6kT$EjkmU zf_{F$9?(@#k&(I}_N#rT{D;V$1rS-wIBr#KCzxL|K^dkY zy|u@Nz+Q>mavm5G+C`_cHuClW%qSbL_QZ3PR)#ymJKnPe5X!h=wMGp`Turo@74N@)j^5gkcQnIa-dlW7VH7nli{IwjT2}ul7(WjzkP+wj|d6|d;*eivH zGGoN$L`);<1Hqu>N{ay^#{U^XF(fH*k2h!UG1U#aAWg;u480cJkP%e{>N|+am65?~ zO(e^khn74(QDL@pi`}2JGdhwsT5H<)$V(Z!4UVP@XjO5@Hb_1V_TR>NV5D`RJ7y}GxH=^|%vEB}Iq#B*4hNks<5!d% z;4KNkiJVFki=jqt*Zhw5npstxnwtbMCf@v8aw8!wz;b|+GVsl~W{Dd(rwzAQ)CWZh(WMoi!9ZA05+L6EikS-`kV2E+SgjEz&@-LDEF#Aj zFJK*+R~Y(y2p8^8Ky*%$Cr<&9sG$e{@8k^~ectg?LA z$lw)pVIT{GF#yQ01Vf9&c^>Z$m|y@J3&=g_OMb+gfA=Y(JYa*Pss))zDlRMN#V11i zB5}Jyh)b9y186!RD(f&D#ly=)tYOjF7Gg+zOu><7yiDFQE{hN{Sj9o8hVoIj68gie z^|GQT^Mh*fGt@8*U&p6AL?AQNOK|@fs}gtXnfG2zb_GblO6tUBF}Z*)nS(8IL)wN> zs&_@|;|3ruIaQ84E(LvJm9I?04EF!DswqSG|J#cmzzy~B@^nPbeiS-}i4Ga=o5Zz% zei=hd!w4TR_>Z;E4k*d?!dQh>X7Eo>NcYf?61_XV$yT@mE6Aj&9OhebgJM5p5ws8| zkFd?q%d1Tf5>H^E*i)Xs98g}|WC1199xASE^N3o+&;6uPcah#u-Z;7w2tb89|3^LHNGFmk ztwjZ2JJo>p=s#WtL<{+s<&InPtzb2XSGQ`;2_9g?I&OUOPfhtVmp>y6kgZ?=B4`e1 zAyF{1iy_5p_Q6Eq02rtyrV?6C3NXn6-2utol*R}s6U$N#rD5aOKcA&lz!BnpCK+W< zbWzs9cuZ458sW*SB?2_T)8J~9AEsom$TcJvvKFU(;uGGvhis^;C8(b~z69bjW zQVsgC!&|8L&&e5$SFK&)BzorAeKdtP5BE0y{y&{;r@Wh(pxv5x=GTtpf$J@R=>$YLLOA~-Myw_JJo&te{K$btkeqil$ zc#-adU+ZzNzrQy-7bZu0)(VMtVDVOWG^Rq*2{QYFtU#9D$d{(fY2oUWVLX&g_Sx5YfxL{CK&l?fq$zbDUyi9+yOj6FNeknp@QEJv`(3VXL-T4Mxlech|8qQe?Nwz%$kZqmp!8*rE|h@4 z-*PndcWgiZr@RjbV$B{JgjRq5+=U?N{o$YyRu-J;pc_PKV{We5?7PkBc9`sO)_jRa z>?~I1$Le!;_pEdY&+auI3-%?^qBB=}m);fa+i6;FJ6ax{9!_R!4pIWm!YGcy#-csm z#>F3o>a)CySzL?eqco|0{&lh4?YAq*c9-6^+3bNxq!M=BgK0fP_1ylwy&yf|tZG*% z4bBB;cX&=^9Ch%bcCVUR$>B)adXkF(MeaoKU_5lv#`3}T{`UA>ZGX5hKz!XO>E4}MyXdBOPvW3sNwCYU_iK?C z)v&vbW}h06=hRMyAimyKlJ(&eZI7X5nr z(PpyZ-}sv_b-7X9KNrcMxM`a9>oxT8aNjvOR)f!+sC8{Ef{+grJUw2NeGwgwb-t+qfSF#>x*{%8y_08uy4GAeov$qut(PZ zxjvlgqw|5YANHMUcJEF4yIdYmP|$QwBW%>*HVr4;^B7VtgBVAWT1%RE;JOjk(X+!8 zQ&gj0_1Zjt$Ub;U1;F>+K8A2KQhRnTZB((T{WOWrMGMssuwJh^8&;MpLE=Pv4uVwJ ztBpS%N`Bm>N@AT2Whv)v{z$Pa6TK?Nt3GEioVTrG)75Th?{Gu8&6L~S!-H5P>V$_piVkIsf#I+9ZS+@IWeetPV4iDV3O|Manqw~!^ zBk1E_ZleV0o~doq%dN`%pcC$xc6Urqr_Qni1uLFCpY&h(jmNF14fWxBcdi!u5&q+B zbJ@2=$^PL;5_&tn$emxO!f|9^CHShEn=BKXSTaFS8N`#mTmQiPdc;*XmDa^u@oW`m z52_g_e#P3c7(9xQ&kuZCW-3maul(F^piNMw>(m6j=3-#G5EpHN;x6p(R?~iB)m_94 z38Ifpq%W>yR@h0oD|jff;!{nYv-U)?nAg@Umj@D_mPbseA*8$;*O^ zx?AnGlc-tiOOw**aFUFNiQhm=Vte1gxu}j@K;z=$uG?>~`oXRya$k}0UX&lQ-nD6sSD~jmzDD#-Dx=P<{SK7L3`Sk{$3@rYN%GBB6+^w=QQik94MIkwl3VDNd4Z?D&_5qqEKW z7EZ;HTjgmC2EDK%XpDQ1lNbHEb6|}DI*ao`dlZ*pw}BVqZD6G1bo*6tp6)sha%edz z79Hd~=e!`s_D1rb`3-|W_yO z&TYk~d!cp02OmPqGzlFwhdruH^k~!r1a|`@S89YJes<0_=vonQf@E(me6(rc9G>@_zI*RrnHZKHk;{*V6+{HI_#6Laxd{&; z4+)k%f`xTdmnZ-o1!`D^G`=rki`CyVLHLq`uflquZPNPBnLJ+xzTX^g#3R=DHNQf6T2$L3H@3aL*tJjyD&B z`KMfGytXUs1P`~D#?FQbeknv1637<@%RQ`bTAya+#+19%c-%eVywm@py}afx?ViSK z?b+QNPp6uD8}6aGWd2e2|8%~1%3XdmOy(PlyOG><0?q#ww-Tn|66ry5(mmlPFWvfv z8y<_|19MUL&>RN1AGtTU=3}9mzL@B|TRXfSeJBG@_Re1O;pzVD z6OD(RUjOC;54+K9^-GIq(~YLPPz(0=SAy9?{mG}or{CM3`Ge#=OTi6|iLJG;{ZM*m z@8+Xcuxt5jIy1Hqo^dIb1rKX=AgZ0_86Gr{%6L{{2lGLjt z;mlad0K!UV|01J>3`>v>FEft1P@8y}hJ%@V62AT`LY#>Mi`;pl5ls%JnzPkMl4!2_ z$l&0)+3Jagwc)yAQL+%OH7264vSd@{PE!r?(D3BuXZp~#+P%BKfAQ%pFC>kAbw1+t zqYM2f8V@Dl&3+|V*V()K$HGJVX46N**=GBfTvlZ~0(TXP?F&#i2I7zKukYmIj{B5R?x5r)a^6TyiF#s*xXLyN&g1Dm#RXmJwL zl6CI{{P}d^9Z#X({>6pbN~3S3vsLN`QBFT-7t%NHU>b!x4lVbmzkeFBb5bv8EV3+_ z%B8)syIYHGx}>g&eDoEFM@7BkE@vP&;|0-V6Vc*Y zkXVyF`ew*B5v>L)0xj07lRdX4xm&1(@qtB|smX(j z|1W!QA17CF-Fe?TGNj#;Xxl5+!zwz^qN! zbpR)hv6C!)XR4tGT9$BNKYDEMA_z&8Cy#?naF#fZnXyN)Y|BA-?S$RzMqnpdC%aE* z5!;co&cpNlow~QD=S9MHHh(-XB~9PAy62oab*k!|Q>W^x$G$KM9!k%ePTJ)iNzg${ zO4eVlPBht-c3K67)G|N!%*3j-rn{fsUv>6|hs&KUe8mAO#-_XNkd{{oI@mj)fCiWg z7DlP!7<8qFMh{j|OpuOvKRkemqJON}YK)W)j6sWrg#IT#KbH`JQC3SskTq#f?rep>wDxEZLEqbL;f(E_SLI#I;wc5v(!ZvR-Y z+g&n3EsKDs%JcNE)5+299UC@K)a2nh_6S|#WeE?0BO)`VFdFt#^q}i_X3YG@NL+?qWf%5Bndf@1hIo6f}NM zrXvZ1?@t$+)qv;X1TX}u= zgEurD0owaMamb*2{ZQi)LD@Qh5_>3sGbnd&y&!{ffroOFpmdf)c>-V;_8=k7NErZ$ zmjjtN1(2MSiE9l=<574*G47tY_KSae_6Kkdr6Fp58^5Q{b)XgxHWuWvoSWF!xHxLz zU`kO-qSls1kILr>A2DpSM;uvdaw{os1GQ7L&-QO7yd)hP!%#qpvzsG)2#e6RIvLk1 z%WE1v4%rJ6$A5WaE%bUB$WiuJB61vs>IBKAHX^M)^?&2$c2romVTYS`Xk(u( zKY$q3;@;)eg(!{3OU1bxJ%~8jlcLhDyx@2E;O-SSZbfq|l##ZjW4Vet)P=-Dl zjo|5cO{x2usC2xugLcy#?O?d@)^yk&eW*!rnUF+38K6I^)c zy-BCqkjqL7)JqLExMBJD$i-N9AHall7@cG+MdMigm&j6?3fCR31{mJ$dIj@>Cd|Jm zece9%|0845t@$Ww{pm-87`-WI$JM0TEf_N(f|1tdG=Q0q^TaQ7?+|Qt>CleDqL@l$`rs#U;uKP8_gnKA?ugW ztPQjfG$6qnUR-37PnXQyTIldgm}~e|#j(nj+s`Pua4Jmk10*g+`Na!S!TYwZHJYSY z?CWTj)l&6vBW)&At>SD2+_NF3Y&41~TaH>S9KAQo27(OYN}@@Um~{HJsAzUXphLV@xqa_g9N3+#rSZnA!9!$!4_x%Y{Qk3q$t}6 zw6qPiO#~E2hfGycMdrM$f8>T2;tGl+7C5{#3WKs8#^7$S>5pDPwUQNC&;f&ky5x`7 zngyzgTUc#~Nejmd*)Y*Xkn;{q?x@VM4hpppK`otx!yDj^v^SB6OG$e6n%9IH*7_?I`A7OSg(5(W3H7FN1GJLgA=c2_0Z9EB}bV2RKU-_ zpPuJih7{KX=8{U+>+&C7t`!#+SZ08cmfKtLsKPJ6dsaO-xUpF7#rWH7e+A zd8)dh!0;KDrZ&*f3y{!Bb~ts8biMS&3-AJvrRomwy3(km-BMc;CVy6zA~}}m(r!s3 zREKq2Fa_)F*FYwTP6@rXPgJDn}=lF#q8WjYl3M zYCa13WAAH+?dRjTfQRgUoOzFy*O&1=;khA*C97JF^ri(sFsy@kQ7ld=uAZB7#5*uD zEXxkklyH&ZK9fT#7<=qn1t-)!5LB8EU#|0wl&>>7-QC^OtH?6#0?Qc9H+W|vf~O>w z^2pFM%VbQ=mk{ioAUn^DE&d&DYy<%=f&i_HllJ3xjI2X(hy%^%O(wrupN&V+xGsZn zrNU7dLZ$!_p&DG1nNn1pjfEiaPZA2skcb{uZP1i}&9!5a$5g!>C0+~UYFE13)xvbF z;o2(bw(mb}F%Fm#l25k+#SSeu`DsTlR4o95hx`Y+x-$@?s^J+)1fE_jD!7yxd*lGm z#{jP?K)Mlk<&76L@;5}?5!e0{Wd7jfck2^)^QHHBpTG(`JaY+Pn12U$__q(?s2}ny z;ElNC`551Tyc4p-<(@c!hXHWrpE$6lf8x!T;;#P4Ru1M^csU?zJ2{pES?v;d@pJE} zrS&v~k<_Qw?fQQ)}Q-ajoJz_Tb=67 z6X5+&oZm1fM1B#J!olgXlZ$@#72T;YSyzY`H3dW~L~X5NnP_0&dufm+%_?Jdx{IDNCCm)&ua{aJ;<$z>4vQw$n*4Cjq>>+Y1%N*pHQ4Qs z<1VOl-{yjgzHnx%o-L~0|j;i?nsAE7ju_Dx{$2PrbcqgEBWF;Qm? zTZ>%;0v(2Tm4uekqF_l(ELqS_b}kud7O_R7)}?=VD`+T0A*cZcy(p2LfR$J1263&J z)Zx}XGt_46{T$)|*zif05lCj8Sa0U?rk^@9ZjPfFf~4D4eU+x70w%DOqGFdBOe1|) zR~~}4s%pl_7ilVzRiQAzoIJH#jPxk`%vd&=DuCn@e|RZ_S~IC86eYz_4klaC6&p5J z)5Vl7xpGCdS%?>6q6=b_Uk9%C@S}OUh_T6-7c)yto1Fggeous7WjU zwUA1rq5zeOOxZ#ONj)ZD!Cn?9o=PY*(;i5mq~hoB^e_`@$QgO**g2xu5A1k(lPz#2 z2p%nsqF{j1ij36o))>ESiQuxNYowLAR&EP9?zU!ezTudqC>5W3^=VQW_}@%$A{FlT zEK&hQc>>95v=Ssv+garb%ez{JLY z^DdayOu8=41w`v!mf%SH6mYFr#wM5cZ+trl1eU)htx@w&?Z)xpy%3WeI8gjE2XNCy1$Jza%!=Tjy z`LRRi$f^aR2mxT5ZV^c}cxVbptf~~CMvOrbL2D$tZPfB||Ghh5f*e8#Fk!4JhNJj#K1NKf} zSA3c`HDJkUTDkHoMVVF1Wg?_kwyJ}%`FAkn=?M#@Z?LODq;!3x)7K6_mo-DZQ zu<$w!tdV=VY7X~`;rHIzE$;8SU}h%R)9tRw4oL-=W!1nzTGhe(&YmooK?i0-=>U9Z zkIBU+U8@iT_ulEazayb-$H8^o;C2AdWGI9E-5H?<;eDK~nQR)?T%zDqZEgDKxtrlX zWle&k$=6!Nqsf!5c(iw*8ys}Bz2*Zhc%!?QJ3MRt8M4fi?!9(^$4C`uVRhhiu|{&f zh*+B%ot>-pFaEb!hQt*KVXJTyb*1yH+lfWg!N|v?J0grpa1FMs%*oxj1D>Urf{$^j zL=!q0L&Z**X`+t7dHWr&paO9VR13AJP-_)7cf~hVA+@q|Dws)vyQ_u$UCh^+phK0x zP6`AfI4NcZ2fGajUn@z{Rd*!lK6>3NsXIDyVyxiNy$8C}-RtRA&DIxa};sSHj~RRK5Gml-(s0~753?`El z6{7@1dI73Mf=u)v`rY8g(qR{DMno7s+Hr#(eF&oO*V~~CZp3llgj@$xa1=nn^eT+=G;)=Ki!SY*JX73kqdyQK*NN0f%5G}h0th^FY%6#71n*}e|>`K z-#cs?`=%M2)%G*U6Bi})(2)Mx_LFHth!g8Q3_efzWW)_#ZfRK|Jtp>CKa-=J5~C z>Y>Zbsgq^&#+`qf4LQSEsrD@0@U2nWcv7G-R!7w&S&hL}!r+5s6c%Ep`k0T^sD}*H zir!{HTOa9awA5^gAMphbQAailQX6cjv{BOZ^YV}Uaj+O*HR3f-l7uO=7J32F3S2ar zL^GF6kBbnmY$&#cN$;R8=uCCQKu-A^;}7{BX!@d4S~}J&2m0Zgatcz8Hs9c2rN_u#4WOIOspwAOey$1de z{@W~IH)85-cCz=#-MyPyZnnmYv+#*~U0`s(I1b<5*q!5{7-&t_KVgzq$?UESyVJZ* zso3BCS(R4~2Q&oEh7*_4jttUpO>;-pu`=OL&;XY9w|v8jjB?kGZtm7H!l8(P5M?## z?tNCN)5`$VHvBi}PJXln1kounXwa z534*EeFCE-as}F7^SfXdZEnBgca$LrZl3hRZjbs%@w5PdsCD>d6yNibsRDRzIk4|Fk;qf+f}zh`9*wS>gFy=6~kz<#m>YhW1#PGR(8@4>a?nMJG1ldt_{AZFYt`%0TC zHv#Cs>sH@`0oB^62kLn`TypMCV$6 zjti<5?3L`5nw<>8uQl)%K|`M7WU!f%?f+tn_Z7X86v&nl=|Ohwy}Tb&Wm!jeGHAX! z7#oV!i{6EpYt+b6XhV^jMe;m;$#FT0$ z*?`%&uX}e??B=w#rThmqTEhSm<8U$EOE154Yw*lYAaqyiaFvBU?MzyapZSxsao#0S zvXeL)5H*W;-_q^UV=6oRV1iHFfX!SFcv9Qf?J^V$VK(Ub59Po!$|{__%qB-J>ZRoq zKmXx*#=m?X6`OA#f`-zocOYBcq;v>F<5@KmRA!pbN~18dJqNyYlvpSl3!Aa%=7}9U z@A&5*wwXmHM~M&r!iLF0`e0)sy}lcaPiSsNmLSe&WI%X4ddlo@$N(61Dg~K4n|?H1icl$bnIMmW7=s-$;`JL zwoOlZNO=d(WL)P&H1)V#!hJpBB%sD*1}-Z4I>OxDQj5q?6lH}h$fsDXT}tPc1nMu z(&PYZ#U^)xsQYqwB1*1WAVT`39k0Mb!=~D1CK@MKn~io+a*B}SlbUF2&kLT?LOa>K z;B_k|7r!SUD`zNI0=eWq#Xjc~okSepCu_0sXFi0w@kGlTX$PKYz4#Yzr7bA+S~hVc-i);Gv}ky^IP`;xP0-~>h(ppJE~qhcJXmn zZlrrBw(g94-njCScv>7I(9`Bz$^~hc!jI(ow%=l zw6(r*|HM?|;j!7vqxnbc6UR0b6SuBf|KL^46KVD0!!uWP&rcS{t}1Mc2%Xq?b9cuz zUz~YWvFj$kUWpGl<$ZYOGMu;P*C%dr`{L@QHzj>m`Hw&kZsU#P;gNk&zYZM;v z!mA@VHk$936WuJQ!B`l zPUU{H)MhM`C)CR?6XHtQvs?|4##Re$1^*3iLcvv2^v`^D-iWQ$+NI*>3<}Co2FNZs z=l>8L+$GeVd;M=e!5n4&QkxSG*Thwb@W0W~2nr1mhlpInQt_3z@3Z(zeq}imp-+~@Y7^$ZeBHrfE2C2<+d z85cS80+2r*KJK!&`-k7nO>ge6#L5HDt-Rk-CFB(}49d=hjQssQ4`zZn7(-TNSWixSj!-vlp_KB=PsEG$4v^3vnTq}=9L6AMB_PW!NO{hS3T&k31 zGypP#1~uA;r|l(WStdin155q4|FtzT#l3?4BS2Arf z1*t zU%d~_u9(QDo{7yGl&ba09J5qoJ(O+?r|g|}DD9HktX}y=Tp}rrh(fFZ(7%f|pq&i{ zupn$0sGScyH$nfk57ijbLO91k6lBgoOhJAtAd; zO&6$@*=(9Xw^+y0p8GQ;0&Ik3@0g7pRd|K0;v|3n<>S<_x}+xSkjcEUz%};1RlKOzU7`?z zptj)X`N#?D#0<0cEhN}zQzIS50}wSV?p}FGK^BO@g-bHShirXGSB@krrY-^G?*d`( zM2%(BD@s2o3ACug2#)^V|6`2sYw{^uTY-Futw8=>-2uOIi!B{K@Hj`UV}87$Kw%vf zDLnDdS&B@!@x*;uxbe03jo6y`8!)&9%X|JM0Gp zO^i-c2tO&RFdj1ep{8k?lsg(D1_?sxOPhss+r?+bXgP_dcGVIR!Gu5s?*k|vAT?r4 zE7XWy)hL>z8AO-E<)l`(NeK+|CffUl&L$F6>nufU0zj-QtJmxmyy#X8&tJ7OC9a(E zI+dUp;ZTC10@e_oN(3ObzjXSDE*MY%yp< z1FslD2J&=sG#9fSqC^vi$WQ%wFwU}A=0poAfwH(CP#t0?n=YYR;G5$mSpm|JgokoU z#JFn11{5z9yhhY@fvrK1e8nlMs#7@?@hC_kAxJnlRxJi~Ci4ZwbAxiBS^!HotN_CS znTF1uX#$;&w@_B4C?ALe>}FBr2h$tAPo1-52Y3(wOy%P-tIaqWaLq}qjeb#0Sq4}v zn<}vu^1y0`L#4m!Ew>YUZIeJ zMOv(07NdR6CHq|0Vw+wONkeTX2kNDf?H}eN*Q>Lu8Cj#~um5c@L;VFVW^@NW$>>x` zGfTm4N)mY?pqVOh&l>{97`tWVg+QSv^?&Ji!m(OSbVM2EFH~AfC*~=d| zUm|K{U6+*djnRKc9N;;TUyg@(YXb; zfQ&h0L|qMat7WvFRb~R$Li%Qki`+pr19DVhyNRMc#3ga}U6^4NI{N;~I<_7oe!fSS z$i_ozMJL{Nb&c5HR*S7J78fV!A>4v*LUbj~!a~Y|iNv=7aF@FGYXK0_$o%PSJx#Uu zK(DTwm~4%>!I{wrR>VOjprKaO5<6tE?_v9L?Wb4iEr7q0K6K}~Q^W$>#Oq-hhJoxu zEV&lz4;cXHGk0WHu?8FOGW#7$$X*dbQq%JTHFtv{HxxE>fs!_^p;7R|6O1?F~jwt z!po3gApT(lFzRZKTQRc%OJ1Adwwp&|%1wm%bWeqoc;!|V1Y3EF`c;x4Cc8`J=0~5H zQc=9oXF2>&#s<%DW+%1ZmJ6Q#gArPR_FwB16a!3iT8U}^!{f2e6)aRSEn*cKa_=wG z2x_s~2oY|NeZfSBa6>lGTHg5yvP$7iQv*dwm=;#4z#|2CMN;i)VXjIu2hY$PdSU;z zjbagtJJDB`CUC_cbr0}m`6MyGG2wvCCRf-11520ucDKQa)~&V|Orel?KGu1~Vu${i z_2IoQ z>O}FqdnWvX(CaKTmnmmX*s#hbp|xX`&aT#$Rd-*PO+z2ZSC*dIWL(;{YQ^4Hcdy#} zN)x|qp|$n|Fa&tpbL)pP+w-~)`JiJq)5?~*dPDfYvaPtXWw)#5k(aivdU4yE2`^RD zJVuNLX8KF?6!VFpwe!!^HH8qteO0slA_Nj1p9UuyI=Eo)YRCI*e-%QhUYZ)7#>ie- ze(=^#efiBbuMR#{&`QJ(+B>wA*awr*TI~_?;viVm*u_YXUPMAx1}R2RY*0;UAzoLq zBFSuVvuQZ1R*l`o%~yTx2hP>LO+?xQCXqR2;G`0Hz!FB1rgHPdvNkjcXwtnTziW5U zDop9>gzTa9(nrq}c60==IR~>1xT*Fs4yE=l_fNOYDh|eh3G8-gu+6|EE9*Tg+Nhf+ zFtPtL|A}4RTLp}(L>u8^0B8ZtsW0NdTofX1rmDzVVsB1BY-bpibzK4Rw zHX9kCreK0;9lh&+cIa($hdGaZjWe z*@zIv_T}fJLaFzvp#7v5`~|yBy2ZpTEFv_L8UvKZPzYYIafTo{&V14izN%XNicexu z1s^^`5}ITRHpiZ=)ahQr7?{Ua^f$M6rEc4ibMRAOSZIMWz?B3x6>)}{-q=f)9+`Af zSIUAoQi_OO&()u2BJETg>c- ziJJFAZ7&rs`xQt*d!!;vutivIdBU$`IY>cTO4NX@Di(BWh*6~d@Ric1Ums?q@(%T> zdxk*ds>n#47hQ#o43fho$~Uz#x=xaVatW{!_0zmzF-N(!vej zi{+KSHoP2q8(1W;3NCdQ(8bv78vRVV_kJsma|MnqWn4S z+yKuF?>WP%k^6UIaBHYgRoR{Vfq%T>7laj0Q6|3RRwZ8c2gW_1;MUW416UHjshync zhj)zu1Ekg!@J_8BKGh0w_^@^ZmeOrlSyOc&Sz2mj`JJI7=434d41d~w%+92S@UQ>X zA6CX@VPPr1#)m}rmTsN=Ol8(IFKsyE?6E!P&d}mm3r;`e-~rY=?=#?{?lI;RXm_wp z=8W#2B#BrykU*|v&eDI*F~vnYqpP#|zdduOvw}6!fbQay6}QC_t7JGhIv=7-)L0lK z=w2aV&1CJ3QK1&H|6W*`k2bT*KilL}t5P*Bq$KVCFMrcUk7x8(_@^x(MVqu0rRwt* zTmaN?oMfIE5vgrD5d%L!b{#XP_pFcmf-IGE^!D1cu|K)FD%AvZ;xS1N5lUP`} zeTmU_ge=;!$ij%z%r;ob2CN2@cnux)KLCG`KPF0Lajw_iQtO|`0mWn!XQAe{`aiWp zkQ3NzG0Nb;!dr=$9ER9t!&YMD+9PHv%l{AB&+t73A#R5mUepq{jAnSS{gt;7O*{iW zgf%HRW@>{&hCx_V#fLDggK3=JqNMAa;wrS*N7Qbb{ioe&PSc`_1JoXG(cu@+&P8mh zLw&+t7K_5?<;I-1w(?$P6&l^pD9w)z9KHxD25iI!f=2H^FzY$0ET0p-=#S1_bBX8*EAlU{x}$1)9{)^cM83M}wKw4sVpS#vVuSdR%cpZ2rw! zNSGf}2aHDA#+7qaXqgt%)^Lc;Jmb zCq=v#6T~J3m6InweJ%h{3#MIy_Qg+vog$bjM+uiOOGVN7^~}&P?cw}L60W)=kT_%)+zXgm_(Tg2JIVceo2C&@LQ(^No zgwp6>3nLa0V+P~E#@M2dV95O0A7KU~f%hOOZ78IRbh?y<9X6^}>LIBI2@^7mYC@7j z9q1QRjRgj2t@*W3eZlyP=FG>l}ou%+QAG} zQe2Q`n5xQrS}K8A2Brk@gbtnEuYQb0TTwT~W5Alx*$mT9v#CEe0vm>~?uspMrgpRv zBB`h-kYdbEqJ$NQ5<{!&fO`oQV0}|D%)3nO3Hh!NErHGbiPssX#`AM z_t=k}YekXw11&OP=a;CbAa&R~i8!bu7H{&BnAkx|matpe@`EHQT0L;wf0yzwWFh{I5p^4F5FY&-)nURPi>7Jh41;!!gmenD z72ffnxw|R}Kzl^Dr4~XTjD{iY9~h@)ySd|Up9=0C1vnL{{j1CtPD`xe14oBQ2TVlg z%drY{c0*v5=tdNu9m~l_ zQprxu>LsM5E@oG$QE;{KuzBLYeMwK`dNNOeOHyiD7if5=B6ta&xErXUq*egUZ3f$Z zEu7KBv=RoD4iKnoPPJI6Q)EohhDPl5*Bo7#+}UbQ;fOQD`OC|W zZR#*;=oV+%?pG6|e6*_0^k(njYOBQe5L>M~zVFNg0o+iaKPL_*aSQD1OWS-)Ymv`Z z+1_}gaZ_9UChYi|Osz4OvJ9&sq}{`yU8%F3$=MO^K-@0#DWUM`UptMNQMs|PHu;#1 z-?`|J7Cmxt2y=V!P$9)AF*6mrMUz9$Dr^~+?1H-B6E~ETF2SJ@qlEIYj7;}VujM0o zNmM-V_8d z+5DEhFB4rGln#{Les;8j)8$*)izVp1rnUEaY_ZRuKkxiEZkh)Y*-0Ep?;=6O3lYi1jh``8ONeChhpNi5l$vi+CXGr7w=dYk>5n_o-AgIA+Csu)(~DwkMitC|szV7iyc zZj>^{fhs&@LJpO{t07PeZ?L0NnW~y04Ia9CWD%YnmSN2zI%GBIJfH@9xTkih;i$)e zx_s(VJtVTd%6j9QPG;#nf*H-EA6z8jRv*XG^5Euya&XaG&vYGXidj>-?_@F^!S-!E za8;?bp)l_ljF5Z$PRyZtn;873vVNg~7zt^XZ8Jl)-hJYFa1-a-DYp355}!i)vzswN z>44GKn>(rvbdb&iSE+2fA%Vt6A?MkTcg5`N$%;6zWwq5V5_wqNwE}Tj4twl(!y#*w zc}V;I&dXSkK5q473t3-o4XXc&&v$G=p6XLT|3DxX zpoaJasrr4S8I2xYuS0W{W`gR#;6mp_j#DIRF{ts1CHAZhCU&wO>GbCDuRiGSNpdZv z`AHMWmPX=J9<|9(G01@ykb}^qw&r)^Y&SgJ2kI}Gs5zAhp)lV&+Zlv2bJVgKIJ%Q0hvIiGCS@gbrt7QC($8J;rL(Tx>-O*wEzY_u(LgOqtu=lX3!i^ zBrw9{EEoGEpQeG;!u*O{;NZH=Eg?eV;bR@lM`a%4N^sG!b17roq(h-pQYkP?P0a-0 zfH}d#yqxey5-gvf0<=tS@kF{5<2k`iLB(P9(7^d@W~+7Lo1c>UgT+};Zl|TgIx%2W zt8VE}=68PEA9Y_T*UMlfr~%JC)HnBg$Lz8FKz^PCaw)--bm_{@fGZ7<6dQ6~7_L3v zw$GIsA7OeSt{J7uY1Dg#RUd(cD6iM^B*V;G?J&b_6JYWlabNDOpE1!M0 z*Z)6Z|1aa1nw#{FQ>rp*k%9HL-)Yw4+u!ruGV0{{|34+bbSl>Ao|GN*pv#kW?sjXa zLke#AgEQz{(>S%tlM0hKd)}0X1&xx9PrX^5@*2iHV$K%zit1*0A6F}HG8$tQYjBh+ z>!M#cEq^12pw2Ym!rolEsWVBL^|4koBSW`=O#%*GY9-jyIxVLdyrMXESymBD%3ri& z7W*S0SnUP_)-l%Ok&#zSfQ{mYA~~DIO)tKZ@+g)X6+^rLXtJ=N#V9u9=?!0UP8c$B zWPlN!4&?<#C|5^gKPj58auW-LhkT)&;FlNPcrL@Sb`Qs|$08u~ByFgnF=G*hG7)nU zOrtE}`v#uDGVC5H{2&?ymNA71^Uq z#@7c%`J@Ue(-hp2+fQR7z$pmhrzkf}uwBTwi@&MFcT4&1stjaCxClm$6Js{7kh=;2 zTd*$p!d4$bz$+be29&%44sT)>QVPZ+t8*1h45wg;e$m^60xp9`Y1#&My##;;)k9I{ zSWv*hm7cuk%$Pj&UO}axQ8=!}hVd2`qtCCF*GZ90@;Xc*GV{TB#CvKjy zoJQOrfTM}Nod_h8Cw^4-{O3ji#3DvF1cnc>aLJjW&6{SW|M6d;P%XjG6BKVd9r_Uv z0T`$YOV4-#ETmotm2I4sdzp%rkoKQ?1GkChVDhCDMH`F*;xMX@wE+*!vw&YA$dWS( zYitllO)A<;6{<=`Mm1#x*sGrjW^!i2Rdbh<7t*s+17)np`61^?kj{l^J4BNXq;l#j zU^uUsQFVN1Y)i%xjNEA3g$O(Da=v`UcQT~F12+M@MBl0D4JC0+RIQy9L8BFEU}u&B zDd~UuDNzbgrgZ!}F$a@;ohUhf@ResZ$PMDqyr%9YP#uKZthgK|5O8o4c@pE+z3cZ2 zrBSsYVAFvZAdx=Im<;-WHM)k)^b6D;J%D-$d2%bvwoo&fx|o(uytq|aRe?~5o#tgi zabT(hJrhixQSk$o7#htRgv!7({#2hrAZ%KB5^Q^f*VUuJ6E&G-(&pKsyLxw!#B~p} z4QM(6=IO{Whd0vMT!`N2AN%k2f>mI=0FJEK$pFsaMEQMkvK!@DH26+!(1=FR)igoV zj*q|g5M`!&ryEiy4-c}py-z2& zv+1P-w+({Hwz{falJDECM>J^qLC$GG`s!x8et8tN@ygTjBlcR-(g>MfNqgn4zBtNu ztzmDWeC20GXYewsW1nu};!a|`&;>9k-jqRwFW|8Hkf;_bp8Q)T5sp6BWo2`8Vf5l! zmJ`4$^})T+IoAtIE!GG1;z-~5<>SwcX}n*V)ta5RZa>Nv_bgPxGscpf>`z~W(kJgA z0MDNs00ryDeBBFSs-99u{!~(1!lTHjm@NvKPj#vJq?tTXJP7ALFhrl8%a`gD&%5Kz z1$p;6eA1pztxk8#TWzsU^QI);SRf>2X5Ps^3-6Ng5U1Agc8It4*j~{*xY(map7;$R zE@49mc19#x3eV}mh^Q2Y@0mT^5&Q=mUnxI)IdtrMX8iV58r)!;XC%Y+G&$RRyGgXTBSb) zFFPuTdQU+Uk3MP?AyMADZ^c!76Noq6_X;|c(O_}1fTIw*hZM}_3W}$LK(cO;|*A{P8n6rSE4#D#;{hFHo=sevtyU#lmy+j`3 zw!XAy;~)>rS#6(Z*LDv0wbkS2_xDan`m}q52!K&YOHf_!7q6^?q4SQr(4=Pk^mQZ1 zgG=^CNS|Z3`=}r*Zn9wbct4RF$nY&~2cdFi*c4v{=jUB54Qn*=N1m>>5~YeR+w_CyF2;zu0^lej1Z2Tplnmcd+zwCWtk#F;OuI_>-4Gjn{HheJ+<`7cpp8r^i8&GkNxlH z`*7JO*bRF5?TNCpJ-#S5KDp7 zbP8B1T(pu=$5#02Jk?z2U}9vTNi;iRpk_IsSnFVgh_S=jL_C&@HW1dH(DPC6e&&B? znffP&nWf1o(K~$7{rDe$KcAk3IrKK{_^J_@PE;C)5G8pZAh6ga0~Nzuq1+QA+F={X zBx&me*G3VbtJXRS-HzgieqC`?8tGLDY{RS%zS>Tb;N;WDj1p0tO4n(&MohK=rJ}iO z0B(R)M2e(u-qrNkb}gGA9xP&&a9tWl%~t*JfvOWXZDFi2;`LcO;1KsDO>-KLzo0S@ zp-O+i@@kxly` zyFe=>Prp)UU?d0ncvK5L6NVf;5n?r1gm3_zn$o@uVkac@PrN|&%NBz}VwGb8gRLOI z6C0Q~jmc=>5^pfd_=Oyc)UY3FrOvYB&D8v7K_bqv_d`2GkI{tQoPy7gol0=Dbq>=L zhc|!@`RW+;e27S-Qu|uZM0^P%XeKgaa30%+I0V!-^Z*XZ2+ZSQ#EKluUkZbKF)xC4 z=4$mi%daUMmDl|CweO%-D~ar4*~nJaRuZiqSd_}2CF!k(RZlan3BBP=F~DuD!z@Ck z@NvDA1}}Xsl+Yqej9V)hMUpy#GyI;Lbe_iyN~C@_}6B$c2IETO}sl4z5Yo}Fy& z*hd*=1e8?T(IQiRQ817|qHX!-_A5v=yW#Ah8s!!Jm+1ond*1x|$ImyIg`syjr8PSv zJVPTcXGxl>MX#tSC>iN(;UW{3L=9%Ybble4L5>O*gJ&kQ_eIe1jkkJnI1LT#LqvxJnR3f-xeY&*Lc+_#4}ifkTiB9=nZCNYWG0 zL-;op$LG)TDwIr+K<<+-2ptUzX;SgR8i-^Y$US;K={Y4g`42vi303yW0zSrHc#n;*Lc&ib2U`!46J31ldfR9k=Do(?$f~ar-XpHGy9`!#(NKxG61K?(+LM-~VGqK~>+9@UR zy?s_dl@DFNBb@Xi;3hkHkPgNtTnl0#K@Dc6%7)GUDo#tcEAMG!eE^_yD{3ou?!bO+ zux`Zy43=8YgPF=_vN^G! zDO=2=#V8m-jE2in&IR6w3mCx|yDZw^gcTg%r=JS$NoCHpwW5bGEPW7tdzZnMSLfeM z-wGEev1b>PQVYAz))Rr2r&x~s7gANa@-HQ0y~%WHYef8d5ffe+K{Z=1B#hb&(aOeV zeT2_E^p*nlsvyz9A-0OGBDx8)&yaD4P^cv|I!m)lj;==MBf;|BG7qMGxdZ@YaG@La zzgV}I7+a@UM4}JCQA$NtX;GB;2rljnl5dE}kIJL{8MWmHnV}L?v=-Y^!)!a4Na?r} z1TWleO9s$V7f~5NuRcF}O|R;5S<3haHuNV&&S|3#vrpx&(}g99X~o-AHu(7d6v~FZ ztBTcKWA6v39vNYxn<5p1fAis1z|V<_#|(U2J&^J#J! z*X%wBzUf7a+G=l~qOU>7m&`bUpb~Ub79yVH_3SVxCxuExBP5upK^|GQ^Nth!{qajw zOtZkUWo-I%0ws95bs0GA(`#I~1vJ-odCGr!&Gs#n`D@7|Q`a)s*|c!bT0>!6(i?acFt$)DOJ5ts(kQH8ZGBTAnq&@b(Kg)%f1AY##}%!@$gXca3~h;>wiu^1AImV_u0E znly}f^%WSFB@_9l9N!W6u99$?s!x zmPl*tfljsXfW0rM6ea68Ja}GHo8ZDe9by6%TTm=xB1u>hpi?>Y`2~t4JWC&_(}m#JA&_+Y#`>$FDVXQ7ULo1+u%D2gm17Mt%G< zpbK|xP_$V<@y%4XNgY)IN7zH%B%D#_OGzq$B~_14pb6z9f^uO7qM3?_8Aa%S`+t)> zLLu$iYnLK+#r&fI5?_|3nxs@g4!-eG$>qcPRF%3V1iBWwiaYjReHNAu1XUd*Boj-~ z#=KMRJhBJ;IGy;C+9_AI7l+4eI&KB%h?GS2f&L48>pM#1BY1dxb$K5`fS@QSRrA2krR^}C zeha0>-Lb<#Xi`v1H7pjgv7Fqzix)1lRRLs4M+uKQ1hqI?5EMuK=tcPyAXOr)1b02X z$OnDiP|74(cd}Do4s~uJl>lgB2zf{!#dcUP*1;wPgf7_jhsi*JYZ+L(op)r$S6YO^ za%B)^36W;%0eTrbHog0_8JKJCFqyU{7}@V~q0ZmVjyW`cRNEKXyV4rJ&Eu25D_F#A z(hX)qmaoeshWn=k45fL>7^6-D^1;#niMuzuMOH5Ix$p$E7(6WfQj^RZ=!-ikV-=wS8npICbb~!aWu5G{lnGVZP}-|+kDhwtS$W>&qj@Rk*##n~I_ zhSOdeBc(WT=E^6o`i*%f_n$4|>G<6anGv#(ei|2wxMrWY_QE?rDwVwUL~(K4Mc*fJfgVeNuHiMiC31+X(& z1o?P46&F^0S5AZ|9B27n_rcY+(IM_gceKlB#D{a*>Grv?4bODn)NMa=pkCPcTBfHe z+xY(60Y2TP9(PZQlk%d=Lpl@f9jFByEMe+sV$2M*1WY=eR0}|FA4=9q!UZ!&_%VA{ zY{6T!8^cgD#h_!)1)T)iyojkk%#cp;{${arXH>lX@6G})95%!$^=m>4VlTT0nD8%8 zSZr{gw1lsM#VhAm1uL#%+dE(ZbK44RK{eQqx?L#;PsVwfX zLegMuD$`6aF&4b5%4jG(9VrLfyFl!u)mLl_d}8f~Kv6>CNE9j-w!G?n zboa_ZU&Lw~5LFvoEi*X1(TEV*RH{B0PPc6Td(3G^&4<~yW_?;_!+ol(wqR4HjE-q= z>{l?pI|WMLoJz5K!3O)fF({oi1x)6XVK`jjkTnn7hTR{Ji{e zEMWTca~A2<3Q>pJp%6A(n#gQs#AGhu)U%~)mRM_-7tK(GC;kza<&3TQk-C%bQMl!0_9(o>c3-^A zukKkrRr=5L)gsdmw0wnXmaeIB4hdV)?^{L_X!HXZjX3}fF~_kzLUMJB7vEFm}JVM14;gMe8g2ilEJ zg)B>ewuu=oHJMr4E*EgE%%tu!UmBmsF1dTB+pQv5aMdXO9HrGKJD0Z=UQUrK;X^17#5 z2cT4@!G*GC=mJL})Pu=QK%To63r@Zgx;fkCW*KXj(CBUcM7ftKdt|*4XX;v&aKsT) z47Ck{uW$R?(rK~hi|4Thd` zRkHl5k~}SAM0QghAoPn*m}!-$|N0Y6ZJx87YdHjtnGpDzNp-_5VaP=S_W1A_C{>>3 ziN=>p2^-qSF79AnW^NKnU~U0&SYhbh)GIr60dlRSPG%hzn>L!w_)<$3JX18GlPR@P}IbFO|l8`t7YWJc9J_6;_JNAvX7q$36agHqaeVwLQzNNSw4G~vl4 z9(EK(_5W>Yy>g%|(gllY>SVk*sV(xoJy}PMyr;am{MLP?9gEGKF0LHy5vBU099SIv1hJIet?|bip%{`_i%`-8gDUrOcvGOL>Sa zZg={?RoYnJ1y|2$Qgb09ZeE`NSJdrqe1gUBCB=#Q9Rktmv8ggsLFJP1V}Hg6v#B%< zs_8Tes}0ICM&$CZmYG|8Ip4rk`)Gv409_ZdLVq#D07(~#f|c#F$gJNfa3cQLJl@1Y zoH!Y75N(xJgp!bGnI!Bh%cs=YuTg zhctZpLF`YuKql1(4L8s4kC=J_2*HQ|7@!dziR*(h48NeR8jXx!Bo+D}yNN}|?9olA z(u(ct5qtHTngZ0W2NcfFD|*j7QL)+1g}SR2)}|!6IoS@6s3QOgpV<2=DG1cNLTNdZ zwb@4d zpZp%ec^khveu;g3E!*~iSSy(Nt`x z3i@vx+7`e+%)sYaPj=k6)Y=AF`fvYxjb(fs)bS~&7NZ;~(NA+px3F;?1xJmT!Z4I_ zV0;th8uE$f$`X>M$R3*>-!4EbO*amc;a)Blh#f`GBDo*>q`8jS3Ube^ij|#Xd0mli9n6NAvf>QCy z&z>%5@z67)WpHQkMBsf%bjz0CB3&YL2|C6pU1reV_XTe9Eb#{*4!|s(aaWIH1aldS z?6wFNQ(xr~APl`{#JhCb0YNprI(dMr@9R!G6gL5?VkmL!f9>*M1{yhCBF{fP)i0nd z<As~J)D+P$(z325xSSb<;c0iSV=XxqfP_?J#q6G?i);R zdZJ-)Yn=mBHANR8@Jj7811_tU*$dCVX0d(zsR2D`$v*ST{$d(28%gUYFpe`AVUf}` zzRuv~tpCUV*}?}@S8JslCr6{u%T*SjnY{oT=qo&_WFqSe*JA2Q_;a8uS*v2_CC*Xs z2DF+iF*?XKkwtaGca19jk39+v+P1O4E2|-jnl%`sj*+(&-C#*t1`Gj{eTDI^C(kh| z)wWKWJgGy38Xrxp^i$)r9aI-4lLAJd;>6PgO=x8!`s8$LF|S+)M*SqB8v` zg0Xz+xY6mtntT*(R?+lxOcYZ8656@r@BT)Ooo}$+*!l}?$+L7NXR<0YK|?nbom3{K zZ7r=^Nxcdw#_?RHK`>{37xs;Sdy1yt)rFB zZ&pS`Y91k{@JyY{hPx5iicmFc55>3q-#(-%sLAp03lR!G^MMT%|LmLCZT0^S<|A^M z{WYttdAg zu4Z}y&x`d;z?czpP?j5dk_6<~f6(UwunFTB-ddpMDtzQKOUf(+**lm9W*ykkcB|io z1X;Kyhy5&)1Z@XHgosP+j9^6ex4mXMxf_d(jrQR2VaQ#hY=|P20usID55nT@5v>TN z<|r9})nzI2G4OlEvD#0DkGGS^{`pJQxJo<0RTQArDPy7)g$#rLDjFOsYYPTU&WwZp zgbTtPK})#|D4GBLJ8aUeS)44$3|x&!Wigo?dgNKh=JcA9QgKvIwGhN+fQp9)qp~;l zfZ6{6XH&ooMcQ~#X8RDZ>{MLxrC3o@hD`5Z-eb3ibj*rMtyoPNZWcE}KwtYM0>OTc zy=Qds5G>YxTc>c1_D~ZDZ|LKOMNtR$Db2c)|F^C-nKUf7Hpq ze*{YI(|6cbhOHiWbbQmR&t<}{iOVAYm#h}^weM)4)g+FWOC)?L+ikyZk#eRac-1GU zFFoZfU3N8m(Pk!kWqRtDPkZAGPBs>wH0%tsz*zd zlOu**4LbuXX^{amVuCK1U0~`$!zrKpQoI;pt5NIOheu;*bwKs~bw+s37N`(dpEy&1 zIt|B?0kJbsG1TgDvAM+*h@kfTkDZ1o%^rm+K|ve9CwSh;PLcBI8z_~3ANFuYl?WI= zMvTs}Xd7{3yAj>E3CD|QQs2 z@Og;)nH_xUDU$?&sc5iEb20=E2|78T@TDh+&=D9#VWDT^?x!5$9y7lMdH=1HAXC4C zH7IV60)(1=NGkZg`>?Ad~9rLUuDywq;LL3m zf-~+aPT5z4#LFoTi3Cz<@78h%fL6dB(5y*tAGOXl`k6x>wOJV@#7KXSUikjj=oV_pFO;X*e^cJ5D&ZJZiuKx84Y zI#NruFw6Ld$GP_JCZ0D`2Jj?E&`HWNa%f~3Q_+>#p$6PC>SmU1ztqbD{uJ)u$Wc9t z33+gx^gFofjI)`0mwMqEq&3aB)=`$FIdfOT%s}TChNBPUsOTyL*M338e-jvUO8_fN zDP?eWuCkc9WH4z?gtbUEvebW)_|DiaOej3fHb-mJ+Y=xGTJk3#x+VC`F4>k zM(w8n8Dw7R|LI3~o+!|d_M;zVhg#~=UepiyNFL~b@wk3cl^43(bxvr-n#36@(xz`3 z84@jMjg4yYK~^!*6b9edVg}my3=k`cQ1Wd*A>jrcOk3Ep)W7pvG!2DCdNBSrMlmM_ zSK?t%)#^D%Pf#A+(kcPLQrvssWm!}3JVG+bb1*F^YnBqo&Zn-jTUJB^CoD_8c4-}a zh{GesLa?L)rkwTv{%3r(DbmWM!PBYWt_&_24XRP$;b-*u`ZB4@?)akgZ}-<%uR)#)z#tEtNhaAW39}Cikk(TRrEJgAsaMuD>X);8kllBB zW!oGHtsWhrVYbpnFLf^#sA2P$8gI0r z5X%ADS|W~Df^`83Q=N|@!empYp%?)4{~FBr|Ikm2Ff3XWs{dt@jShlNLzg~CHPg@4 zGx-16%wjgdBBMsFk0I?w`pb5TO4XwQsz_BlCOCQ&)o(7sAy??AacYQY) zw@-NU*|86^?vf>f*Z~gpoB15)YR{+J)3IuAD=X&em$wd9CYiHxTAzvju9j2z>kZ<& zL{udwoD*JX3Jyg*pY`)oj8ufm3^&X=kjE=Ku_-5St;nV$fRgd49%{24=d{JR%pxZI zc(6V+qe}LU-n#3nXVPs5opm0Bt_rU(=}z83QF{m+b6eg{Rh!IAGpuZaM$Pb%bzGL+ zP$4ju1+r!?hpO%z*;5~SC1q1%N2b+6RX&hWcv_P+FEFdNEix6J8z#Cv{#)r~rq&qg z6(Fmou)oY6WYK*@;cAkO;=)uz#};#M{~Ja!fKomfiC|H^V6+fYl`i8laJ?MKQ08UL zpyo!iWXIxr<;5W)R!Rl9rB=|_W45YfO8uYzQ7};mgvJnoPW&^aOy`#L;Q*)>OEh

T70e8X5GD18A>EXU{xg!3Pqo3RxO-Vv8{fy@IU{N|(zBRpOUdfLMs<-l~SG5M+Uk9>4JIi6ZhWYHP ztB3gnCm-qh#u;mbu;w4!&Oed;7gwEhX(E>CBNcsWd$7JyJKUejW?i-={K?i@0?x0s z%vVWX`#nrj4uE_YGo34KR<7@IOEw}xko5|F@g?gt;4^h(GHima5kMx7+Eh>?psJSt zM{lA!`OEY(y%^knC{v~F>L2#QCeb!_2M%%6P|ARsFlmV=mtmV@f+@%Vx}Yu1ngzxB zIOex3!ZAT;P=u{7;ItMuw1@*GL^^7_+&~eQiROAV6-t*tDShC!htI~sL^;5>l4;l~ z0!FJwaJ3nvQXI0EoSwO9u|~qG|26=tFH3pkl}qJOMpQ2M)DPP_R9Ucz5TKzhz-?a% zF(>5Y12}@>wGJVZu4cFBRkUJIlV^;?^ZB-`Pl9btG^?lA zlfYBMl~u25)FpDq$B@fdSulNrHq0h9%m7qSbI3w;K&*Dpq^~-1WsQmt-6%VRE9vU= z7_pQc0b$Edk&$qh!Z1h6J3Cv{OO;35Pq-~ckx(s+QBn#s9a*fiRN3^4BU-=1Z02IH z03<5Bpo)OkS=gF7Dv4+sBrYcC9$Ko!(gq!j0ufLu5?av?(Ut_2euH_`RCM8Nki6ia zZycYyC(tq?$V(QIcvnid7{_BwE|1pej*&2pHE59eaIKkHN^Zqnl#r>m7*r(`Qgh|G zQ1$U6Q;zR(6{#Q8Hzq@w{d)HO6a>EqU#Xm8{=-7Cg@C%Ib_|)SUE(03NnlpUnZK}K zaMeN;FS|G0N)c`^aPHU_87Va4E2(8zHoj9MVMw6V5(LY6p-M!uf$j`Zvq6h6Zs`{h zqX@JdWmexy>i^b{L!3D#EMtRbZ9_I77_ert7$PoWV1v&YA#I>h`Oa%xN4S^3 z@hTk94Os5DXU~E~kaAoXEN80X+8<-oa1WQl-;kja()SAZ}P=AK=@YI zF=}-sjv7b!1t)Fg|8DR6W8^%}I{xnUO}Hd>vb)+Gi*dr9wT`ZBsO>)}5fbwIUS^ZE zav^6pkOdWv11+R#2~ks3Kt=X-=FFKoIH`SX^cRG&-a;m-`lf~ixV6E%J}^5`_4P_{F<3(o@Zv>8CDa3bs(z_eMd9a zkIbz@HCt_}xhpkxmBH|dC&(jGnUG@()N~1X2#n2sIvyyHoEFVOa4J$1Rswn>*+ZWO zy;NUJR;DpZa)9#mbEY&%UWQ!C4Mg@#iKsLJFpDW59gbwiV{Q}Gu|3SV5uR8gObY#h z+G#p(YabM^Oy2xSf#!c+_@K0TN5Mv}6eY@S(`sXZy|^?kuY!OZ6*Q;cw2wU@sXN4w z8}yY+_3S*l7HD)>VbN|Z2-{SeS=x{V&rN!QbQXN_)+sD@j;2dvBcN$RoXGgy=( zTgXV3bV1iaLJUqU3J`KZ7W8FdHx_WDmmm})=Dmg_0I*g9GMt3#uHm77~1rWV#y}zIt*P1zm3?MPF z&th6MhiCWScAK?`Y%_I`Rkhk!kET-G@g0tt1~;1paSWyQ)Wy``R5uRl%@iZ`c&<@|&ilgESJ^WKlZhb!3XI?5UzMVZ~-V1Evok{uA)dZ!itq?o~CU40JuNoCC zgMwDH{_K1yJQXI{aF8BtbR?6w==Pg5X=WSczA=}-^rm)a*&W4=Ddzj##@`=m zq{VLexrcf>o()%c)@@%HjAM8A+y;E6rf=hr^fs!x3QYF@(pav$syFF=<(;*U4aPdPMzU;tZ!x?jCPMhsp|)3D*6Z(zRK_ zc&fEcdnPB^5?GkM3v-j@14KX>ZkbjE|K7K8wzQ=U-uvVE5vJI`Qy zQFY>ng?-7w8Z=iqZLxFIC!V;N15^^^QKNay(6W7G+QjpsiL=OoPbI#w2WZl*Fsnbo zf(w@I!Y{=jQsf=t%g-(>@(M|4W?R9A6V&|qe|mw5M2!$g?D~(ogkgQl$`m8bRV{)w zyHG^@@Z?{KReeXRjfkQYk+XoA^RA_~{7G$505crT29nwSNwry;aTU-4fbA4iMX+nd zE?6gP`cM+2@<-+FvOVjjTKz1E|MNOZuVAtiDh%x&mz<=HYwKS8M5q6NVO_RrJ=!Ow zI;04QB2XaCMgtPf0W0W-_Mpk;8jln#b&x?3DprZ}_KvVe z##`WAH4CBZfJ9^y0+YF}NQ-kej!VOjRJ zuV1x<-IA(@D)50Gy2U1cnc@W&-uFC4E{Lld^GhG>y;WJ;! zHfulzu+PRLeeQGJL65OYugy@h?c!?F$MoJ9TNt;!upW}V zR5;O^4j~xbbe-%b$TXJ*ix@!1ykoYITB&`2cnF>4&) zNEj4wGZ=!-c2iO@8#j`hTyCD|^`XGShTcJ!tgw1|q8*koQw#u9z=@%lsTv#b^#_gq z6lcyz(bK>@3}guu5y5N^U_yh_{p$H8zMvqLW9j5d3>I?4gH~F1#;dn5cPB zbu4(LNtGjj^6+5I#4(Hp`M{?ZS)oLcOMvVxlh--xM6I}JiiGU!T}>4(nz~OFf^W%L zBH(Q(3nf$V<&C+WYGEPXu8UqjajMsv!HrWVT&iXjRC8=REhUOZCy7UQR<%ft zn?9^4g2#(EBoReg*9KC`Na4kg)H=LW)1UF0>~1-iXl zE+JIem2n{RXpXG5bJJDw!+>~xkZg+bRcKLRhzc;Bn$oKkJ;0K?DUO`c;Zswzgb?cE z!;k-&YIATvMOhV`-QoCJ9nkOtV^SIdf)J@5ngMU{xk#taH|u;9hkgKt)ShW0MxW|u z|NFaSKrosukRVKpl~|abRk6;a;ZQs#HYyfiWjH9kG`@B2JRqGgWsAC_FJQPG!dq?kb@N`qfTU?D$heaJNVb4_qFMAnmgZ;hkDh76xd@lzsKnHG?_|8v65qLQ>a^ zj8RFHa$CL#KGJV*hzFlwN?9a(pS{eOwhIDTBS^HtWQVhWBK}xn0oQYdJvseYgo9>T z4be8OlB?@f3X+bjGsKaPLadQ|6(u>A4Ysn7IvF2!M`{)%%21R;2+EV;Cp z>U|t*I!y)=@}&a4X8jVXH>GOWNMWYIK-kjvAv6Li4h}0mw~lK$$Pq1+GIbr()idVD zt}$o-Wk-jTgTcayU{V2A$U+HzM9(-S<;vRT4KzUz?a?7JVyoY8<7@XYX3_tkQ%lYC zp=uLEH3`Kjde|Pa}nEz%aeI7o6<%wdtE+Sqb^W7E&zs~?`6#5=}^xtUpH(-Cqr?)U^Q zLr)?=6!eRDC=v*xJxBpZ0r6Pr*o-l50nTQa@05!`XB;FUkAqun<%+wcHLUv2y$Jc- z$dT3LXC|47jU({Xm*R{K2*70+Rp%gpE9e!p>J{K52eUL2PP2SZ^QctyNFyY15i(QB zq_z;0V{-iLc!#z`MyeS6%mD-j<>fnFWseG#7}LVlY)<(jXV#^uL4n^!Ln<_Qx+GIw z8`OlZHGJuM;Fu&;g@psGx(ZcjPtp>qI5I1Q5DT8MA`rhivbE`Xd^Jn zVEwf?f2zSrD)M6uvNPcs4&soV1;)gXvlod<28JB;^h~x$H+)_Twlmn3URWAhC>~0T zN}>;)0R!LnzXq`((03v}T-Y!)z)e_f0m>{jS%FUUkuThoQ`hjRTX@#!03-ldU4|E6 zDQCj3f9MK5#tnuj9M&pR3$!6`GP@+%4r;g$^Gu**<3GxOZPrWifgO_-)7|EbZE-MO zs)`aUo-qp$>MK-SV_ZD)SZzp#N57nHrcN=bYdad*=0n(EUT(2Rra9=$&#b>R4af63 zRb5OLMUGpJrBO`7s)pFQwy4pyH&f4`&R zqlnxBb)~$mer@Q_p47V|QoABu_UxPZq6~G;IN8xgxpT(~DgCEKGnybvh>|3TWp}7-U*7{@ z`b6UzFCev+ZauJkBqZybO^6^>J52h=i7IEM#}{}OJLR{5x3McEmx;obmq z91~@tadf(R>t0!zq{O^cab&fh{3SiEgh~eZLnRV{@+(QW3(XJKbD*1GA-D|ru{TTQ zWgL|8Mq&A2MF{_7Lj7-!O2u*`u{wQmJQnHg)ETm`dK7nl!&+JMPF36lerT7${O)}S^+JC*{Hf75K9xhD!CWE%mc+lmA|&?UrR zlqyEgf*xe7r+Pa49^@59VwFK}R?9&RjMg3;PJAXV7UvKH7@#gT8 zUolgU_Z8$(2qsW#X>5Cdp0@S8;sIh9A>K7vAb{o!?iK%unMj*=QsXAjo6JcfHVxwP z>hQ0;uRgXFNgB@>js!pi*#b`yJm|+1f(C+0ZnEyaoccw_Q2?LzGSOXRKO@J7A?bik znlz(ae{LIe_{-VpIT8XU4hK~tkJnPVs&qxg%mF755|*ThJ_JXLGF z^$JIb*HbNs|Jh&0S=5)Xts~R*bh{s*-iq8~5#uW+v%M`$p|-TyQO>@(t@P&cg;{sf zR`S?6q{+4SbzLz%=d$2!_8xEC##HL2B2PcpnAyGb2p72%n~L4}t<%>xZgQl!ai8n` zX>-2T1KbQAM1dPKf2!5%-n%>PZf%Zwl= z;L=M?2+OTj{z&6C{KaQlwGhekriR|?2D(_BOO;^k9anI8?*mzrHQ;~(1Bh@zOuo7V zq>+M%7#cw>%;r=^)ab%CXWI-OZYAwqLfdZVco6mnTp@%?;d|{<$$^qrd8pAj6$kcc zoP^0*929xZy}3hH$AVNUy6A{IlX=>lm~)+d_|-|TJDJb9O(PdXEeVlD2i{z^t$#JV zDo)r`|HQLs82zjGv>SVENga)+sOe7?Ssit=?K+gW{qRUo!r}2ASiGT8oXJ)Wo^F;_)M)x5oh1)x8OI#-Ax&)!{QsxJW^RRIW+imh5-Rb&-gDqO}Ql*9Z@Qfnrf z|5}xKd&_nH#_bN55NU5#T_lJq*VA`j^Y~<9DsOH|Z#e3OA~@l7<#0fwsjYk0n;$b& zFWq91B(X>IlDN}kCX&*K4L@>BDRd^%(}A@gwNaqUZIw?)b(md}Tn?YyD4z^zCBnb% z=c~v?b`2e0n9QA~;7<4sDiu|l&^ho`D~G;t!;zrLV9^85qZOb2!Hoy#Y=onAK{%4& zQc^DRiaUh{lP9!pt=u&UGSTS^2A@6FzjyS#uVzOlL(lgo{XcZ~ZylqeSwQjILWVe; z7zPzbt`st}*_Kp`Fh~B)&VMsh^gS}RcTl@%ZZ&L z!>Y5R#dl(8zM>W;dr?TuL4K;$yV7Axnr_%N(#b@}+QPVFjKrc_adYW%s(anGyvOR=Fwh z;SJAZTJuVZ6*I2HasDq5VhF+rpFlF|o@;=EkqRL5d-`{->OvwNruo*&qBq8K31HKL-qSh>oRw-8SupcgZ~5M$(ko)wxsZ-&SI8I>ej3@YA;6*0WCuW`mxfbHz3 z&{el$5~>jli0d`J8CfCsY9&1$V)Z%1EHk%PFfqf8PNKdNq$Ds`+ioLEeU$`zAsZVA8(E^Ehkm^V%J<3quM5*KnsFdacZcM0ls$2UpbkJQ!5^uVM(q=(^ z%ZcKgdMjD5^JIf19vY_bON)Zh+WXVfR0DJ^LIad8f+VOy8K4I6BK9i$O#E z6VFZ5B_hMUL%ZNpbL)V(Z% zVajkFIkdDR&#~uhw;Cwa9OG1S=FuJQp=s9hc_u?~hD^KxGqzesQ08UH!Bru~0!h`l~g^?sVDLk#= zn@jvyepM{1cY13N@ke!WB~FMe7zerp9>m2`nA42I#KYhIrde22x>Yh-5zPM*9T6B@ zE>To``pBYNglY5OmgYw`G2#h$VF*5ziZK*roGL6M&i#eyFqc@qh)7reFlQK6CWlfP zKDjd+pR|ETJ}x!H=eb^5`Py60Ym>JGz4{K5h5;Se&w~b&iey6n*n&}JPw2-TE%!H` z%&w#&v_V$6XVrw_fZDUJ?^Tz}iAqFl_-}UN2@Th#59jp_D9v~=NzUxsaG($FRR^sM z$Yc3Nahwy0mWXVyGD>W)veyV=^(}c%gF&O>DCeV(zURxmoiEo>i)0e&oPTNQZUv`n zjq7=ts9IIP|B3g5TA}(DLbm+r`^x)^`0WJX!{`2(s-e#bom)g-nEh>F^LUMZ&?qX@ z8+s;}kx2(rz8Tn*8c(J`eSLZVg20&p31oGMAq2>=8+2uM`?XhgiM9u^O)BCF|HD`Q zoo6&|UDI0B($P?%s3J`@t07I*hznnHhL=I2i!j2{w`X6da$NMy{nuW0(J)E?eE(bC zUi_~ShCoI|&i!f;R%lQIA*i%jeZuBm{%ZPn0- z(z;>z{eN8d{X2RoWjU!F?@y`ynYB%84+GuOR!xmaLs%$C%<|zR*+R$FDPsqkcwFeD zM0Uofk-gGy(>`&tQy+9wTMlXQ$=2zIC)L2NMG@djeqao%_z_;xv-W&9#fT<01Ya-# zGhjCyjLNAk`n+w>eSC{NI)z8%?j^sw(Dhp$Ys@Yz?C(#1VC>GKa5pn339$6%-}v#n z(2t!lb)bF#z8E4e)0#x@kB)!XdtnCyc*^wlE2QWe`u}Y&U!6%R*%r@F7#%P#siWA) zcGd0h<;55lD+|NN$lEtqTskQP?e8mcVIY}1B9DKI+IES;|5=;5So+>0?43&RT!{^6 Tco!b3ZY^dx{-x!&E?M{=DA{7O literal 269612 zcmeFa51bs=Rqt6ER=BZC4Cmb1y?WqJ5d9uVGJ z;#QIclK|fD?^e~QC68?V8Sff0XL`D-ZrywCx#ymH?m6e)s(#^xmxlNKy@79j=bPX8 z&UgCH|HD81<3BwA!gv1Z`G5ZQxBr=oe|lcP#kU{-=u0E|SAW+6+Q8sZ=PPh#94KTk zSUOKTo1^d*fx+Wo9VedWb*YS?1&jlEx{ggiX(xix2VwBO@Cd>T@_N%+j{nxZygr=| z(y=;&oQ}M{!MgJE{9b-kx~d&uUMdVb9D=vsM1haew=Co7ki&+qquJZD`zA9+cNXd?}aG z@4-4!&ZN9ipQ(&wKLK(Yb)AokmphJSAUrulfPE4u1G?4CAkU^Uj{m9`*BbW8N8tnhV! zy}*Y7(eNc8ujgdPr-A%lPK%D)focYW^ypm4I(`}uPklhNsf_wNPuD$N|9J*F&mm7+ z>Ns%%>;^JXIPM@C1d;`TZi*kGU%XVG2-L5-7Eg2(=zKbZG$l=YG6UT@fsBdcD$g#| zcJWzs4Br1}21*wVqDkW*@4MT9d|dnike{D;{Bj0)y6_Crr8-?;@VFEmO7nnQ0HG8e z2LK&~TWNV6r*fPEJ^+Xw^}A$$u-+5T*JO~Vs}0uyYXRL7yL2u2kZuzUo~J3}<6qAp zzo+_d7=XlWhGnkkg=}u3rvL)F*>uMdx{aCp+f#<@ZIq z+Lot_U7|s0IlLTAx_=@anq%{^a3XJ1w{)jK=h7eV2L2k5tnCDJluYLEtqgRn(>y&t z{v@DzTln%cjoU%cy+Kgh2d`6#j?pB#MMn<5o`J4KqhwU$NcHLXIY6}OJO`yoC*`nl z2@vm8R}S|A(ii!)=A)dprH+pScLI`6-Pin-rz!nmKsd$YD>JwpIR6AtS`NA;8U&(4 z_JHWr^sy%c%{!WBa?ri}T*v>G!HH?2L+~>htQ&y5{=uW@7vyQ8L*u{@$CqSwa9j+I z)1}T8{CEyf|K}ij2FO_Q^!^M)hcbwK;Zd^a6YmG>J5`!;8gex+zUDT_ppKPZ6`Y%a z?&(@{)sF&08GH=T^=@5T)o{x>38M>DK(oy!1bece8 z{X#&t?pJ`^e%4X;^vU2v9sgH=(sDZTqv{)koVJ`U@%=Xe<;nS_`A2*Z=sX8qi!X!6 z6VG$G5+8EV7|883wOw`Pbm*S0Q!8!tYVqhIKxvwTrQZbVSE-NnmjT%=R|C2) zTTQ%FnryUutSO(;CxJnlRJTCmRY%>^QTPSojX>wJ9d%Sazmb8?KMCk4yZVm+9sdmY z6+q{Cnyzz@%;g{%%t3Vvayq2$be;3`L^{+y)ty65mvqKKK*u{W_+#K#Gsx4V&VD!p z@!%H#9dpnvor?xRZl{UfG$l>T`%b*ld47~#rt_PD%K+VbC!n-^&U`DN`COneEIUIo z@KTVM6+a{gk|oK$<_*m+0$slxXwDSm`GiNhEQikmxxUg->G`J+v8wLs@e%Ry=RJR^G4M%mBj3_#vLdD0t!w*Yy%`t5o^X#&k(x|Yt8 z9WBuLPXOsv`aP(B)px3Y5c2v&Qx18Wj;cqXbJ3)B{O^F|DhFMk2uDtUCxF4EK^k-} z*~{zKQS}LQE*&QMl5Ul*5op~1XFzE===$YAJQ@UzS&hvcRNqqYkATMB;62$Af~C@w z{!|7!KOGpvw{pJ1ffWv{aNtxqApIwOdR+eYlA-zIvJ6~Q2F`W*@JEexH;~#KI6uwP z$M0NRnmNsqFV5covB?t>!;`KroRIOwm;HY{PPe}}!|9~lcEM{-C;Ln0eQ_&aGW$#4 zTzn$n0u%-+r^3}W>6BRxS*{ zFj2;HsTB4Glo~5+Es$fP*=ktBc39a4L00H07iCO_cCf!}HKR)#jZvrS+qFxY2UYMy;`!4+r7?+GwN93wwk{e3}c1<%&&r|YFllQU#<9H3{%1&wWT|Y;B!W0RU2Ur z#4z1iuWuD@7aMS)+Hzo6slxZye^>XH2bNztmWM8Dg|O3Q=(`o!EuFG`tmq- zoWcbh>zqQiQ_2z^{qvtbrHrSP=~Ycx@06Nw3Ykhzd`3gbZQE8kz$wAaz;oo>8$Ph-$ILLD3GD$q!#Qy*GZA}`l1nP}Pt50nHT(sYHFTL{3 zwLsr*+dTgK?Tt5AHedJr&Kut6FLbZ3?;mn6{lj-{81>2f=ze!?a`P6IIsOPof)Y*U6DIz-#^GPn2Te+U3n$u5SA?$Q{w%i!}Bqb#-$2WbF8<q)C33iCFYfG-rursp8?j&##2LmoeO3dHnaz zHRF6azR(rR9i>AsU! zY4N}j?Z@pfNiQC{Rr`aM2N192)4hZ|mQ7t+>GI(6v~n2@Zyx=5|2@k@6=;@QyDl4L z#}lRp{06q|oNg`sum1Y!7CCwT<0(6NzEin#d{`;eg1OIs^Hj>dIM0!vUfzw5%QaP6 z-%UF*U)(KclJd$4*_lu?Q0CQ4*s{s1qWUZG?txxEyHjLl*(Ujwc=uTb!P$eY-h72@ zV=$j3eM=Uv-2dz6teD-)XLeU^zVN(PL|e*83wdDR%%ODjJD)xC(kGTYHeH?7o|P1B z|1(1;;y?52W0ajaj=bdYW@MgvhQ}4cPM2}Z&9UVgnJXdh)9uM+cZiqG?pXd$}Uis5ax@tlGFS)#~E_Y0oMKJRND zKWCZ46ay5W2|;=Br$_#kzfCMusP^Uy|CP5=-MQjh@8R^{FR7DuRK(_ z3~w;}|KuHl0}tt({ae7T>;LAwWs7xZFI+t>op933FP@aoaQm)mxaDiFJ84Cyd*j7~ zoi2uf{43tY05eYM^t*v$XBeN-FPMMHaVmad7dwI6UI(dY=zwg4AA@MYBpHc6e1pji* zGnFGlXYdS5%DESl*WdLAFHU^fl)>L$8qECEO=s}B)R!Uc6|#^a`^+u)bl5CO+5JQM zdCN0LZP>YF@s%CkjjhZ1V5N3=4+`&DogX*CPv5%r+WdmSF(@6~|H1U?EFS4wMA!e> z`r`Q+ye#nq+N_&D^LidNES6eD%ZiU7KH+S!Ig(}13<#YKKvkD!&UnY%xgfL>-3*H% zc#i{9lTuu(T2`fl6Af4fFO^O8^uNm6+2a&d0mmx|B|TEo*|1G1=j{L z8~z$HIn!-*lq`#Tf5`l*@IclxIyH4w`ft*E>KDmKF%9xDV9Mv1JaWM7_$~Di=jqMPZ7I@4FhE>cis-ope%Wd<8a_Mrx}GgVL6`TGg%hD z9chxh{x9#+NmBA!p7i7rkYx0`YHo^<-f~^>RUM-S=pKC37m_68oL26=NPRD*7BA6H zc~xOAD!O14A2@&vRiR_9+?13mBPumKA^XmEs(h&!9>a5a^cZm~4H}S0QbbR9P_Xuh39CVBNWuHpX{DRL!uhg?m-?i=hSAQ;<9JZ?u z-eZsVVtcpeCAtm2hRgmV)C!PoBsJ&DW1nGQHNYkPZ3Na+oA#FJB?&Ne(C{-LLWcBJ8D^aBZd75#coAfK%R@y8 zfC}yNhq?B4H#FWC5<|{f zq@};VvOW4+es1Wk-p=U#954Ey_vI@-7rlFCmw6$~j+tHNjW9z`PV1F0JL2EeZx3-W z?}S-+{v#C8J7IKut9NJml9-F0_sp*n{R>3W*s7mWdXC>EN?X&|`i=B`H1${K*w3xp4-`L_m5}oFqs|svnm?Vr*y3&{P4a<8{V_!?&iNw1}B|IoBmO zthb!cR|+!Hk8fU@Zsw-8#(k+StZBil(2EbZ{pUAAW7uk;5SBt`O2~$Wl&o|ct6W%f zQmIUk846Whk$?V2lo)6KsQC;%12C^Bw%X--nVPE%b#)oJxh;HdSX7&H_Hiz}jCrc= zFZ_JwqQpi4tB~rUcsc8Ey<2fb7(0F_!HGK%o!L^=yM%IDo1BH&z9j9}; zQS($|a6_d#W6woJV;i{QkHPD;V_V}r#b=11iw$x^KL*MzH@=pFS zx9$17KMm~?8+DDaqy!6FoYkRn58U}$9e^CK&Q-=h+fI32l2d3XRx;R_fpZ3!J6UdX z=zsA0IEpUt2-RZmq{wt5vjfvRX|m~2GpU+FnP`euRcKvvT%=E2PAHv_7q;*vlZGoS zD<))93L0ir+T8ZYBceRn4yFQ3rHsqUbIbK_tg7G4O^zvLrWK&oWy)?dVIapzShrnp z8S9kt$Os7OL`#yA%yASERgEg#+8;lkZ-a*~5x&S3#;M`9jtS){jp3^^Au`>WsSYkh zd^JJ^7%)9xwT~WrlNtmAQpDVo9*NUT1K(;e0OjgIk3Tn=_b3Xr9tnmpZne3jT~)G4 z9o+IA1u_i>RGbKc7@0MP8(tBvLs>dt5gFOH{03!a?9-9zka-Z(N$qmc^9*U!lJe+( z`M*rNgxla{yi-G%#VHJTKsaN^u4^g+S;?cHO>Qw5mcn|&MK?}pe^fi2Udzm$PSh@6L0doSvwP)w2UZE#mn>zZMgR^fiqPgo-cxUvtaesFz_vRW=H5%cCUn&?^1Z2{NA?_J$)yRT9>ccoB#Z*tfB-B5TJ{l@| zLpR0kySzDOj3nIS&B7&orm$%EHDklES*o9fkUa<&M9;>toy^>YNJ(uKk@xe zCq^bvk&;{yz!^0m0V0A4jB5eP4nkUJA(ze_LV(-$4E2g6uUdxsnH@17STx5~o3l3l zPBNp3D4~v793;0L`}mDWjP#+xPyJu1x2b`~4Sw*uFme;ITvl~@58E0hah>8W7iaPF zHZf#oZEq)PWeAZU{C6P$nV+#KwH;~=q{u?o4(! zVr%1E_b~NKPN#d-@?jtBmgVm4 zqWjlE?neGyC3w~xnem3iXJaejn&4}s(_sHU?$?+QW>uqqqja*W&5Vve8AF~bhXtM- zBuXna)}?o6JV`y6-*++4hKiy{uG+Az<~+@y6p573n3khvX34i)Qm7Ww>P*FWvwRRT zb(X#~TKP7F>x6Q{HWeDx-=)7Tmx6oC-C~{`KgPj zAY}zA$@p?q!;m*snm*tfM~+XNRBhTylU4qXGHe>Yi{{=zHFw5#brT%k4r3_mlAu8G z5hfIF(Nfbv@ZB}-=Igm+wP{q^Lg_`*-==126L-Z2!|+mZUS1T9Wh7IvW#Os0rDC7K zNGnO-McwKMRs5i5o9^?9Vn!+%5S$svRQ<6F)MhnN+FU$M&-g|oaBULFLSwCADKsj= z_SjoyYQK~I!x)^TD~BcHFj>{^jG+sp8EcHQNma&^u2Q?zf8sMBwDVj4eS=>C%Wbi(qo|jQI>H76=Scj*@)C(^#m;0g?yo7UA#vz09RN2Iu6~^ z_Lg=3f3-Dv8$BGxF4}HSgYKM{9!EvQ!hw#9@sgAEg;;>NuIVpIxpJSe_b6sb(5?Qv#_?%Ol0bat1Pu72eD6{QnN7^Z`0EWCp5Ksa z+CgnD1_9@$)R31mhk1ntf(Y(xkHh%gXztvNc4-9_UGuIt41M|gKNmgQxz%{KH*}1n z`?f2dZ1KahZ?0T{XUlKv?ud7pAJ-jv@ClsJH@~hs_gwbtx;j4FIhs1QH*``@ z_g^3qJX_uV;U^_Pro=C896R;|OC3GZ`x8NlxcI(}s6E_6DlQ>`A#I*H_byNK#2D z2QRed|PT>JaKmn>LyYmCt@l-Nf`{?a40 zVKB-p=A*fwh@~}D#OT82+QB4n7-O$ju47(#@tlp@Fr?m8fVri9v9Q3!JWZ(*Pj%Tl z_Gv{5E{TJ<$g=9pvCB0i7*a?#J~NhPxCPTrCMc!hbpq=W)K1k-FmmfLhUG>~O9X@p zNsm4d4MtN)gR@Z|j@yar4d!=N#ji>ac|To{6NPYwqeg$`lReQRP& z-`Sg8p@`E|2t)#B*}*cD=t9n^m>#$7xr{iKPqFES>5Ruedalp_P++RmN!l#QwbDvb zKFTF`B`(!vJBTvUD;P>x2S=s0U=fzOsYW``8O4$yg&ei zkJt*WPD+Qp81^IC5Jy!Q|3>dnOxfGiaOJrHg2OX zprKTpi|AaVT}`JNj$y`R74)yUEyG~&ssjzB(4^+1oZ>t7cJrqr*-csQwrwiGr+^VD z0g@6f1kwQ3zx$3k2NOJyhhzAZGT)#lIo8l1o2iyjg*HI~2}&U=3OrC*yDaZt{lOpC z8SR|(%bUe?Qx+T)1`Lbj^rr5#bPj1W3^k>^40)3!Ln8!fnKXuGD92A4{vZgApC+UR zD4r3Y*JzNZsRTk}B3*L-lv(X3f7{leRe}jf>7@VZZ&g#%T0Bwj)1A3yR|WuWgo^Qq zd}3xudo$!CxiN}c#F7s)UX8{PpEOSxu}LS8>u@x9H|lbCkC)6v zJsStLIE#~tK1Qv&GYfpVgdk_5Epn`9(R3c_*OdcU+TtZK1$zDhYEEmQzOnyA6QsQ5 ziGtW80>ncOYL;d^@s&nN{YmfYN{;qyd5bq!?nQevJHqq2dI=9Oa!sZZ_6x4@M` zzEVfS_{vmij<koUzr&e9^|J)dlG|hy=^`XqGe&C~c%DpELF_u)1(9CMNXM4N6U##DNqx{2o*avSV z>w$7yz4fEhWIgI(@XNQ^84ug3Wh8w~B+WVtNDnq}He$$Ww*vXLfKZR@rRu_y2n z(oh3E1uH}my@dOre|f|x71Dg_Llo@wj%9k=0+EAsjINty%uT z4pXVJE$38@aaC%B%CG;yH0GNHH;_-HUydh5GDlCE+USgy9OSAxfwH%FH$hRcE#9~; z%_n(pc?KO0uV!(;)IQunoY5zGI9IrvX7N+LrbZ`v-n05we8Q3mY#c4>(11SHY=o1> zq>9}vANrH3nGYsYY*!a>KJlmJO;(@5=fg$9jcv=%RGdYvFmgb1)79&?UFqM7>P?;D zF48L@OT!uFlj~ zEZpF9nU&U};l6+!A`usuhygs5#2d}1zBVI5V<8oP#V9bLnYCbH!Zb{sbKvzP7S!HW zyUmh#9OLM#db9qlem3(l!{M@bI+j{T3CRINixPCRxT7uvFZHLEy>&-9ZW|e9q4bEh z3cy zU=0h!KU+fpO2s3Hrq-ru-J)Bon)#OnQ>k;V(i^?Uio-i-6GLjAATMOW#o7mkn}_BEMlteQf2r9i?0# zyRl_WZLAX0Ujzz}6{5iupzW0{990pne!{iUb5`ZfKYz`Ndwncg@KWw|YWEmpL9-g2 zquH1q!3AXMqYI>X5JLVXmI65lbXwbID`8k)@RVC(W0V(tBnY24KVL9Q6VB$_c-kTY zYN;$8rJAWTOACTz*&=|hho1t$GIq%3d6?x11d6H{D;klM4J|Z$aV3!Kx#bsz-hgDu z^EG-^IH^F8nS?S2$$^T66AW!Fd?JY;msc$ExGU=ma|&ybq#10`%xl$6>U+-5_qu1u zp+!s0(l8L?tp_gGORQBU!z4bLl=Ns)WvJzzuF0I% zVL>msWb|Z2rIw+}Km2!WvMuOrNysY8DC3~D;H>JbKvqY3)wb`Qd<~~6kk^$T(~SDo z?f@CF>KEDsk5!MkFOHj@Q6CD74XcwkwfLd|5)NZMDe*W1SqKuk#UPS2W8L+T69r%7 zr`wVTELp0+U=vwduTj)PT(dH** zCPU5?gW255977qWGDy|sV3E543M7=AVgVnCK?=9A1}@Ao-1iM6NHvtE z(NwN}$$@i5k#w%PZ{7SOE00j-yg9YwtMy@^@1{4$v=MC?GkE|$m3zj@k{i-aIqT8M ze|Ks!a4NaJi{+$wD*SnIo(CS*&kCda^75%li4t0_v}Ph9Zn^2s*xGx~*n+TfOI#~7n9kJwsh&uGNwTTJL zOo__x24*HscCJ%B!fRNr+GtYRCZ(u8F>p{mjM1h^90NyYPMTZYp{`dOI6QqVwR8&x zwL!{Piii^vKr{kJ5wm$kB~92WZK|>=h9K({dbElH)wCvd$Y?$69`~!}yPVSJI;I>1x1nE1MH~%UJf(G95j)o{GK$Bk zp{E)v4{SQeYKl=<=U`0n8QE0rs>AG~lhG*m0+|#RS(mAWsbJX7~-OVUed4(fgh;iNi zL%*N|5owHh@@LhkKMf!W+)_-lDs&)A62o%pgLac>GR_n4Yuh+Eb1|G!pL6@N$TmJ9 zqX!H|Mda-;h0IrCwPSEsB^xpHh|6l4ZgQR7A%$ewvY89>7gy+hGv$XU(?c+ZYEwm$ zsI0lGHG2Uv1Bt?G_|A7?R-EMcp>j-1wSXwq!HWBRg^pFKFj5PKEsPUUr;b!@pr%dg z*D9RYZV2Tf?OnVPGDC-C(QFaZ71z)s?I0T3(b2E`SqN^LV9*J#0h2T1lNm>b75QDc zUa;AWXck0Gg{qCEHWS)1{bKb$@Jkz$u%gAtRz-Y?@m@r~2~&gIjD!Sw6w@~Q482*R z-?QxIG5tzZDvntsa>4;Ii$t)CaA_KwpO@#9)yghbjA&_?9iSWb`UtYiS$#xnu?|KCSg|wY; z9p7mjUHw8ZE*%EhcODp*18j1VSsSmJk<4Z$CY37T;ycP!g8anTPS~SH@YnjxrJIZA5_Uv08Ulm2xm{*vXi^L#U1rPElwh5u@5`l&z zoRCLxSq7&B$0yv!7$u}`LVO9En9!p{LbXFm5!GQR(N-hseugb%X((G8soFNF#2cTS zEHw+=)_5WAt|=&@9s>O~SLIM8^`(R)-&!6eQW z5fogO1oKhbrA$;z9rARH^ymam5zQaQ1X1yB%l^m<)Yomb3f*GUs2l1t8pJeM(kfQd z^90gNs9S+x(_pEgG=z+F624~lmMKFFnWm=O17R4uys9=8>xn5?PiMgD$?Hpn&|5vx zTS%8fvH-S*LfT%_+v#GGy1^`?fFahJ015|Ptd2y>xn>7hRb41;r43>TjoPNYj#aaQ z!Hj&(4@@-23gLB4tC==as+3j%MpK%mtBDq95gO8wrWlcBL4X*1x?VEbi_NYu)8j}i z^&x=jN}HTUAD=fJWiF_ZY|9za_uzk35>JLZCtwyDGy45 z$*j7>l`U!yo6q(%JQx!`c^HZnjYmtFEnr-*eayt-(F7Fd*5A*B*R`xTLZFmKRx+)rV&5nyjvcr-BJ!bm@E|oQQEqqrV_0Bq zFbNAGYlOuGO6K@YNwJ6WyYY%tTs?;-N z(c!{#n{>%^D=aiE%a^H3RaB5xlc*u870;k2cXvA?p`BimY69eZdo2vXS%lW!9<#+m zkpn$*z8zCePsYSd2^e-3_8Y7>E!*Pt-~CB7i+(Pl^&lISs2(wZ5l*ty?ORc?4Sa57=nT$E(Kd)Ums_6S`pHF=6Z?Pv#G@4xL83}oc*_Vn1P6x;0fyXq( z;E_?zurtM|A>oc&+Jol+6Niwjx}|7RspyNdS#4S6I;2f9 z9V#LcA?EQHoZpSGA}=D}1>!-*(2?3{ z{xjZ~eogDqN}9)Za|>(B)oUV^69^q6IvJs9T5OQdgCxtOhC^(k?vRsXF(k;UT2OmX zZ(4#f`JTs0g_2ibs7IDn%dnY)DllkO2HUS{8;<4mGA@)2`~soVZLLz#hHwit^)K3~ zTTRuN*5~KdD&4SwBCbZbAw0|!gKmms!EAtB;&#%ZbJ}hRi>sRVnDnSvs*5i#>HpmyZEtaoqX4yZ zVcvMsI32h$3FJ8jc&LWmStjkN5yRkD*gE=^FIZuvfcujIx73tH&;`h(56lTl#%$t5 z=9piqdR2tMr)`|$YK*rV!Big`b)vhXD65(p@EnhQ>x$ezP@^X==cxNo9S6i9by)|nd3v=30!2UJ7 zi@oJPX2%VV+1@hdJ44_d*PMr=*^Ell-xdNc{L zQfYVP-_ogc7c5Jd5%u1VTf91v1*+T`o84Z}Pvl^xtf_C9C)12qxb>|tK@TS-V{%wv zJ8BG%Mh>9-U!;W(@07u7foG$Xn{h*n3M;6Qzw(Nyiqs}z519~ zgV_Nn8r00E*;@wo8Fo5~0&xuY2I+-Osq2%9RA@i^$m_xa4M@hOo3K>l(h7$i+9L@y z8bEHIVK(6dsT5<1TQFX2FqyO$fZcm2HB+O4A*MpaRh@!XsAf-AsqugRi8Rzmr%S{2`6 zBM&%AYAbcfo$ldTi?NhOufii_3jft%BV8HKTFt;o-HFIB-bC!f&)HOwMDt!)kaKL5 z(E0*hGH*mj-Ac!q{cfgqigJgMC+h&$obZNx`jXkLiXKj?NETL(T<`SR)Yd*lO$+=qWJ*4_JUtram^*LOl=T(SRkRwjppH!angSWR2Eqrw$)@BCPQ;w2pYrkMU2o_$am?hKm6{?# zD1BsuFM*-VRIQPSsF-s-P|h0Ayw*Z79gs0&vZaPq;+iA{{Rvm%T)5NmWmmigWTuva z!7J3N4$u^tUdiG%y2Mlh4X7U+EzqkDB;Sw#S42o!zU}nyc$U>O`IZ{4HSF883FtqX zU@FOKYO|Bym}{z))i~qGng*E~s6r}k&K^SRu)Pj*4T9$ElK1@Z-%@qGQ?y2*IY|H7 zslzN>FNO#&D#HEbkDEGN#YrP-S&dSyP{mfMRw{lasg#>`w7q%#dtVdY6qPT!rxEWi zU(~uG89%SGAt+qqE!3^`Z%Zn(k4#zP-m1&WhYnzUm*1N3zOf5;bZS=}z9(D=8vUd^ z=j?7=*}AK~zGjc_@%nBwzACJjpK0tl8OFPXv3Tj^_$pR8UJ~PL=98G!0M-1o@LluC zH-sN77v8jWL#4IPd(-~jRlj<7H1EHu7Ja1r{u&BnW}2sKw~qVq^wuNpw<<}%vW2^^ z^Ug->U2x;aYghI5R4VnWu5fSfo?l$s{HcSL+0NV0dK=1LD}VWsRW;MV1C8C~OBxfM ztrs@$x}g^RN%@@{))!juZaq=&{7^bK8{hf#4_HVQSYZA(02U2zckXy-c*THsJ|{TY&zSua+G4b@F7~ zjWQW>gp%-7dr1COt)?tMh|G!kijnZ_Mw&>K+NbSqV+8lt{vS2#KBkRQrQlOgPsL!# z23Yioa9}DcXps6gslP&H*@It-IIS`R43kJtIPyRgTT)>KzL!UA0iz<>SW&RUYpSyM zj#7AavY?eoE!Ba%I3|}NK4e-Tqli=#3soD$b7r{X6{w-$;K#vL7~q3yU^wRM1Sv1L5AyWfd{=G7k)(_AL@7U(!!W27-R}S6 zXF!x_MO_XTHmm zWHzZ~Rheh23JMU)h_3me^CIM#&Y^^Gh0t&LY4IGL(i7cZWDE)5G>lT(Vmg^3?lc*> z_ujLrX8BZ~#RG**JjnS^RzoStrg+J7#dZyibp)W1xhjJ+Shcr3+8!TC zFnqd&$Ko9e^#9I?f!O5Xg>U`cQ*Gw7I0`-D-V`^VltpxScD;Cm6L_!Nvct?-%}=8y z`lj%#jT+>G1BfT0e?1U|YT;9UYV$eKm^;;bbo!!o<=*5^`f>T3+HS^XUJkLLd+w}+W zaeo4Or`*DWwg2s*V~#{^dnj;so|7Q?NpLf0iQC$*mk8{~zGJz_ts3SFn>b4kpB z028}UcxnTJxgd$B*~W_QkXLZs2#0g5Fl={QwOiLzRu6BgSn(I^{AVd}L=WF*l6Ls9-sk&7g!EBHeQZ1chDpwY>?}1^IQ=kc*1uL9d5}%HFR8OG* z*Bisxe6;B8j3YekM^`h!ymQT1v2rzr{SU;}^}qJI=IujMz22Spw@@LyNkNCE&hc>1R&M(nqx^kd3Y@GqixMwyy94awX)Xg zVXo%A$0oP+|Kk6#n$S7jU^UBOzEl`RO{8`{W>hLEs~DbhZfa&&n8^SN7`JA}0jJt% zz-|+j(jIhX(p7#Y=tYP9;6KY#6*>rB)b^awb-Gu$2_{%Sr`L8bvU48(L*Cvw!; zX^(U8>1Hgus{H`1#Dtk3LP#f1C|uDr;k1cyi!O)dm-%nF@jXLM_a|=fC+Z^zOZq>h z;MKu>{=`98+#Mc9hB(kX&JPl7KW68eq*tRBp zeO>bb?B}rqWi@T=y2I8xD`SPZ$;ZYD_y5<`V{6ZyxY2J%!%jHm)@eUch3>VVvJU*_ zoOR&7RpGUPszXQ=Ffk9==|!wk8OOppX^4jX4cPZ5mxfE8alXC((1^d!v> zQjtB`2ygP#LEm@2a>S zYu5VQe>>0REfeTL!q&E=+njE(roD#Ad1Ms^1EUnHfoV8_AV7K|kqmGT`R1TNtme}6 zL#Wr9^KFPnR*^aYZGugRQ^ATfEBu5uCgMA{o@Yf(%EU3o06_a?CL)!B<8*~dAyfK6 zE}0Z1%_<`hmxLUXwoM$S|9AL4m>AtcGih4ZeW+a#$poC z2vG)dpyiF-CS#U*W%J7Px2jZw>Lk4M-1io0CD$0WsCE#u)YWxr(zQ;_6p9Qk^A)3x ziGKnmELIwQfT6gD<)bR56pe<>al70!p{_BMxz%>ubn`>=>t8PwXa+zXv5tJjVY33q zD<00Gl0>2C1nNmDLaB(=G+CEA)S`Qatu(=ydMqCF|NLGfXp%i&271k!sE2q3ap@}g zMq$B}P*KgmzBSRYstqHdr4~C*J*L$<&j+H=Jj>_RM8s*UG$WcwO50kB{FVwcHdbkY z7{OaUjj29T+~=33>R8llI3AJE(EsJ6hEoCw$suaN=1Wais+2gGhR}nKwVR0lKG?v< z2R~tkVtv$d={K)cXv_Jvh7#Mm+NZHZqbr5Ae`HnE5mAyhob#}i^1*3}Z#nO1T}@%R ztS(K|ZIh2k_QpzE%rj0+Dr#<6c*h~EnPyU=qrowzfP_ItJ50~(+sM*luOOq6Au!06 zXGx4VcDTiK(ZbS7BSpw_rH!@ADJM_=91e~z-v%4SNh5U<`6jsO)GSD#a+*9B+u}-0 zj)NvHmtdx+xg-p}y5*&4p(hdJGRXSGUgC1MBHdG8( z&1sPE#EcatcIh5?UA2{&W!yq??}t~F3Wzlog9I`~DfX^ayV0C4204^20Iz(x6cCbC zTHcqrM~l%Q!i~#0)U4TTQMqe()2cBHr7%JduVXrCE{y3}7$9sk3QYzL(%USg_|jIS z=c!u*knv_Yy zLH-Y5*C%atDWYAsqi8{Ti%SZ%iO^Zs|KuvVm)3{s3w4K~7W5!VD@lx!CyR7>4%&Xj zG-bs;lvQn<8H{D)-O^;@!{~gfr%MvzSl`#7Skp=(QyMMKZE$B-rbZegRZCLnjG$k* zmcF6s+i_L3i7tImoWP%DEu?)y?q;_D4c41)3=1O_GX_e{inL)&3u7XgunXnVjGCln zkn#&rrIH$75)c;5%q+Frt0{oc#%mT1WFS#C32gWe@7kjl8}Mt~G=nTLv3p&mBOE=X z(ME#)8JndBdfi8SON<>8nh^%ZQs2y$H~w~G~V>g zbWn2MbJUEyo>#r$zbS_ z;ga+59sZ17kTj$x!p6&oD!lpQZ56tzy?{H<-xqv&@w5J<_lxJ2nyZp1whk>oi&t1c zPe8UREc(q_lOf3T5-Eqe&H#!BVx$;C*7{tpvFbi&-+}PjIst|eh`-qPeD6}%8*!)i zPXyk@F$32FUv;u|WqG`5C}Fdb!miBJ-ppOz7wqKUc;U8-QvV${e!3P;w|1nxCU1#O zE`ghI*NhAy5~J)Rt{U^IG#yYf2#3i&+TOA6KDV>4JmSpm@JHMZ@z%D@%UW6gWq)uJ z;W8fo0$Oa|+ZmOP{?=us=IWB3SJ>s@sCw^hROZ}f!90ynnZ~uy^9Vd5V2w@DFKcS$ zJ!_gJZ#_>nu$rsK8n*QYcT{v6o85ReViVxM52oB%$1iA z4z$yC`2O#GJlxjQd|zU(0z)w3i;lIzZK^ypl_Ny7Ssq}z5 z#Eba9=9vtq?+rtZ#i|Y}yGWOyJZew6#MV_hUPb`ZR?o|aHY5*50pkp zMmYKmG&%ZuKT>QR$?QP=1kDHy9hqq`;1zrb9kb`c*H-QfquY!;w|h@Rq&_J@UoGB; zw?5{q?4NkK{Sy*-0HM-Ald_{zOwtKo$5P!|==cOuaImmU5b;oIV-domn?@EdqQx^~ z2o78=acUd`rAtt9@cZ9+p=OOx1>K8~%75nx87^XD6gQ);Y~w==bXj_g8q~AdjT2Wv zV|*8^5*8A0u2>21V(k5OJab5UL4w4u&bB_aH_3{Qt@2Y(ywUO_?#EVb!I#FsRAQ|| z%)zjL6i#FpGDD>-v#Nnjy>M_J-#-2>%9mGSW0xDlpQr=1P#xrLtYFMizVPtRoa<{V z2bjS9O|W5DMrCTPOp5JwKc55y3O4xhB>xi zZv8>yHRr28DOV~+gz}hbTV!F!FZF?Cydaht0AoFV(dmLjsZ%SamC? zyH>D0Ae}r^UF?GJdTnFfCxf^Ck-Fu#osIQ?``j^PvARQLLpS)AzX3z~x$AiZb^<$XLfaDA z4IsOfcF>$=%m(jL!^0JUz=l+#+Q%+XoJOkzr>aiV<0+5fM(gfMf=aEI9gL{5b4B3P zlX_SWoZW6y&y&#Pw!gz~-w@ZmYOU;`(fXS{0`Ubav_WPN)1-poEZVYoKpf^nFhJix zl_u2Mwge)f-)rzyoYO6mK;hKmx=z~mHGc!r3f3os;*gnSjh{m{H6Y8cT1;^x-?F~l zV%6EGwy}g;>N$00f*@{poc5-g(qfA}0@$H#yW#nYS^bAqRvZ}d1f@E}FqlR-$ez#u zN-|CKp)D+sOaKD%ha7D?a1FtsV^nV>gd+(_hD}CoS2f-#A2>`M8?mV{qgX#u_)WGQ5&(AW zZ?`yK4~yIvW>EJ3SEF$@_aBMzqTgJ^$r`XLY-MT}aH==|l>AndW zXHbn9ADaCUK^qC67Xxee`DQ=P_21f<(BX78lkT=;A&QfUBpUOY6(%cB~p^|C^QF;Fhl`rG-Y#t|#{CPgkY~>vP%4ahl@=t0<031Hno)F! z5v8^!bVx!TL!Zdu6)KpD=(64Q1E}||Q*}m)97tyECzx~HsbE)dAlSWSqjzM~nGL=a_-<>nR~d2k&3o3ve|cU{H5z}ig-@COhthw+B=tE%0Ln)vr}b3ZiRx~GA)bbG5; zOkmHUur=M}mv0W+C0!Vi{ zN4FgusXKpouy$FaH+692q2QrWulawqFEJUG#HS8bfz zcUj|8m&Y;Doe^)U$I9qspM6b}hz25?E*Zl>mT1Qm9~jeCIVi_R8!O-wNj~Kc8^A|v z;GW4LlpkEH`AIw)OdX5^mTTW0)VtRngp@{OGhaCDTglsUSdWz#PC#N+$@^6B_Vd}T zk7qT}V|)Gm-&)O>c~n}ti~r3x&oXGAJ>zy_e1Q?g6CQYF+>wK7d{pzL1?t6*HQJdv z=m(=y2iu)*c*8z(j34Z(vsUWyoLmf*A^tlLR!nyMur<1W(}8`WKuhucZ@s_twnP-j z12l@LOS2Ucfq<@gy}?A2xEfTXW+8(aUr+2X!NC_&dR$Cts)z!aK+rUH-be&Ok4L(%Dwyc3gF|#6_7KomsLsFpRx48iif#p*qGbx)s!Q${EjYjQ(ULWWi+51+62q=*>ufd{fh?`Z-T(V<9HSyCon4KW$>LXi_NeAzmZd#u>?ft=g zG8zyoGA}14koMLsT`zdHGu0!{B|$yu{&tWwrauL%sAKK0ZzY;!`sXW{$$-Qdi+DFd zgduq;p4e(OI2W1=ioQnCZtoBRn!mC^9mJx28@eaz)*Lf%m)$`%E9s+9W7OM|tTB3> zPQwc}*OO|;#+bSb1Fh5`HlfwbCtJP!!A}1`HPXh4{vEyThb0v+q zKdkaPW>8RXr{@8u)tJr;kN)1}i)haJL_cARZ3LYd4@H!1fms^)E1CtjW|J6oGonSY z%m*2C3SweSx<1s&z{jFVtbp)xpp}?)_&x|a9uZ}D60yF$v)7O71Ot3`yN`$Xj^|l` zGhA6Doj67l@wG~;qj)h9rXkoaMSwso#EtM>)w@wrP!WR0P2kp70Edc&0IvsztINa!ADeG}ke)#84 z^-N5JBTm@5ML6AVCe7{X8>ga;6{_bkRcE8;`S60+FPW>(I$Tov*ll3-Z?H=a{$uGT zCWLr{Q|e%9b{yZYuXDmx_0VfTMl#!|6YlE-wb6xoFi&6|^ZJ&Lozo;XW2GX6YM@UN zx0y(|U{Nx^CLQ4NeyEisfEI)pccE#emFzW--(fzH5QKrv&I_jPbRyl@^6&jAmZ>Z7 zDTyj2UVT-#hyJM)tL2s)v@406CShT$HPwox$iy~t2)ERQ@S+ZWS;9UF?AtgzO#4Wz z$JHwXXT}bK5hf$o@#!oKBll~*JB?#LGWz*?J$8L!M%!!s=jq*2K~Euyk7>KKqK!=6 zZihF;W}BxT1=KID_-(uH)oQdNbnEV6dZ#w)BYow)F2lwT2rSg+aSV&Y0X)UCOZ;Ic zS&tg6pWpHx8ExOq#sk-1UoOtO4?H>eqQDpe3?OX@{1vd203)dp<{=>UYT1#5)NuUi zXVFbtt9F{1v1>7`Yt{xOyzpD+Sk?umSzMVwf;0r{iCh|AOu;LeEkKP~ms-63Cv zrL<+H7&(9Qu*sVbkbqhZ$MBI+8rpWkXMJR`6xdsomn_yrJz=TUcKWV3`^&G1c*-y= zz#lah(>p#yc(WKc;g?5j9(~nfJ(T8XTVoC02qf}82sKWqyyoE=QnjD3NL&ky^Dah@ zI6+`MQavcU8nF^*N;tUE8jNsaqw1NYX6+M~JPOtfez3x<&JxUHpNF;_MxQd4mFzv zIw7Vt3R)SyD3b*ry>+O(-G~CI0k)2#uCc6*!*%eM9J(Fi>oo@R)3NFeopt4o{g(GC zFf6E)kp~6{Re~M8(0t72NbQn=IC5%L`l=r6O~Yncvx1;H6%08+opHOx#})UGJP{^; z9)-$kHoHrUrB(0vK56t6pE)6RE!>v3P%3Qqslm45^oF@ejWhQI z?kd!L+^9Q&U%G(_gI$_T?!|p;j{cipK&m6;S%XL04#L9jO52C@=TSyMXt+Xbn zciM~{)-fB8kRGU2Fo5#mmZ05%Bo@LGvug=kzINaM)Bs=qEVPC^{#0DxW~DOC9zYam z@>qF;A0_N@ZzjIp@%Fg;uEIdPvhI3iK%i$ew9dU`n zqd^T-c8MF`GTMpVF9j&v&F<5F!-?0Q|KZ3vu)%j*&bqrt*X`Js%zR+;9`Dj&YxXV| z2^w)K|LNDNn7DjyKn^^44u`Yc-qAtIL(*>|K?iCzGgfbu_-_p2{IonY5gOc>t3*7-Bf_Nj?|Yrn}TX5`cggm@Gk`GJ9oPq>+3de-W9a( zcKu!Mk-A;0$Ll9?uNuMK-T~g(Q{Uq6+B|f_z5{poSNzJBPhW}TvBSY%Z(sB6RXx1w zvPA1x$fCO@xwXP>lbs6-rJJI$;v75iFTy9hi2bt!9tb;iBDc7n@Pj%Z3pz;u<;v#& zOc{-9gY|(kf>$_|o=D*N#253A$&GdI-beHTZrnRjItW{4)hA?P`m{VYc8; zUet`I=9=LL#>WX@5VQC&n+n`7u6cCzJe#Jwt)IAI-DK~b?t89qJrkgqqq=qW&SY=2 zVKVT&Yt~N&mnPm?cVu&L$7T-yYp_39>-uh;+v__&>|Y#QS{^><(qPN5pWNNJlU5Nq z_~t#Xe`zxM)qRtMG-f|u9vN*!? zMgzO%uk{X?SkgnTAF+|6)Y|N_3H@^RNYMPtH%uO^58Ji&Gk)YYe%mWMKlTlbQNm|s zoJ!&?#>Wo}_?FD8kD1*W|7C==<83!W=Cx@bGs{4}Q?dQnNuhrh}pX0k> z5S&xpUoO$dwGkFU=xEX&@+RvjA^(yxse#>HC9293pYq@S@$!A%{>yn1z^AK9jjzRJ z=Y{|7+~(~p)3=D7LP)wB1dk2x+~wPl^?&;ly@?yw^Hz*Ovgnwy!%mD*PLP37+}*%h zx8Eg9v9Da+&-yf52-s7@%LzumiuBdVyWSNeV7}vpce{iKboXh0@}Ljf5BmD~pJ#E*oYBDmIH13fIn(?i}kfG>>Qf<@Vfo< zB02!`r$75UOcY7;0v=f*{bjF9U#)d(7XOMHSs(lU ziRJ=wyk=WDev}+>-Lws z!)~yzTzbgk>6-qXe;QsluAtSt_b4WO_qucs!c|OSY+_(`VGqL-&2qtOGS^jxnOukf zVDQc-?B&^ezTQAehn=(c@?nQxTiT0=KM;K4a&0c*$C}E7*x1)J`VEf|%KqTa4!<*w z1UqjVKBq=#&szV9^CxDtwc)4U`&uyp;UH#{SbCX6xSC)GyMwqO zq@ts?TeR)n4KgZ*(joXCSR@2tJGmg~xo`$A@@X7b}f`-TDjsR1;|HTJSc)H@>n_okI_sp*3 z=KANN9W%SSx79x}G{mnVcZ2uw9Ks*IYs2Wk;|N#2xn_PhnB!Z$^w*DT7d@Z;9P+ZP_nW)kkNqct?8_c; zhB|(HtJ~S(r<)@^_fq9jK9g&~Tw%>oBLCY*+8icb)!vN_&LZv$zl~RL0^qQPMYP8N zlrk%AASpXV)&}6m$J@nwD2-F5;GF_17b0uyzl+N?EsNLUBOP9n#Z%77`k39ED(hhj z2P2P2_hD1ul_VIlHQm_Iu@fIRy6z8uiNMhM8-gnC;f&iUe<^S_5I7=;cz57dAMtjx zNapUqtV>G&@S*3W`IyH9nC~eCJeZjfmyw%k;X=!Y6V&l|7(}>gZ5t2PVyRUtLN#MJ z6Uo80BytR%c}8O0YsjBv^To|ye4UjyRFR3SHm^i08EtChB(F4NhnO0oHsTxZW~2}> zUKiM<)_IB*SnUYiv%#JZp7w7b_?5OVXs6BF??X`At|c33oHG=lAAb5{6)Y^bRX#6f zeJzf{arAyHfhn(MO99=+hgAo+=~d7q50#xN0SF#AkP5iC;bY(WC4H>>9F*}bb##fp zgU4nSadFW!=?g%3!5yz_nkR6egPPd>E63nRNS#+~hoTTpxG5lcwm z4%;>yv7KkIjR4Vv4us{5kZxZIGZr&Va*WdjKBVnZg}ahr!?tjOAm zq}J}ewPjp;_*+NXs zaj*e|Lk>>xZeB1~4&wipyLW+(<2nyCtBNHGQe?ZkRBV?Jn(itTzyb(~B*bWF=Ao`a z0cz5a2*8knXcdc~Ku31`kTiZMkF~p+1qrk$^AXykWb8EvL5>{DV_DH;orfcmp=>!L zj~+v36lW%uWXJLBdd3jrlfh1s@qGX7*KNv)opbh_J!gv`x=~g4fB*me?|*OAHAft0 zl64;@D+YHiSXj63CpPO8uz6}f^^qbnq%z=8{WC&L<&?Af3%}(e5#@fo&}1@q3zw)m zN`98pl}p_PW#wYGgbA^z5FM6L_8{*&N4^a!XAKNms4;4j>P5%g>Lr&OYJ#Q`SzE{3=lr9f=uNDeh;N{W z^d?OVW1|TKM9Sn4<3PvS=dV8aIHVN8lgTIl3nqDdP-ud>Hvgi{P8cT?-esfN48rr zQ<*4n?_d=z48?_eGH$OqiDM%XyJA&>Vv0ekfoy8@%M(ewfAAQ?T1tpkP~aW?AGWA{ zPS#O|9)Nx1_{O_>ax71lBvI`x&gO`&lE!wvn@)SwoRA=uPMETcOe9`SsjGTXRx|r; zr{cOjj4|KuChj;T4kqW$I4cKDzg&dCfkcWtphX%!Yo$&~(1X1KMF|TlltHq$dis+U zk+7TL?xqatQ5|9q5Y}aWC5SII(lFka@TQ%Rr*(ipvO&Sv5+(CrqAh&lYTim1$g+}v z4~rp%H4y9%oDsvHL?@N~J~v|T&woj@?qs;v8Mj|X~>|9BP!KN@N3M(sYepY&lQINl4JGSj|n?SlWK2D zxn}_iOt(nguTs4|PARlV5v;}o9KPJ9Do9X)hl^3FGKH7}Z%Zb3=i5MVI;bI7F=e{6 zauEUY^&4NJWGM|Q%tZ?v{De|7zYXBWrGzw@K^?8etN|+^bdhM!aT}VzjBq|gQ#MzY zpkgP>n-mCnfPnV&5@0ZX{%s;_g<~c&Di=`~vvJF^Z;e_=`?jD+=-=bIu4TOB$CT6% zdfD<*p4@Ot(@8uTq==D7gk6YC7;o@199v9^B}sj|lV2G)GU)+Z{nCp#;XYsHI*NPG zzouX^Yul4&lNjk_Y!oiSJ}Pv%^uXH!@>^THt)DPrftrPhLVqa4SD`!_d(3+{~@vv}s5QH<{`^4Q2!{*}4W=(>6o z1VOa9?)QH@?6>(9@7^Bt+bjV!c01O|f-|zCFrE0mANNn^#{6h8WsS(N?1*qEoAblr zIP&A;|TJSBFoA58|O`Y7`g%iEmc(Fb-_>c`M9!Xrk9o)c2`smIopj=Q%=c9FAo zunhe=-xM{YiRh$O7(qC<_*A{%KZu5Xb8;2l3rraGPH~?Tl=u z7yGmoZT6%7ySy__iNZ6+Pxge3gyHa5xA)bkuYDFJ+~CU>ura0DebMpJTj@s;TNionvw7 z#UdkiUOPBay!L$;kz@3{zL*_0Hxy^j4%mmL7by718zTnoCn*H&T{IUbC!QRxPp4zy z^7gT}?%&ckF#FvDzc+GQ{cE%Hn~Txd-wq6HDdt~38|)gJ{%`L|mW$U#4}Cp(xNnSN zOYfcTP2cu==jQxAbBwk9lb_xklupw%-rt)n#f+9;IpI9?d=ed;BJDg`4EuuE85yZ0 zRAY)}|LL9K)*u)S^5Y3X`?@H+GOux63QatXVD0_DI-!1pX>2g#*yVW-hR451VP%#t zM&*{LqhN15l}7j0pP4I`jz?oRjr;d)Psctc?*aX8|L+Coc{Sz>Y5iq?>gfY36s#8h zAgI4IH#P5{a>h#5^(14d&tvw&nCm%NJR0nJ*)P_YsmAz2>li$Q zgI3w15J91c!jk1u0OO1CgFm{I;-9gBNW{nF^^`8o@t=ovGa@l@a+ znoj$9z~=Jw9^Q>QHZ#w-*GnVn5`Xp9@gd0kaP1Y>Ik5f||DR<=EA@|6SfB;VcJ}kyLxa!DW&h7D?=~3Q}~C(!TFkEMKZ6$k3sC`reG}uZ9Q-4!oA4AZt&m zxx5PWEEY18^0IIrbE>Vtwrfq?wM3v=$a*g$3z!KzJ@&nt__SQnEC zDNl__^+~Egb`YV*#gs+K>OV?M(;<0aDhgA7h<sF2Ei}BD4h0@hL@#Tv#9D+Le8^@D9%vGRdM2hu|h0ia({1S}b z&zhJm!I6V+Ieb)^^;HxIVK%^AOq>y`ew4bGNOtcW;&?NCtr)c-4^iFE5C!h65fA&Y z`j-2`Z2_x#WT}lGycj$dSf~q&K|90rt32818K1eA-b(GrL779|Sh4WJI1jZr_j|YW z@D>ayCDqRFWP2Io2;3Eq92`wgq8n4nHs;``C?$=pT-|#*FH`!HeL1L5k@H|@y=MPO zc)#C!HsEbR7B!h&^o>l`?_x|kvtDezQ@k9Co0}0h>iZO z`cc0S+lA*6+nDhVKgat>b{C~MjM#_hTPq(8Cc^>730Jxi6D96l$udBu^dW6bf*){zleVP)qnUjLSfZsv8Py> zVGYcJZScU1F(Vs}tKPUW6V~FHFbYztJaLd8O-MR|XIY)&T9u6!RZe+PgL*)OwQ|9B~Di?iwQ_kPG8 z-T(CuU88s+J5jkp9_ZgN>lKZ{*hRQh(dE@|ys|L%URb8gm~B3p^*`X1dU<32=99cw zwn~ygvhhOTOS<8N&+^52z^Po?XNF8VQU;LeH-o7lLTVp2+83C;)bFAJo?Jf~$ncVK z5QQNrC1oaQ12v=7D?Tj&B@>6n^X$%Va{R`h+7u2|wOY)p$HavQ; zVW!F$KAkd(B#Ttc%kg~dT$4^mo`2K{y~zPEX9-`wfVJm5b~ ze701jB(D*MondjsXJs%I)p&H}(7M8L=jcxA{I!?>$NMmk2mQ%&0SN`8m+7GR#3;Ee z(q%=Z;ig&ftQzSm&f=PH#q>Z%nguZB<3TB*n9MtM3ijKJ2(gBWSn1<4e32+!vO>nu zwRIW!=s}B4@bb3?5kja4>#vWE~<~ zA!)BJ)D!BB$5_nAI{b8p)1TV?yqf;9!}i&f#hdW-KvK3H4+3hLd2mNk4s0Iyg>j}- zEreFKYc8Et!CFe3ZAo(LPhU1k@IyQqgYOBeViv&(;hA5EsIjr4==SA!R9Z6B$-={g zRg&tXBGK{cx!g>W>2m6X)P1s=eaLpgg`B$CP+%{`Nu1o^mVKASibyCLM38RqQ$fL+ zrmq?g?A7~zl{(2rt5{fP4~Ti$I(bw^G9|Z zjY_5yTx{qn90jIlG`z_$`!o)>HncOKq<=HN)QDT=O`PO?$6R;*6& zF3~!rw!_=^T<@`*+b%pE9X5G+!s+Dc`9t}fEsRQ-o znhDFbJ#tfph+^-((bu43h)KxCC{c>NU27^dkIuGzv0K^1ZZpJd`abYKhyk z5v3YSP9>VpP$GtOi1!=JtF<4)nLjhU=W=V%4w(K~3I~Gudd8fgzdNs=32F`$?DwlO z?hC8N>hPYL)rdfpz$Lek*)fy)MIqmxuxx+M7c+7byKL=?hs?vwA_t@{#wh}q96V!X zvvi|uR)XY#VvRw!Jl)_KZx{D8jQ#jf;8!U>RKjF`(trN=8pgPBe?o*S*p|$*b{WgPRJVwJm>l$gOPVR@U6Ujh6#~4E6G$A>Dke$zi*C5SgW3KHo}Av473!( znhojYl(G~pokh@>b9`d;>aU1oIa|R#)Un%QrJd`|$1yP{NO#K=~<2oz1_+ z`VBiQn?{^JTQ7cTHlB>~KG`6(60j!RVwn$~NKHlB(-|AaKL6lJ9}HDvTLMK!f=51a z<@%t`ALYa}s0`V#n^yXL%k@sNUMM;mMzAL{J(V;}>@;Q&6xFbcApcgH8kPAx@oKV+ zu%Fz)uB8MBihm9Z%&bIs*v2~!DFp!EGHwSQ6`R3V`j;y`QYntg7VCeh0rdo@LWIG3 zq40q)qgll^qBt;kM<_4%qY?5n`lv}fd&aHXgZBMNS{3WIt2Hi9B-X&5u|p_eB*{oR5_UT@l+O{#Xam%0T_sM zjeSW462=o0o@eu`ye$cF`$##a*(O#3v`(*>7xH>uF^h4 z&O%KpW}%QlX)atTo6sc39f56xmE$2v^tPmrssg6LxW>eEvDJc=Y@Ic8$Y)h7V2Z&4 z?!W<)co@BNdlUsvZx0rxdHBvquS}nI zr>pnHhfgH)6Hnf7{q(}Q+&w$9a4xZv!*0G3AAU0N;)!EnnvY)Xmfq_+=K|;4vdcn+ z!4c#NV3aM`@2VWq}NBm?(DJ9Kki;R96xQn{qo!z+ZhfX3*-C4r>BMw9~(YojwXwj z-yONP`wQ!1d9TaLMDfqwk)|)K%&nvgH?7>CUXSOU%g+{1Pi&u!zPmr! z8_XTND&3o(*|GJ^j&Sq-$$^1S&Fy4{WovLY8vGX{ear(|n}c9akkzja!o=O@Cyypm z!R*%Xl@ETQR{aG!DD*!Qx;%mxlfaU#^tz_`=^uITzq{pI1-g^ zx@XVw<)xW{-u?CTz;du_YH@QwO8P?ZDV9-e2|_<&q0Z3q2!S_Dj4PAN@BCN~#=NhX z-|vy3eC^jmr#|hvlMi1vbK^&OD(i)z`rmxqdog)0uS11-tO$5`6Uwb$hp(f$*T+PsOUtC_k`pF5N=X&y%kR?J3H;+3kiyDBz zH01>w3(lwdR{9?fc1?fxuX=;nUMfC$ZhR%3oPSrk<;K!%^sezOV+*t2@o!FlHMM24 z+NZ1hzO&j}pNkhZS({1+R?^;AHg1XsACIQzuOHv?wxQ&BvgO9Y+W!5%JgxI=a3Y~L zk*)0Sjh_$l72YXxWm2UW@xk4~z-+O>fxJBT#+k9n#T(xnuJEMQ3uC-=$Jphh;WBvz z6`uwR^TNVgVPSTjwOX>!DSL9$GTbjRhcx>X%YIW9hFqWSnOR&JT)B~#&wf34e40Pf zn_ZrHTVXNQ*T?yN{~mu|{3PYS>G`>(;K)l;^Ml{2C-ujZJpOvHa-%Hd@r)t%CP-fN z{gr`Tqj!;whMPkOPm(9zdg(sTt;`nPe4!f0vrn@!>4h;?=eTd2mZ!uHT@}jqK1(B; z+_AjQVjMB4G2S-&t;nVzqk2$OcN?)Wjd0<7BPYp>8(DL@ivLY&}R7b{Z zQ@7uCf^}05r&M^K=IjmQeJjO-bE*tTt;vSXHy8JY;p0(q*Es8)irHrJ$K}*m3MwN> zvQ*q0_I>K=@{Yt9uiL%xvEEV>(R}>D0Ioa#by7Ee~26bD~Wa|emGVX||s#MH_ z)CL!-3xTYVaj)`sSuZ*PiQ=dmvYe`HEo~1NrV(w-%F5*xcg0`nUtIRXhrEE-t@Os{ z<{wXN(`&NP=EU)y1g8-v`ZdR*%KXb7$^!#EnTl`6uBuy`F_`Lu8;`Gz*{G>Pb?r_VE_Z9QKDOPAMZMd)aOumwOhwkJpMfY#yHOak2DN6c#-0J@M zEv(>#%Y~_jw}vZ4!;kJr9!v7%e3A_2@kp{IebN7XvLm5Z;odd_k{5a-?@z1Ww2=@OZfJNE8KTw z@{aYV;+r>1*-%zgU6~AqP@8izG*@3dxgC?Ot)xHrGv;II;u!&@?whRGsC<8byeKwq z>8YeElwmzaD5*R*H|g@snO!-@6mSonc(fdx&VAm^!=Ri684IbEo$db(l|TB%81Iyt z@an~tG+>j;XzZSx&Sm@^q4hH>cMiobhjmtf<(6NwuFQj8iPDrQF@F!P zO7Wt~N5_K-9o(sfE6A7|#XerXnr2pN$hxzfMZfa+oSLX+Fd={Uj2-0Nx<>kTX0E9s zk~Hs&%ejfOr@k@C@@JNpQ0uFO_NlXpQDsoZ8iVweUm9)}`>wb*e%I(vh6DfK7yHK6 z?tzf2sz=n99Y0q+lCIs8{G`j5nYDZOzvHFsTw~SQf?9QUVBxc)EIxbb^x8*gHuO@g zK7Y(rXYY1OFZ}8sJ^5JBSi8105HQJ}^MSJ|m&)JP`YSA2D=dE<@GWGi+C@^&dm>cCCYz~MK5K`PTxtVciC}TlkuK8F0HuEp}9t<&H3qC}CaU(?vYBSr9pvGPcsQ$@hu1;FgjRqn;a>NAVK{Krh?Gu}|M77I3m&w}Yq z=BJ7gH5ge#P@tx8A2O^|!+6p8&S{E4>HQAIE=m7G7Tz#fpS)f8Dw~tTMp|Zs&)7Th zc*J@<;+Y0NA*l06L`d8ZPf>?AA+!9-pTBZS>aDn+6iJG~21_tjd<#e^LlGII*z=PK z59rv05yQBO!U5Dsi45F~O(^AZzQ)=LW8(CPk<_4``#36=p$03)>MIX38e~+d)~Hbk z%4pti<_v97pC{sWatJdWMo~t7GBOwf2@AxHDo~O_&mk6v42Enw8M(9JlFQLSBpI##T=xr*pm_3-$ zF2p3Y{662+J0OKkEIn}g_s|mjte^`hWGnUpX*MuLlh&u&-|fbl&3bj z8&L5>j1k0yvcpak^N#5-Js9w?gJsl`s&^S%G4d>=kw=G}nJUjd_pIbOi*qX0_@!CG zn}d);fH1OZR)yw!1BRG`iG7$yC3+5-?7`&K&|`V7UU(|WhF=OoGp<;}Ea6yv*Gt@= zBV;fcNRPoQH8Lu@Qu?V>Q}irPWl0?>%Tci$jhoB-ksDQvIHao(zm{@0`bcXC9x-_} zEY0>-`~JOXw1_oj^0bb6WfRaT8FShX_{9qvX~U+gQ!J5tP?7q4WD1RxHa0zIXW zNGB?VJ+QOSk;OGxTPkiv$4F(EKci~k`-mS2R;fJ*RR#Jcz*ek0yW@*^56jJZLO{?< z@+tD*cLpq*UrkPgOU8JzV(E@)lwj6uGT#L2e#$XQ^oNiRaAqmojcm$FdrDNMkubbZ zjBxcoK14AoT?Z<`Pvx0ORo=3rqUNOF1iLLdk{4+EYAp}SsIt^Xq+=TSQE!@pl#>`S z@1HuAu*)SY=7^U`RSJrB$sP_veB;sgT?P$B9?b`41-bC>x~RejtU+x??1F%)4%s3u zrs%?zDpf&`F1u`E^M_8xVU4N8lEtzrucw-aHQ&zS9B;0U-yR!AE!;6vVX$n6tIr*8 z!1||uR~i=Ab(5m7>x}W)EeM_zNzAl;`0a0vFUoqkhuT&1ih{1nZ;gh`5pktq6*mL%L`yY9C9(VW%ryci6Ojmy(T=F!z< zU_YAEEMwf!XuCbya58|M8bg;{cRH=f@G?j!zcMG2+;T|TDF)24 zH&{dW%|nT4jIts3vF2aNhzH3tDKipBT0$35RB%!0fyz8#!nN-|c`dKjNe!9*HjcgC z8A6uf_+tq-jjoAx$qHwAbi*k8ivQ_=GmWd+9r^e9!zbn-qYNu9{wrq)4;tUk$V6+5 zXw}sQX#Hxfxsu|U-%%!AhU#Mh{5CXJ<FPLP%`27t#!lTeyLzI1fPv!qQ3XF<+B zj9g@vz}WNo3GV&if^ zXk)}fwmzZ6s^Y9HdZ_$)v3-#zF!qYL^E@QPWA-QBz`_loR;X$;tSYF8wDQ~)((qB| zM8ev}Q~5{0cB(44ul<+1X{1C);H;PhgrQ_aP_=5kmTla#fg1fll@>rXJ#MTl|B3(SwXYAhmQMJ={CN7d@VfNMB)KE6?##cuII%N7%5&EYpcmqo ziuJpSC;L37xFvbhd;RnK<12#X+v!vHjGsT7|F9;RyUCAUU))vqi=PipjJ9yxkiYZx zaPPzmL1eKi9Xv6t!;sc(N_O z^6azKorfoSvZs6UgR$&F^9FCkD-L9Daeg*u-ID|-_NVVkMn9fx-E>g}8)+P@0vtxo_z{ynzATMYg ztRiF0m^6_8t+Vn;u9X9x^|m}svABBRybg|44NUenaK$ulH%w!JAIrOzVZrS{yli`N@SF&s)n&(9*gAHe{8ClD3jY>jHWa*#K=!5Va2^334R?7rL}75)7m)%B>zLFP0jmuPK&44kurMklJ%=%H{Hxa^kk0iONgzFhhpJ@q zPCMi-vG?{VQK#4$@@$`zFJ#9&io*aPoO%<)nDKtW>Gy)Q$X1tHY*NQBi!n3t%F5c!zoT0(QW4ppZFy9< z3p}^+qY)!iBTSTU$GXfKi0G^N+4_x3fYUleFwGxjP<-cTT}AZhLxmPwKiz853@*|{ zZ$$H)5g?ADKG&XpP-6%J6-wxoxYYXTa3Q9lG%mX6oMzQ*!%pC=)d*HQq^fxqUU4S= ziKXTF-sH8h27mwvl@-hf4BB~UQ_{E6?(1V?^&A2nuGyJxH=EYhQd$GkF3qdc%~&=7~NXdSdYnQEcZFiVzRM zUvrk$U-+i%md&Ara2ME0zIKGY{0a_CdU$INb2KWUE&FN+N!5cpD)vgvTeZI6Jd6!If~IXqKL(xXTV9n)9L@N@w|c2KPK(bUi)Io`P)a2F=QU#nnq{_<=HUH66OCL_eqJtA=JEH!v=p}*AK_vs-yH7~1ta<$C#tqpLu~a|TZ1$t6(tC9?kuArp(-`n#{udcOuWAW^xNUFq=gDi#DcY)dxM zNV~|6bb`pL@M#7HP20QnyX!PWG^HjE%{?ZPx`I&lQM{sA@w(A$QRnz04n1eX^LS9i1wwfu&&O+fn;-#gVwbWAs6%J zuV;7zTL*?f7PDxMiWC&}ON1ew*Ev+a$vN)VM4+xW=4)2}OP{Vq^aPNGF=lB@luBvVG-X!Q8MuBvTWQ6la*Y$L%sse{o

DP2w@zNY%S z9%G9vI&B_c5Tj|>jRp#X6Li4tfLA(_7%8UNm8dtpOgb53^{t;4?X(Xm$shzC9`8y8 zVa!DiA_GmF#1ruoE~yk7!t{d|cgui6Y8Wzr&3z$a2`A9_F1JlPN{ zWd~LLfgkMvG(dI&$eW^6XmHu65W{VN+C&Y_MYuF<-@HI=4!9 zj(zZtkr!XO10gDJ^hfxN*l^z{v7!Y|>_X)oZ;2H)%=iC*G^EBDFPTFRHoiL;8GLDhvEwCEStIMAzgMJn?U;w3W> zop-=V>?LIKPct>FOpr()C_dVuR9P;@BzY%67?rHO{^xaFh=D4p!2X5tN;7gGO57ET z6~oXWaEWYCJiQ%#|LeceZb0ZnQOILD6^^=yQ;97xs!gz1H!KK`s#9oRP*@s)mjb^O zTR+vWA;F7@RKhw8*&^-sfoxGPzS4s6+tWcbMcN&>8ng@+rFi{FgLVz=E_IKRYY4?^lQ3-JFTSp2BXFsJ zP{EO)p+`pA3lCkV)Gdv(7u-uiEjhJtk!MG~@j8v#f-Ol(V@N1GbwLyzb?b@0RL(0? zJ>B<;Sxd&E*vX#wql-27kZB5-M^flXyi~WYjwsYOB_OfN3xl+xpE0-URc`&&^%{@d zEtEp5BrIaqtpkj&LM9xs8b?jXbncWEYDkE8DoH)@Jr**w4jG?GI%LBKYSFYUfr=ku zX!~B`oCL=%i<65oP*d|cU0p6;`@T(ASW zixPyT?l2<5C{0X4ZNn(IPcr?kL)=1!w1u^STZQiSMYIf+@GjxI)2KCu(#^He3S-wN z!2~T+&6q2-`}h1okJbR+!CV^Y5}jxVIJP3z1{o67+vkPPhDC!zNvTa`>kDK5>|ejH z^%tLNtbhTDC9#2Ss$Jr%Fxp{NELwO^C9BkBAdTo~mBIFW@V7M>G6)p$h#g7W_9gia zG6>a9HuGFHaOvDCZE$0?Ugn9HU(-dRn7&N)>0Liigb|UD21G0Xe0+2rl0b6;+TZw5 zS!>ulP^W}C1z9o}yLCZ*cEdnp7(ODAFBigZw0rUQ*^QxfFj54v*gQ5P`n5Y`0;2Or zvjO+1fW>_m1`o;4#qc>k`4Me;1JmZ#MrW-0u~z|Y5hM8s?yFMyMi7Or&c)WZ%((WO zvfi+HC@w{xG}NePE?EZ6Vw!rkiMS-S>0(p>NiWI(3G>hI_*qTDN-(Y5NwV1%S}`L5 zEI!>niPHia;4Qf{{m_XTuyMJF( zg9IHcUo4MSI=M(lTtWhON1ILiRPkIwwT44j06accSo`7!bw>p@w4o9@GbQGBt46O- z!T&UY@D=-MbQ%{}l2nR`p{y7ztbJimqjdvVYd#Z`75mpTLGLS(NiVsmlm(PO#GoXr zpyooxUs>Dpg*KtmB?4CF=8==ewv71Da#yFtDd{~$beiD-OY|(+gc?G=%j!Uf7G)OV z6y4xO6k@(yXdWUMF<+Jd>x6JsO~y6sb#35S*HsY#$u}yypiK*E>rl5@u;89i9dVOrlW2Gy{~LymErfurYa0eX-l57e^f{D^Iq`;>_GCXOm0K#9&Tbs-v%Z7kR9WJna zi3_Th>8<_EqQ-)XRSkES7}PO4QH*#Sy@OtYYM8(DOd!z2-Oz-r%us#8)@h9c<>xZ^ zBn=gXw5SzRME9z1X(Mh21wwAOlBBC7iBlTC`>)sQ7fB)j+);T8g%rib5ea@>5m8bO zImI>2f@y_}GVx?yF!$fJ4qqiYDvGut%gu^tu(nSkEkJTc6Qo>JQ9<=F`RcB})Gne} zWo;6$gwWPOc;Tz$@2xZZ0j&~1UhM=#Hr0nsE`IN8m$Vx|p8`taNw?YoBsYi=YEhay zWL5G%xRDiFl6`k{Z<2@@3M2DSkGm+NW{sN<>zmldTmEPjmRLtiEAR@HjZ|O zivTsFZKn*cwtrP^Q2}(};osEg63bCBK^b>mAfxo%!3cmmK`$( zuhw8FuSJz2nhU~1il7pBorW$jJx!pJ((XqKh{ zrUv^u7WzT%l)}D>3mTgURoKKfB>PqHTfgWcWji0%NV1T4?U56@r3oa}8#abZ9e~oW zD7(ZM_}bArk)#niR7pbfbcIf)d?YsU@vq;mT&GDzGbIw!vT+S@@s6A%j!100iVe$z9sfGEq1v;d zH1j=#4kf)CeohlAwhCxmuc3!FYLOIPpuDmxFNP$#Xac6>lW^I^OfH2$ru-y_@xF89 zQmAS1B83}SB_|p{V7d^*-6U#D7--!N3##Cw#4G8(e$Xd@MyY1+A$_t}6eFWeMqYFf zTEJ5Ct-!=`Z7t4Vek23xL{rvnGz`+jIawp}b2~7y_IZSE(?&XQJu#KADoRIWBAE8= z5^}LPh2%o}Qd6%|5X_(X<;E+y8_ggJ3E`J2_m(uZ4UQNh20}2=>vN=e=%W!IUsc|b zNi4NE@E4gf=>SrBma@q15i@*<0n2~Qiuf)##a}xh)HfMzvRO81@wKZPhC3hmvHW{Kg=`(?+2};hQDp8ci zH8v(=H(Mw|W^EXJVX_22luowN^Wqm@tC^zQCn$urP7|r;rEr3-yOte-u*t( zw)REoI@MT9MkZYq3pf#Wq$b=t=*eJMvH&scj&I6!HX@QKWcB1g&(>?!YleY@k-18$ zaCzNMVM4HC5?uupC0t|-M=jLqQ%#LX*djSx{hRwV_Ys$nE>Jo>z6-q8A!Gvm0;6uE zaoi{R(hp+0WamVbCw~6Y4vTFb1c7K$Z-vHXWTDBtAxQz>0im#v^vLnO=hkJ|ETzRF;<)fid?ej{t^h!1KS9>P_0q)e;TL_X;} z2#EYtgr&dxly(gS_^tAehSj&PVW#}Rmq@OC7FLxvL6k%S;ktc|FUqd)knE0+$O{mf z2LNOY%8%FrdYIQWN2L^DBj&Dg9scB=^>TNAWQ9bu86BN<2U7>pg53GpsYH>*FR<`I13F~bU|Q4T;#9;u0j9L}z+k_k1dw{FrH6$cj4+hSqT zOm`UJ1Pc(!bnFGAQ^pKtQPBmKrWPm3s|WII_5Cl2a+?Q8UDVWi??>bC>JGJ5zp_Om2W^-qeZE3KlAllR}XY4TTMWwcF`qcJZ=~JRn8csTiK#87VTP z4lOIIh`bAHpZzlgsZPr;2&N&hWV9(#=v=|+uv`@{+b3xN-~3>0{FX?gT~qTJGG>lrP=Lc2&t+Ndl-vldmCm#(B+2hb@c3e`Dc1)3rSrxaIm)MI>Trt1k*TtG;Z zR9mHD4eM!AiKYo1l515JkUtmb__u|q%yP)i-Z%f)8jGD>XI*UO9nvrB&!2* zW9#ONb+rjal0({v8Qbkp2dmO8N$;pz6p0rmL2oJOI(Ng90H^bX7ZT!9pKfN@3-BdQ zN+;1}>OxCHmO3z%21q|3hND@fh)yQx)WcbYo@bx8G=GzzL68C(UoY5U#+PqLkgXPKXee=Be!Ao&Gku_tE?JDI z)c{pPzD$xSMD5dj!FYL1Ki-ZJFMQ_;ZSbpR6yM;CviR}LYBsg6m^x!tgd0J({0Xcv_GLlmgG3{qN3#knQCd|@s52fb^-E-|=<<7x0D z#cSvb$~#sdTErFcBSl-AF8EO)MaJ{_Ro~WfHkk?$E0%E<$!ABN5;<^0gwg>IVZ;ag zRKgm#H2Cthnc`jhE2>%JBJQhVyC_G9Yq#Nox}yUfe4-9De$ZG|kQ+~_k>Oo&sLQql zICP_A3D^yk1S7#J?yO=;2W1037}VgZb+>%V^q7&O3nTl>uj%q{_#OtLfT?u5*-nrM zZgoLJ-jJ7!O?3ncgLt|N8T-`Zk?z-;p&v0IV{L7^nmZlU@o^sFZ{ zTx21Pl%9l*t#5y=o~B}q5=R6!NIF6nF2Ep;*fp*Zz9sik_qWi>r$T|S2quNKjqlU> zpl_1iOwuQ*R%zix$x4u4fJVSWi>j(>NJJa*77V2Tqf7-yQBM_+q_^tKe>DPG^+5-lJ@FeCY48bsqG^cLkzhpviS*wbEw6kCEMF>f)f z&K0RM$!GCB44Bm|dRD5aQ&lg$E(pZWwIpVLpO;ZEZDKD3n zO647iO4=q^6a%{dgH^(_%C5V*AHfM8>Sm75G!*p{%^Wj+$?RUi{AZ6uhOTu^m-$(03g z>mWsuTuy2=cl?wI39Snf*F`Z(MV+&Vnsd-fuOT+lKBPaOh43yT{B9&*kh&HoxA%P) zYe>*Ecd8~BM+doBKobk7v>p$nilj!NNRo>-0rEvgRe}j|z4()idE0d3{xG5_hV;() zme|F!h;JQXs$RayWS6mnrBQ2S)QkEb{lY~W38E0O9UI%$JyCQbcEkk`bx2lrB54>h z>oOM!1h7G&Rzl|LufL*c6GFw3_)w;!LSYLeXMm6EDrBv*O3WA-jH|ZY+L4$q&vy!{ zEPJDGwxy=JCmFy(Ai~s#e*CHW0|m3wKx)L2B1rg*)&yhdv(Gn0D!=ZM(gr?$v?S>*wNI=~(aL_=id>PiHrHHuQ zf-maHXp9@5rDwSNqq?_1JOpCdYE0FkP?e`JU{s`uS~?z460LBDF3k!;YPW<^RvFA5 zClhIt!2S3NzaU(Tlr7Upg=_@WI`A_wYtBmca{&?=t4b}JrA!OeyWj9y9iEUToikBO zKDCxgmof|z;yajx0_0`Oe=v3ljhq0H;X>$$pU?gF>l&kk29;=qwz#Fxu4jR0R>TQE zioG^S=!8j9MjB{ANua@v%&4e{oqgax3j3`C9B_gOAq|1r0mpZNCILuyVlfK|Q=~b1 z?*}~65ZI88j&zWYZtXwmV@uTqt4ITJU0BqpK%%!us705^6AtNsx&sf)s5C^SYipky z(=3gdacQGQ6rHh)$Pa|TlgeV3gw-e-T6u=R?5j$&)_|QyCF!ZGo*KqQ8U6}E+`?hst$pmf>`J-2@Gbvljs4&EjT$Mdy7CPP#eC{dA$6m5ty za0dYxrH>SPx(-U!WQv$}_Jg-zJ#FH}MjORv6?!@sXblV`Bur_M8N#qL)F(E0Vb3iS z-qaVUz2#5*d5>n*wBawcNJk$9iW3TaF*H6oIz(eUSRAs2na)}H)|g!-?l5J%_SYXF zD7LTT1?W^sLJwiYE{T%#+l^4sx?gEkl4khSqKZPI@9SrqzQ1$Q!*;eaupgnIOU#r5eEL9YcA_J3b&$VqA#k^dJ4Q%rh_3F z!aFv~-SEvR1lMur24y5vjc2C4wGZ5Y?Y9r5NPMQNmj}A^`_iL;r+qI_Wnmra;$r7C z*VRO%3DfRXdgn9>T#9spsx*0 zZXuFM<(;t(Rb_@e@A)qmX|^d zQNKnmQipcA+y;+4I4g!M?%Ks0Y9Yg*1|icGtPlC?0X;0BM-D>2jKn(Nz<^0h_a$o4 z`4ee!rx;$h&ybeU^Ao^`{iL05_$8=m0hG~z3c5h$o90oixp%>(M{A)8c`57AWYPdg zYDTvyt9U%`3!k}6)4Oye(iHW;P=^5I4;zxSU}6$5Ta-!1(_lwzzkOD|kOp8^3=idj zo&1PJ76=xf0hry7k((61j6XzrbUVC@`?qx>agK_l526uz#EwS9JL#At>+NV> z#46=O4y_+joC!a|kW9#}{pUC8kc4a*gh~1UnQcKdEkL^I4)3bwp+a?ca8R5u^(s8b z*!l-o=nGxxFcFq09Ap~7NS+X_mJ@aGNZ`S}}tO31h9c z7!|G}is>SpR1^vbZE~S2RM1eZ>dDcLdgt?JKH24D=vl%@bCOOJ+&a*^u!J7E8%UNW z8J7fxHeJ$4(m>T;Gh@0I?!_!ypu}WVmkhS9kqi>E#iYc_+IK6zX>`|8jcx&He$o#R zONl;fANaqz)FV`?2~cc6(}FyyB=ual0Z|(mLKTlDtx_ph2f5rKQHS~(w(x^nyV7)} zXIxBF-ho96HSPqfu4QGAxFZsN6&sn1)l}X4ncuP`XJ{UZc8p6ox%hee3ZlXk(7q6@ zg>_+%Kb4m?jIaeuK9$PR3^STPV2fl8nwYby%!)=jQkF3&Cfjj(1r3y9Z?Mtjtz47- zjIwGjUXy?Bs@Ez>t2Qd`L9>Js=@>QK()UO(B|(q?-n<=PI4=!^Bh3)iWwK>{#IoM1 zPsouoDk%XT6p-11C9z6bs+d;?1G*FUm$8O+mPS(NR6UJ^uxxeaPgUb4Gz0~3{818` z76xgAsDymrX+A1ED=9*hjOW@ksg@EXWsMs6);@DU`n~2MIX~cOqok$b5fO^&$qsd1 zL`i(ZQE|x92OIC_Io>ddj&u zoSO-IM8I_IXXV#ERkbnk8xDQSH=psfq?2d;~f?Y6{v*MpRY;ic& zW2}_T%G+W#ts3|2Lr}=(gWl{>c41~Gw^{hNGf%cGg21HI(|1uhW*;_#-GmG$i~r(7 zEv>O9QL)l^e?}pd#RalHQXL|7NZ4(%M<4&o7txpt?C>Xq$Tg$vn;=7oHQNuX5bRZQ zvuwWcw55pU4wu~&IeY^NN^7LoIKYV^URE>nn!1A>{eXhJLv_6p6?;6Rd8rKVjm9rN zV#Z^Vb)zG8i^7uJq8k>bgUMhe>z_!gGu1pkEh)3isx%-aiPfLX*86X%j(P4ov)$Xh z!^b?&IarJ`(cx`BLmnMkKXB>@SH=ZJ@5bMYvB8TiBZDIY;oZQ3c3 zS512vO7l{@`rpUVBwc$J)4f}jX~J+3Q$tQ0dh+2Uv1O#m)T)hIal_o`f6ApeQ0m#{ z9d*m`3pv|%_EZm@s2)C@loqp@aXZih3o)?^GyWEY@D|0CEi3& zj_EG~**X4Fej{2{h{~IL4#$1_CKjs>RIFVN_h$|rkh&9*(c`|}B zNaEB^SG?5waDJld>~?#SrP!ZNvoJSeY-o?~+vAqEQm6*zN;UWTzulMCP30V^WlV+z zT8F$H;hveY$ri4K-X6aY#c^(p*4g3nVF&;8;!@85+o}0%!b^JMJmsDeAIQsQ&2+Fs z!y$4UbyS4**wF_9c+TCyPR}XS&9Krl5>$pQf=+bE zBB)?t;i-Vw*PGU-Z}+_2>*H|A@x1bJ$BA)a-`E{k1NMX|4(uE*y7tbp8SWf1OQA*R zi{fuQ_?pu3kl5fGMJEnwelZWS+p>dZ#@1^6XT14RlsHv(%zb3t%E7=lcl#*Tiw;_c zGs`Gddv=!n%p5k$?0i~bbKhfL?#F%pT(aPvb@uh`W2;=xOXq{~{-9vRMqho7m>8nR zdy|UoY;z~0oxRprJut6yC&MVcb@sBTSh%|Ak(%t8NsMqTnr2(o`e^Ba!7}@xA3j!! zy-nd@{iS5Pw>x$d_lz6f=NS1=ob2rN4i}@7P8MX(vZ2_ay6G6Fl9ix;{A1~Ra(~$! z+p}Zb9~;?t__Q0H-WgvXM4PIUQBXcn3QA{o9Gp)E<8=O>tzq5XnK^0TT_2QsqIl4+ z_nEx|@eXGxoSzuV%po(~`#hU2I!;(idfge{*q6i`9IW(yAI+UY37KyOwe4&uochCN zFMFY}`x#2{3^saHQK4e>O(*?yPo*mR(z5ezkOt|Sov2_%p%HBxsW}#VH3!Q+`_x*I z6L`ZW(cZvVWuvs!Km35r(b+#UeNKcx5Y3={}-Ev7M1+;lPd` zWO=!d-pCqc&o?g!r9PQX*oJ?~+nklyTiopLNw~F7c5B}4R$Z5!PHXrZ0Ur>w| z7TMRi^7vJk_(ng$=u#tJF7SU|;8kp=jn@*TVgCN?;w+H|$4gk-eoULVM zm>pU-IZ|RL>)5KD^dS*g#>BFNGdjkImql!NXAH(pST_l8N5hkO;28^6#ojivn*=5I zlcQem32Y%F*BoUy15vRTE`es+7Oj(hCLHu3tD0G{(!S#!JN%Yp<40dZF`KV)s9vct`d*6LgMQtt#U$QZ7TthYMjGaf_ zoulx-Oq3F6N4>&Cp7g}I;VsnJu+R>v+*_5QbhZvz*vtf;;p`@X*qzx4rVs{m2Ak4C zW!c&m9Hk%avkFP#1{Mk^8zPZ zk(?;u@qEXwxv5p14MRGL2^;%@S#QjejdcMO)XajtFX1E-M9!A6dbm&Qp#Yl|lf8|D zfXE#h#_;jsP4s+egsR7k7?O#c5bEne5YbZ0l34U zVAqQF2o@L*7gPJHYYk(cL=U(`IPxnWH23v+l{4XdRIUdcCgzv}t1fvl-wW2KGYrhA z+!A0Ay~nXEFRht$L}_g*F#7Iv5^Lgo=%mhIY}YK`H|DR&i#H|yaBS`K)8Ut63$iP( z-12`LT#KRwi*2D{p=?!b!z=s9)+yQG=^-x&%zc@+Z6|v~dU1J#$h0kD%TL1~v9}j; zoBfvwY>1j&uDyMu0X8s-+Rd zf4_glRB-f)a-SIl-tJ;}Z0F!}x9^V!on@!5@Ax&i{=lNe?_i%laoYLHf2fXx_lLW` znJqi>V|PV6b`Ble`4#6bXLflscFxteZ<@FdZ244(E5Z`kj@H7L!;6WeDd zif7Z^7s0B0e{e_NTv*-WZ1Fb-;lP<4{=k`F*e|*}!a?Uuc$GW)+(0&+y#0Joimn_f zohfY!uj?B)xBoW(dZ!eA-ko;7x95_6c7N@;W;)7hcRIJ9+)=WgUH1LVk9UM4&O76I ze_+p_j+~AB^tL|rZ5h6PY0wr&St;&`JjH+$eG|O=Q=-~AD-(AN-qbeU2nqr&-=?$0lRtj z*OQ+9gL90kHu^MVC5Iea)H53iao$jwMJEfU{XvjCCfu365=uGH23nvDK=CXwo zq5Lj|ce&n&x$BmzYVpj#DX(-c4DE$;1L1tOa4uMJOWtho4u39YYlG^0Dz` zXfD1o*%Jm+15uD3r~`PwtDFl13=%}{R$DEVf7pz@LFq00h6vG4do3B{-AHhmAc7v7ejivpW2kvtjn(xuNq9^!9%zxpb4`KkCKP^`&BbL%L<`*@s_A68}gx zHTLdgYw_QDZ&`fwu3z%UY`^#OsolFh-}9~XvQ5r`mGr)=OXriS(L0s)Js7+*c9#1# z+!cJQm`$gVA6}R4O&%MW_s8BJt_0Ub;bQM|^HXVXUobZFrJ?2G^(BAgnf`UdMJsc{ zC=WN7y|Hy=u{XYS7iGX93tl!k5B))~eD!siU!I;nuoCo|wdqA;muYM%Iu zW8PFgw7k6n>LdPCeJJ?m$*JG*drg0-=q#m`^GPzfI5r(@8M`;j9(C$Ph}+Yk_eFmy zSqe&4?PY%|9a?6HyXER#$@Km$#X`RM0UEM^>k zKS{QXWh?&8o2OFY&Ok)*o^ahB`$gXjD&x*_Fg8BoRC1IUJCo^kNz_|B9(ZHZ>6V~3 zxoO;Ab=~s$Z_19hbj}+dEFLxyA{_^*@nq_4a{7CA-MO)+oXi9PB3$SxuS0~!($&3F zIYqpQ@Vw_OJd8=)wCsChH_iL`YML4grPB1`*vsML$wisJ~+)J7H2RpK?|%%N=ejgx=TV-A}4lZ(Mqn{C(kVbQMH^ZwMg4tHBZ`Diqocfyp1Hg zt=sK3_dk@qn{>Cg&)$0>i678lFmpcN_xJwa7asFFG7~W4DKs86S!9os#kK@f1@Dr5 zROVmZ%9FS#2f!S&K-ZFm)8{eJLRTdY!rZ9__YF`bqA6(5fNz^9CbQ9_4G!;MsNk3k z?C0j7yW0_FSu?YNh*j7T29lPWwjfdC7k%kBn>XKI|p&b zwEyYu#@7BZC)VH*>$nX(%E@RDi-V^up{*%CY`&UX{Nz!o#+M0Gw>i`g5Ihi!V%mO10XwX!q(iXZUoWnhZb0px++&rRejdS2iEKfPp_GElRzYbC-6hbJ!-x2=)XM4{r`WQzJ&W2f1}EAMNdBa zD?Ql9pB%=wE6q24+upTtJ7US~rT$&myKemQzcVltHy&?(T>D$U`ro~u{zZv;CPsM~ z&YThr;9Cwq_z%n3_;&M4Ml+3HdEEcme=)`fUb68hV@~)Ff42S02PXB+$IUand8mBr z*=t|^ZPSRCI5jhyLUs;_=|E=Oi>eW#!mPt5y~EWs&8(KuD)Us-Rl+7x zFt>s-t6|2P(Y>xRyW_sVV)p=`lVveRcM-c`*`X4%SytO!P#DjcWUoz!tw5QpG!YQp zY6yE3SvBDmgotr{{nat<`PH7N>4j}=G32RMSp|3?vaA+y%Pd4YFae|oSJhu9G8cdy zx^0(i8Z&`#a+}J!M(%)(H0IVl)sH_#uQOOJv%l@UnnO{99Ro z_XC?97QLzLu!9*5?{@_}Fpdt%0rsji^d*BieP%-AVZ+4sjI{wU%}`c2ma6*>oA7q8 z-FPjd4y)hfEj}`zh+3M`qvr}ToDNH?%i1Z;7qmDfx$zuX-9|=T>E=CW*mVmzTDJpK zjH=Omu70JZdIC=TPPf8SreGj9W!=*TPg{gcc(ZgxIMD$*<~{|prCY5_4o@7HUvX6@ z2D9AjH=Yuf$BE&&)~dn756H~w0AXeI4wBHK*Ps9RSZ-K*Hzzx#F-DhOUV?!_kO2pcHbv|zsLMXiU#Cy5ipD$7F` z3@nOA$a8I>+9I){YB}H)QiR)*fI*3KCb1MOxs+#fuSm_ZR%iud(1uYEGS$S5zk0-I za-}nN&Ym<|tV(Kyu=HYUu4Pk^H>@7kB)hR8U+9Q8>F~0+PEC>+Am>s>_??MQ@)PN* zw`>-RM2f%GS9xmG8qZ~Fsh;UQZoTA^5fF)}b-z`yoM1iXadpRNE*MUC932jT4wD2( zn5TB;gxqRGIO9N5B09%Y!!08esB65_B%`SwYs$6|HacO{OO{MIggZOY7$1Bo$ohVL+0|CW_Bbv>#l^@XnKdIWJ40K^BN!3RZY&q_ z+L^!0KrptLX|rf3825^dOI=B*Rwf7A7AuEIR!AZ;U}PGA{5>g()rE|C9(LsL1>t41 z)hH%xfHy5HY~g?~L-SPDt&~GYbu9-^JrLq^94qcg-V$^|LJe~33Y}IH*yR0qW6cUE ze7_ui;}^yirCUlp5K_x|fhATtQzfhs6)c}2Z0G{#SAa`0w5HOGE9VU#l#Qrm1`-wp z;={Vmlj;hrE9!|{D9CeCEZMYUoxH zdX%+sER7pLhh#KFc|aHYNKy@@L{z5{F;C2B(Mt#os9Zr;$Q6@#WS9X&DT#5f7p+6R zS^G2g>!0{40*>TeR^FCOCUtbdtcX*+WnQvfyCzi~jmmgso?xwZBo_(>#3fJdlFGEL zJtP96tYJxYE7CQ%;am{h16WhiY^kgxyA z1H@_64q)v3~@&}v#fbjY^ z!CAE6g@zDm&_c%z1)Y=BQ_cp-QsC@*9^L~}!}Bt(reH%XV^>u&$3;>VDehT)2!{Ce z47d&HmBt*qADK>gGa&2k*f)qsL^C>=8G zfKo2XS?9;SL6%WH{Np3M#1YB@Jzo=;wOZzW>0w}5A)!gX3(*sJq(*UTW;IZm7fu{g zy^NTW!qB_XK%bzP&OsL5q(n7ejuhpZ1s^o3IoOSrkZ>^u0t(yF%XuppEa<_c5u_o_UmT#m#2ezW%UU*=F@g8XhlMsgeEs+A&?2^9eQZRSuuK^t ziZqBcE%tSnJfd@T5sfRSRcuQcJHY%krpyrYP6KYG5EqOWa(u!RR>-uz6nri<_u1i| zW^yJmRk~Q(v#qm|FZE0gq~pEsrX7VtGwpT#ve3U9yE;b(duEKOVz1FvqTBeLvSoIf zAc9@)U{BFXHj^~;EwP(kY#A%Y^!IJ`+$4YCWPOp`E>o1zoie8OV#IVN?QI8gi0q|0 zd9}p6M6N|ECyP`2cgjjhvYFHeMrTO)3N=QH-%W} z%T{Y(!nK~Oq|)3AiCBYRiyeWT6@G@^;B>fX{MMfYwoI}I+TX*jlF9ZRd+vKS^=-cS zpG}v3-5fe)xUshvv+0li|F*lT{mJbtaJ= zGMjfWE8$!ql}Y0nF_)eima#cnFL*tz$Y6MtKg4tMJ)pY!fzp^qr(!$Sy+@buE&>>` zI#1!BY$G7Gl^X-ONJ-Kj^31Lm8tWoXe&{a7Z|7IcMN)uepm9+z+2^cJ8o>q9ORFXV za-AZ4wu9TJ$&4{P*HcNEG@_+qe@7A{XMgeSo!-GY)=Q_XgU486JcqA?_}bE^%Uzc@D?u=ojQ`NnRZXAA-i5@ro7aao0 z438(bnaSdngP!kp`j~XDxvS(>kME#^;G#54FYx;hN;%@c*(v|T<4HuY@D=Xx8uy*B z3drjTTHar&8s1O61KLFTZ$K~L{LLl?Xu!WB_Mm-R{4d@&=G@ie=EXsulNy^}Aj|1J ze;wnp@atRo(4N{3HS#QZ?@zi|vUK{rH~3OykBEPOAK9KQe2GQA-&7-59~N|>C$8#? z{n=ZANg`9;LJ5Q^oea?A6?9!PBzH%r?2O7wTSiUU6ExGv;M$-9Rc=**ipAoTi`S4&x)2F*)y75;?*S3 zi(D~7IX)L&08iNUFH4^Eu((FtF|H21TQEhQ!a>um6{?W`Lg3Rq`c1 z|9`4vjG3336?ZI z3P@Y?i7lC-vfQE!v$?vj&f$E(+8vGJPPjV8yZdQ1n0y7;&`O@vt3ir8cx+cdZrimL zBq+XYzgV+^FC!X&?oLavth{4gs;Q0uJ`@@Nl&=*ZbIMmMEqaHu>vi|XFI$t|S=P`u z8iZT#jk)$cJTzWUxcS=gyOuzx3wc`6N$fQmSxs`>O(02v-LAF}Q_A}IMIUya$8}TU z@nkVr>i9>j>e(4o{d#pj2&P_L|2YmL;DJpX>5*n=Q;gii$t z+G+(*cB@?-UyWWD!efzs`Z8ZGyq8Lh<0)3%6j`fb3b2Q0;WUd3FWXDbQFj>eO?1Re z+Ed0xM_ql~$(rXd-XMD@^TMbs7Z8Z+q`3C){^;dmeuqMhhXf78u2#^A-TL@lx2Kj< z4Lyqxz|`W`^Ue_Fu_nBD1BmkAH~0+<$ps9pYH(q@k6dBeA)-;^$w^92^T;u3b~pH) zSMq=2hc6T_d)z0tM=Sp9P?8O&u4c_91{(MI z{@?@h6|fQt4gwd-rP>u~Ejhn34radt9z@Yd+8@%=s2~UJ00E?rFl!SaXUJWDBEK57 zfuD(|)cwW4N89}rX6zUy&`?F?@HIHv0i^y?tsPB+VrAwc_ZU5bLCgr+H(XH{blllv zTOIE0_yZeJpj~I}SKMP%nQ{R!HIPy4*t<>GhtqIqE+R!QAR^0D&3*6s|AsJ5V64tw zc884yR}3Nh&69z$V&^x>Sz$nBVjCbz){<^l!CRMlXg%Hm89He@}>9}KrrfpyH=zDdYddse=!e= zik(~R$MpxdIdE1-(1f*eJBqwx+=s~32LqqGb&C&5_YMNoI$BB|thCK`AtE?e;@+u8 zxqbQ5HEm<_vhLvmJ8IUw>RN#`mvP9Mq{TxgN&vBkU{ycq<6Knn|9SW}Y@Zu6*GxP@U;M_|k^Pk!?-SzeNb%&qK|%4-Ww=B)MRNvwYprT(iY0V4jNpXB4ln|%514Zd9X=uN&{_$c`D*Z&Q^{Q0-g@YNmv zI@LY(->Gh*KZ;?f%)u~MvXB%jAvF`nDz9wd*bKZk2MPep96VFRKZG3|DBtiCpss_6 zf9~d=^4t8U@WAZC)BXdXB4l5Gqki+Zn_t^;=8T4)Ew~x@<}*eN`!^o~-@T2WHyo9> zp8o0Yrt;9)V8?jatK`$0|1@n|k2S@#9$3m8Cl8Se!Wr||%y~Y&NVd`0NFu@d(}N^9 z#j`Y$PWFW*!X84_B|A*PIhTZzsJS*go%%pTu=t6TvPkP|xh5s{G~>L)AUbqggyyh4 zMPm)d#i%CBY|el#(bEq#2eD_WtHZ@gJui~7=*R^&tkTD=NF!IR=4)Lz|NADnHp8)v=!tCTcypUnwsVs zuwgLtSb=-o3~MRcJgLqkur6Dh$jeL%X`qlwdApxpkb*Oo889l>g^>tE*&3Xuy4syG zoTzN8*vBD#``ELHcrjtsE%&e}n8&TnVH)hod-7C?WCldIaC~!$en>Ct&Sm_!M|$Rs zkeGz{@o)UZ;7Sc{W!4roVaO4qIZWbowm2lc%t68_LtC@J`pq4@iijJst&FUdei`dj{QV2xhwgV+XlUI@u0>UZhk$ED3nP#a) z*h8Yk`?w?(EBLXiPQE)GD;Uj0TR!d-yu4C%Q#53foEB)Xif#`I-ag0iHj6Y%95WCRFCW)4K4AX9jojPEP_w$SDHO`}`U!|h1JhuH6#k34rQ+1)e;UF$TP+8>%1UgDNf_b!tR<}RDt z=@AxkglvgA+b%>n9g$&hiNqtEj<-Is**`#c z=*@l-Q>has260?)$t*oVOfP!HMl5#`x`>!m%T0tVH~_ zhuoLB&a|D2sc4BsU4lSwCV;K5)q}8=I#U=mrB|s2EIW zPhGoIRk1E0po7I3R#s2Z1@#OF1`MD)WVERCMpKk}O4PN2V_0150lr~=LYp{dXZp>I zM#7GJ*tB`u*wPV_c}xd~nr>U6>^Fo3Y)b0k`6!XZ^p!^F+pf~&;VFpH-HnG+(W#e-!{Dv%R1 zwHm;vr^sW$F`PEh)&Wl$Y38s$X&HLhXs|vCNv*XFvN;2{6};<>$NwIfIjJ*C4IN_U zv<1y9L3jqs5r#{>$q`^fw~aO!77pC?RZMAESj0p?Cn_~sKFkGLt(ydyQYM?CZi+8? zAy+>On#p6WWX5x~kioeY1=W(Up+p}60H(c!h5`vNO(x1Nms)h*`r5$TcqVX8lKF10 zdpqY@OR7xLx$h(bl%3i9%vxg0#Twx*`r3av=BXQ9=3bD z0a3bbq4lT)w&)Xjpf72LazexI1qc_py)W1@|^Y7blglBJozqpOw#_FQ1l z`4KvV^$=2V1`jUERj7p-bn+S4mFN#DaSb6bCWT~9aERMyu}4URnh`lkT%a_jxBUKt zC9eT!W;8j`L@-BLf*vOCmZjWd&JGAMt?rWEjmAGi5iAwwqLv8IVcSA72U08gIfNJ* zizD9zvqVJuMbWFf8e!c9_?m+_go!A^8r>M4h&ExkYN1*i)ZJya{^)*JAQDKeB3P{| zIA^HgVPi;RbSfFwdc+JeECX@y zhQS-+HiRg+V!X`5wWxf;HLdSWZBnG1Nv&|i4FdHNJHKDj%qCjL?uBa>5nO!6hVX;P zy5?~Yb7BzvATd{E$(?W`TA8;g$eOj27Cy$4TLL)&J*)_K0CN~HETg5J3~UpuC*gp@ zyRmFZA`uHj%qTF%GQmpg&`;vGk}`q}SiaaQ)}9`9!ga_2iFFy9Fy^Jt?s?qNI>l@x zBv_G6<6UrmPR%I8)B(rfn5Kf?rynPnOKqNIW;CX(M52=z;YxgykL78IP>!e#$0UVZ zE(pChTBAqHyngVn0ui8k6=5QL8?2>U z#}e}*_JYf?W}B&=NyHXd;%uVGr?u&nIJxdh9Xp#i1?!ckonzRtQ_Xt$F<4wMsM#yIE(padpFKMe*eb#H-K@X8&B``J?On$z|(e16&WXRj{np@eqT=bFnZTb z81296CcpNtr?PhMl6hzR);o0XA@N3sk^SWMD{iYZ{*Bbh?FaVrxpZ~ky)o->?h$k8 z;d=goZhqJ+h~A~4tIQhWL*HlCGn^MLnp?TZKvY}FKOVcPH$@fCwm*_P&66;Xla1K( zr}ZD%wG{QX@*{fYG#_e3o=UCPS`m{SY2W-A^KLRDpwryXD$dfwodhp$r0#rNZ+S!D zh7hJ6X}?3yz8|kWU*NH)MT^9j`PEAMBrlMeG&mPIsZT*tI(c+a-!$~vaT335e`WjF z6X4l9S^PAg5#}eHv-z`=w`2ng?Yld(ck8|4d?B$lmMmP%-c82D!OtNrCp*B+^Fd)s zXQ(Yc&jrPoUYZ5*ZE%zMOtcDVTP@j0c~#uQRUbF;po((iSJ&n&+U>D)2Fmx@e(! z^T`FE!&ATA*bcpD=!fjO?R=>ClQ-UZhwd!!J3-cdfyh^^t+65dZhM1=>mFpoBnO(- zW1Z#@W#Z&Ri~0gjj9);ymL1^@9sX=JS8KE@3#stpXH&|3MJQ z8is_=i@WFq_&Ir6)DoMs278-{MNI~|-o?yx0g3E_+ zmAImcukxHhN+6#GnL5(HVY)F7uy>j2K7y}CM1$bue8_M7G#Vc+da-hTFB+fHh7KX| zlHZtr6KimZPK#Wp^t?dxTQ0iK>*KvpX8_u5dRgY3r&5bLIOyr@tqXdbxcSqpTf@ae z6#fUcclG~J@6hj3r`&1lMvNWdOW9?zU1aaJ6_XJX#ibNJ&O|tuY_x+yqYJ@5#-CWg z0z=WA@YWF5Y_x;gCbbZ6#LPtmm^q;f{3u}RMxz5<6lxZow)X7+8@*uCnMe_Y-)Ec{ zMOkg=X~PNw^?oSjqj3Z-_SE783^sc=Mh)(<=HFf9)ElNb)D~*+>b?Y5qJ^5g(^<7X z@R7`3r#wmb-Me{CSXuM?ZecZhONJo6p)L^fe2-S$6+tA%SCcZYdMha4rU_U(Xz4aI z8yIeFK}X_SW}d@n&$uLL#+V(LkYf%^Q?OAddJNQkK|jFgnAyFY)Ynpiqs3I7dTx4^@C9ws}^b;W3-0P-@y^r5WKkPD#{_e&tqlv$$I%JRJSl+ zk}3>wMLA$VW29l-chEmA3L$U4RlpehUI z^K6r~S>>boz3*nz?H!*Za%^x5JgLwd+|E#>%UsF@$B@et)q{~^#z8G=xhkZ`+i7Ut z4-?u8dWc)=Fx6(cA8QZeO@}vaWuDxNbqlF=&u|Ei78P$)a3Ei}W=RbbL1j!C5MxVO z14`|SHq2D*NtY=WDnP5_m?*QGkgI&7+dH97&hoI_CdAG_vGT0m_Cj)kl=l$Jq~Ql z*6t5tCwvrfWlPlaIc$@7Gn@|KDCqB{a<+sor##oXY}a6T)_AE|Zjka>>&Btq@R{(x z{~0C>!SBzI*wf!Q^fO9d7$pB)8oTGV`2Prn|M91w@M)0cpV8NT9M;SKYrXJWJokTD zbo`xvUAcVK#{KO1m2DeR-@?9S?n7>S*G=WJ_*=`obM)t3xNpb-w-mVNsDKbQAR*D1 z?}_3W%~O2Y7;JM6yZ6lpd+Udd?+j+K{{R@mad=5Q;Cq3vnll=`HgNM`FLU#to5x0P z{&MqW8()2o@8%-|Gy2Vk&x|(|A#Xi_@7MnK+spgHAQ0Q$wUcGZUQTEFCo0y#UC#T7 zesN7&Ys}i_LIH$mO0|Tv)GHQDT)V+4`bFMUNIaQG7(Q}AR1e4sc-J&PmzNza){8)zk8=!eHoDY`3$%g1BH3r>uJ2X9x-UVwDM|go94l)#7loS4b#QXjsq+ zc@np`3|m-XmDpMWIFME~`0=ycF~hk*2;HDKyD-r0Hc6VLAGh}Q4BK4aB+0eirLyg@ zJtR;o4t+2ZR0rE-0Jup=wBZdG<<=E_EolV^EunZ%gsbjK9_J~mauA;7G4$> zy&%w<@+fonyVV9U!KTJ@is+t?#P!0G6fin~M$v5-)#+n&a5QLU8q7*sT1A`IqTDSs z=x*UHut8Ho(1Z9DK|;Pa0bR~80-#KX?staoHj!^naQewk|+I#4?DrUFQ9i zCAbb5sd5RP6ax(aFpR;QhRI`mJW0YmxhElAXUv8QOCDH_v-Zt5*H#S~KT-^VB0Z4Ie_ z!kHM3+oqb;dM3?7mh56HMqREk)=c+OFV+w8?ex-k4W24bGu{=W(^tT7v69MiTip_^ zhy-|Yi34I}9jfp|PQ+qTPb0)O!zDaX4RJ_JXIF0-x69JvL)=A-qQz-Q#AVx392A)*B| z4XVipwP6dcLYFI^;%qr4tqWS{<$@biB{V9|#VWTgYlZJbY3=YwhcPcPt(~+3{Y3lZq)D*xSlAT+;Q%p26 z7l_Po4i-8+$vO_X+ai@BWbU0{ow4Ga6&9EQ$+fM%2b}^<-oKqbNsu5zSRLC|mm3fE zjtVa(=g1TrSr%)#_RA7Z-)ZvPT1;Mt&whkFIk1~PQ7X=wG!>g0kH1m>!6g>ch$9sx z>`t1w;~jna>S_;$rqT;-u*U#|t^FF3Y=Vw5Vn4zIJr+RPloi6Xq(n>#SI1aaP{aY2 zRw##p7E~Dwdazw-|snt-H8(w=VXgN>dF zrBg^i4tZLPw|Q*LTH(lc=ckNd)B?qZ?ZYiTM-$MEat==dl0e%E4DQHns@g~|4d`Xn zpPZ5%i&PNZMsgT?h`-YNj;MMztX6fXWIQBNL6#_2v%&jR*~c!z;uV<^1P)LH0w+PU zty~gPQ=%M8ic$w(g(R0&NbWQ#7hEL^+PFYGL>1dL!3BZ4h=7h0giKH|!2>;Yg;>*P zs0O_m^1Y)jvkey-UhnsoGUGj4bmOsk&JKjW#td923h8VXGG7p@TT)~TND|oCIkUXo zm~f+zh6+@eL3oX$78CB5mD|#cMAbBKm{R8|t`1mIK|zo*E;mAIh{_SzsTt6+jNq!w z6olg`%`q&qm0@nXYSra`n|zm9*OZP_hbLA{Zh{0iSm=v{zfaRMm&cKqB9Z#6Okd~p zpg2r#0k+Kq;nBD%Sed^EvuNA&Is{WGn87`~$k5DKxhtwyMwn6Y-&tK6v|$5NE?DSx2}w^@@DO2>JPVsHMOf>|OSl$E;8w%GrDbMd6S)Q-tXS zx}M=NErven(MYD`nqw|Bn-FAZe&|J@YeWc{6cv>qMak>7Ddg}C29{bbF~zH#BTD#$ zfN)&)^*HQ74tgyVc~Xs^W?%b_w))b3M7dK5$aq6~~ zEe%(1!31G`5ernS@CQF(O1Fk4BvAy18Qdk{Q>ENQN)v`P060c21~L9D5KACF%-Bi_ z0hnnBrxBuv`t=LK#_4--q(POjR(cNG)d^Y+tn^Sl;T7z$aih;92s!knl&G39=eZT% zq%8Wi0MIw+59MVwZLZZnQSIv-jpoS8P_qc@K-776?xWQo23dBN?TT^b= zCb(Y*i780HC5U$bvX?Ol!2bP_;-N>Rk9f;!NE>tE=D=z#+cmV8!n!Okr@Uve4a42h z1Hw0^txT|^h4#-g>r#%x8VlJT+&DukYYg$PO$4EKdm2HufEXRVqT@KQ|x5kud(7xs+T_gS}*qDc;J=9 zRa-qtP%|i*EHa*`wNgo|giI#B%==UIIX;+9b@B2d(V#4)vK+_J=DU5tE6J_GBMPu&t!i{POUxp3IW>r@P!Q7bDAXA-iO3GW86E2Y}P=gk-S_ zP`D^GQnAW*(shzXmSOpyp{2#dCP_(jzbr#=(o12ZP3zB4j*x1lK`Q$fQ%Bgz{Ss01 z7D4%igiTvR;lmU%KSpn7EmDc?uRMgZ3($Cr*u$iB^4z&_Wm)t`lKuQZbuVA4%+Wg! zzs<>>xT#aFKs+>Prb;THH`6d#64hPfi-+Lt8+7@9Bj%T|5Y98)B_Q z#1|aNe_HH$wF}T@MpO}|@IHUsc%)i*x_{{VBCp?WpWgm_5mMs$ zboTBB0Nc(c1db*5fVs83GrjrK9LnRPau4vVUiH!QAta=Kfe$@>Jb!xhD{N_TVY>a0e8iWtp4rB`Aw2$FJytkfEf9V#e@6Fd?^lw1j|1uHw~hD`$l+cddo4}D zsqmVAMA$}${CWfGF7ly=={^qOaKU{leNqRgKI$Gutw@2S-R{>Qtd0U`;aHIBAhfSl zAnK!12eck8aCSsD*;4}dz`)n`aYQgy0hq>jfI^O=`P!F$@J@by-(y{N|!yfjs=fxStK$>G2^A*zp`SQREZ-M~8!C z1Kr|i+yFA?!IVK0%U7T)2hak7_SraOMf3E$gYp;SzFt{{BKkBcES#a17Fl`&RV( zMV~ge?>6FR5eKsvnUd8_s2Xt-D1*;VQj9pI`W0j!=s@30cWnJFbbLIO9#IJ5xY!+Cz=a-Cs|5ryTerkxq9*r zN)qhbp??gr+yIy7Kl<>j$O4d73a=hKOZ0IxBdgNOhFcKt{iRq0!yx45K?D8J%+UU3 zHiaV{bL;j92Ehi9-3H4?@b%Mqo^&6^Y%i~)#3ZB;C>Md|XVQyaPp8pE8^aDw352_S zI`3Ea=OEHmXh+rL^2R`VO4#-?I$(^WYT`1S;(Se@kIp~g$B1;|z93LUgoO(>+IJ?R zd@QYoAOs!|-s-_6?qzo@>0b2&Iy~EX^ZJ;$ ztAokBuJm9q0xcs5QDVhd$@PIeqCqB8w($t^?0WPFCg&zhhtp^lVuISI({+_e#9QzY zjTp~B{0nG-UP04PY3|AKmTFEn5Q6fifa*c>{d?c0XB3l(j9pI zj<*h_y(MZ+=e=N)`ltDggzY+F%K&u>SMTt8f5w5alZ#8;$flFB@Q~j z;v%>K#V)-i$ywkhFTOL|k-H+V$bA2$8X}W}{Rq%(spLnp0s7e&Kl!LOu+0>+@-AsR zODGHC7|W0=BBQUEy+w&vfEl5mHvuzZngs0R!k z z_tTvH&)GKx%#k+*%%9$pxqW;&Z!D-r_ss{{ZFiB>Cxj5WP3+TYwIuAjJQ=iuwMZ)*s(Q&Hqm)c{ueZu7bd^7y5M`lAWD%f4W88nNMTE8VHo6B@;G*_}t3EzwO*L{es!wvfJb`H+n?U`n=P|3O!mTu|{f zvtlQFSy|7#89KzeP5j>>Z4_Qk6Vxn!<6UF3JLBRR!L z6rnbak$f^^*T{$NlZjP5!?aU@*cvNZp>bwfrsN4%18mgwl=&n|=z@lO9wj>&R8dHy zh6jKIrm_oMifX%2*q~sQ!6Uv%mrqpCUNi%_3#2RUxd5mV=PL;z`jV(nwCQ$42d6Dm zI(9}|hBTF!8dJkAYRHNL@BOecmW$^c3p`<-Z7wxIYnWN@f4}#|MKV3v1Fyd_m!8qR z-GoBX*yeoMb{5B42RNT%Fm3&zkunANDHOX?2qJ4F&hxwaxZEb5BD|0s)$KraFA6@j zQUp~fu5vjXsHo>%#20$peonfq$LFcJ2O8xO6_$4to>)|qV%XKn{IK~9*IV3LAS0{h zZ~v;1E!n%bPw96Z-hBD}bK=ya!@on1Spdze)z1z*u7*qP-`1!5jnMD#_$1lI$qj?A zPy*a&h()PzDIcWd6%rCHGlsWYGBYP=D1u^~TKoZ^b~Ag~)|8BQj#e15CJ{L}B!$=H z!|i^NjS-78S4yiv4v6SUb`eTM^4y$xEy726NoK;U0|K>%H6^XA@|jc*e=RJ2Bi*Mf zeKeZ4^tE4O4x@KPM%&1kRn3kIR7XjJp{dhwwg(OSP|-pJWXya5TtY>Wfs1BYzkrqW z_ZWoJJ^Db{FXLNL%ahPUzF{JclK29|k)Bq;Y(&uy0Ki32oM7^Cj_%^^m)(+#VV5ea zW8z2w1?Q+C<^&sqR=je>ExOJkA>^8olh>|KT{^^;&HQ||{lU4Z)cF^>4;#;QR*Lc? zk?>yD4EGO&H~*R2+;VDw-T|(=mA#wBPY@pKPweJ|I8$sjyb0kRI!mjNC__KoG$pDn zZcF!&FM`J=D|1GyAF6F!IdM+Vo$kRem?PVX*VjQZwHTCoG`*M&c3E}5Y~o+*DZFan9+lkz^C)r!F2wskVTagKC z^g0yHqHP*2(4K5F*mK9wyjw8?iBl;wAQ?-nildg>Z|szHasrBME@wI|}1i4~2z@c=&{Yx`<58qy{d6 znpN2xLNl1eeqmW^)=L${zw8~(ag!Dm2R#)nf#772@Z>x&Y1S6mguB2hs*FNlhUElB zc}!xTfT2=%)t%C-TgT~BU^B2&0?4I+=U$O*M=^Pt=C$#5W%Fue=UhCObG#JRq!5DZ zB+9K^S~rn9egJ9phBj^2)R2RHB;*2fU`)uOv^?++6+6iUgM@fgTlEsiq&J~)MY=nr z)g(Ns@eHg^>;X|NlnbElGepikcoI91tlBv*?_~n0=}~u^;t$jBtSM2;P?h2eXudTD z!y(b5N^dNJJq?A;q*Rgi9k86Zk&Yc_Dsg#+HDoTXVKQdKhV zz&%sNiMU!1&^{^|`1LA=twYVIh74C=P-&D^AL}VfQQ7$$*F33Lt#xWyGA}bK5A9{# zaLTr-R3VQ&1D;xSZmp=0?rOdzi8^Vsl$5nm%|}jtU6@SH)0CC4AFQow9Nr-V3yZ2D zVS|HsfR_{Y0+~%KBUT#XTvQaXqLOrYZ4^dbYH5XBOMMp#*$lGhoQztELZ@_a5 zN;5@k)V2&o3>?Kik*G*~O*f$+QgqCGB zCNq0;J7p%OV*AoF$2s|8n?B24aZu=##kgIYL#7Z&ca-HHMPmR7Crm5IoG5Qb#E5ms z6(g+(_#Iq6m?u$J*D7kPDMmCwVZXX+9Y_;Z;#?KQDUgAuWrHIvqoT%xi)aeOfMKcB zUHA2ee^)^rrn3DRhKuE>`(DQc>&(ZjD+!i^f`vO^DZ80^@A)^%>H|{`aD0v>X<8iVMUIjUp$yd;PHx_g(k$+ zSE1L)XbyO*Pf5K{&ae^ANTF06LwwrXC|FU>dwjp@l`(!X=R87ueZhbRw*2G(y z(Y))eAk0Bf{|=+Zq%cq6PzNe%28ECUJ#wr)B1S%^~XOKk}8pu>qZ|IS-b!i9i6Bc zQFV(I$YZYE(%mM?n$kT_Q`2ZYT1V>&2*@*9B6vO(G^4ec5K7>54ZSCRLx&H0gcMI%~$>;6w zo*U>CqxloF?wdp`nbiO)?2LC7~H$~EV)PySqBTbu2P-tx5=GSYt5zAWdH6>hB}SAjf|;IV>RDM_=sju`z>-b{wx zAUvGBj!0;yKof*>t39MXhs}RakkhxENzJG9hFHHuF7bsPJANJ5N~_s5a!OfYb=2y;XU`gCp)2;kY7oA6s|Smm$4g`ftb27^tHm zcOvvAkukh)bR@OmeFOJz5bE(>oS(!W^AUKt zyvPf$=3iatNBa6LmHex5|M&w7+mEC+?>i8l6II~UqjGZeRond}9e<3GXKU3T+NUAH zgqXPjBIXO4_xa+ zAleluk?pPb{^5t;_62JX5c=4`ZH$|rdO8LLbTvl~)A9eRX9|CQ8&87Mz4YtxQ~Y_E z|NRdZpkt=hAwCUvpw{5eHhqzCb^Kn23I*ed?tD#t!p6tSTtL}|dv^GREt1`h_9=Pl zn;?pdy2k*+KAHejb@QBkAYC2b!SI1*9Jn%n@ea;rB61or6dcoD*7)gIqn*b8FWx{U>i477aI?3YXAd9# zo1Y&W=*PwFdYYv~GdmxP>^U9RaE{;KX>VY=$evpDk+=t#F5g2S-^{;?s=0=Cv{JEv z{=I{PP`2X+xB7JfZilE9Z;Rp)V;4VxKE#covO*-kgYmHgvk>xr1EMD{zMOhGZH?~$ zKSHPmCtfjuh(S}usXyQv>M-=Ov=(Xsw?1*}lh#mkoaj&w&v7 z5cmfOAD~{!z1L{^&f*mlRYxA#j#9pxcdXS&PJf|h-xKR1L+v>O?^PY&tmy9{K3&c4 zj{7`+6J)Mwo_3U>{fzni*@+w$;{fktKfJS~0gd*!!-XF~rM~D#8pwg9i#P`Q1%)gE zW3QI4_-b0Es*Tkg3*p#EGZJ6s96IIrf8ah}cdsJ$bg5Q29bK#Cd*Yfr|Cdmxhc==d zKR94#_C2=HzU28;ryUl#ZW!I(jr7wKR`$dClpFdmN!sK2+ftFj5783YzZmv~_%G>X zO#fH`^DI9n1WIRMUOk|rN7i1+qh1Vp;{c1aWd{kZUUrtro|;cf$95!L!2a#urqvEq zGjX~R5V*&|Ttp4&*91n|0es#VNva91ta93f;;LhPrfnzTw}{5~iR7k!;{ zKZ9rV61i-f;k+x)sK)Zls4DT2cRl%z8mr}{sep0`0TB%d25GAmrOs6zxOL-C4<(a< zYgKLgX{UA(m7cT4=qu~*aFXj?Gr4cxK6x+AGZ7n3a{UQ_bboY;!XQYj1aA}NfwxU3 z>0d}f2ziW(8)2C+l&U@;&J#Cao-BDfqEqpwT?|R2!ACpuvZT$&W(|SyfbdqL0@1Nw zz$cf+(tTyXX@-%~XLH>*za13HUwDBrowO6R_2#q+dasjE5m^ZoXzCFu7-PmrTyo3M z=V2{#ap_g5sj*}8knm_4s_{e%g_T-)22}lAkPfdejLC#Puw(Ym324y0!0y7DsbHQO z1e46>I&yE_twrLee8tnK^mqZupeYG|{xx~0cZQY^Uir_bq+D8Z_q$$c;_^Ur{$KyJ zgGKt}m^7;8rV`1MLt?hVnA~tsj6PP4RQGt`bSva`C_7#}4pKGhR~bmd<>y$Hf)x^r z+U7uX-8K7{q}cLI=5Vrn=d#le;ix|%hGXdkW%YU%@{iz8L_E>d|wOt0Y z9(Bkwa!!>QA--IGhFEi@E}>p^%sRziB55Z5(OO0ZC#UjmaMF`+)-($nea9c+D@NM1Y z_jEpPVBvhR@ao?H_P4=p&UjmUnGd^vqLlRifErE=IRB%h?z6AfZvCTgL;p+KMsoCI zWyfd)tw5FBu3fFck*nO1OjPLOen4*{f#fPaiGG#hTFi9qgVAq+u2tNU<>IcCa2UyG z)Q1P~O=1-&w7OzqfX~TaV|Y7%^$VFbUu*6A|Lt2wfAjW>gMaYKVA&gdRlkMr{kjyp z{afSa@!of)>?&W^-TIDcAnpjt+%7+|)@Y^)GOT_ZEdW%nr0MAGw&hi#m4xJJ%@$7vQqwif5G*o zN51H={ghZu9V+ge7|wpl8)CGJfkJVnaC$7fD0UQz!*g=FTsiJ-YX0Ug*Um=|r}jEQ z|G<^c7UYKVQzE$`{m+vVzHDRM27NHP#_@IlVR#m$qYBF=7xT_p3l!N&{o~M0YacME z12G?f)R};w5+K3vliQSR&vM!WZbDjO=Uv7-!kr8ONZE$*#`7kwE`IP#>PfRQFAfze zM@{D+icSa*S|O}6Y^AN$J>uGbwZiEBP>ydLO;+Qt?hl;$ko~a6;~o_1XNv%@@Be~G ze8t-<#NdLPEnAVRa?Wb9<03-onT((lWw-aFaNL0-0z!D|-DF-2gI;~SAjGCHa68aX z`r~-I^(!^k-R=^*m#Qlc$)l5D@rnFw%H31Ic7Hi(4N$8mwPS~Ikwn#vwaSrqh-8Vx zT+&O=<iTf(g|>aonVem<$dQ`>?LPY_8r#>j*I=_A?Ipji?aW$%v}pbL5{e? zvFuQO(~75M1VRL1IIJ3|aH_Diz9Af`diMs{poO${YSaKVy^@Iv3}`b+K~k4-eDR(j`h zLi)SI-C2_2LG7I=NFBs-QI)|luT=CW&wa^PN1X6V*eh=+`K);2peyQKvE$9K5ybb0 z$Rn@dsQ1@=QI0n-I~wJf0$X%EGwVv0XeTS;jWAT^0xYKBXjd2~T2$YiNBrBh#S|Z!vEuXa6`@}8;8ZOI#>M*`N6mR?5uMLRm#(^ z^xYEvz*Te?rLP8%%T1~8ve&BPa!>ga4e?OeO^a34`L6KQxmm~Gd5SH$Gkg+!BUO7% zgpEa!JB2Jj_Np(-)<#*$URQI@VD`$A`GMIc+qR?B)As%38E<&&0lSbr-g{hJ{Xr*(|M(@e9%3fWFVy8_3_Dz#l6KRz5=2hChdE(VE5r9z~j z8Izfy{EQjFNcSspcLlW|V zt*OkfNAcoryL5Sg^g?@S+Xor1?eW@(av8Fvv4{@oom}X!FnKvST&a~On%!X8Ss)bK z=t-58MnceeX3{>M%cE#4aQl~dm~$1Db|1$DwR<>;091? z>o#F7XzyUc#d%T6x#ile*Z&P?ia^tfl*&ugf{8FQF6*xdptm<8OH`n8t2d+UQ1Un# zC&+*_ty~x�*Oi?yaNd-I9-#2;l-vQH^^j@C!0`qA!#+XLCWTYw%LQiN+Sl2wo2O zl{jkzV!mH0f(6LnmdoWDo6kzwfBB1d5JDV*8$IK&bupi_V@YkEHB|4Zd?f+Ys%s^v z0m_9~+}M*SG=af_-)S@wzhj<&h3}UA;Hc@tk<7>o-cGs$lR;$4vAWr?8q(@WOD?#C zBgRAJCytu3|EM&sO*I$m2G+&7k1L zLgY3q+RXZ0%=8cpEltUWS*TxEVQ7-bMg|QCwRiJR_GI2)^~;rjaC5@iLhz+pj#V~= zvm!x&s`M?5<>%tn7UY3=)`YfgWD4M%N^TP!H!M@EX2BEWw_XkPafX)d&bw0VtF@0v zzGJyAZ?M+25Q+4#w#wzRF7+}j;tLkfygtOLoc8{Fa&yUtZekxbbHJt`u%k;dRU0e6 zZlaS60&J{mg2J+wibV!kW_F1Gx!J6+A_J8f74>TZGvm$I&%@McxPCOi?o@X)oHmCs zh^30^SWSjl(08#(MV=vV{>Hcw720MqM&bfy#jx3MRq&`ss)-Q|3Iv6sZG}O%E0C>m z-Qn_tuXKw_Er(UGBR8GrTd%+YutkW8NQ_n01Wpp03vqSaB-6UcD8G-%|3lg$x0(h_YRYkxLk za$9wU8j+8vOex+rspOcbd_o0ABj68eGQbM8^xWvbL`(Ro`@=GPm)&xzp%Hyp5PxDh z`y!{Cb7Cw3fa^^=PEzf*`?n>H&&~PMHaM4GibSk*(vMJRvzlN7F6~RP(-Fv^zsbxX z)Dn#jMgjFK>dG26iOt1z-A21*Bg}>*mdgYp@MzV|L{UiP??eKl6q=C%UBcO){NhJH zY~oYa%}Pnlre3#H;3iB+_in$>Xf{*^g1lFUOnR<-bexChGIU9sAlOsv_ese)==#f4 zj}5m&yUuV{CsSY?U`sOLl##&q4y8#V1Q^9c=)3enscdn--uX~)-kAzEsjcGmXPsxC&0S`@{&lyQdixo-{Pv1? zyEf+SMW82l?FA^xJATP+%kAkXb;dbyy(_Gq5k}rPG-g;4U2cHD3V;OjX`RgxHO4~2}yJ)k~E)( zSPjqhvmSAeiTu4D47fiH&li!dc!95dx%)(T#9#Z`^m|Gs&1+bYKcIeVy7$CcEX9qE zRzE@9dB-`8 znpdS9Kdb!glv=~;AAJcj`T}P!x?_tpsi9syeCzZ7sXkVZ5Oip-%$ieigakThBYseZB$Lx$m2R9$` zHlRO6@(SR|(-6$L;@Kyb>@T;^TrDGkGjVi2n}RoczIX(&ifnV@tXnwI80Ts!z-ln* z?6jJWWcugNg5JsRJfA)Te!rcXd3-M&oY(5U$J5-vuk0|Yue;M>v+~W}75}Tr>Yw&r z@Ruex(!Cdd;VE6!?Ei85HzPjr*e`+(f+PJyvFpm^u|fA(VRsOLa=vjrkosnSzJij3 z7KDW@K6ZAiB=a6s38=o@c|rdQs0+Z^ZFj{I;PT``e*t_O@n`W3h43&;XH<@fWxx~G z($dw~^g@)GTv&3CWkr;S5}kVBJiKNe>3KM?eluMV)5_Z-H*=#+e8q*a{D6kuj=H5Z zgQhE@L&bL;XW-SstxbPnRD%Wi)xPRC>EembQ};nUe|WVT6R$q;g&|e_<45F>bMd1e z)q>uy{XK##&c4gpMQYDgP?31xRmm6_tPB7^o<*PRKLbkwowvdxPFg?IbU+FK{pw>4 z7$VZlEASg{?@|55WL%`Db;`Dh4vUTbuS=nP49 zE^K2fUlt)E(&=ZeE0fLzdj{4)a~gK#~CE z4uB0?LJM>o34r5ZqN3%R^V*_4?y#63;||RT-3_Z*F34krW?YAdh=#~^;OkKi=N}BT zpNYlikk8yMzlK}Ra5(TaW5(6!`riXue$`zmHj{UX=n=1{8ZAnJehd;t3w9~>f^L1P zpNBj?l_e-J{xQ;7k=LUrG2w?29{ot;27tHd4*a@k-iM(NSG3G3- zMG6emA7#Nfo^x!-^9B~|QCUQ+da*zT21~<69%SBf^LShZ$s$4sO@8m5S4)uPqx6`LE1CA) zViaIE#jmpF`YZOGqkGr8!6|ASd4wygBa0+~*cB|0Y7Tn?A?htS11-<=<_ls3Jt=8& z7-lD`YYA~By}+=(pgh~s4oqb7NvZ%Ou{GF zJTz+YYi@G5ga+~ZXqcqq)*>b+-_me%-;Hq z&!D-Y_q>2$XwCCW71aZ5XH^DrAnzU(BwKPy1(~uF%h(Y`0BZG~QBh3A>#lvOoS~Al zc#`yCz6k$gO;r2wP$hw_2)&;CaK_wYAtgi6mePcT^{)HU%~<`)s)O_o+&M~Z3N5)! z@h1)ITlE9UFaB!mv;RMfC<1w}k<9xaT&tq^JHah4{R6@6H5C1O!H!LhAH(!-v(=xI z@2RV3p1=>w7i#Q$?L@Bzf4dyx?lt*u*z>oU<}ai+tyOirk-JS;M|W=RL<9wH^WI4e zjMfS}F1}aT@rZo)Z-9MjBi@swX>0WqZ=dPMrCM&^TKRz+@<#!A0Q!eM{h(=(cO0q6 zPAUUy`ygN*t^jTx8p>X?5??CSo)SP>ql*gP2bXh3jOL>ZN||ENa*b$#--+XzOu5u|Yr}`RDlh2mhH?#w#lQA) z-}{Ms_=8V4PH>5WAN-fe$1m`{{^l3A;{-Q=n*h48gi6f)Y=O|9Fcr0R?<76e($*(?GS#<{UtREKIq^wkl^cd9{U28n~G zjR6@vUpz!O(o*V>@Aah0PxoGu9V_1znMnx5S$TN;)tY_}>aCN)-6xpoER!$+F)}_C4jn_>GqRF6d)_<&;<&9;4*>csYYQ zPE&Yx?UbbNCx^wt8QCcgJAGG%Ks;L^8^|$_-ILUvOUp zTJSfy{^?4sH7?tWu5fZNdL}~SQ~xd=jJV@bZd|R81!CcI*UP~~pf-&im&-w;>py$A zIHpExa@5~zZx;Qg7%}@>p01hzh=Lb?BcQ1DRA;M_E<5$AdA`1G){dT!QE+6P-quckh0{2f2*-_qhz|>_> zOsCIpUJ!(Si0FLZ0R>OV@M<{Z576~wQh;~1!SE6*$w8AkPR$jsIGDxfPejiqH-RV~ zHM57M|L>elh~C==5tv2eWEy-w)Abh+dfkq|O7^%&iw}R_OT)x^cVBI0N5cM}oYlTP zV#rVJs})iQx=YU!bvbuMbzy;}4vJ?FCqHhd4m(#I``|Fkft&hl@tYLN+n2vE=QpRi z$30ZhKI`l}_(JMi;jH6)5+L#1)ajk)og3lx@QP<{P3DKtZvIvOsX{@s=HwUMDFPN7 zSA;l}>?uqK`7WmZtDxxZ3r?yvsR$KdCxH>XMVBy;n%|U@7(o7;p?xyBnw4_S7sK@7 zO1eFFaAzEgVMwOROJaFP?x5;__m9pkCre{WMgd5SS*qQzt*CBBq{1)TFkMFqB7;uO zX22#?)_tJbR6L`)X(!s_Ug^3Qkhq4*y?+d5`4%#JHtE7@Fd|*V70MP&iOe@tXc8Ur z-3$XCkgJ}I?Jo;=2|;&Lb9H^Nchrpi<)mufdEfdw4U9<(T5alTAJ}2CTSDkz=Rtxjq&NIWphTBjfc+P z1sBE$G;VyaNQenc=aU;u7!G1!OauA`hLe(mb6S^sA}p}3>#dC68vYW(B@x3FE2`z% z@%p6Fy~Yfn#zKPm#_$owprw4GxE&&k425Ee(bOqZWk93|-Qcx-{a-&2taH{|vx%&ZOia+pJ&CFHcVajiU<02o@Lp!8b&?1#s zm|Q=?F(?8C8-vUjP07JyAsFRLRS!e;)PLauwpa03uBK>R2Cb4)Zo-CuL;)heE6J*6 zaeFW7bD2oT37$P!5=ok4YhQ1_Kd<%6C9q6~3~W>1~)fvz~Apiy8@Cg5qb8C}t! z91CS8WcfYiiQiq$Rg!V9pu(zSZ7(yLT!-3?|<5tfjr_b z5n;0EN5;KgA&|?NktN|XWwSx3>{Fm1-0;Gip}uic(XD8n<9vc0fn{uV>l!Wxvq%#v zps&QB%P>mC-Q0Hyd-n$o0wT!i3&l(^L?1$vlY$%!qZABLdcqKU%*54DxfbCQkMIiV z5&TG9(odhhpVzSvRj13Db}2+?GK{)<*XIYlM{rGwgHkGwgasqxYRsR-42MgZP!FQ- z9DL!>((KPVkFD%#J`(Qre`S2(qm8@a&An*X)CJIE-@ez`x`92m4{5g}g+++lAIfj^ zzbM@=LBhJWk78A=%Vo5GDUhgwiBkGVZ5cb*ah z-(azqP-)Jh(mhK--%X)&y8N1RLk<;@=>?o`;Ev(6s?BgMWa^+)#02uv#RAXIk~5cf z+86STFQqXtj)_fdQn{lY&y11^3)_ z8BnkL++?FMw2SCZZddX2r&$euOPoI9Bc}cxk#D~RZjFhOZ@dC&zm3!)g(-<8OcVY4 zEw`Ayp)ma6!b`VXn07l95p9nz79GDGoGKoYPFN6)sm0P9UdsTSiv?FF&#|2Kw=fTm z$kvdvg^r+S)#>Pa<>GIi)5P~bu@?n3C*QKybXnfAA8*X|uh^;D6`;A)#3@L1u!Dcy z%|paJ^B?uG>deve5ZN{KKCOUHkNAj)!y!gSRcpJx2fgbr!^IJi z{rU&S&-OMS1%RD&pExfCSTEues0(-+haP`1JEh6*y9kE>yT><=9Z$o7UL5-J*)<@1 z+65LD-*U&ofg{c(vP6yp38E)nzO4jv*4+%N6a0MFpF?bZNs2dK96P#YDGk1yM~8eU zO|3(hj7)Xh@zM)J&pirUzcAF)8r)G?ZbnRe>?Kg!FP#kjs0<%Daek-~{2tL7@XgJY z{CH)55G7?Z6}VTwIo7+L2jzM2Rj&*c;F#N=b9aHZZul9YHJP6SnB#Y!m*D38zYhT3 z2-boNTzFsstr4zRx+)h7MjbpC029RijMi#-^d*-TS}1RrNaoGURGbH|FT{gK7P>_z z&XDYlOa@pV2xl+a;krL0kk00z6AifPbEsG7!wr8I_x;iuBJP9Gne)9mf-u#XRu3#a z@y6@#t2`3Bne6)@q}}nQf3ivIe%w_LzMFMtoac(JAN|fm@2;-%JMT{idSMTQ0dArBfS3aEPpcqg z5HCQ42R0obw7v|AsA=tS@+Gu(N~$K#3yj3@aaZ>Vo!?9og_&s07r0we7*4ngmHe#- zr%@JfR!tsSw*Ncuwo_%KRNQ%c2cp~)&IT~h=63ycp_1sCoGD;pysYtsd8t%=VS9od zgZPrJ>sViupx$Wb=sR4i56W(N6U84=QRDNJ4XU9{J=UUUjI?Wls-GQu$<6l=5JB|g zWyd8VFadsgqOo=ii1314mpUi{a@nLfsHHL%H5nVJ@0WuIUcLK$Ym)~2u`l^EEG(=0 zen#x-d09W^9pK0bEKm_=5Q*lIEJWrr(8^O{hdid$QRu)K1Ti4nw_Kfwpv828yZFRW zL*fk9(@m}4LbCzd152dA_BW%6o)29O0ACPi&?ZMwgyCU$E)`3N0K*UH}8*X~U1d*mLe1!4XvwE%^4d+^gI_JF0Hd)<|gq`jpNh1cDH zdbgux-9RkS^kLtr5qI$h&kJ@kG8*cD#fYCci)^d#|Mh( z)ZE>_Ul7*%z_o#%5#O;1piG4HqPR2P<6$Ygs(BZN*=Cz;UC7`ejOw`24^T`%WzF`| zvPm;c@__)ABnzKPE1IXqTkOT7ElnTCLLo?4E>K1Ne8EzZ1|z&fIE^$3#+dEZ%XfX^ zcYmiRswU0oi4VG{jhb9Pv@`_pxGeQ9N^iu$ZYtH1SThux#8m26Rudbjh7Bs-#HArJ zg;^|3eRXP8(qYW-=BYA9)ti?XTxX!+yxE#`U2GSER{hG3XJpXwEY9b4xiu^+bcMvr zT z9W04l=mg8QS}yOp4t!>8Oh4JergqbavnXbKk)#c3(6aM+#1XA%OF6^sc)$J3{E#{W zxkDbyDuN}LKTy%_8==XPFVtYJE1E}f(ML|C^c_X1ekzZQIQ_yd)xQ2)GV$IffFmALPI4vwm`6```*Wx7z@BxPeND~pL0@$<#;-^l&XXESs5ba@%g>CqjP z21H&YL>!qo>I^^$*)F*ISD^Xf+xR7k3H~(mE%g7t7^X22*|^RjD1IGT;R)`{4srCW1+`Kiqj&O2mNx zorahwXU)$%v@XR;Y39bfpjp`9r7F(IKX~SO`>->+VfL$bv%JIZ>lQB`wp(VKeA$-$ zVSA)@RQ6PlI1hWyAyEqzdEE$=BZh*0R;kEla*2>&p+K2%f^eNcP7V(!%Zr{2O}r`M z0QkeVP_KYMF#rM#R+A6LqjwagvJ}iL#{(Ny?Mb)MbD|P}_ES1c5K3tsmbGi)t<8tM zijx~tDKdYbwSwKVD&@`EiG5KJ3|lG6_l!r$pya&2KUG;GXWDg!Nr|hW64S5}55(^d z`zuG$Nj~kS{s>xSa0yIgnccdZVY!vrAQD02ML4VOrth8kg15naTzoEg*50l9AMu-y)DWXd-mMm{ z%>IM1VE0_Z{P>jG{>p%T$!Q1BO8TBoP7zml;vn@n!%T2cT$DZSu|@WQ*<|W2AsQj@ z>-SwyxrPhPs$tH%xheb~Aewehe)4gr{`h2LYD#rxfv@ROt6fOXyDB@?D2N$UXt({G zY=mIj_^{5-Yau9BLp6w)cFM2SKu+5XdbUxy^JxI(q2lRV-+gsCI~*{nqUXo2uTGb} zd;6mHSZ#IZL4{I5_30f?J9n;M5{-vl_1uwUw-5cDp=9rnb04r+!#-Lrmy7AfT%S=` z*y*aFK5M3U&I4fE6%R>u=Xh>szCbEpekhGU3Z6a)P_OHE;{Rv5Nc$ftlpl~6 z#GCS}*8>-`)B=xwTEeKB;jZneEWoLd6EW93?smcM@ z7c+zK{h|_5Fym8Ef9>hiE}t+}Lt2H3tbfB5&>C}=w`Y6>uOAI+*<(Z*vJ|9iPP>(5 z-#ZSLBniRRRkrNhDm)6XmK;a(3X8*>Njj=>sCS(#C2Pzv7Uam(is5d*wIIFq2ZH`& z%Nz`nH46m|MmIMYWmvx|tse09jx0w#fNUlBy+#9_hj_sa#HIkqew#nDOM$Bq^D49N z#iF{YCCa3cF?ZhDa(dZ@Eu1KVCGEpruS*1r$=)9cB9q)AMMc1l6*z&6>Oiq2UDhts zB}&{fOX2kpL=R!JxBz_0kQJhkj51MWHuVxv(Toj_@Pzt6|T8KJ+nEo3L?ox zDOk#PTvSRqU&8`=gsp*f1zr6ZialJI8}?-ng$r~e8c>`G*qI<@`vV8Pfp{hq@xikC zi?9DdDXwy3$-p=ESdNjrV3wR6PBLLaS)fl->r(NNKopa{Qzsr%4{AuosBIXl{!G5k zqNVCx{6LlSO&GS+7}d;M-7{dbIc^&%W8{A#VCpDwkjB#Wncg@%gryngJjMMiun+wQ zD{A&5BO+p~#L;qJX=q?ykPA*G{XkHZUD&3vTSX0Xk0RZHp{_U`(BB~vNtH`EK!LM_ z5;}rJSfUZV!*gN2(3EV*^ce!lj11Bic=&Z?DYWzBRBQ#Pm397XCBFY(|Lw9 z@8Bs=xTS54*7m8A9Ti;1&rG_NC^1oMAbFk9kC=py5>A3N=p_0G-%2ezRMnUS0MAUS zHM+i$DWIq2B=zXD(LJt!byf49lOHH%X8OSNx;q>BE3$+ z?*T5wG|RY_(I9k0MJcmmvR27NoEG>pDkN@gc@!fsV+EC9t4#_*U0RX7VNxYxwk~qZ zx>YGzk{=1i1Otv9$^l;9_2)V;*6VYLO$R4(=*i zMy^@9^~OKi76n_AGj?4Bclg!#UT4^>RNkzaM52t6X$M9-1W*8aKSi{IMmufWDN43R zgD@R*V`VJo6QNpk>3k*8M#(TXOq~|cSaIrRR!Q9gmTXwsY0JpyZ}R^-YATA?lxsA< zqyFd8q-~U(JFtF(QZc!WQUeWh#6HD26EzTN@OR5Kbe&As&~6W-tZA5~({r{B*4Hj35)~!H>SYbofIDRtmjG zoRfpW{^8*%JJ{opgi1>Y9;*YU-%VZGwP$v#A9Zf| zoJUJ#Lx?^C|Mf`mh9dO(itIX@8qt89OK%m$%|z!>i%=M_X!S`b&ra!}!jC)HXi%4_ zffzX%A#rHun;IMaV=l$A9a)4Y?Gw}QWWVHCok<1#AuTWXva=oGyRJ@^x*CzAR8WO^ zml)L-rFlYJ5f8an_7v=Y;0}46Ij=bx{95pq`MNuF@AUYu1?sIM_JIJl${)I4wL87t z4ZC}H&t3RZ->rNycfaTCR+q7}Z9TWLe*}!gT zs43Q57zG5xefJe7bh)>l+$lk{aH?A)5XO0-toGUw;6k%NF-N`FW<4&rFu*7e2{KI~{; zTI0sY0K?YU*Cq&a+l1JyusxM_#9M2Qw>}uGSo`1{tnp>!c{x^TAr^foCE@hn^Z|Se zD5saa%=ZojW0LpufAOR5-yNX8dqM$|t^XjP@K^iR|EUc|)_k%ISNh@SesLTff4(o$^g~avF#73;CD5r8vxM-9EE1xe}y7?x$}jr>n)CT zD4O=&=bh%a$}eSsRD7g4G{@QjR5NjFTJ!vN7QygiL*SM-+@TtE9sd!^~?_crrOa`UG-F#^zB1@#sP!+sco$(?9?Lj`l? zH~#BSI}s`!&{gfEmJPA*fzo=>iN;#b{ds@C-x%AF8J@VjyR1u3es2AOCMIK-Vpac~ z-`oeo7<>+X_f{W7*_~EV4v>?KASm4V(^{)KkH&NBWj`By9vZAd#m$*7bWlqMsHT0O zscTGG&6?%2kp4;UNqFp;N_yO^!dA*V4t?Q z_eUkBHtG)r0GTzWGr+I0YrC^F50!E=iXo6DU{$ot3~`ltXtbc;Mm~JF6=@x3gBpW| zes0v`o8K-C@Kfi_3XDp6VT~DFhcye0n25AN?_X&J=coSS*x8xn?piYaT^gP=FFy9j z2gC&jCUDxk_{UYWh4;>9H#!%gGb4xTKD>JI-=fx1sl3xb?D_zhJ)CRsJ2WEpOP3vn z!OtkrwL%S8z53aJ6e9PmCqs)-k6n|8IuXP*@mdnPKtI_aa)Z zxrOQBL-^KECwJO)k`Mf+FI&5V;9j0JEU-sx|6=W%dQPBR!bvY8-6Pv$&sFkBoW#+* zp0J(QgL86*xp1PPZ!&^Tlv&8GZMK_T7^tw7k#ApnoXC7Lu6fXG*mNj-e(ccO65vo_ z>x)@h?GwTCvR2y6So9^pqB1lvo&gVRWn4X+Kyh{fF6-OU81mKD4Q19I`e#2?t;CKO zr-SulTrt*5)xgM{m*Dghfm9Xr-$}Qg4mfosn9r(tJ)+~gzJXl`_AV)X4AVgal6puF z(QgDZh&mg_F19!Z4SP9p2HpRn4hJ`27#mTVoqWE)sAX4Qli(4Y7fZm@(Ntx8;A`tf zD_dRB86$X7+DG2zXls42AV-~Qj9lE9qLT5$Bdd7{#M^jyq>i)?AIjPg18c%S^u2}o z0*RRMbXNdbGgz^)c%m?K7+rBl<5~bdYZ>#~*~fKH<$Hh@tDcQ;yyPg{7Idqyuo$0R zato&Zdg7-nGNzz+9-<;~8ROwftNV-|^Z@1v(&MAhAy(2)Odx9Vn#`GoOr2_Jd%!2F;pX%k zCFeibR&$>{<3tHJh;OjgU)v`|ZMN(y)oXRSBrl1k1wPRTEN(9Eax!N>q5HuY`KAe7 zebMT_OPnJq4q{T)WV4CTn5-*vz+*t59fFglwP%@y^{mN__tqAM(EgdBx~4Wm>#V_$ zC-fp@xS#p0p3nA0i)9@t%u=gkP)WE`h8hak1Orra73i|E4=2ly$bwy8OK#0LGoOv(}#b6BmeDNDnGDxN8rAy-n zaSk1tHDrki<(4cA@_tK29{vh84TEycCdab%v5ZU1cDPGSBmJtIBN)rPbR{!=eDcKS z@8H}V#4A{P=V1N1{ku|3pOEYD%B+3$y<_z+^lPSk`zKD)d#{_n_Q~YN#;|KU|E90} zNZ(%nKZ?B@qBaWMu}bIJXWEQ*B-51wu01>XhxH&S@EnvYbIG5 zG~En@b5$211-I<38@QW0st@Z4ylS+`z>lN&9wn{9(*Xe1bot%5)5 zizOw1$_;!etC)y)%=_Bq@}SA~JQh*nvaQTvQ>_N8fvAW*ZeS;Y?<#7*tWXB4dX ztSDf_H|VvY{zNY*!|FMCEpB&oO9@_B5Q+ogeat5OBVbs$<;F_y<97JG8`G|>$qyX zwwJ!x<}Wh|vIT!S`1X+V_++pxIUVeQP!9TlSKHiyv_#vrB`T7qVM}$3PDd>;ua)oQ z-O!zL03`jkiGD}lLG`)|mb@1jJuTHN5-FnLLW8cmE~Fu9&L*RWp8h+mYsuKv^Qq6=Ig_M%VLS+e$Y6M-?kWLn zj+p!HZH`+)KW3P0hu4xvc2H}f>!X;#x|@nY!!k-|39AsufR7r(GzK?w)@^f2bDGuI z1se>dHEa=Cuzc)MaA2jA`h$30JzT3Zxu9fvGewZf3g5c9^)F%PA-{}HY=H>??i??f zK7ba0k!ZdhS()I@(mDc!ifyBAwh1nvc%af&-X5zN!7;b~c%TtRR;acGt=Ry2g72?f zkg+|k%IbO90}m$|SL_Tvcu)zO%pj&E6P~@p|I2@0(#8$eJ99y-mf}^)WGA(S=NNlt zcXX_d^?C>(7|WP|hoF-cVK7YDo0btZQzZs)X2HFQGDM5R7`EJbog2V7aq2)|JTlst zbtSncED{>FX9NpeOQN5wUg!VKy8SJ!>l_(D#VEy$rpFG%Pr;)IF2gJ~3iG0pft09( zD@*Z44`)ckN2Agp;LTp@NSd_WAc~ZTzwAitUqD$6jj_vs?lrhsm~B?w0zdDC%Piu> zj2XQn%s1NWjG#RkuzF=^8$c5>gz>gQL!p$65q(vt6_}-`WNfbk#9E?gT^IT$^Oeqm za;!y-^RCGw)k`s#0)4_REO3`xo_C^g8k|EC1^^zL+6NFs^LK^5|Kg@QQ#I}}(RVW0 zty43SXTyrJh8OC7Ai$~>W*N#EtZ_E>NHEukHk5%dkD+vH`oT6H7?-9kz<(Ak5Os+F zg;mtJ8aOl{Fo-1U4bw#7^$0#P7Qge-HJ%)$7-K+V7$t!*!fvO>S!~Yb+QA{ zXo**S(&pF@5ta#+WC5M!0dhV7(a5iJR}44(WI^H_A2^jYb>6L;8uw?U@#jpB@n;)< zYVG_A;b-i4rV*QHMT=RFoCg6l*+$6ws)s9@r=vWq9}N^D+JycCH|}dwhEybi5i(=R zd~nH^Oa#uvt5^r|#Hf)OXqBwrekNRS`T8$@qNhUd1k6wnRQ7At9jyhWnrC}Dj1yS} zKz$%|+QM+Ol*EMNbU3ykqY-1KUhuiy84dtHG&6c3HZnkt`Jm<;RL0uB)Fu90hq25$ z9yeIb{F@v8X(m3CtV8DK$yYioCz$ti#CuN`C|9i>${z?wzNr|LAi!!=W`QdM-ttZe zLosvo2!pNctBma75o@|~ou|<a03z zg6in^&<6UhVx(F)tHBgdqgFm4>_bV&wfi;|!iEEu_N-j(*)dVH>jxrh>d)Y|Oi=*& zI4~(mU)yO2-V1*+)Xhe5-s$djs@EbrhED&!=(2qRt^U26Hif%!G4+$i-|hg z)hH`hk~`13(ayeWgN5YqWMk(Pw$7@P1vNd;F>`0#VxYDmBIQI%b+4+MCF9lFYe}qC%B9aa$9KH`Y4U0g3~%(0dz+yOFwsK$=vDu)*CHaWBF6C+2Xr(C zv7lRg2r7IB^R=ylxGa!4V$we#rLH9Z`-YBQrOt|Cp#gVD(k|JNr(My;(lZ;}jh|{g z>!-e@D7;uGA|+D9M!p`Z>`;;Z+{*Dc%O8G+N8dS2&@gJQfuVovZ75laCc9nSz@XaF z9$o-kc|19p{zk)g)}YW3eGF1xr!O^L(v4(@%5ds%~Q#uio9^B@K zZ~D-+6BC9$MeO-D4tm?|%;T*7$fw(g>5%iXee3c$fXvmKj`M~JJoBqgH3Cbj?k;b% zHx@5Wfcd1qjB4}Pv;qbdVLg7awoW}1z>;VIAHk7?75R~+?Hc*aRkSX})*LKbH5u(L z5Bvp4>SL8Oz@!>EYaj1x4kDcpr1;9lzUq<&7T4`MJ+$73k6Q${LMvf1nkfV8%-dj} zV40ei8Rrl4z-bG-ACoH67;npD+X6?rjeP<=_`AOJysodP1+M{>G$D}|0L6Uf0W)pK zKy3n}>3F&@Ab=rF0>m3@1p>$11jl%61lC~mX6w_yA*ee0cJ4y4Dei1eTz*I{f=gXo z=b%VTIXJMYvCc(QIBo&`9)&C2HxI9Pj(h0^4uhu0h1USKd5ulY+wT=jjDy$$c{!QW zvSa2?gA!=mhFXgn6C2`4?giljes$WW8?pd=;KN4Y&pz|h4}x(Xvw0}7(M~l20;^Qm zq2}!>0OD8+^&g`?c6rs{zpxeQDOr8=!$=D|)jqyfeay>_wW{mVRmarv9}9o46zSfl{D0?`<|9HjBVL7FeFD>;O)jnT^&W22R7 zq&M(pN?s^ltRRx>r~_^^S`lisxdAzS0L-($dUdZz7h~9y^@oaTJ zlk*M+r?ikr9efuKh>_0*aWGsYph23o@&QkUZcX>lt3$@iPEY36>Ham?-uZG+jYZXU zjtaPBYGT!BkF8d{V4_p?uqNz%mwkUPkmftM&TyB%U0upD!k;uE1uh6fv;igr+ID%& zcXtuWTfPFC0Xb0SV5^qT^(a6xq|Q`Ch{EjB0|-a#yb&0>G6# z`)5I-DV(>~pZOcICkM^~Q#*RX8i1@kULe~@ zX2vk%^jIa_%W(VpKYia?Lx8z6W)k`Bb&fH>_%iBPE9|#7y3ccI+mb!1 z9p=cWCS#+kL1w&S3k9&@ z@Ct?Z3?8sDZhq;X?C0LVA~6e^wFQ>}%qq5D2Z39Blo)yuJNC4j>8*X_%uwmcGO0}V z>W4GINR0AA&IJUMM0H}YJ|5BDN(C=Q`=)S8XUf<|%)${wn;A0mc4oZAnY0aBT5uak zvYG=q7Mfl-x{zi;uMOIrHvRjuKlMystb+yAF#~+liYiaQhZO4Lk=abfprSe=fEwX| zd@3#YfQbmE2`yob&>GK>_Z>I1C5sOMGOFrQ)D&X|JTq5zT9#H#UXy7+6ay!N6ZpFD zpgtD;Wp4^oDRoU(F9g7yRN$GedGmq)^ER0I5Rp19tbD|K`X`niJp7ZuOhg`|AM$32+ncFm%bgP>KaGWgLbMaLJ<8-{9lYeoDLR>KsEA_2Flo&t>%=r5yP9BF zZCnn`B5AJ-VvW}ii`MnfM$6(9Lby)ul5>pJ@ZJCW?wMo&jR!A0NXARpY9$hVUk`?o z0n{KIiIISSxxae4Q6OUeZCJ1IH&>(u07d?H%<&~!!G1_CR>v)SW@%&Jk_P1{)`piy zoFZ*e`6bjYvK9@5%LpJN;DQ2~<}pbl;%RwBGjYoC>Yzn9iOVcAt6%^2`!X)QxF;A% zPSgNOVFd&SbvLFw``UzAQK?f-=~ObPREABl*Cz@V&>W)~nM;z95;;-zpi*+b8@Y+6 z9O6gNoUnH@COO`{Es~jG&czL?JD~>*CqtFiNq9*X@mKgkiTF0>v`H6Xl3T^PvKou7 zcq8>b1OLA?QVZf0#a6C4L&(IXd4@MrVP1|C>hHP;)KmeGQfVSNBqvPMrTV1PPb!uv5L z!X~nS`;VuZ^KrVNONetI_`PSTUNrnCM#&?F@RT=Et2%uL)Shm#+g$C_Zt3uBGOAp_ zwWQ9>P+w)Bn{-km$=VKYZT{A|-hnpqirpZE=jOa_qI7jCsd5K`^vVuVigj0y0|@SR zRh8`XR4a?*F~h}aXHA^INmXoIFPj3rp$I3EdX1R63@k#abYW~+mfrSe)zZ3!EhIMP{f)C0)#C*#j>-?ekMKp>cEd1*4e3hmTiC z+XVoN66@#<3})sC&EbwH;IKcab9)-iGGK2F8GeGi0Bww@$6>jg@iP|D8gA?VrR{ydBsuH*&gxM~6k-hBO*532wsv~d60JW(D{3BsP|Wg;8wh z(Kf1%$9N8bO>A9=0bw7HpV%BD4ChJkS@-$ARn^@B?0fE>TFOzwPZ*>&B}k<| zQ0R2c1c9wS)JV9CekP)!Yp#}?dON^4?^|V0;}V%3zY4FlwnT%Fgf{u$yLwg}Fy5Kk z1d|?=+BNfrnzouo{9t+w2d}e_{g)%)~@);Uz3daR^u>nH(XH%T3l3rXY@28eFxb@+QQ$n9eje(h z70l#onrg6grj=Zd)i4D#wZ5Dz{oFSGy34ZzHPbwi(0WB!X~J((Rm+YZBMeKH5XK<* zGJ#M050+Y9#BWS2Ax7Ha491J63Sb z4)@EPrL;0x3@joP+Q{m_Ln%9`w{dO)<0@}=EXO<*L*D>Ab+XD@=q(#G(Rcv{ zjYF7V*RYqow{eZPwoazJLz@iryuX#$ouzBoDZvK+TdP<7@Jo)}6_R_5qgtQ5sq&xW zt83NHdaZMRot7bAEEa@@c6IFy^>SEaU5@wn9=FGi$0tujNjTZLy1rAv94+trxgiOU zHb9=vN2`}U5x%e1y6#W5A8R(Yc|-RN+b2IvR#{zV6FIw*%C~P{!$!)baByP#arm(BmVAxQ@G2nQ#k=p1`KXi=T2abCT^kSNMYFc)B~^7;~*ee@$sEqZ+szpUB)JcbK&Y|O-qZ`jAFR%F*Rgcylul{0X z8a`aPVMIT#dShk!(X~fwm50|Jt@GS#wf6994^c1sf88_I zyD6f&r;9l#MPH`nN8>H1s{iD+AtqBF4oYWvGvx76*l9jkDf|4IHNRYYm`7L1)Plit z^)R9haAPH+>+~1=V$-v0$0`sF#?k%P?2M#Gr(E`|B zqGiU0*pFf(TnfYdTUq68vvh{;e(=S#LDX!ZL1}#bWhfHTvz4-B32}4=eYQQ;=$)}% z0A<6NK2>Z6k@0Dla1{-a>b(;~_3|+Nn%JCOGm*9`((?=MguFF1%3MQI`y@F-V~m%c z0^>Z9@|=@5l4eUCG}8ZAdmZ~mRX`w0bpRhYaXvKiHZdv=I;k`wqR%3DPn$eJA3mma z2(u4X)X4#yrd>ZVcpv=4#)p31uwNTqxx{l{YvEpGAA&yij(U0pu=;g0=j_=vgusO~ zT`y;$?!B)iPSB`I2G9pt2={V*r&$JiO#yq`O5`>VuAP4NrL5HLsZj>d^|ID3sfQSw z7&Hi30$w>4cq(%-PH#xhTn3=Uq09G8GLw+XWjL?3(4Nu)#i2t zwvk|)PNJU0o`^I)AF|S^?w)I0={Mx5b2=O?sV$1&2HRhu;r5L$9oN=Kbl2Ne&kQw? zk?}?A5_%J2WZQ=_HcuEr41`UAdLMh>)zO&o<@eRp4f`D_gKn+fUojeBbKDBitQH^% zsvBPKdKUE2Blhq?ZrErAf5@VnyZ7}F13QxpCge#TJBq)G#R_nP;mtqW{4pbBRPLOEc&ABJ>hL+0z9 zQg4tgK-zXYKt#P!hh|<4ZSVbK^_A;BxPqJ3S#_)Z%G&T57LdQuTBl_Xbv043TDpRV zxkpR%$gnQcQf#NKD5S$3;;?FSp|2V$q?{TrX9 zKbt?@YG{fHZW7@5JulG@qrPr=KEX})j$XysvkJr-}(OE8pu4tl2A1`E_X77Q}b4>E+b$=GIrP zf5C>mqHUM$L3B^D=iNH@{P^G0aLaZN{?(L~M|x%7)c+ zx_^2MopE68V@Gc$7UnNtWtiDx=>H8WnwK8%{?#WQKQ?P3x+m*@oz0A=-+C~-{2dEi zse|{z=g?j2j#l13bNZ7G^MkU}Wm(0~i7o!HEs+*p_PHuG6$jYC3lCR-VIt2}|9}&N zC8f35k?k^k;nk|O=0_Ww{;p$?QS->hURq5}7?E38-c1*kL&J6!%A+5st<;ZO46=QQ zYNC|EczAk;-)7|{Ydj0iW3b1f_(LoPRL3tL9c`VL?C@I}SQ}sE<$%kt zY8)QDG&1aEtNHxm(h4+{Z;KMve~(v>Rl*O|2;ajRa$^(0pKeF&NUIt{voWGDAuXn3 zR_Fhn_tlOi+w6K;tKPnGOVYlUzV{J+@tI!uW|K2vi(Ui|C)zcNbaqSd1RtAC687z| zIquSc_x+cyv2?M~-NhxID0lD!w!K0Z>!C(sC#%o>*C)M*w}VwTQ164<#N(C05o~0= zhD}iQQ36m@Xb+dlpz4rMWGt7UMr5O!@8mYysFw`w26&{~WeFR%Y-2ZC^+V#`dd*UD<3U(TeZvo&Kg*ZbnPMu1%9_%KjZ+ zGez9d(;oGvx3f*_pwTAp;Nf3gx6)c_@cij;DQS7O6*Zs~V`@<`J%8=drHFScBpxrT zt=A}LXg$(LU%)v=^5qE6t@avX))A82AnikrIzAG7z1}0KFqVk9~Nn+Z(Nm6Ofs$ z22^JI|Koe8z$qTngo=daYM)}AJwz2A=jAscp}zpDRb`H{vf}uPOp-8OUgB5Gcu}To z&qJw%Rcc*kVo%mNO5JKeNLxc(`}h5-M?sNLgf|C>Jo1iBAZj%VgDXpwwjZrxhb)x) zVJ{vWmquA%wntStb^?mZJ>EWE?YHcu_C9*N=W4u8u0h?rnv{6G;q4o2`(aLte6&Hu zHQacd0D{?=RfX_h88=nmv9rzxV5HbvAe$Xqyk*h1=*+tmnLEO7N%%$*EwSb%bX-<&8a9aMSSjVqc;EmGJ#mi z4>(f)p#hY*PxkM+wm9{-|IaZ0kH@3mLb8j8lb@0CEgUc=x(kB&2@$%{wH@$<$IZ{Y z1Y<0tMz4Z}w%2Ox-ajJJ#gi=mGVW|{@Wau-sRHKaAM=AQrhh`bU_qj|+6zw6^Ub>TQ5z#YuF|=r+<5E%i<2n2xRX&|@gP02HS-XPIU3x^ zV5PqqYWRQ!)4>GKC2}Temw$gw{LA;!3{_3 zO{9-Y<*i*t;VnB# ztNEwR*~Ko3WG1jMg!gpcwE}VZcEUhsHjuzuEdNj#&3g;1Hd(uEm-er$Vid{+3Ys05EptAM&RT%%BPwxM~7YjSDtuk+8 z14lVxnM;OS2(`QhF)#25Nsnt~YJbb6`#<}mT#_AaM3o-Usmg;Fhty?$)Z8s{9t-b%~E7z`Cd4Gdn`C;FAd-JC5GylCODP6H~*==iA zT+gonW{QLV{90fn!X%=mY=RB(xGHbv^>7~YAS}o%VHQbo!ovgGOYP!@a-f`vG{^z& z_q@T&2HO9j*S2r1U3UHFZ@t!B=0~qF=j>Cvw!AxL0nDyWzQ6YUjgyrV)%8n0ck!(& zt>*Rj-D+NQ{m~C?9I>xS?cVyh8zg&yJ*mpM^#ALnjn}N*+G=h%O4G}}dH;JC;*r7L zdMO2dr%$I~Yn)iVrzgOotTWcO*)$kr+fOMYjD!ZJBOs18c@*Y5>5-U`P8JSiU+KY87S3l78?IxlfI?vK-CNHcG1L0# zv}>C&c?c&m?%0j(8{1}yn&f9vjMnzqn`MkzX@<^S%$8!({?o@VC@$dtj^FVCMcXl3 zqhY*d2n0OdYiCSGWoD!Ax0#kVM^VSn1S;M^(vD}3oNJ^tui#7XvUd0+nh z7bD30fOrXw3F45o2Qy{a3=Kj-ae;3-HQJ2GDJ4zqjdE2Hn$Ty|I|qiKI71z zl!N_Wx~rIn|Jh!lW4N`OcKUqnO)wi~l28>QI2g^1z-SiL=ECFzD#G>rC_y%uS9Y}n zMs6_Wx<0t7Ipd0vz|1J3uR0lScBi%e-mu=6bu!b;Vhq=tcGviA<0qUG1v=V}&RX2< zcKaz?65@Dv4%u^o#%;3*XubX4{0BW`P#lI6d>NyuXqa}k+$XgfrphNaW5{%jsnz&P zB^yn+K83-YC(h~qsIxU5_VP&F@W*#@19p#!M zB{DF-JM51VJBy%ZPyZl_jva$pd5A%dIg1c>hltY6Kl2VFD1~yf*-h{*5b@F1o(I`c zPD0@%RLlttX-30Z+z^=vFdk4t<|j*x;GuA3WgXEZnLxE}!vHeQ=gjL~!#k!#0GfO9 z(=RYUAVVFS86A8BECLs7Zi%5LE)ihdeuTGsA=NlJK|;mKakV?~6qPyU9&YktT=Iux_`RywRN zC(?frqoJJu2>&Q%vS2l&`=)0Thxbf4Q;UoOh1f`PL&*DmUX4&34mq9I)1!-Ju9&@< zfRqk4K>?!Xy#pOhs^zLk9k`Yli)J=-iK+LEudOpshhbAO_4LLa%&q)!Pio`G#YZzCJ;)rhA48H0yH$8t6Rif|A5n!c$Hq z*cHj^C?to5Xb>U`SU`8#1t?8ZuytYxc5!bftcAh;-KQ1p3p!+aWdks#5i-?q9ib-- z6~ka+Xc|3e{!Oh?>1>W}E_pLa0xk$Cdt+xhxg5)~He*ocrgiANIp!gRgHP_vCeN`a z5{hu}o2+V>-AtEU=kBMrG{DFVQ62Cr)8m<0u`)w@=V*$)*ppoN%h$YYJ{LpjNIPg6 zL0dKiktk%c(mX<9ZX^!aa;@%=AvbEol79)}1oNaQBtiyvZ7U6QSNF|Q#|tzfA@1uN3zT$ybJ+xdOPcWsbIQkuhw!zp2gclK0ql>iN6 z%Oo{{uRYCD@2ABavyeWY#1=O*7LlMV3nuSDKjfMjEW?#9QmA46uV1@lihBTwLMvh{ zn{R7xm@$S$7wKVvs!USk!s;yQ$lz>7hWm`m$_H=!P4|8Fk8^i%=2Atb=#Q0|0t)!Z z{N|Ko4Kj_(AM)E7%(E%7F^I6RCd0&17tYS`FUwWXA^5CY3imCnC-oNyen?ixh|K!}e0#RnhH^A77R& zaqieYSbaw z7|evHaSt|PF*v02>*7OY@FTPI2X4e&s55ZEVomXSyyfeba#l$}r{#8U)M_;S!v zlze4`EIxrBs#KB|Oud6GI)}*dUvkC*$7UE%$&65il9o9LicmpD(vdPJ#*&;4ubJOemM^k1Un~0d8a&l0XG_B>5leJD(IcKDdqwYVMVg0wf`A!n`4> zaPbtE$PGe5;0vQ)7U*D@MDJQxRYV@H7*&i?#YWTqnAK>XD5N7}kA%%DcSF)KZkAm< z`qWGF2&W5Bkb{-}$dl)VG^(U$X(1bc3rGqvkg>5TGj!o+6U^gvWsXghN4iD70M&P0 z?+q z{c$wiH25RvIFH##0PP4qL3N>~f>aTwKqEX6a1XbzlbF|&ONr0iUy3pr@vqpBu_Ak( z$C2}~v$&hVJqk|jV4oU3+$CBXXvYYlhh+LaVv;G zq|Q?ytQc$~pN_3ScZ#Tq+0XyfODGA8*~KF)nn>JR6i&;trX4G}7}271AZVhB>hE@- zP@sTI<%Ot(#1IPDTS7%V(sUev7r5et+_Tm|25~M?V!SolfBl{w&MXLF7?jDaWC0Wi zKygaT2+z@1AqpWU?8RUXv8t|#m{5)0%q(fs;1_J)Q0}T&Su-J_e3m-<*tClSuHa%I zLm6a~e}5y^UJ!zJh!j|Y3uRzJ8p)K9n-jwCu_Wlq?kFA;nC9slFidS%9f+5h8~PXp zFuTiE1?8MM56+~RLP-V#m@e2OHiJ8UepzWnh z4C^q8-xkgf0+CIT4R-3WkJI3AxS+dJ{=ghjM6jlpTI(99dVcdI^I5g3jFsZo%k=AN zp{aGTgqgi#3-}A>G9?t%YIKENcepsOhJiQ1KDt}!5csU4W&%;>PLPF2x!X@k^?a^T)1Eb9tI2g8)okt{e=Nc%@+9ro|4(49WGDE`pwiVBi+L1X~f7^Tyt+c;obAP zFP}fl1A)~EO>2DE)Hksl+dlZ=e@M_O#10EGBAPr?Qx|$D1ZNCT9SHUOavxylwf0yi zekjPv)B+~+1zK#TW|D$FF3-#EWpcPjy8X15rU+kleUMx-n-*UM@cfkCSUMq~kzy8| z9vJ2fCWAQlh5@sZ$e`}R+?>dK;=^*M4_F!9T0~QU2j@F=gE~Y28EUeB->XSiibE`6 z{X|hb4xbZ(&DKFMG))~5>eJ40r{1lDZNoy}s1vG zD*8jL#oGV<`--Vmb}KO>p^Z(rJZWQ(u3M%HAWIg5OGH!!U7y;Wb>E_1Lm7C0wNZzz zh5AF<#FLGS(<=mX20)$?zxm$tp8n;RfTOdSB^N&;)sYEpsjrx3b$ULA44pJF0*93H z!l}Bo*`es{M_|x0e|%!MV$WsUBx+a%Isb&g&j?a71jdF*fLV*=iJg@*DbV!G1}q}7@|L? zuYB18$e|S!YZNQYv`bYsL`ckx>a$_E7MY+nHSI}s6rj_NUMV0XbcBcnGX5z`Qmkq4 zc^9VD56g9=1VM;EoX)D9u1q zR9Tmpb4f}B&HyO}s1M;F#za(>=CM~7Kz=6}cA4Fl>%M@ti2Z^(z)op;T|v($K>X>I z=V`+H$j}u2u!7Dqt6E*ajs{q!XU<~DC=;=7?csVPN_?bNjJ(W^!30p?O`#y%9F=3d z6Y?_IWqk`elf1ltsH#t-JQ1BgpC2$~05BoucTbdwrdSkFB*zua@3;MOx9*d>YtcnP zFt<)lqBZ#)a3`1F>LPlqr$|e58WSUF#6L*((J7DA{-=eO9A+#V1a@GNei3=f2*u`s zQpTgTQml(^Bk4YcaZe>#Azl?+DNCUQ0CJKD5%4Fs3bg~UWWN&FVw<#)efVNHOn z#>c+N@u~OdN|Ll}3R(GQezDf6Fz7!1Qgx?EXXc7~Ll=5s1Sz!B$2ZIYtU+Ea2^dQ1wOto7N(!0 z3cyC`z?NBb*k10i7(gDEr8&^1eho+}L?!g0{)1m)7R^nHg}4jqsd_IQ zQ)Y*G^zr2stL_>W+GZIP2@qyMkR&Qw;kBJ%95;ap=V3M6X5UTvfbSaW>#`>0>s+=Tjy3;ZN$&?bW z+d*dd^u&@m{@7KBayCCwbCu47lw6720%!;qq_<7LI8CS{@%@_Kl$3c5r#b7F)mEYX z6ip^*Ts2aTw#XEHgr-ZQl2D3YPs+jYiPv4Q5XpqMI3LDFPXo2}9hB!PX97JoLmV~g z?V#2vQUK~_%W2Fn(7>zV5_hfxhee-Mcf%T&EDvjpZ3vZ~clbwGP@EmEcNAG+6_FAz zA%~>JmWdCgDjGU3BZ`j`)tO*cb~k6pBZxJmkN%Y079>}9FFVbhK52bGB0`^LPjHTN z?%)3H+0-}+`r!Z#@J*D~=I)|873FO(ib{zmFaajoUrx9A{YZ_Lobr$H)aOm7)DB#b zD+ZI{tc9=XRu^%RzwE#911NpQxirfZ#Bd5$6pMuX_RQc}?F8X0F^+~C+K(`LRij0M z?8``f75qHr!x-9#QAkCplR|13%8s2ppZ{N8)<*LA0mlGOFYOSDD7ajHzOa(bTDmZe zz|Ddeu-G*dTqeI4k;&l0n0`AZF{bRMCyd6k^RNVVB?e2J`aJ=Vz4`46X}d!ZnH%w= zm-cq2qADjG?}IAV?@(64W~>-rLg09LE>ww>3DgTfuAl_H5ou($}F=^zcD9e{d^SBS=2$k9C5>4@hWC|-p_S`5W7XV#dA`p}~>NJK_GS1;hj$)_% zJ(|H~xGo?3^cP_@qfIK8j{{gtsZ;uv4+Dri-w%-(hq@E9Cfg9anjm#rl7G5Mz-ng*EM>!3$H4_v}y{TkS zd37e%j4XA-`;_zDshS~5aS)#*s6%VsO598wOdO>MP+J^wOjP3vThgxQORzSYtjk&Y_KW@nw@8;VEr<;}em|M5D~Ph45B^JNW(txE2V67_b)a>s0cb*&`%kcct%Q?@vdAIP|-R@e@{k(rHJi-e~Q^P zGZXOe^K=>7P@T`+x$$dxQTvm$|CZ!SH}C(S+Y7S`9FQz%nsoY9;N%TdW9|Zk#nkG< z@{T4#6`0DbnttH|2Mx;YiQ!i1uCg%a``H-i9j57f*>=}gZh!O1Mdop8Et%2J+)JU^ zah@}V$&s{yIdHBQdV$8`d?r;nlb|VE#-Rf?o+=zLL^goiND6ETSOanlCV%9Wi+ga< z8sUISZw%9FwQ3twu6LJGVNzsU+7m3bFgwr>1&%SWp0Nc{3PsexZas@&Izt`ch#JEu z5}-u<`+`$FSu_`w>{(@)cEB1BT+;x-hES*Un(j5C39a%FD ziR~aSIt>UQ7vOy1p81LSp7({j<`k$q=m5>~L_K@*=;unh8G(QdvIPk;z^D9j4KXKz z>uj(Avxoq-Vs&?EJhc zB7iyu+^KIM>*l+c=F=m7q=R!Hxs)9cJQ@q|%%T=2g~cg>XxQ&!ADl-F2|>3=Z>0gq zBo4902OiVRKpres2w!s{i48O%)L%wEn8*HCrwh=B`zxRTtya{KA0Ve3c*&TA$<)Dr z%hEmI;s^lPr37Lo)To{<;uXG+k{x`KaBA&-fA3QZOJt-*J|tj$;smxgl@%7zeSBDbzn2`UlSc<;sX)0Ti)w*jWY2qry?}*}@FbS$_kXaxU z5X(maYShW<(B=rddF0aL2dtYxi?Wc+8pNagw$I)HS}J3KGF9lolRtsE7s9|Uactz#L&Pab2INvT zVitv6tG|qMvSNT#s6L4Gg}Gs9HJ^o2ntrtY2xla3*kg7YFJN*%N&!D=MZ<;3XaD-e zIC^miRJo>1SOkyar)+DKbrj?&4skPEV|ZaEk|Rv*f8u=$fXsPVhD$(;(s0u2ng|ii`Xu5W z0*K;dUlXm^N0UNwWH%J~b-F8**t`HvVxM^ceEB5LERB&9!y}bL^1u`4A&}yBl+NH( zXOxSZsb=x1U5eC>X_faXgJWiy7JUlAOyT5k?yDx<+G}s&S@adD!3+Pxw_!`lG^X3- zIt+(q^*edcv^dZ?9}91MmZr)26HJhJke_#K>yly2g0IBh@hQy|uW}LXf;wc&=P~Y*zmlpG5&+-8B1QL4mx@=N%#KNorYA1k{<7#a5=P@g$XhV0wJQ)2i*6_Uo8~;9-rzuIu$@6kw$yua};(K zfkJ2_QWKGgcCpKp={1dG0Npv?prFu2nh3DJrRmBtg2slI60uf6W&b%pU=$ zF^~CA9qT+^j02J~y4Z;^0Wz5;SufMtU71XsjB`vn zS74T#-Q`SOwk&m_Zc6Hc2Y&2rN{{n{Dum&QQUGY3DwR@+*E&K#b{;bzGlRnp8xx4w zbV!76*-1nv3m55R)2W^E+z%;dey;?pI-7@$*bHMPpxWKffIiWDYG(qpg@&87=KEbh zh7}NexL{5SP(s0`W4e@-QY=`JAR&Jjg)%bce7ZPOW1ku7CxO8w3xDDRA1Iu#$86Fg zkP+UAQ3ucQrmuN5?zUZ0Yy-Y@nJg-cuqr}co}&8Dj>k^QkTWzGL`2A+wKOGZhh{Z@ zLR#ViJNK)9=olvAIeRly72#$|(}dsHct3CAoE=z;ctIX&H7Q&frOE=Gi~{ls?F1nh z#2{K{D^=+Z+UjqnFWDnDHq@eo;F1?Per z-OEBe%x;->eVGcZz#ghMXSGytyJkrHTwNiR5*e#*?EphWTYD3d14|*|8Uv11(TEea ziDc9_h*8~_FXRNdAP6}0yHFRe4TKn$XA18kmZ|`a5RR$$?6;1ZP_#YPB z1Yx@*iXb@M+_l6=bt34x<&3Cc#zT>ib{u0i+M)!6{t;9i)sQasSURUr-3yk6b-w;gq5pOxM!13@`UVNwTbumf8H*fiDTnc~2v84&`4DLEEEmJmanTtET}^Ok^<`x(=8}*=z&lC6T8?Z z^|dzTVleyq=oFED203)AXbDqg zW2j`K{@+UZ>ct(*u{Zkq7b&F*L2#B}shPEfjsZ*4xweHc`jfhpg{5G2t2$8? zD1?MHfRPz!-3U}fi+s%yM1W7aMMC0JsFBjwc${r`aPk*l5H;lgC{@RK9waRJMbNV$XHO5P8RVxiC}{XGRg zA_>@oSc%xYSNE%?M?I z#iTeYnG57_rL=-yP5bmy^{%<`Ci*(_g5CvhDCmvT-k3*!2+^2Zk%ek_*+*M49!-6I z=qkDcqnSa;X;mb&>7SE4Bg4h5ASB2%NvLXAq~7E?19Ic5F7mOS!WzYW7AKG&`*NoW zi)vXAG-paiLrjw*VzQUirJ7QJN|4TR^BXihv$HA!RJmAZMXa$&FE(u=Q36@=xk#R?*~1 z61(T!-QZO^vqP~9AzJQHy}>4!Ecnt5<^*+SM8G2xngNWjRxAYoujr(9o9Aw7Ly#cJ zYF!n{lMe4XKAVPFv{2chxtH{;78>Tc1ZarvskSB_bpW&!cQF$ko5=QJ^%DwUQ9#D? zSzD*8O|!v!^b;>xKox-o6FD>KQA(#?lu%>mgG%^_+$WU=zVStx?I^ggaTLrJ7EaQQ z;9>NMAsL;oM=C1N3$-b@+$G=eq4oI_FJ73ODvk*h=UIO0fE3EjfRSQ$)PT+a5U@R5 zW)_$N7c&zUoRNmr^q?L#tRMgs77l>(VXu&mVT8ykb@x91+7hK>ejt9yUM0ee=ta7pMxa$pwLZd+*9=4>`us~@bTQ_fMh5H!^!OF5-g*6 zHPqbbu0T?e*Y;`flVk}Xttc};rcOlcA_6=Mcg~o{gBiOXbX0))5P?e9e|sUI z3mtK9*7PO>o^--q8_cdSEP6dIt__jKI|7E z77l911ZAnY ziE&yUj9=>6P%`Ogf`t|JA-C!R8tO#RE-3(!7TC%ADBvh8s>w3qrLPkzt7R{_;|G=& zV4It{04mubLI5)qY4a>!_NDkmqk%oSG(#zlX|&Ed9hzFg#zaVqdm|DemLb)Nafqzg zj{hN4GbHsM!W*O~H~r|+LI_8QdJebN#Rqn&5$Q3^+{-yH*a;atRRjejT!Q1=0MRl< zonebdU3yV@RGdO>^0EY{Vr|dzVCF^dT;SS0N`l8ELrN=kXq9B-ehco)cKFA#x<*aQ zzH{~v6(NgM*3}$==vkgjw3SMpm)kua!v#dam=Wmg8W3myGuv~(<*c7+jVwGRaY~d= z6h$r#Ar$7so&vdM%7zX-gAH{yGZ}X;b~mN2ud{+Q0e$9vN6vszdRB(S!;iwgVE_5A z%&iT~X!||Oc6OGL5>zL~izw}SAtYVmUk@U&NCc#0H@nBp#5)Z&8iLBRz}fty= z<~t-IE{SU&eER>IyBgC9%27oEWYGIsX3p$pCvLzB%cXOWf`qU*MH{M{GpQ<(b$0^; zoi0EM{?6P?1M?Q-vTrd)lI5WN%&#pxysd>0T72XMQwpr1FV{k#8B<7F_du3G*L2e8 zU;CWS8Y;^+lpiWIby?_>?I@{bPxwDvT<+7%2K)y8S?+V)kc=Vn zTIMBY_I8;9zaFYp2FHa!v&JqhE!Id8r`vv2_&f?mTEsH9ZE})er{4ZA{WDkf=Y%sc zK_9TN%)%ZtHY5@ll(l6SDC<;Or@|0B!NoK+Y`D|e39N`!8h*v1dwi*=naLIuvq;9v z;2Gcus$TVHzKpIoKyFM(i?1>-p#zimWM}RQ9G-G9r5prOS%|`^r4XpgMGLyS)c~Ew zPC(4Q*~*M|j6pZ!j1jHdViPbL2D4=tFS7NT7Sy*pu&Jp!qEiy4&!K@m@G zPqT_=U|EI7!*Jv5v`ZUY*hfF*0e}+&U|c@alkE^`<|zdO zVtN205z1m;HM$Vqe(RMlfOA}mKOpLaYQQI<0y%TZk?Y~d94J3c>HAp5BQYqdV__q9 znOJRHKypSY3u?~{$5SXFgH71!rMLRPFxwgwKJo*bhBFFP#;5AlmeYuLfaP}QHbnXK zQGpP3rS=#f{GYexoJT9x;cqbuF?>^~99a_SJ(jVsT1GbH6*SKf&CmRbe62V{j1p+l zA&`RISC|dmsO+?|7B#Bi2s*aR;|Z4;ZA!Aq*EUDY35&#F z7VMw_%{U4`Z(JTEk_49Ng)83fe{Fq%0 zmpi`Z&e+44Im-Y6OJqD7K$6Z2P4b(OJq{(*CyizW%Rn{moS(+x!7B<%>3q!e>_7hU zk5Y!9>FiKwQno-MNVkO?MroRl)#YH4r4wW-v>8YONoNx+VY=65JLH-8CZGUUR0<@8 z+CU3ynezh+nwP7{=0F*ze6UHreZ6{BKGKAvvwFa{=^>)*%E#3fQdLHIcDj+oLW$3k+900Nl>Nd}a2 zyt|58fK$4_P2>DbaV}+7U;b{B-srEd$2(?+Y*bO5T5gi4m!Os!MwsUwlBCGQvo0R?r0V5XD2Y z3_)g&=-;GY3sM{|C?HavxuyooRv2?_i(YI#wPh{JvYQRcX1SMYd*yG6?JTHhIYMH} zU5&Jf-KmH!DYKm%OJl+ImtVaAGw6WqjVEhiiWFiug4}{%n029yD8d^zNR9QB9WBC4 z$bcx^@>in5`7u*^2i%+AP6!r8VY?%OI?28)CwSA33D@$23=iV9nVdBU3y!#yuc!@O zJ3vOms3xI1A#c$x0UcRd7ZLX1fMq0cj0m!G;t9iLUBJ127%y|0 zK@weHJGrnKS#2n?$X#-T$&j?1{jSO}3aifS-X-EZa!dyol-1dyP?rsXe?6X)qT3Gx zY>i`i_KE6Z+3EnA{YXBSk)|+t(XMr&LC@)Q^h^c$0j$lQwHo4g3_ zvTn?bsGwbKte6NZLH7S?iCdj?Bs>v_>IiVO3fLT)m~=9Ke;3fEJ`KqYj>~5M)F}Ai zMTO&1s-Zb1)&(?dAQ9yj%Qi?52qrk;vr5U8z0|q);O4!B?2d0yE!Xj&8&4QdA{J$} zw3tB$#s!7!_@2TD^H4V-UaW7ppa^loBR>S#Ax?|&anc3J%nD=y?qeVnO5w(Jlb-%H zb}Y?A!JBKagjDgK-hiJ@W(lT(n37~s;1l9my1)|2^9l&XoK#7RBo<7F06Yg&i-rutWna zQC;C|0>*Lu1F&h_Q$tYRx<=nKt3*I<|DzSDGJKLAxI#rT!p)4AP355qu{Z@&PKD&(LDf)Lm`%;Q-TFpF(d+HzEQk3_A&geG_lYYGNr&L%I-;(nguscWa22I@N#D?KEfUkS@|TL-`XSJ87uEAVx@C*nGKJ`c1gvI_C8Lr2JNTL zxX@YWJ*afoSs1M7R|q`+{v~~rACi{OX|}+UdC`#~c5A)CorWE!Q}C4G@l%LOC)V{9 zvMY!T*+jw`ULLgzNxo|pW!8-_NpIEAz}3+xuWceYCtrGp-i2GxfP*j(T2mE=K&EvO z4!7Eu?B>Bq_~Ne$0a-7Jd|hv1oM~Rr%`na{kR~QVD$klm(ZG;#NizsBnh?;sp>98@ z{pDho;F>>V_l(N(LPHc*hvX+XM=H~@@PO@X~0TZQA zbZMjGRutx6Zo@1|nEPNtNq+hXf1%6HKtglnEP@sc#p_^(^ML09mch2Cv@NE*EklVD z?B%#E@Wsq1DD@~LPgw!s7)K7C@&KMZq7iM3oi0<`&`vq{sh=c)EDm%6ZAgtcj8^sd zv=(S{`=Tb2Bv>55G!o{g70BF0Ma=Nd_{ele7K?2!!Yxnz0Ci%9@0M*Kq)P zg|$%miKIYNm*(P^xeEwb8?s~zX2_Lbv0{2Q zhG@gcfgk*v2ur0Q2(#|2upa&J?qEpMi)g6SioyiLpiM|_0%0Ag4V8c9>G{m6c1bF6 zqL?L?l<2OF+4HchC?J%hGfha;wJvZobxxVq3~=e8PjeXhh^O3~5lw)IJfdyNu3?b~ zvWCIw|KkD#l}m<-jlnsGxu{1=MZSf8sB;N5`7loJ*eziJe?}=3BTNs4z5 z5ijI1d7&9{0_Nmri)N<2ognLCX+ksmm>yeEwe>k6hQ`4Ik6kFaFXjb+D)ee2rz>&-~HSg83l|v1oX>0~N#;7*;M+L}!_w${>7aZ^~A) zG3yh+nu=W=ZhoN~Z|Nb2ca7=}TV!(B5+G=BjEfRh%@Ad}`+u>Rxhk*q@YS+U`yJ7_ zarsOeVPqJX2|0E)9p{|pc{-chiqsW8WnrW~ZT>;C$s_-~lntYQ8Aknao^lM>)l!UP z6m320(k?Tg-fzCP!B7J=*boAF)^Sl55n|@Y<%Eu1($>0`?xZ4 zO`$`Bh<}_cPH{B3yDICv+>xCtKw*nRk{sy7EU{$5X)cnsuf{BoU(k{2fLFw~*?U&? z2m|d(_6Bq(DZ`Md+Wil{SH7Rk&c9fa@D~VG;-U2>5M#!pIti}eBLCam>tHQ?v)K{s#LFf>mOuF=C$3$&|F%D# zOJC3P5oVLJdq_1VLXkbfSvI(=Sfmjd&@W&0E{n6A&d=jwj&kNeI;>{nC54k`d!As0 zElohYWqTw%Q69egvBl^~coj;?J;BCI1)Qgnxs4h2D87$F#J z92u|=hCMc<8SGhOfZR9=m=OGc@4IB5?)LrT@08LC88QTnplF7GNws(I8k?O)Y&s_u zr9e4}XV<6#RJ6z)K?GpJwl`Lip7Q93Ovv5Npd1Xq9wA-{HuXDoN#TimNT-VFU2{YP zupnG!6+}s|<#6YnbTL}B6a0%IuL zg%-Neg#eswxr84U05KlaBTlO#&SRN^h>vv;ekh=Lm>O#I9-1NvAwv)d;rwGQUh!~0 zM)UPUi`#RY{Gnh7fYL9=o!$D`!joROnU9)S5yAjtJW1Oc=(!eIHVRVJL#!ee)8t6A zep3;T;mD9+v}rlA0avCQoHiHW8ifuB9+;`$BUhsY3n)gX1f0CP;RK|fFN-#NEbEa* zajK}tFYy#B7Wv%W7*)@K@hf%*+37 z1+??A90%KCK{F*(2-ynH2DS(VLx7JSAZyl{KW3H>QLf)elY%0%o6e6$em zTkM_rqYGvtS8R5kht1RgUwS3fUx>HZ1%;1lL5@!lD}@{C7xH5HxpOgNkfPxP|@_3QInjxcZ{KbJe$0z zeem-S&1Tn)b;{#4(fUUbYGVN;h5)_oF-{4~lFtN^S^6#-2^QHYi6 z#+9ThoEd>DhU{-#=5;{-C0NM5WR!4EQziBjBvoFP1s4P0kVrG?AyWSXR>XtQN)4$tFWX-Mr3&mMlgKSw=}59L1|74ejv3N&C-xGSP6Q$mn$ zDZIIGif_!Yh71>f#jP2)JJl-;=m27R$UYaWB&R^iW0OW+?Iruq>ithMxdZ14>#AJH zEA_i%BJw3w?AayE4ns&T_DwGZaz$3=_Tm7iM-W&gsRe+5U~-Fa8bfO-`7DpG0F@CH zX&4;-`Ng&#d_xSaK|?Q?vW{2G5v_BjlwDZX9`y-2*RJ-QFeQUSiY-<@@G6oX zm?K7t;*sMVAFry+nN%QVAr8J!6|Z$X9;~XD187Fcd6{ z&XlkKxLO9PT%aJRD+c9(P=<_Fur2^X?>^Per_ug1S3vMw?7|$L-supGU5^Kt&CI!t zfr&I$eDEgL=79siOkm^g#uU&3>gw_g0a=85C?Fe`_)apB(0(Arixon=qD3eQE};|d_`kl#JX9;_j6Swc{mdx%~5H7WB9 z38*^W^vBD%6XuZ}kjVx7We-LiQgl)CI$zPPUKfT%$9mgA{<)+!`}k!FC$?#ZWFqekU3c0XMv zk?7{n&*j|^m!1%YEmp=0FsXDnl1FZ$vWkl7h2}m6kYGQ1ESlBuA~o7`6HuHJ<#dM= z;^0X7;n$lX<6=z#Ku1K%>NV4pLSfeDB8mv4D2yhoO?Fet9(&2c=462kc^C|C##0i1 znncCDkd9(};D>EGN}jr99~GzpS4dZe$rtL^=xK+tze{!u1kCJ@1jbjZ$xG4Molh^k zte5T+D_{wh4f>Ejs4tkaoY^!pv&NTAP0v4btD^hoCf3|^g+sUGsqO=z9tNCYWDQEv zM-nX36cUH{i7OYTC$A=up-Q&E?r9Z{R~XIEP0&JIBJJEwcvPHby?Yb3OWiV~cdTbi z1LL6!N8PE+OYg>K&q!vQcm~3!1Besi82dMkW;*8-hD&w8CdvLTo&!{KTS2>1s47Zi zuc~erNjV7=lEoBt5E9h<)jHF}J&bD1}9e~*|t1EZi;3`|>Q(S`Pr@SnfwvUap#U%#>fhvqEBxB}0VgJ#k zIpesi(ldHd{gjs`OlB*6`WTrIkZ83GX{V4c%ivV69Vg2AgFz04ZW3602HD-Rv4z=~IE_;#gk*DOXXa zYVR0~xP(9B98W^9Os&k6osoa|=kv+=SiJz;$xHyr$dWtdY$0qQik{G#q(HwN4 z9B86G+y!G*qq`=Xc+U+v<6Igi2GNCJSsSELkzM4}NEE|J#l76!?yvkAnl26uf`bP$ zLDvN4c+2*(!g1m*P@2OGDn=jx3?$8R{8KIznXNET0#rQX5k_o-Voki~7Vn`}cmPtY z>PmKnuau;V>>$f14USuvF~ioX&)Wo=V=G9 z!#lNvm+J?N!+zKU6+nfi_ny9P87n9V(C#LhL;>j(o=k$d(4We8G>}$kg@!R{7ii?7 zL*pDSv%aleX@Ur_>_SALK|og798p}oQgr{HUXQgEb7R`R-b@ZtbQkwv6`aXg!zFM# zlBg+jBK*O6y9>Oc4&;HkbZf>N1qI{dScI*xNo_56ZQr zOP2V=c#K@p{OyhN>0kTSHXYXB#P0uQlS|~%l#`AL`0%*3ykUHV&|YdUlbpjt!eu%D zXZ~a($`SY(%qNvg>C83wzkd!Yd z+lcVO@&&=YyuoS*$Y84a%_1y0MeQq-B`-PB!icJ?m0K~4Q7QDA)$wtQIq!xN^~sV~ zy(PgME<|cr&T6SVDkY!%0q;ze{JHrG&08go0j#FMm^g~J;?qESrd2|#jfc^#X*Z*4 z(7-MS5oOnl$VZZGM-A?+1Loqg`N!uC2zVBFd|2^y2Qh|xAA6z zWMwJCs!nMb#eSs@r|o#XjyLiUyK7|NIPlr7VQo1gh3NKNtGop18-BSyimoiR*Gl#n zyz9MdHvj82nrl>Wt0kncfA|q^^}ewE{#u!LoX8djrISmmNvWEIY_bRmCfa3K-6?rc z`)`nu*G$SAuF*a!VZ4J##Ts@ca;t--!FI_uywy@-y|I(vY6*VBV5ekTd@@Ly&)xM{ zFZR6E<8k{$6dehx>i!3v0O^}3wD>HqK?sNbu@dX%(wJ-}sSf?5+77CGE8%PHyxR~t zP+V#82aTQylhCe~oaS_aMW0m|LXl@$tStZRo6Es1Nx2zq#`k%4oK%>%?6+=7j@?%~ z6Ix1O?`WfYy4_y=rWKdB;1}D5c%Xa%a7T7EP;CyFYxYl&l2RBnqpyMD|#8 zZS7pmBLfX=x8j%A!_}ynl-6aO7*aC{8)3B?Mwc$zap_37Q%a7N8Y=-aZ$}>r6U)~M z4?M0Vp6G+2b8L+Xz0j++s`gMdygytCu*bFJY@>Xz`M(0kWn1yh+Mq;e_Nv{IccdnH z*;2P;WG^c|H*+NVL7F^LBb^Du_4*M$hbpY1WyclZ!&`k{=q${(TM3%+s;i}{MOlN! zb|qNn-P=DX>?O~v)!tvnAj!hpm`K*~%eS#i#zxi6ru#l7-16ZS}M9 z(x60iYT~@>VKO+rA&O3Hw3{VQu65~cvcT2SxYTNoF!+Q4!Pjs8>r-9`4(Ju6th$Ci z7GW8XuL#!Y9e8b9Lbij_;5;lT02Vr}%2KE(=6$5GS{X%4@Y%wVILl`klDK6ESv+#t zX*`JC^X>j0Jhj?LN-KWE$Ezj81CWOX>d%Gk+b88_sk+jNe9Q-owD4{3|goH$^(39(Xx8T>DSUVH$-*X*0^V`kiHmOp;;HOcD)XL3D zv&1K!vyWsvk(q=^`x(TPF(w*3dj^XOu^y(VYETGrJnocMf5>lrlcCJ!bCH zyB8{P+O3I3=5`4W#wphVdc%}^LPiS5-0r03weN>_E=Nwmpb-TGh3t^o0$xnIGKyk3 zFT8UIwC?!Gi!?}S()RU{$dbUU#7LSKU>mV;%$a#2iHUwPPxnfls#88QmR1;+Qxb5w z#ki=FPc$4xv47X?T)_k)6qBrC3|=AySQ0730sccTcUwoQi_W6ab=bxw1*!oGMSgMv zB#FTEU6sK+VD(JstufCBpHNMqEB&NnLpFk_<_5OJ}57tsRjc9MUtVrLaIql zDx^Rjj3**;5RKs8L;D~U|F=g8lR&H_i_zZnh3`{#6ous$dXAvwmISsHm$?|6@K;Fa zR!g@uGDoqDp;IyrO;c`R2>dT8gmN~N8zKk`0jOBSbD{Mv`at=%C|vnaSdQ02h$uZ3 zky?!^JdwQ~jz4Fw4y%KT|5T|O?li8hCu!L>CSh1zxjh*q2&Gy@+RUw$gEJvaTdV!- z>)}q4+!un3t;+r3$;vJ~o@^wI3KJwdCH$F5Zcla?>Li|k``DdIfD1a*Y+ZBB%Kf2z z`U}DK(aQfE)|!<#ttB=>G)KadNu_mPn5?ua+{Legh0t&kW=}Rs z;m*||G>)%U4g#0gt+&QY$>}$~^dj2{PKEbIQPmFpTasiDg+aLT!oNF>lCX%UZkqY2 zaQnoA$(btGVZqe#kVXhm8|gc4Jp`Ep{Y95d?%AEvcaC2CywiwAU)koG(|^89mH6f4 z+2pt>KeJc*?C5ys=*FZq4gJ%Nt4(y-H^Y$aT1kB{CGcCM)o zw|3Sps+?*K53M~G-W3Ojz7>YU;LdTtZ|zh{K;^qyc?P$vG`>>#+~{qi)#D$%*FIWh zpW@ld(>upU-QaW*1@End6v(TkVG!P%e4w@QT)56uryC9bisJ<5>hFI4IeRA+-`Pqy zcsI!r6%m{nnTf6Y)~>coT?9(!xh?VqBh<{I9@o8s@o?3-a~{* z42*$uqAY+SjU>lMg9j^!0273kFEjlihfFN4axrgL5DUWqdUQV$MuxTh&wYmM>E7gq zNykq*zR1^G{|$__A9jP9Dl&ksUC@d<4YQl7X1o{v#c4M8Om9wNXc|d!xeTXD4+sE* zCA*PKRolZ5mpDKHDUG9A{90l*f<^$pW+} ze5m+{k%`D4eDDz^0EUVMXOwy&j^Jz_wdC~Qn~Y2QcYH>3!1?gqHMkEt$=gPq8Yuap z>sODP$m5Au6Akno-LJ5-dy**F8RcsCv=(>+6q4 z{BQaH*jKin3+)|GJRaS;eL6b0=jbn7`|4tp4}aGvKX&Ei40e?No}C>0i=&5aK1!60 z!m!yWH@@oH>z;`Z?s@a8YS%%-y|+Tcso~A7hal-^f9pRwQaaF{OZPgn|~)19~*D}#^`@rMSgDylZ95b^y>~+f8^ggb3`w~ zQ5~42j_hOit!z?Bh*vxOGuX-t4ph2un1$~bzUBTbk6QSQZ})%W{|xRIPLY*A30Op@e8vXex+>($Fkl&s-;4FzXsG@(B=0VZw)ghe#C1?7mS|$fWbY$rvl`L})C>rU3P|uSml5_tZ z>dF1D(pvS0rWLW7Qf;JeVBCTNxXNor*^cNPC1oLj8d3UGy|d)i;af9}xcExs!9yXM z8aTAB;<~J~syzA_+^g*~i0%)87qa^5$>{d&wL=dz;AppX_+uBSvzfa58Ff+3besxV zvt_WP?Kh<)wxhItBp}735Vcgih}2SY*Rr&rs)g7jnTX&0#*ZlI7L#9Q5o?8 z-P5CVl0|6KE`-2BWz;diI5YMvnVdpY+HTGK{@>o`+;h%7_f@%(D790$XFtCE?Qehk z^?my(mKe4gGa(cLvne=g zRI4*Hfru%9jjuS8pn82bawowkMuqw=zV!Cgb&7Z>%0r!ntMzdC*40 z=;V8(T%^8D**0a!*>X8`TcR!cS$Sp-CYI+$X68l)gX5SKD-XVG5Das}w@%XWAt}w? z2a&Y{f53c(_`_@-{WsGrOrE&u!`OY`cf)?=wbglhvWY-P8oA7f){1j0f|87gChaz?>w)O?Iv#;S;4Q0l_LgCV0NZl2hjzCR1=g7B6N!6i%>+NJ_+MMYmTAn@dxQ%R732`cnP1W`|cQJ&Gy{bWW^ zs?GoOUV@7v5~MI7${3qHJtjU7Ye{0YR5bTsc!&f_g{dt?JYgxq; zI3jF{=yB2*JQJW#G|I#WUJY&p>V^ilJwa7eWi6#C5eq z@E9?1sBu!EZUZQFz;a2T(z2K&?4r>2k%UpwN`=vFPZhz;;v+1!P_f!fnIY0jB`z6< zhZ^B55#zj!3v)Gva2Zk;0QFMgb!YOG5mx!WJR5V0Rk6V?IuP~EaM=TDJ%*a4r zr7f@8JS8{%zEwqh1j&Lf0Zf9@(J`ySFuS5~%`gLC?SwCm9YvH%t;Wn7sL5`{(pAz7 zv@(_o!;dN)M3H6kV?!#VBkf-|J>jMwRT69jBSD*B(7tnR0A@agnFc0Im?j(>T>qy> z!q&}2=8woD9+pV-qtt~y{v-8-D`|%sVmJRG-QJ8yTEA}iwBZdC1?1`bmyB$Ftor)u zy1C);(Hke(yLr``6KzbM?|N4jGWypE?S0RzFcywdNqs4xti~R#4tP9IU!+ zfEf2PHdmDrcdiVQMjGj>06nJi%A2Wu=2!5??t#%}afILa8L|Zp zaZ6>iNI1NT^Py($u)!BogUgSJwen{iE)NQv309?p`V2OWUx<(jfy;HHfUGN^R0z+S zxvcWk*~?H6r7bU;c-i~L9zFB!b4_X9e)qXKN^?ftT{a;d^Mi)MbY*Zni}H-xo3DA_ zVCt^ZRPXkhYC~zxs@|=X<}bZn3Vck<4W__9Q!$0NLCpCdPZP5}GYxQ(C+16&V0+{G z8*Lt7@&`_XpS)+rY4F?cm{|3%j)G$t%CIX#nF-kO*%goCv7+S4Z~p~HM$)(8D;Mn( z|0rVjX2ZJ%&SgtHV)JemdD(pspx=+NKaP6_OwM7bq8?}oRhKXG-mjLGCQd<>4p_rw4;GOs9cb|xcs|Em=H3?fsPq< zb7)#YunSrlKO;^_=5Tgla~SbaUTGv!ZY)=12T6+*B+Ij-f)y=tg*vijc@q zoQl~cG&_?mCP)y)WQ{!O$AmC4jtl(P7x=BJgu?7 zP~cDos20dtre9DgsNUkP2tAj&A%gf(CF6?(I9IfUf!m2PDM?O3V(o_2MrDR(%Z>#A zpD@&2Brg8BMkN+wAi)>7IqH`VOI47)IwFa+4DG6Cb)%ZwNYoUmGwKu}LYCmPNq%Hu z7eo+HMF=tMHfH&O3#m?3MFZCb{~a*f*~Qnm#J9646-v|wVonwXOkwz}lHy%IBh?;E zx-vAn7cv3T@TO~mROiwebIe675#N0x<-+pUCEhRWj7t#26)k3Bi*p{h;zcT>Mw{|r z3;7HUuHROaFx#t%@|cdd_fOPyc5N~lb5+qW8|$vT);+w~J#{%$#a<76zp!wwHBmbM z<#W5vW(;Up)NRO^2&dX+=KTNCYk7J!`Y4ay>9LP)d-k`i2KRy$6fw8r#^tcr_I~`> zIT2bhYJ30j;MRcR!Ezhn3D8% zHfy=o_h~q_o&YjFRfr5$g}37e9J!)8M31p#9GU`$mpJfTww)NOWgn+|oNJMkHSe zzlPhi2S$HXGb+mF!Nq~fTg9V3^IaeyzzT-H1P^)JXjm8W}JLFd#m_k~cWC-I5- z?@E-U;7j8zlBg_#6HN3J7zQSvr+YY>4AbB=^T2 z8sczJlid_M$?_Mz@(QDplnJc($o^XmY-@kRALS~-Un>p!IZ~zX@?aCsr2?4V$|{kW zByCaD_^UyUguNkR!XzX9Vr3#A!NrtsjzjpH%*pfK%ZD}y$b!bDWivO%AP179?Z8&; zjTcuPVF`4}SZtE{)`4q6|o(W(owUgO!NmNU+kd=-eS%4s{B@V4Nh4$#NaVhireKHhhDX$fS zzDYE1@@S%AbmPO(p{1s8@a98F{0bPFA1y4Xnx$zq#d{Rho7IG?WN;0A)vzp7zR+p-yN;!GM` zuFt)$Ro~LRMiF5x7uKhqmyP@v_HA+WOvs*lrfIa(@R6$qOjl7+7c4D@PyTsZ?A4q! zDd-$uhVLdq!u4-wUtzRxvv4YNGhd#+Z8C4|qs6j?Z5WK#8{AgEp4UDOYa~m~U9jL$YiK)ltmJTfa)W~Ibtg0M6I{Dkh zwVX{kX5|_6&9z779vv^7d)8=e)$r~+gYsF!r`B(LOYoA*4e^De6X%UTIkt78RuypJ zGuK{TJC#e^M=D!xofxm5w)E8coktGs8hP3H4{FQS6ka!e`3HyBa&&d(|4fc<*>uau z$dASbPp+()8>o+utoh-li^lgoeQbGh`112!F%v8s8$adk^;@f>r~FR!@v(<@58OO; z_RQAFl}GNZ*TRv?nqvTj@J+>=hsP(**>g*I*Z5ReAD(0_^$g}4K67M!&DbDB-@%Cm zhz8?JR!m({Uvu>6DdWoD)Zof9wv1l?p*I8`t~_{6KEj))?whGS@&S%Do;5ana^!T$BR9^W&Q7aVXy1vtcY=AOrr}g`eoXqqsvIkhYBzGDY@;F2|v|et)g#Z z!D#s8KlCY&py{)|^ZX#JUpjiwn851?<0#9+m$G*9M)#Rl_d0ywPNuTES|iG;XI(5S zWuwgh^oQM(*A7yhdHCU7?Lc}9qqrA4m7ZB9A+qfPP8Z7@vy3v$TG;v(g+q$`&@J19 z>wmbY%q5PY9b#oJJn?g`+9nm6&cazM5$a8=W;fcI!*$xR7f~tYxw>5#P)->EKp$7*4$?EihS>8z}Tm?N)-O{Q;7w+ z9+aIJchd6U%M-Ju&838!ezao8Izc26=<9DG@_u39QwFxvaVOf31w$Ah%nOuM@DGqUQ- z1r{50G=l%Ehp_J8{QjWOuZ61GT_GBo}p?)uUYaww@4H z2Oy;&s2H0(bu31GRlX{aiZ4)^yO!tRrtS2ACLdId^G8PuJ-psthP%To;>Hhquk1H|C3V*p_%;gj)^1YPEAk5!DeYc2fgZnqvwL)+M+qt3>y*3PoewP9tpZ3SYv}>e!S9IQ%OYW#Wy?^NPf{9)%>oh+ZYehdO@YC3bQ| zp)PL~TChw5r#S`N!KieDji*Gr%>+H}&RQf2CWE#G%6K1Mu;G+en|{>3v&KlkQ@t3b z#(%qXfbSIlH{r3q7BdT!jlKS$A? zbiDIV{wA>|{atNH`$R4)`|#3nGzg1ci303f^{$8>=d?H}IOm9=!gq?yq=^tE{aWbL zDG)iR-azK$Y~|xX+#bu+S8t4nF2e zOA2EMQFuV-iHlz3Z=lQ2D_njrdxNTkEov-yt=)FSrbLJs=g;sZe7m)>c>Bv|Bj9R& zgKsqO2r%c%5eIzXWBB8-C!CK%#h|D^ zsI|@Rc;?jr1F=JH1{Z$)VP5<}D0a%lznz$>y#YyiVs&+z%LJ;yCKLhO@HnkqY(A-& zyhuPhUlHm{ub;ESDG$%JIi0d@`*j>o;W&g{FCa1{vX89Yc$8Bs5)!|+BBo2dfE;Hr z)gjU&bb!Oj{GgK3(qs&hBL}8*7;N~KlMR{S^Js+{t5bDsca_&Uz!~WjhTfy|C(bje zc}nzAh+yx!XJC2)_Weo{+yClTyGJvGcHumjA*l&{pVb;Q9gWQKQo}4BO`bb_3rInl zrvh>{k^P^2VN=)~4LKjTI*BGUtwCQkc*qwjOo4D0)Lk{9GxyI_&Oig9cG2zNL zJJ{Ur*9Ud`33trQ4${eIO^%m=xcaUNm}|mqUv5iPJ%5DF#YIjZB*WF_z#K0Dc^*0v zn_~qVr~I+jND+!NDTFSzI2@IQN0D)wuZBVByYL$x#(yfYQ^6W3ZH#p6V#8cZz5z!v z9Dx4B7DLg*CLIY^7s0P48m+g`Z!DXTR1={(E{W%Xd-99RQwtXW6lwey-8OiOj1;*J zyZa5#Jl)95{=^Igk7d@ABtEn=+diKVIokmmq7K-?(`Q;H~lyfBhl zV@6dQaDYU|!vKd%iqqEo;lESXAj-Jjs2@?Js4R`+{5ZK4ViK4w%+W`*@PU0%Wcz~N z8CR~vb0iYWHIE3BOUfg&C_eFeu>9nLM-ovOQEc9JgM%jHW%#sER9r#=!xp~p&XSAZ zP*yE+%by5)9)K{G=f54RDajh#Kx_GRBs7pTxxwW*aOI=NvPfZN13VU)N~B|82ac;x zJhU8*j=^B#A7i8X8qDZxmlae6g~+dV$X-g%k_!CDXlU;u)17 zLAa`fQ(ExU;r;N{|2V57gfP}=E9`IPRWWnBA5mgR?N^JK7kc+Ecvx&~dU&Zxj+`)8O zoqNrks$d$+=CKu136(`xqmd;}BoD@CW7ciwu)U;tQ(7$Uy1CN)H{YwpiAXas?ZhuL z*rEmN7WSuXm_p#Lz;Z4;91RM^IE}${n3TQ&(4{vXR5Bj3PtCa!ii%yaC029V`j0LQ zR?lD+-H9b1t-eGWlPoe$p8{!w(6ZyxGKeTa*oL?1O}Z6~uyu2z;j40{lq_2I$D@&V z5_{SaY&fg^5iq+>EsHwoPT>yz>i;IgTG|t|rKyVo>Mm-y@oqJy*+LI0%aGmSopVE% zDAmPYd$|?B%#M4OD4mohl2uyJxjFw{-s1@3dPbTpH;Q>wJLTPk<3@=)YK5yldenk6 z7vkp757L-?ab@(WP1<7>t1epla4O6npJCd@^6Gofu$!%=vFK{+>?+FnUfr0$!bRJ* z9;2JKWoy5Ta#Hf>Otf^t;!Ec8vG~3(cY|5}wcm$WZ}A7^x8FVU^wbTP&8RO`Uv53E zKE4Zge)6!QOMV#yT=Cnl92ym<7S_|2pZK44la#y+ucEd$rPMTY3O4-hf30DllJZPB zY(z@=Bpj+2GSPQv{`6~+Raz4kOFk}E6J-&iSbTo`qA0hPP|QZ}vQHE#>6dE{|K{t? z-;G%@%!cZ$)r;TkGvh4Fs_yl=N!0hnIf3*)#2vW`yhe(h#xh zm6OieDB6iQ%vl;dhyzN6*qXK+_=$EE^~W5}Gj#pQp|JFM>Tr>;o;cv@SW0l&sm7!K zDS@NMZ69hgv-7Y2_dOBQ7Wny8PZ+a}7rtw1%a=BR;mY?Bnlq>(Tga%8DJJ!08f`k6 z@c8q66ulRhV+>!*t}zp#H2<;ueZ!J`WE^#}HM(ZGT9_S=!m~(Y7K}$Dt8`fR#S&*V zr(YM4jNO~Ef7ue-`Ue4Amo1ZJC8&hWQ<|G|TXfftJzQ|$Z@B4Qn1YIrR56$P5kXGT zw`oRsg44k#z}yjF^Wc8TV8{OwaVr#6powf(qezU^2qn0*P%0(c2V{n8V~G4_3mbP7 zKt(A#q!FUTmpbagjJ_CU0zy^`C$!;+ zTTP=%oWSd%Dui1_RV@+ID5N&kQbj)q}ZAMzzs3s3<7))f=DA?0xf5Rtal7_u_g7k28XI*rHq$EkYjw z=&N8FtC^u^AN{towf&6=HY#mYr3Zuo%kTYoBr zl4lln)oZ+K!;?JRHf5EbXXr`Hv%uz=*{00By_%0Co0OEU?QJqjmBz}4sfY6X|9fR= zaA--TK20y7|7EU~rq#QOYE&x~(Ai~-s;5v#jo_wfR28L^HDK1mHiI=@A)>t-st^t`^gsD~(MtXyi|jm@z|(o|Z`B0HJQ|fVVt)k6SJfv0;^|HRIO`br%!DU% z+CHWehS+?)Z-2&&D6UJ^_Vq+wOR__YBY07AeH4}}t zRUMUX%C~UGAEq=0XxCNN=4qyM@sPm9iF0uu>nix8xXzIQggxnIEIyI{yE;K8BIy!@) z`8AUO7&b1vk1!>^m(W+wc*lk}a?Pc?@~wHdkz@*oI)Jta6IQBwg46E3|9 zZrk0SDu4#OV#`P&AcWS-lWc1D_>+inAc#d70F4Q=ycUlLrjcSSSpUE=hL4}2$tlob z@k@}vi&CGQi6kW9ok}*(D1}u^k;0%rf<_KfAcRc)OEAF@E`kkK*~zfj2rktixTHTA zbhX4WVISn;*0BM|gUK)lU*dviw^8UGWEA_w4IaO)Nh)bJyc}o@DivVI|5%m*Xi(#9 zx)s(yNTU&!j%3Nt7VsPk_k7HS!BJADe2N~H8CX{jloc7ZgoHNd!J-dDI*f?TAN?x6 z$_vO=IDjbku-he&$m)nSR_9?jBAos!zwl?@h7Kd#d_8HFN(Amj1K?U^+#pK@9xJ1w zePR0OlCOjj2LFws`;6mjHmeR9Q0G*u;El(dzH8I$qQg;|q}NBZYy!c%C2o2=7a=sN zt?>zO-4j=EkIq*UqcXw9mc{K-;2Cq*&i3mlgfiqMs$2q`r(th!Aq9yX=gg`bmk7j~ zathYb7BSPeQrcDQlfvhlJ|A9p{CnFZ1cIoX9cWy+UAe;#{+ggNXieS>HclM9$*{Q? z9wwI*@s|?xOAZ-q=A>N6efTadTd39Ai*l*FyBd=%xt2v_oe0Pt|NaX%sWn&;+v6lk z!SV=E{5ca@P?7+(%@opP^34d3ck%!VuR-7T41I$7N7K~>9kJI`+$cKf5u)etbW48A z0#o0tw}Q}*#=@)qYF33+kNzTDN&-*cg3OnS5@|>A`dI_qY_kRL@!Cr`OH`||vw|n% zYVP5=32phV1*dJ%xl-wJyf|RtP8Hhxj^u*{;L!uw{z1AMC+lrqIT#jq5 zP=%Z{R8yP>(bKOZ7#Yn-z0b(;h1r__3x9rVu*s<4$Ev55x5@uj$cm#u*|K5?NZBf! zIWj*qq!{xZKX&xFQ4zj4%)}-gBV5~4lDM@6Mk8u&lM4d9f=98dv4-ovmY_lVbbKW7 zMaI1-Kth%Y5^rlt^!MudcKqjKQ(_Xx^ru4dc#X5BMyjO6nzjb5Y8I;bgcrU>`j0XY z?IJfNk>}aO1hP)4(pv2H(9O})1nh{AztT!X46^EkQygv|MiW|r7IfU8bgs2m98pKO z7<3U~MO{ys59xIRJwO1k+qw7zr*kE5c{`OkU(2)2O-1KsK(X|GM@2{dI>%K zjWgK`PMcI7nzVb(ZB5ixI_Joqyi--V@>Rv>;xPkun4gq9H=9*}^w3<}N8*yZJz8l| z3qg`|Ezb7&Qrw-yEjLv;Q@$-*nFd)sM?Vs5{Ej;iS*FS!w7Kg$FPdYV(7f7`RI9OZ zEJ81l+L;uwQE=)TUi~9;3!n8|F4Ut?t-<(DVsMFl95}xv<Jftg6V~hcFEhON`aG|Q- z$P+mB{nIfeKLGcFuQ?G(r0hBWo?SGfN={QwCfOV#$0u&O$e=#>rZq*8E@d;SGdU4k zcr_F7ONmdadx(i$XBc=Zp=NZ!yU%x6y2d3+bMUC*&}de@t#hSrk=KOxn44D!!V?}W znV9bzTLPpPtJOxK9NlpZsgLWZz0m&!SAYBb-sS9(wqnmx#Jj zWslQSwoZ1`G+I5whB$b(wvAhjM&upQyT6I09BC-bNL^o}(;N%dF0(!aemgB8L1b$y zNkpP=YAf>?^Z8=|Soz!bfg?mu(;9q9G{)ZKRYm zPIG}6BBUNcePmRerbtx^%U|OrbI@(O?pQn@TvsH@u{p!i29z6H-1(stvMv^&KE{ZD{WKo6)+ zLGIY#PS$Ue;OHBr%h|j#7TonA8*dU3^OuP7vlA;9`Q*5(b{5}H^DnvOzn^CPW85O5 z8rSoe5)2;y?lEmwS!^Am8FRVV?1#_!Z=OYnr&Th{q%@3NX!A7TMKLtqIkCi#5NhTU zYlrvil~!x!8g5#nA|yeVuDUTys^!iw@`F_7wT~73#J>y4`K_e=EIWoO8o6Rh7!T=> z-u3cygpMv_kuWkUYhZSixoFxZy=`hidx))1OeL2Bbt1G42uqoc6q=!gAFvAzsU4=;m_~P!1d&8 z6tJRQ`4`2WVmn}Ps3e-qhF@gPoHRkCJ-|cunsOKcy>%h9MUfkI-ZbIxq-W!ho-A|+ zRJW`q7k*|{Pgs;sX@%GA9J901No2qxi zL-Qa1J#}OZOi9VVmB!He;pSR!WnB+5^OQXVJN2Z_GRm&W=C`09PT%v@F&E6~FgMS_ zf~{n_iDyZ5$%uRBduoi5aX~8KP{oCk;QnG(gL|kD{_KQg8XfIS@l$T>KB&8TKvmG{ zOp?%Y;U=A4?9KQpW!-Mv_j(lJKlw9UU7~~WMznFtknrH*JL@ zUZGMIZkX_~zZ4^=XGtlXaIw6t?9I{zOAge4J#HDps>4op_@RU}%m2&YKhP1Qtvu`$ zSU#xokxSB*7d79rvyc-cxlCA874A>&H*29PG-^~AHmCbb{r8iu>)AJ}~CbFq!+t10pLth+1rKr!F!X^dr=>n_$-RDYpNTujsU*wDL-zJV6e`nz}I2*Ii zH9Cua0qznjEST^Czsl!gn7+w_wC1Te+YAwJXc+?7UJ5Q2Y>yA;Pl#4 zUUtK8acxGw%x^-y=*Wxbs=_W3W*m6l)G<1!gW4q1{nYw~n+|?aI;x$Ets~+@x8Htq zOaoi)UXlgugh@}UvtQ)b7y04@y1ib-6#ka|`4i~fR@jbk{jSj+6YLh?H=Z!95d>d& zM_-nOjj8?OSX;v4HH2=a_Ti#pR3mSv%S1t`%D*+{QkhVJ_Z~FbFrKs*A=0GWz z{aPej+~ShM;0|7`Dn^HBxxxLavDWnV9(VBTfDx7bBFyl?vA$GTw{w5ZMYDbD7>A$w zfc7^o3g}0c`o+`H@X3FOYSF=e568w9)#+bfA^MO}UY>vSk;Mu0U)cnDdH%y&`_^!S z@Wjvcw`V>0=I=2a!d_rOLTj9{FZ@NHx=n4}xFmIE@gNA3l)mG|#hA*@mJW%%^TN(3 zx`jA|W!Z@Y(_UHvg&*b~kA9gx=CWLP_tt)q)&HHbeWR?896LPs16Ui|@DjU#wJ%)j zw~XEwqWYf8;SEc$?rTJb&BdqKw{2M(>|k zJ^IkS{yEBCD{u}xb^7@yqv!CU2QM#JO!g3^HRyp8ZH;xjHAD{~8?SaZoc0i9`4Mn8DL{ZMaox%QB07o+>HA6VS!(rKqlUltYBftTmM=bk(5(3^J`OrJm39b{)H zox$%6)g{F9LKN%!+5ez4km7GO<;rukdXX*s+FSc`d{v2t`$5((lMz$28qq2fi6mQ{ zTHf$Q)*fmJzy(_E$U``Mbx+aT7Ek!qpZA2UEpUcuZD9#4w_T8V=yQ#`5)WEc-T$sw zQ0%a>16_Iru&vp4SGobT1?~{I*adZ9P*ZS{W1}7C9XhqzaC&u93Jdm716ZNhD~k|T zwpwCm&}U^W4Y(>FlT-t^#G?|yI1RfLwT9Uu_sPzcig=a=r>OdZU$vWQmUWXSS0~4} ztl*;WmriY6p}a5Ucot6>pESTbytfm`?e^34i6VEQyN8Vd;o|R+fmtH)#_Qzx@rBKU zvlDlW<4sr)++NkwG9Q?msE>bYc-`FGrkSae1}>}Bs%P7iw$sJ8{N&$EaJ6HJ%K(Q4 zH*<@9Y^<47O2t`TITXz)BceH_Q{pkOq`w{9;mKB@_kE0)B?&8!*ZH`cc5OitJ_Ui# zx9;vW!`0&h!5GnJ2Z%f4ec>9ZZ<>+k+^m=`_#IEitv!NU@Hbi87K|i{*kcD7Ma%sz b5|+!BHlA6I^)_#8?Kpvb$0z0w^v(Q#RR4|| diff --git a/Arcade_MiST/Custom Hardware/Berzerk_MiST/rtl/berzerk.vhd b/Arcade_MiST/Custom Hardware/Berzerk_MiST/rtl/berzerk.vhd index c31c9b42..52134350 100644 --- a/Arcade_MiST/Custom Hardware/Berzerk_MiST/rtl/berzerk.vhd +++ b/Arcade_MiST/Custom Hardware/Berzerk_MiST/rtl/berzerk.vhd @@ -51,7 +51,7 @@ -- -- Sound effects uses a ptm6840 timer (3 channel) + noise generator and volume control -- --- TODO : speech synthesis. + -- ----------------------------------------------------------------------------------------------- -- Problème rencontré : cpu_int acquitée par iorq durant le cylce de capture du vecteur @@ -74,7 +74,7 @@ port( clock_10 : in std_logic; reset : in std_logic; tv15Khz_mode : in std_logic; - + video_r : out std_logic; video_g : out std_logic; video_b : out std_logic; @@ -102,7 +102,8 @@ port( up2 : in std_logic; fire2 : in std_logic; - ledr : out std_logic_vector(9 downto 0); + sw : in std_logic_vector(9 downto 0); + ledr : out std_logic_vector(9 downto 0) := "0000000000"; dbg_cpu_di : out std_logic_vector( 7 downto 0); dbg_cpu_addr : out std_logic_vector(15 downto 0); dbg_cpu_addr_latch : out std_logic_vector(15 downto 0) @@ -198,8 +199,15 @@ signal video_s : std_logic_vector (3 downto 0); signal hsync_o : std_logic; signal vsync_o : std_logic; +signal sound_out : std_logic_vector(11 downto 0); +signal speech_out : std_logic_vector(11 downto 0); +signal speech_busy : std_logic; + begin +audio_out <= ("00"&speech_out&"00")+('0'&sound_out&"000"); + + clock_10n <= not clock_10; reset_n <= not reset; @@ -258,19 +266,7 @@ begin end if; end process; --- output -video_s <= video_i;--video_o when tv15Khz_mode = '0' else video_i; -video_r <= video_s(0); -video_g <= video_s(1); -video_b <= video_s(2); -video_hi <= video_s(3); - - -video_clk <= clock_10; -video_csync <= csync; -video_hs <= hsync;--hsync_o; -video_vs <= vsync;--vsync_o; ------------------ -- player controls @@ -379,10 +375,10 @@ with cpu_addr(15 downto 11) select x"FF" when others; -- I/O-2 mux -with cpu_addr(2 downto 0) select +with cpu_addr(2 downto 0) select cpu_di_io <= - X"BC" when "000", -- 60 (F3) (normal : BC, hatch test : BE, input test BD ) - X"FC" when "001", -- 61 (F2) (normal : FC, signature analisys FF) + X"3C" when "000", -- 60 (F3) (normal French: BC, normal Spain: FC, hatch test : BE, input test BD ) DIP F3 + X"FC" when "001", -- 61 (F2) (normal : FC, signature analisys FF) DIP F2 X"F0" when "010", -- 62 (F6) X"F0" when "011", -- 63 (F5) X"F0" when "100", -- 64 (F4) @@ -394,6 +390,7 @@ with cpu_addr(2 downto 0) select -- I/O-1 and final mux -- pull up on ZPU board cpu_di <= "111111" & cpu_int_n & '0' when cpu_iorq_n = '0' and cpu_m1_n = '0' -- interrupt vector + else '0'¬(speech_busy)&"000000" when io1_cs = '1' and cpu_addr(3 downto 0) = X"4" -- speech board else player1 when io1_cs = '1' and cpu_addr(3 downto 0) = X"8" -- P1 else system when io1_cs = '1' and cpu_addr(3 downto 0) = X"9" -- sys else player2 when io1_cs = '1' and cpu_addr(3 downto 0) = X"a" -- P2 @@ -522,6 +519,21 @@ port map( vsync_o => vsync_o ); + +--video_s <= video_i; +--video_hs <= hsync; +--video_vs <= vsync; +video_r <= video_s(0); +video_g <= video_s(1); +video_b <= video_s(2); +video_hi <= video_s(3); + +-- output +video_s <= video_o when tv15Khz_mode = '0' else video_i; +video_clk <= clock_10; +video_csync <= csync; +video_hs <= hsync_o when tv15Khz_mode = '0' else hsync; +video_vs <= vsync_o when tv15Khz_mode = '0' else vsync; -- Z80 Z80 : entity work.T80se generic map(Mode => 0, T2Write => 1, IOWait => 1) @@ -606,7 +618,21 @@ port map( cs => io1_cs, addr => cpu_addr(4 downto 0), di => cpu_do, - sample => audio_out + sample => sound_out +); + +-- speech synthesis (s14001a) +berzerk_speech : entity work.berzerk_speech +port map( + sw => sw, + clock => cpu_clock, + reset => reset, + cs => io1_cs, + wr_n => cpu_wr_n, + addr => cpu_addr(4 downto 0), + di => cpu_do, + busy => speech_busy, + sample => speech_out ); ------------------------------------------ end architecture; \ No newline at end of file diff --git a/Arcade_MiST/Custom Hardware/Berzerk_MiST/rtl/berzerk_mist.sv b/Arcade_MiST/Custom Hardware/Berzerk_MiST/rtl/berzerk_mist.sv index c90b999e..f1642ad4 100644 --- a/Arcade_MiST/Custom Hardware/Berzerk_MiST/rtl/berzerk_mist.sv +++ b/Arcade_MiST/Custom Hardware/Berzerk_MiST/rtl/berzerk_mist.sv @@ -62,7 +62,7 @@ wire m_coin = kbjoy[3]; berzerk berzerk( .clock_10(clk_10), .reset(status[0] | status[6] | buttons[1]), - .tv15Khz_mode(1'b1), + .tv15Khz_mode(scandoubler_disable), .video_r(r), .video_g(g), .video_b(b), @@ -106,7 +106,7 @@ assign AUDIO_R = AUDIO_L; wire hs, vs; wire r, g, b; -video_mixer #(.LINE_LENGTH(480), .HALF_DEPTH(1)) video_mixer +video_mixer #(.LINE_LENGTH(480), .HALF_DEPTH(0)) video_mixer ( .clk_sys(clk_40), .ce_pix(clk_10), @@ -124,7 +124,7 @@ video_mixer #(.LINE_LENGTH(480), .HALF_DEPTH(1)) video_mixer .VGA_B(VGA_B), .VGA_VS(VGA_VS), .VGA_HS(VGA_HS), - .scandoubler_disable(scandoubler_disable), + .scandoubler_disable(1'b1),//scandoubler_disable), .scanlines(scandoubler_disable ? 2'b00 : {status[4:3] == 3, status[4:3] == 2}), .hq2x(status[4:3]==1), .ypbpr_full(1), diff --git a/Arcade_MiST/Custom Hardware/Berzerk_MiST/rtl/berzerk_sound_fx.vhd b/Arcade_MiST/Custom Hardware/Berzerk_MiST/rtl/berzerk_sound_fx.vhd index 091f83b6..cff06980 100644 --- a/Arcade_MiST/Custom Hardware/Berzerk_MiST/rtl/berzerk_sound_fx.vhd +++ b/Arcade_MiST/Custom Hardware/Berzerk_MiST/rtl/berzerk_sound_fx.vhd @@ -13,7 +13,7 @@ port ( cs : in std_logic; addr : in std_logic_vector(4 downto 0); di : in std_logic_vector(7 downto 0); - sample : out std_logic_vector(15 downto 0) + sample : out std_logic_vector(11 downto 0) ); end berzerk_sound_fx; @@ -48,7 +48,7 @@ constant vol : vol_type := (X"01", X"02", X"04", X"08", X"10", X"20", X"40", X"8 signal snd1 : signed(8 downto 0); signal snd2 : signed(8 downto 0); signal snd3 : signed(8 downto 0); -signal snd : std_logic_vector(11 downto 0); +--signal snd : std_logic_vector(11 downto 0); signal ptm6840_q1_r : std_logic; signal ena_q1_clock : std_logic; @@ -59,8 +59,7 @@ signal ena_external_clock : std_logic; begin -snd <= std_logic_vector(snd1+snd2+snd3) + X"7FF"; -sample <= '0' & snd & "000"; +sample <= std_logic_vector(snd1+snd2+snd3) + X"7FF"; -- make enable signal to replace misc clocks process(clock) diff --git a/Arcade_MiST/Custom Hardware/Berzerk_MiST/rtl/berzerk_speech.vhd b/Arcade_MiST/Custom Hardware/Berzerk_MiST/rtl/berzerk_speech.vhd index 62cc0f15..b8f277b7 100644 --- a/Arcade_MiST/Custom Hardware/Berzerk_MiST/rtl/berzerk_speech.vhd +++ b/Arcade_MiST/Custom Hardware/Berzerk_MiST/rtl/berzerk_speech.vhd @@ -1,278 +1,441 @@ +--------------------------------------------------------------------------------- +-- Berzerk speech by Dar - July 2018 +--------------------------------------------------------------------------------- +-- s14001a speech synthesis based on Mame source code : TSI S14001A emulator v1.32 +-- +-- By Jonathan Gevaryahu ("Lord Nightmare") with help from Kevin Horton ("kevtris") +-- MAME conversion and integration by R. Belmont +-- Clock Frequency control updated by Zsolt Vasvari +-- Other fixes by AtariAce +-- +-- Copyright (C) 2006-2013 Jonathan Gevaryahu aka Lord Nightmare +-- +-- +-- VHDL conversion by Dar +-- +--------------------------------------------------------------------------------- +-- S14001a principle +-- +-- Command + start select a word to be played +-- One word is a list of first phoneme address called syllables +-- Each phoneme is composed of an LPC data first bloc address and a phoneme parameter +-- Phoneme parameter gives the mode (mirror/not mirror), silent, last_phoneme, +-- repeat and length of begining counters values. +-- +-- Sound is LPC data encoded by bloc of 32 samples (8 bytes and 4 delta value/byte) +-- +-- In non mirror mode blocs of LPC data are read consecutively from first to +-- first+N. with N = (8-repeat) * (16-length) +-- +-- In mirror mode blocs of LPC data are read once forward and once backward +-- repeatedly (8-repeat) times then next bloc is read. Change to next syllable +-- after (16-length)/2 blocs have been read. +-- +-- Output is set to silent (value 7) under some circumstances (third and fourth +-- quarter in mirror mode or for one sample after changing read direction). +-- +-- Silence can modify output value (in the loop) or not (silence modify +-- output_sil but not output) +-- +-- +--------------------------------------------------------------------------------- library ieee; -use ieee.std_logic_1164.all,ieee.numeric_std.all; +use ieee.std_logic_1164.all; +use ieee.std_logic_unsigned.all; +use ieee.numeric_std.all; entity berzerk_speech is -port ( - clk : in std_logic; - addr : in std_logic_vector(11 downto 0); - data : out std_logic_vector(7 downto 0) -); -end entity; +port ( + +sw : in std_logic_vector(9 downto 0); + + clock : in std_logic; + reset : in std_logic; + cs : in std_logic; + wr_n : in std_logic; + addr : in std_logic_vector(4 downto 0); + di : in std_logic_vector(7 downto 0); + busy : out std_logic; + sample : out std_logic_vector(11 downto 0) +); +end berzerk_speech; + +architecture struct of berzerk_speech is + +signal hdiv1 : std_logic_vector(3 downto 0); +signal hdiv2 : std_logic_vector(3 downto 0); + +signal ena_hdiv2 : std_logic; + +signal ctrl_hdiv1 : std_logic_vector(2 downto 0); +signal ctrl_volume : std_logic_vector(2 downto 0); +signal ctrl_s14001_cmd : std_logic_vector(5 downto 0); +signal busy_in : std_logic; + +type vol_type is array(0 to 7) of integer range 0 to 255 ; +constant vol : vol_type := (0, 32, 46, 64, 89, 126, 180, 255); -- resistor ladder + + +signal rom_addr : std_logic_vector(11 downto 0); +signal rom_do : std_logic_vector( 7 downto 0); + +type state_t is (waiting_start, reading, next_syllable); +signal state : state_t; + +signal syllable_addr : std_logic_vector(11 downto 0); +signal phoneme_addr : std_logic_vector(11 downto 0); +signal phoneme_offset : std_logic_vector(11 downto 0); +signal phoneme_param : std_logic_vector( 7 downto 0); + +alias last_phoneme : std_logic is phoneme_param(7); +alias mirror : std_logic is phoneme_param(6); +alias silence : std_logic is phoneme_param(5); + +signal phoneme_length : std_logic_vector(3 downto 0); +signal phoneme_repeat : std_logic_vector(2 downto 0); +signal length_counter : std_logic_vector(4 downto 0); +signal repeat_counter : std_logic_vector(3 downto 0); +signal output_counter : std_logic_vector(2 downto 0); + +signal phoneme_start : std_logic; +signal read_direction : std_logic; +signal last_offset : std_logic; + +signal output : signed(4 downto 0); -- actually unsigned between 0 and F, silence = 7 +signal output_sil : signed(4 downto 0); -- actually unsigned between 0 and F, silence = 7 +signal start_speech : std_logic; + +signal old_delta : std_logic_vector (1 downto 0); +signal cur_delta : std_logic_vector (1 downto 0); + +type delta_table_row_t is array(0 to 3,0 to 3) of signed(2 downto 0); +constant delta_table : delta_table_row_t := ( + ("101", "101", "111", "111"), + ("111", "111", "000", "000"), + ("000", "000", "001", "001"), + ("001", "001", "011", "011")); -architecture prom of berzerk_speech is - type rom is array(0 to 4095) of std_logic_vector(7 downto 0); - signal rom_data: rom := ( - X"03",X"A0",X"04",X"40",X"04",X"E0",X"05",X"E0",X"06",X"E0",X"07",X"80",X"08",X"40",X"08",X"C0", - X"09",X"20",X"09",X"A0",X"0A",X"E0",X"0B",X"40",X"0B",X"80",X"0B",X"E0",X"0C",X"60",X"0C",X"A0", - X"0D",X"80",X"0E",X"60",X"0F",X"80",X"10",X"80",X"10",X"C0",X"11",X"E0",X"12",X"C0",X"13",X"60", - X"13",X"C0",X"14",X"C0",X"15",X"60",X"15",X"E0",X"16",X"00",X"17",X"1D",X"17",X"1D",X"1D",X"49", - X"1D",X"6E",X"20",X"9F",X"22",X"1F",X"22",X"1F",X"17",X"1E",X"24",X"41",X"28",X"D9",X"29",X"59", - X"29",X"78",X"2D",X"1F",X"2D",X"1F",X"2D",X"7F",X"2A",X"49",X"2A",X"78",X"22",X"9F",X"2D",X"1F", - X"2D",X"1F",X"2F",X"1C",X"37",X"41",X"37",X"7C",X"2D",X"1F",X"3B",X"5B",X"2F",X"9C",X"22",X"1F", - X"3C",X"51",X"3E",X"51",X"3E",X"6E",X"2D",X"9F",X"2F",X"1D",X"2F",X"1E",X"2F",X"1D",X"40",X"50", - X"40",X"6E",X"2D",X"9F",X"22",X"1F",X"42",X"49",X"42",X"78",X"2D",X"9F",X"45",X"41",X"49",X"1C", - X"49",X"9C",X"51",X"59",X"52",X"41",X"52",X"78",X"2D",X"9F",X"2D",X"1F",X"56",X"52",X"56",X"79", - X"2D",X"1F",X"58",X"51",X"58",X"78",X"2D",X"1F",X"5A",X"51",X"5A",X"78",X"2D",X"9F",X"5C",X"1E", - X"5C",X"1E",X"60",X"C1",X"64",X"41",X"68",X"D9",X"69",X"50",X"69",X"6E",X"2D",X"9F",X"5C",X"1E", - X"5C",X"1E",X"6B",X"5A",X"6C",X"C1",X"70",X"41",X"74",X"D1",X"17",X"1D",X"17",X"1D",X"76",X"41", - X"7A",X"41",X"7E",X"59",X"7E",X"7C",X"2D",X"9F",X"22",X"1F",X"22",X"1F",X"22",X"7E",X"7F",X"41", - X"83",X"58",X"49",X"1D",X"49",X"9D",X"20",X"1F",X"17",X"1E",X"84",X"51",X"84",X"78",X"22",X"1F", - X"22",X"7F",X"86",X"58",X"86",X"78",X"2D",X"9F",X"87",X"49",X"87",X"7C",X"2D",X"1F",X"2D",X"1F", - X"2D",X"7E",X"8F",X"5B",X"8A",X"5A",X"8B",X"C1",X"96",X"51",X"98",X"D0",X"9A",X"58",X"49",X"1D", - X"49",X"1D",X"49",X"7C",X"22",X"1F",X"17",X"1E",X"9B",X"49",X"9B",X"79",X"20",X"9F",X"2D",X"1F", - X"9E",X"52",X"49",X"1D",X"49",X"1D",X"49",X"79",X"A0",X"41",X"A4",X"D9",X"A5",X"41",X"49",X"1D", - X"49",X"1D",X"49",X"78",X"2D",X"9F",X"A9",X"49",X"A9",X"78",X"2D",X"9F",X"2D",X"1F",X"2D",X"1F", - X"2F",X"1C",X"AC",X"58",X"AC",X"79",X"22",X"1F",X"22",X"7F",X"AD",X"C9",X"B0",X"1D",X"B0",X"1D", - X"B6",X"49",X"B6",X"78",X"2D",X"9F",X"B9",X"41",X"B9",X"78",X"22",X"1F",X"17",X"9F",X"BD",X"C1", - X"8F",X"41",X"8F",X"7C",X"20",X"1F",X"93",X"49",X"93",X"78",X"2D",X"9F",X"FF",X"FF",X"FF",X"FF", - X"75",X"A3",X"28",X"C9",X"D7",X"59",X"D9",X"67",X"5D",X"69",X"75",X"D8",X"C7",X"97",X"5A",X"29", - X"89",X"A5",X"A5",X"A6",X"26",X"97",X"5A",X"89",X"67",X"65",X"9D",X"96",X"69",X"89",X"A5",X"A2", - X"67",X"5D",X"76",X"28",X"9E",X"22",X"98",X"CA",X"63",X"27",X"28",X"9A",X"65",X"A5",X"9A",X"66", - X"63",X"28",X"9D",X"99",X"66",X"96",X"76",X"5A",X"27",X"59",X"D9",X"66",X"78",X"89",X"D6",X"75", - X"96",X"98",X"9A",X"5D",X"8A",X"71",X"78",X"D8",X"CA",X"59",X"D9",X"69",X"98",X"99",X"D6",X"76", - X"27",X"5D",X"8A",X"65",X"99",X"9D",X"6A",X"19",X"D8",X"D5",X"E6",X"5A",X"5D",X"69",X"75",X"99", - X"67",X"62",X"77",X"1A",X"94",X"7A",X"98",X"7F",X"C0",X"FC",X"6D",X"0F",X"80",X"AE",X"61",X"AF", - X"A8",X"26",X"2F",X"85",X"46",X"F0",X"3D",X"7F",X"1A",X"A7",X"85",X"D6",X"B4",X"64",X"3F",X"6F", - X"67",X"66",X"62",X"A5",X"A5",X"26",X"2B",X"9F",X"A6",X"62",X"66",X"65",X"98",X"69",X"7A",X"9E", - X"99",X"72",X"63",X"69",X"99",X"99",X"99",X"97",X"72",X"5A",X"66",X"59",X"99",X"98",X"A6",X"65", - X"E2",X"97",X"66",X"5D",X"99",X"69",X"99",X"99",X"8A",X"66",X"59",X"99",X"9D",X"75",X"99",X"98", - X"8D",X"72",X"66",X"76",X"27",X"5D",X"97",X"5C",X"9D",X"75",X"99",X"E2",X"62",X"73",X"65",X"A2", - X"8A",X"27",X"29",X"89",X"9A",X"5D",X"66",X"6A",X"25",X"9D",X"99",X"62",X"79",X"99",X"66",X"99", - X"98",X"A6",X"26",X"5D",X"67",X"86",X"B5",X"3F",X"D4",X"F4",X"2F",X"03",X"E5",X"67",X"B1",X"2F", - X"99",X"79",X"A5",X"1F",X"42",X"A7",X"B5",X"3F",X"2A",X"8A",X"5A",X"96",X"42",X"F4",X"3C",X"EF", - X"78",X"2D",X"6F",X"43",X"82",X"E0",X"2F",X"3F",X"1E",X"2B",X"57",X"82",X"E0",X"E4",X"2F",X"6F", - X"2A",X"67",X"59",X"89",X"E1",X"95",X"6E",X"6B",X"9A",X"65",X"99",X"99",X"98",X"59",X"AA",X"9E", - X"99",X"97",X"62",X"98",X"98",X"69",X"AA",X"9E",X"99",X"98",X"99",X"99",X"96",X"29",X"AA",X"9E", - X"98",X"9E",X"59",X"8A",X"81",X"F8",X"78",X"2F",X"99",X"99",X"96",X"57",X"95",X"A9",X"E8",X"6E", - X"66",X"6A",X"65",X"6A",X"94",X"5E",X"A1",X"7F",X"D0",X"B8",X"AD",X"0F",X"D0",X"69",X"A8",X"7F", - X"D0",X"B8",X"6E",X"0B",X"C0",X"A7",X"94",X"BF",X"D1",X"D5",X"F5",X"2F",X"52",X"82",X"F4",X"3F", - X"E0",X"5A",X"B4",X"2F",X"81",X"E0",X"BC",X"2F",X"A5",X"1F",X"D4",X"2F",X"81",X"C5",X"F8",X"3F", - X"8A",X"63",X"66",X"87",X"63",X"5A",X"59",X"CA",X"5A",X"5A",X"29",X"69",X"8A",X"29",X"5E",X"29", - X"68",X"A5",X"A5",X"A6",X"66",X"66",X"66",X"66",X"62",X"66",X"63",X"67",X"26",X"69",X"96",X"89", - X"96",X"99",X"73",X"5A",X"63",X"5C",X"CC",X"CA",X"62",X"96",X"73",X"32",X"8C",X"D6",X"98",X"A6", - X"29",X"8A",X"32",X"8C",X"A5",X"A5",X"CA",X"5C",X"A3",X"35",X"A2",X"8C",X"D6",X"76",X"27",X"5C", - X"89",X"D6",X"75",X"A5",X"CC",X"A3",X"27",X"32",X"98",X"A6",X"28",X"CA",X"5A",X"35",X"9C",X"CD", - X"73",X"35",X"A6",X"29",X"8A",X"65",X"CD",X"73",X"26",X"96",X"98",X"9D",X"89",X"D8",X"A3",X"27", - X"8A",X"35",X"A5",X"A5",X"9C",X"D7",X"35",X"CD",X"69",X"67",X"62",X"97",X"32",X"97",X"5C",X"CA", - X"33",X"5D",X"72",X"8C",X"9D",X"73",X"5A",X"35",X"A5",X"CC",X"D7",X"33",X"35",X"D6",X"8D",X"69", - X"96",X"69",X"73",X"5C",X"D8",X"A5",X"CC",X"CC",X"A3",X"5A",X"33",X"32",X"8C",X"D8",X"9C",X"CD", - X"73",X"59",X"D7",X"32",X"8C",X"CC",X"A5",X"9C",X"CA",X"33",X"33",X"28",X"CA",X"5C",X"D7",X"35", - X"86",X"A9",X"57",X"98",X"56",X"7A",X"87",X"BE",X"A0",X"6E",X"8B",X"90",X"6A",X"62",X"71",X"BF", - X"A0",X"3F",X"5B",X"90",X"6A",X"95",X"99",X"AF",X"61",X"AA",X"69",X"E0",X"2F",X"43",X"96",X"BE", - X"88",X"9E",X"2B",X"80",X"A9",X"6A",X"81",X"FF",X"1F",X"55",X"AB",X"90",X"6A",X"5A",X"85",X"BF", - X"2A",X"D4",X"7A",X"26",X"55",X"7B",X"80",X"FF",X"96",X"A5",X"95",X"79",X"D5",X"3F",X"80",X"BF", - X"76",X"62",X"36",X"29",X"99",X"A9",X"66",X"3A",X"99",X"66",X"59",X"9D",X"99",X"A6",X"66",X"76", - X"99",X"A1",X"A8",X"6A",X"47",X"D1",X"F5",X"2F",X"66",X"6A",X"95",X"6A",X"52",X"72",X"F4",X"3F", - X"5A",X"95",X"E6",X"7A",X"02",X"F0",X"68",X"BF",X"98",X"D4",X"BC",X"6D",X"0B",X"C0",X"79",X"FF", - X"D4",X"95",X"FD",X"5D",X"0F",X"C0",X"A8",X"BF",X"C1",X"F0",X"BC",X"1F",X"47",X"D0",X"79",X"BF", - X"A1",X"69",X"9E",X"1A",X"86",X"D4",X"3D",X"6F",X"A1",X"9C",X"7A",X"57",X"56",X"E0",X"79",X"6F", - X"C5",X"A7",X"80",X"FD",X"0B",X"92",X"F4",X"3F",X"99",X"96",X"66",X"29",X"5A",X"A5",X"A8",X"6F", - X"98",X"A5",X"98",X"5E",X"67",X"A1",X"A9",X"7A",X"95",X"E1",X"78",X"67",X"99",X"E1",X"A9",X"9E", - X"6A",X"1A",X"87",X"56",X"D1",X"F4",X"AD",X"1F",X"76",X"1E",X"1A",X"63",X"5A",X"95",X"F5",X"2F", - X"C2",X"F4",X"2E",X"07",X"96",X"86",X"F4",X"3F",X"5B",X"81",X"FC",X"0F",X"47",X"93",X"F4",X"3F", - X"86",X"96",X"B8",X"0F",X"C0",X"B8",X"7C",X"2F",X"C0",X"FD",X"65",X"3F",X"03",X"A0",X"F8",X"3F", - X"96",X"B0",X"2F",X"02",X"E6",X"1A",X"E8",X"2F",X"D4",X"F4",X"2F",X"03",X"D5",X"D9",X"F8",X"2F", - X"97",X"94",X"BC",X"0B",X"D0",X"F4",X"BC",X"2B",X"C4",X"F8",X"3D",X"0F",X"C0",X"B8",X"A8",X"3F", - X"C4",X"BD",X"1A",X"17",X"D0",X"FC",X"3C",X"2F",X"A4",X"AD",X"1B",X"47",X"C1",X"F8",X"78",X"2F", - X"89",X"D9",X"57",X"96",X"A0",X"F8",X"6D",X"2F",X"66",X"66",X"57",X"96",X"95",X"F8",X"69",X"3F", - X"97",X"5A",X"5D",X"75",X"D8",X"D7",X"5C",X"A3",X"35",X"CA",X"33",X"28",X"CA",X"35",X"CA",X"33", - X"5A",X"28",X"9C",X"A5",X"D6",X"97",X"32",X"8D",X"72",X"8A",X"35",X"D6",X"96",X"8A",X"28",X"A5", - X"72",X"97",X"5C",X"A3",X"32",X"8C",X"A5",X"A2",X"8C",X"A3",X"28",X"A2",X"8D",X"73",X"35",X"CA", - X"5A",X"29",X"75",X"A2",X"97",X"28",X"CD",X"73",X"28",X"A3",X"33",X"5D",X"75",X"A5",X"D7",X"5C", - X"69",X"68",X"CC",X"A3",X"35",X"D6",X"96",X"8A",X"35",X"CA",X"27",X"28",X"D6",X"97",X"5C",X"CA", - X"29",X"75",X"D7",X"33",X"5C",X"CA",X"28",X"CA",X"33",X"28",X"D7",X"5C",X"A5",X"D7",X"5D",X"73", - X"8D",X"75",X"CA",X"32",X"8A",X"5D",X"75",X"D6",X"97",X"5A",X"29",X"73",X"28",X"A2",X"8A",X"5A", - X"28",X"D7",X"33",X"5A",X"5D",X"75",X"D6",X"8A",X"5D",X"68",X"A2",X"8A",X"5D",X"73",X"35",X"D7", - X"65",X"5F",X"9E",X"81",X"95",X"F4",X"6A",X"7E",X"95",X"AA",X"9A",X"50",X"F5",X"74",X"3F",X"7F", - X"9A",X"9E",X"54",X"56",X"A9",X"A5",X"2B",X"7B",X"66",X"99",X"86",X"62",X"A8",X"A5",X"6A",X"AA", - X"99",X"5E",X"57",X"56",X"A8",X"A9",X"2B",X"1F",X"75",X"F4",X"3F",X"0D",X"43",X"F4",X"79",X"7F", - X"3A",X"1F",X"12",X"F0",X"0B",X"D7",X"90",X"FF",X"67",X"E0",X"3E",X"55",X"61",X"7F",X"80",X"BF", - X"5F",X"85",X"66",X"89",X"91",X"EF",X"50",X"BF",X"99",X"99",X"98",X"67",X"95",X"6B",X"94",X"7F", - X"A1",X"79",X"5A",X"5A",X"86",X"D4",X"F9",X"2B",X"69",X"5E",X"57",X"66",X"86",X"D4",X"BC",X"2B", - X"69",X"5A",X"96",X"67",X"56",X"D4",X"BC",X"2B",X"69",X"5A",X"95",X"DA",X"56",X"D4",X"BC",X"2B", - X"69",X"99",X"76",X"56",X"98",X"9A",X"A1",X"AF",X"D0",X"F8",X"7D",X"0B",X"C0",X"7A",X"E0",X"7F", - X"C1",X"B5",X"B5",X"2F",X"40",X"B8",X"7D",X"2F",X"2B",X"12",X"F0",X"3F",X"05",X"DA",X"C4",X"BE", - X"A5",X"96",X"66",X"1E",X"85",X"E9",X"A8",X"2F",X"E4",X"78",X"6C",X"0B",X"D0",X"BC",X"79",X"2F", - X"D4",X"B8",X"3D",X"0B",X"C0",X"F8",X"A8",X"3F",X"B4",X"7D",X"0F",X"0A",X"C0",X"FC",X"79",X"2F", - X"8C",X"CD",X"75",X"A3",X"5C",X"D7",X"28",X"CD",X"73",X"5C",X"CA",X"33",X"28",X"D7",X"28",X"CC", - X"A3",X"32",X"8C",X"A3",X"33",X"28",X"CC",X"CD",X"73",X"35",X"CC",X"A3",X"33",X"28",X"C9",X"A3", - X"8C",X"CD",X"73",X"5C",X"A3",X"35",X"CC",X"CA",X"27",X"5C",X"D7",X"32",X"75",X"A3",X"28",X"CC", - X"CD",X"73",X"32",X"8A",X"26",X"8C",X"A3",X"5C",X"A3",X"5C",X"A5",X"CD",X"8D",X"73",X"28",X"D7", - X"99",X"A2",X"76",X"16",X"57",X"A5",X"A9",X"AF",X"88",X"99",X"FD",X"46",X"07",X"E0",X"7E",X"6F", - X"66",X"17",X"FC",X"18",X"47",X"E4",X"7D",X"7F",X"91",X"A7",X"BD",X"18",X"4E",X"D0",X"BC",X"6F", - X"89",X"86",X"F9",X"1E",X"07",X"D0",X"A9",X"FF",X"85",X"D7",X"BC",X"28",X"0F",X"C0",X"B9",X"7F", - X"86",X"57",X"F9",X"28",X"0F",X"D0",X"AC",X"7F",X"66",X"5A",X"AA",X"55",X"5A",X"94",X"5E",X"FE", - X"A1",X"6D",X"4A",X"D0",X"F8",X"78",X"AD",X"1F",X"75",X"7D",X"0B",X"C0",X"F8",X"79",X"7D",X"1F", - X"66",X"A4",X"7E",X"03",X"F0",X"3D",X"B8",X"2F",X"38",X"5B",X"A8",X"0B",X"D0",X"7E",X"78",X"3F", - X"35",X"7E",X"07",X"97",X"C0",X"BA",X"A0",X"BF",X"38",X"69",X"69",X"8A",X"57",X"E0",X"BC",X"2B", - X"75",X"69",X"69",X"8A",X"57",X"E0",X"BC",X"2B",X"75",X"69",X"69",X"8A",X"57",X"E0",X"BC",X"2B", - X"66",X"29",X"69",X"8A",X"57",X"E0",X"BC",X"2B",X"65",X"AA",X"12",X"B9",X"81",X"F8",X"78",X"7F", - X"6A",X"61",X"9D",X"85",X"E8",X"89",X"F5",X"2F",X"79",X"66",X"3A",X"07",X"D1",X"E2",X"B4",X"3F", - X"A0",X"F8",X"3D",X"0F",X"C0",X"B8",X"A8",X"2F",X"A4",X"AD",X"1F",X"0A",X"C0",X"F8",X"A8",X"2F", - X"76",X"29",X"5A",X"99",X"56",X"B5",X"68",X"7F",X"A1",X"AA",X"19",X"5A",X"94",X"AA",X"78",X"6F", - X"B0",X"6A",X"79",X"0B",X"C0",X"BC",X"3D",X"2F",X"2B",X"51",X"FC",X"0B",X"C0",X"F4",X"B8",X"2F", - X"2E",X"0E",X"D0",X"F4",X"7D",X"0F",X"E0",X"3F",X"C4",X"AF",X"02",X"F0",X"78",X"1F",X"D4",X"7F", - X"56",X"F5",X"27",X"95",X"A4",X"2F",X"C0",X"BF",X"1F",X"95",X"67",X"67",X"80",X"7F",X"90",X"BF", - X"3D",X"55",X"E7",X"66",X"50",X"BF",X"41",X"BF",X"77",X"26",X"66",X"66",X"21",X"EA",X"51",X"FE", - X"66",X"66",X"66",X"59",X"99",X"9D",X"DE",X"76",X"65",X"D9",X"99",X"89",X"99",X"A6",X"A7",X"76", - X"96",X"66",X"69",X"66",X"59",X"99",X"E9",X"DE",X"5E",X"66",X"75",X"5D",X"89",X"E1",X"7A",X"6F", - X"2A",X"67",X"83",X"B8",X"03",X"F0",X"B8",X"3F",X"A8",X"1B",X"D4",X"3F",X"03",X"D2",X"F4",X"3F", - X"3D",X"0F",X"C1",X"E1",X"E9",X"0B",X"F0",X"3F",X"A5",X"2F",X"47",X"55",X"F8",X"0F",X"E0",X"3F", - X"92",X"B8",X"5A",X"95",X"A4",X"2F",X"D0",X"BF",X"67",X"95",X"9E",X"62",X"55",X"7B",X"80",X"FF", - X"69",X"99",X"99",X"99",X"85",X"AD",X"55",X"EF",X"76",X"66",X"66",X"66",X"55",X"EA",X"15",X"BE", - X"96",X"75",X"99",X"79",X"5A",X"C1",X"F8",X"2F",X"2E",X"03",X"F4",X"2E",X"1B",X"53",X"F0",X"3F", - X"5B",X"81",X"A9",X"6D",X"0B",X"D2",X"B5",X"3F",X"8A",X"56",X"A5",X"5E",X"27",X"A1",X"7A",X"3B", - X"66",X"61",X"E8",X"6A",X"57",X"D1",X"B8",X"2F",X"71",X"A6",X"29",X"8E",X"57",X"D4",X"B8",X"2F", - X"B0",X"39",X"AE",X"06",X"1E",X"D5",X"76",X"7F",X"66",X"AC",X"0B",X"9A",X"02",X"F0",X"B8",X"3F", - X"66",X"62",X"67",X"67",X"56",X"E1",X"A8",X"2F",X"65",X"99",X"9A",X"66",X"67",X"A1",X"78",X"6F", - X"A5",X"1E",X"8B",X"D0",X"92",X"F8",X"35",X"BF",X"74",X"7E",X"2A",X"06",X"AA",X"60",X"2F",X"7F", - X"1E",X"5F",X"0F",X"43",X"E1",X"E0",X"2F",X"7F",X"A0",X"7C",X"7F",X"03",X"82",X"F0",X"3E",X"3F", - X"83",X"F0",X"F4",X"2F",X"02",X"B8",X"68",X"6F",X"8A",X"C0",X"FC",X"0B",X"57",X"C2",X"F4",X"3F", - X"86",X"B4",X"2F",X"43",X"E0",X"B8",X"7C",X"2B",X"56",X"A5",X"7A",X"27",X"5A",X"A1",X"7A",X"1E", - X"66",X"A9",X"55",X"99",X"A9",X"55",X"AA",X"EE",X"2A",X"9D",X"56",X"95",X"A9",X"46",X"B6",X"BE", - X"27",X"7A",X"55",X"96",X"7D",X"41",X"F7",X"BE",X"61",X"7F",X"1A",X"81",X"AD",X"45",X"A6",X"BF", - X"66",X"39",X"6B",X"A0",X"1D",X"5B",X"C0",X"FF",X"A5",X"6A",X"86",X"65",X"9D",X"1B",X"D0",X"BF", - X"66",X"A1",X"79",X"59",X"9D",X"4F",X"E0",X"7F",X"66",X"95",X"9D",X"86",X"A6",X"5A",X"B4",X"6F", - X"66",X"62",X"68",X"6A",X"5A",X"A1",X"B8",X"2F",X"62",X"6A",X"55",X"DD",X"8A",X"67",X"A5",X"3F", - X"96",X"66",X"76",X"59",X"D9",X"95",X"67",X"AE",X"C1",X"E1",X"BD",X"49",X"D7",X"94",X"3E",X"7F", - X"D4",X"78",X"7F",X"07",X"92",X"B0",X"2E",X"6F",X"D4",X"75",X"AF",X"07",X"85",X"F0",X"2E",X"6F", - X"6A",X"62",X"75",X"66",X"66",X"62",X"B4",X"3F",X"D0",X"FC",X"65",X"2F",X"02",X"E0",X"F8",X"3F", - X"96",X"76",X"27",X"56",X"A5",X"A1",X"B8",X"2F",X"86",X"E4",X"3F",X"03",X"E0",X"B5",X"7D",X"2B", - X"79",X"61",X"AD",X"0B",X"D0",X"BC",X"3C",X"2F",X"66",X"5A",X"62",X"69",X"99",X"A9",X"98",X"6F", - X"66",X"59",X"99",X"9A",X"5A",X"A5",X"A9",X"6A",X"65",X"99",X"99",X"9A",X"66",X"99",X"A9",X"6A", - X"96",X"69",X"65",X"9D",X"98",X"9E",X"95",X"EE",X"86",X"B8",X"0B",X"86",X"A5",X"3B",X"C0",X"BF", - X"7C",X"1B",X"43",X"F4",X"1F",X"C2",X"E0",X"AF",X"7A",X"07",X"99",X"95",X"6B",X"95",X"A5",X"AF", - X"79",X"66",X"15",X"F8",X"0F",X"C7",X"D0",X"BF",X"96",X"66",X"75",X"6A",X"85",X"AA",X"94",X"7F", - X"D4",X"AE",X"42",X"A5",X"B4",X"2F",X"C4",X"3F",X"86",X"E8",X"47",X"95",X"F4",X"2F",X"C0",X"BF", - X"8A",X"E0",X"69",X"66",X"94",X"7F",X"80",X"BF",X"6A",X"95",X"5A",X"62",X"95",X"6F",X"90",X"BF", - X"66",X"62",X"66",X"66",X"76",X"76",X"69",X"DD",X"66",X"59",X"99",X"99",X"DD",X"96",X"79",X"DD", - X"89",X"9D",X"85",X"9D",X"D9",X"99",X"9D",X"EA",X"99",X"99",X"D9",X"55",X"A9",X"9A",X"22",X"AE", - X"82",X"F5",X"F4",X"1E",X"0B",X"91",X"B9",X"6F",X"72",X"B8",X"2C",X"0F",X"57",X"84",X"7F",X"2F", - X"A6",X"65",X"69",X"5A",X"86",X"71",X"7E",X"3B",X"98",X"99",X"67",X"27",X"65",X"A6",X"2E",X"6A", - X"99",X"A6",X"1A",X"17",X"98",X"A5",X"6E",X"6B",X"95",X"6B",X"9E",X"03",X"D1",X"F0",X"3E",X"7F", - X"A4",X"3E",X"2F",X"02",X"87",X"B4",X"2E",X"2F",X"A4",X"3D",X"6F",X"46",X"52",X"F4",X"3A",X"3F", - X"D4",X"E0",X"BE",X"1E",X"02",X"F0",X"69",X"AF",X"66",X"98",X"7A",X"66",X"47",X"A5",X"65",X"BF", - X"65",X"9D",X"66",X"5A",X"96",X"A5",X"B8",X"6B",X"65",X"A6",X"27",X"1A",X"86",X"E1",X"B8",X"2F", - X"A4",X"7D",X"47",X"8E",X"82",X"F5",X"78",X"3F",X"95",X"A5",X"7F",X"0A",X"02",X"FC",X"0E",X"AF", - X"95",X"86",X"F9",X"55",X"56",X"F0",X"3E",X"6F",X"17",X"A3",X"F4",X"19",X"6A",X"A0",X"7D",X"7F", - X"6A",X"99",X"C5",X"89",X"96",X"90",X"FD",X"AF",X"A6",X"58",X"99",X"9C",X"85",X"99",X"EE",X"7E", - X"99",X"99",X"99",X"66",X"85",X"A8",X"E8",X"6F",X"A2",X"67",X"62",X"1E",X"81",X"F5",X"78",X"6F", - X"76",X"59",X"99",X"9A",X"1A",X"C1",X"F8",X"2F",X"C2",X"B4",X"79",X"69",X"4F",X"82",X"F4",X"2F", - X"A1",X"E8",X"2E",X"0B",X"47",X"C2",X"F4",X"2F",X"C4",X"BC",X"0F",X"83",X"D1",X"F0",X"BC",X"1F", - X"B4",X"3E",X"0A",X"D0",X"F5",X"78",X"7D",X"1F",X"A4",X"AD",X"2A",X"1E",X"4A",X"D0",X"F5",X"2F", - X"96",X"75",X"6A",X"1A",X"95",X"E2",X"A8",X"2F",X"A4",X"AD",X"0F",X"82",X"D6",X"A0",X"F8",X"2F", - X"78",X"2E",X"0E",X"8A",X"57",X"D0",X"FC",X"2B",X"75",X"6A",X"57",X"67",X"56",X"D4",X"F8",X"3B", - X"6A",X"55",X"E8",X"6A",X"55",X"6B",X"84",X"AF",X"99",X"96",X"76",X"18",X"9D",X"9E",X"85",X"FE", - X"9A",X"9D",X"56",X"11",X"FA",X"19",X"A9",X"7F",X"1F",X"87",X"D0",X"A8",X"6A",X"80",X"AE",X"AF", - X"57",X"E5",X"B0",X"2D",X"67",X"90",X"7E",X"AF",X"69",X"95",X"FC",X"0E",X"0B",X"C0",X"E6",X"BF", - X"1B",X"D1",X"E4",X"BC",X"03",X"F4",X"7C",X"3F",X"C6",X"92",X"F0",X"2F",X"02",X"E1",X"F0",X"7F", - X"99",X"A1",X"79",X"59",X"9D",X"87",X"B5",X"3F",X"98",X"9D",X"5A",X"62",X"69",X"99",X"B8",X"2F", - X"57",X"A5",X"66",X"2A",X"96",X"99",X"A7",X"66",X"59",X"D9",X"66",X"66",X"A6",X"66",X"77",X"66", - X"26",X"A5",X"69",X"5A",X"A6",X"66",X"6A",X"66",X"27",X"6A",X"62",X"19",X"E6",X"61",X"6A",X"AB", - X"79",X"0B",X"DA",X"90",X"E9",X"A4",X"2A",X"BF",X"75",X"5E",X"5B",X"D0",X"E1",X"F0",X"2A",X"BF", - X"79",X"1E",X"1F",X"C1",X"C2",X"F0",X"2E",X"7F",X"27",X"99",X"8A",X"A1",X"86",X"A5",X"66",X"7F", - X"66",X"62",X"66",X"69",X"87",X"D5",X"B8",X"2F",X"27",X"98",X"5E",X"D9",X"07",X"E1",X"E1",X"BF", - X"5E",X"84",X"7F",X"8A",X"41",X"F5",X"68",X"AF",X"E4",X"61",X"7E",X"9D",X"07",X"E0",X"7A",X"3F", - X"E1",X"18",X"BE",X"1A",X"06",X"E0",X"A8",X"AF",X"9A",X"80",X"BE",X"79",X"07",X"A1",X"69",X"AF", - X"9A",X"59",X"98",X"96",X"76",X"56",X"F4",X"3F",X"79",X"4B",X"D0",X"F4",X"AD",X"0B",X"E0",X"3F", - X"3E",X"12",X"B4",X"2E",X"0B",X"83",X"F4",X"3F",X"8A",X"82",X"F0",X"3F",X"03",X"B4",X"AC",X"2F", - X"D0",X"BC",X"2D",X"4B",X"C0",X"B8",X"A8",X"3F",X"29",X"8D",X"67",X"99",X"8A",X"A0",X"B8",X"2F", - X"2A",X"25",X"9A",X"98",X"67",X"A1",X"E9",X"2F",X"66",X"62",X"66",X"67",X"66",X"79",X"99",X"9E", - X"98",X"A6",X"26",X"97",X"35",X"CD",X"89",X"D8",X"A2",X"8D",X"73",X"28",X"D7",X"35",X"A6",X"28", - X"9C",X"D7",X"29",X"73",X"29",X"73",X"28",X"CD",X"8C",X"9D",X"68",X"A3",X"32",X"98",X"A3",X"5C", - X"73",X"59",X"9D",X"8A",X"86",X"69",X"66",X"98",X"9D",X"8A",X"35",X"D7",X"63",X"28",X"CA",X"65", - X"A3",X"62",X"75",X"A3",X"29",X"68",X"A5",X"D7",X"35",X"CA",X"32",X"8A",X"29",X"8A",X"65",X"CA", - X"69",X"8C",X"9A",X"5C",X"A3",X"63",X"5A",X"5D",X"73",X"5C",X"D7",X"32",X"8A",X"35",X"9A",X"29", - X"8A",X"35",X"C9",X"A3",X"32",X"8A",X"36",X"28",X"D7",X"32",X"8C",X"A3",X"5C",X"D7",X"35",X"D7", - X"66",X"77",X"59",X"66",X"72",X"61",X"7A",X"AE",X"C1",X"A9",X"EA",X"43",X"E0",X"B0",X"3E",X"3F", - X"C5",X"D4",X"BE",X"1C",X"46",X"F4",X"2E",X"2F",X"D0",X"F9",X"A4",X"3F",X"02",X"E4",X"B8",X"3F", - X"C4",X"F8",X"2B",X"42",X"F0",X"A5",X"F5",X"2F",X"99",X"67",X"17",X"98",X"9D",X"D5",X"F5",X"2F", - X"66",X"66",X"56",X"99",X"D9",X"A5",X"A7",X"7A",X"5D",X"97",X"56",X"99",X"E5",X"A6",X"69",X"DD", - X"67",X"99",X"85",X"96",X"A6",X"68",X"7A",X"3B",X"89",X"8A",X"A2",X"85",X"E5",X"A4",X"7A",X"7F", - X"98",X"5E",X"8E",X"C0",X"F4",X"B4",X"2E",X"7F",X"C0",X"FC",X"68",X"2F",X"03",X"E0",X"B8",X"3F", - X"75",X"A9",X"3D",X"0F",X"57",X"83",X"F4",X"3F",X"A1",X"A5",X"B4",X"7D",X"1F",X"42",X"F4",X"2F", - X"A8",X"2E",X"1A",X"0E",X"D0",X"7F",X"43",X"F0",X"9E",X"4A",X"E0",X"1F",X"81",X"F4",X"EC",X"2F", - X"3F",X"06",X"A8",X"0B",X"82",X"A5",X"F8",X"2F",X"9D",X"D8",X"2E",X"07",X"93",X"C3",X"F4",X"3F", - X"2E",X"47",X"D0",X"B8",X"2D",X"6D",X"1F",X"C2",X"2E",X"0A",X"D0",X"EC",X"2A",X"2B",X"0B",X"C3", - X"99",X"D1",X"F4",X"6D",X"2D",X"3E",X"0B",X"D0",X"3D",X"0F",X"82",X"B0",X"B4",X"F0",X"F8",X"3D", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", - X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF"); begin -process(clk) + +-- busy output +busy <= busy_in; + +-- conversion from 0-F ouput and volume scale to 0-F*256, silence at 7*256. +sample <= std_logic_vector(to_unsigned( + ((to_integer(output_sil) -7) * vol(to_integer(unsigned(ctrl_volume)))) + 7*256, 12)); + +-- clock divider +counter : process(clock, reset) begin - if rising_edge(clk) then - data <= rom_data(to_integer(unsigned(addr))); + if reset = '1' then + hdiv1 <= (others => '0'); + hdiv2 <= (others => '0'); + else + + if rising_edge(clock) then + + -- divide between 9 and 16 upon ctrl + if hdiv1 = "1111" then + hdiv1 <= "0"&ctrl_hdiv1; + ena_hdiv2 <= '1'; + else + hdiv1 <= hdiv1 + '1'; + ena_hdiv2 <= '0'; + end if; + + -- divide by 16 is ok because : IC A5 divide by 8 and s14001a divide by 2 internally + if ena_hdiv2 = '1' then + if hdiv2 = "1111" then + hdiv2 <= (others => '0'); + else + hdiv2 <= hdiv2 + '1'; + end if; + end if; + + end if; + + end if; +end process; + +--control/registers interface with cpu addr/data +ctrl_regs : process(clock, reset) +begin + + if reset = '1' then + + ctrl_s14001_cmd <= (others => '0'); + ctrl_hdiv1 <= (others => '0'); + ctrl_volume <= (others => '0'); + start_speech <= '0'; + + else + if rising_edge(clock) then + if busy_in = '1' then + start_speech <= '0'; + end if; + + if (cs = '1') and (wr_n = '0') and (addr = "00100") then -- 0x44 + + if (di(7 downto 6) = "00") and (busy_in = '0') and (start_speech = '0') then + ctrl_s14001_cmd <= di(5 downto 0); + start_speech <= '1'; + end if; + + if di(7 downto 6) = "01" then + ctrl_hdiv1 <= di(2 downto 0); + ctrl_volume <= di(5 downto 3); + end if; + + + end if; + end if; + end if; +end process; + + +-- s14001a +phoneme_length <= phoneme_param(4 downto 2)&'0'; +phoneme_repeat <= phoneme_param(1 downto 0)&'0'; + +s14001a: process(clock, reset) +begin + if reset = '1' then + state <= waiting_start; + else + if rising_edge(clock) then + if ena_hdiv2 = '1' then + -- using hdiv2 as a sub-state counter + -- computation are done during sub-state 0-14 + -- new sample is ready on sub-state 15 + -- next state is set on sub-state transition from 15 to 0 + + case state is + + when waiting_start => + + output <= "00111"; + + case hdiv2 is + + -- wait for start, set busy when done + when X"0" => + busy_in <= '0'; + if start_speech = '1' then + busy_in <= '1'; + end if; + + -- compute syllable addr from word cmd + when X"1" => + rom_addr <= "00000"&ctrl_s14001_cmd&'0'; + + when X"2" => + syllable_addr(11 downto 4) <= rom_do; + rom_addr <= "00000"&ctrl_s14001_cmd&'1'; + + when X"3" => + syllable_addr(3 downto 0) <= rom_do(7 downto 4); + + -- init playing speech + when X"F" => + if busy_in = '1' then + state <= reading; + phoneme_start <= '1'; + phoneme_offset <= (others =>'0'); + end if; + + when others => null; + end case; + + when reading => + case hdiv2 is + + -- get phoneme addr and parameter + when X"0" => + rom_addr <= syllable_addr; + + when X"1" => + phoneme_addr <= rom_do&"0000"; + rom_addr <= syllable_addr + '1'; + + when X"2" => + phoneme_param <= rom_do; + rom_addr <= phoneme_addr + phoneme_offset(11 downto 2); + + when X"3" => + -- start with a new phoneme + if phoneme_start = '1' then + length_counter <= '0'&phoneme_length; + repeat_counter <= '0'&phoneme_repeat; + read_direction <= '1'; + old_delta <= "10"; + output_counter <= (others =>'0'); + phoneme_start <= '0'; + phoneme_offset <= (others =>'0'); + output <= "00111"; + end if; + + -- get LPC data + case phoneme_offset(1 downto 0) is + when "00" => cur_delta <= rom_do(7 downto 6); + when "01" => cur_delta <= rom_do(5 downto 4); + when "10" => cur_delta <= rom_do(3 downto 2); + when others => cur_delta <= rom_do(1 downto 0); + end case; + + -- compute new ouput from previous value and new LPC data + when X"4" => + if read_direction = '1' then + if ((mirror = '1') and (output_counter(1) = '1')) or (silence = '1') then + output <= "00111" + delta_table(to_integer(unsigned(cur_delta)), 2); + else + output <= output + delta_table(to_integer(unsigned(cur_delta)),to_integer(unsigned(old_delta))); + end if; + else + if phoneme_offset(4 downto 0) = "11111" then + if (output_counter(1) = '1') or (silence = '1') then + output <= "00111"; + else + -- keep last value + end if; + else + if (output_counter(1) = '1') or (silence = '1') then + output <= "00111" - delta_table(2, to_integer(unsigned(cur_delta))); + else + output <= output - delta_table(to_integer(unsigned(old_delta)),to_integer(unsigned(cur_delta))); + end if; + end if; + end if; + + old_delta <= cur_delta; + + -- increase or decrease phoneme_offset (one offset = one sample) + -- last offset when 32 samples have been read either forward or backward + last_offset <= '0'; + if read_direction = '1' then + if phoneme_offset(4 downto 0) = "11111" then + last_offset <= '1'; + if mirror = '0' then + phoneme_offset <= phoneme_offset + '1'; + end if; + else + phoneme_offset <= phoneme_offset + '1'; + end if; + else + if phoneme_offset(4 downto 0) = "00000" then + last_offset <= '1'; + else + phoneme_offset <= phoneme_offset - '1'; + end if; + end if; + + -- increase repeat counter every 32 samples + when X"5" => + if last_offset = '1' then + repeat_counter <= repeat_counter + '1'; + output_counter <= output_counter + '1'; + last_offset <= '0'; + end if; + + -- limit ouput to 0 - F + if output > "01111" then output <= "01111"; end if; + if output < "00000" then output <= "00000"; end if; + + -- manage read_direction and phoneme advance (+8bytes = next 32 samples) + -- upon mirror condition + when X"6" => + if mirror = '1' then + if repeat_counter = 8 then + repeat_counter <= '0'&phoneme_repeat; + if length_counter(0) = '1' then + phoneme_offset <= phoneme_offset + "100000"; + end if; + if length_counter = 15 then + -- will be 16 after on next state + else + if output_counter(0) = '1' then + read_direction <= '0'; + else + read_direction <= '1'; + end if; + end if; + length_counter <= length_counter + 1; + else + if output_counter(0) = '1' then + read_direction <= '0'; + else + read_direction <= '1'; + end if; + end if; + else -- not in mirror mode + if repeat_counter = 8 then + repeat_counter <= '0'&phoneme_repeat; + if length_counter = 15 then + -- will be 16 after this state + end if; + length_counter <= length_counter + 1; + end if; + end if; + + -- goto next syllable when length counter reach 16 + when X"F" => + if length_counter = 16 then + state <= next_syllable; + end if; + + when others => null; + + end case; + + when next_syllable => + + case hdiv2 is + + -- prepare for next syllable + when X"0" => + syllable_addr <= syllable_addr + 2; + phoneme_offset <= (others =>'0'); + phoneme_start <= '1'; + + -- one silent sample during syllable change + when X"4" => + output <= "00111"; + + -- terminate if last phoneme reached + when X"F" => + if last_phoneme = '1' then + state <= waiting_start; + else + state <= reading; + end if; + + when others => null; + end case; + + when others => null; + + end case; -- case state + + -- set silent final output during 2 last quarter when in mirror mode + if hdiv2 = X"6" then + if ((mirror = '1') and (output_counter(1) = '1')) or (silence = '1') then + output_sil <= "00111"; + else + output_sil <= output; + end if; + end if; + + end if; + end if; end if; end process; -end architecture; + +-- program roms +speech_rom : entity work.berzerk_speech_rom +port map ( + addr => rom_addr(11 downto 0), + clk => clock, + data => rom_do +); + + +end architecture; \ No newline at end of file diff --git a/Arcade_MiST/Custom Hardware/Berzerk_MiST/rtl/berzerk_speech_rom.vhd b/Arcade_MiST/Custom Hardware/Berzerk_MiST/rtl/berzerk_speech_rom.vhd new file mode 100644 index 00000000..e0e90b19 --- /dev/null +++ b/Arcade_MiST/Custom Hardware/Berzerk_MiST/rtl/berzerk_speech_rom.vhd @@ -0,0 +1,278 @@ +library ieee; +use ieee.std_logic_1164.all,ieee.numeric_std.all; + +entity berzerk_speech_rom is +port ( + clk : in std_logic; + addr : in std_logic_vector(11 downto 0); + data : out std_logic_vector(7 downto 0) +); +end entity; + +architecture prom of berzerk_speech_rom is + type rom is array(0 to 4095) of std_logic_vector(7 downto 0); + signal rom_data: rom := ( + X"03",X"A0",X"04",X"40",X"04",X"E0",X"05",X"E0",X"06",X"E0",X"07",X"80",X"08",X"40",X"08",X"C0", + X"09",X"20",X"09",X"A0",X"0A",X"E0",X"0B",X"40",X"0B",X"80",X"0B",X"E0",X"0C",X"60",X"0C",X"A0", + X"0D",X"80",X"0E",X"60",X"0F",X"80",X"10",X"80",X"10",X"C0",X"11",X"E0",X"12",X"C0",X"13",X"60", + X"13",X"C0",X"14",X"C0",X"15",X"60",X"15",X"E0",X"16",X"00",X"17",X"1D",X"17",X"1D",X"1D",X"49", + X"1D",X"6E",X"20",X"9F",X"22",X"1F",X"22",X"1F",X"17",X"1E",X"24",X"41",X"28",X"D9",X"29",X"59", + X"29",X"78",X"2D",X"1F",X"2D",X"1F",X"2D",X"7F",X"2A",X"49",X"2A",X"78",X"22",X"9F",X"2D",X"1F", + X"2D",X"1F",X"2F",X"1C",X"37",X"41",X"37",X"7C",X"2D",X"1F",X"3B",X"5B",X"2F",X"9C",X"22",X"1F", + X"3C",X"51",X"3E",X"51",X"3E",X"6E",X"2D",X"9F",X"2F",X"1D",X"2F",X"1E",X"2F",X"1D",X"40",X"50", + X"40",X"6E",X"2D",X"9F",X"22",X"1F",X"42",X"49",X"42",X"78",X"2D",X"9F",X"45",X"41",X"49",X"1C", + X"49",X"9C",X"51",X"59",X"52",X"41",X"52",X"78",X"2D",X"9F",X"2D",X"1F",X"56",X"52",X"56",X"79", + X"2D",X"1F",X"58",X"51",X"58",X"78",X"2D",X"1F",X"5A",X"51",X"5A",X"78",X"2D",X"9F",X"5C",X"1E", + X"5C",X"1E",X"60",X"C1",X"64",X"41",X"68",X"D9",X"69",X"50",X"69",X"6E",X"2D",X"9F",X"5C",X"1E", + X"5C",X"1E",X"6B",X"5A",X"6C",X"C1",X"70",X"41",X"74",X"D1",X"17",X"1D",X"17",X"1D",X"76",X"41", + X"7A",X"41",X"7E",X"59",X"7E",X"7C",X"2D",X"9F",X"22",X"1F",X"22",X"1F",X"22",X"7E",X"7F",X"41", + X"83",X"58",X"49",X"1D",X"49",X"9D",X"20",X"1F",X"17",X"1E",X"84",X"51",X"84",X"78",X"22",X"1F", + X"22",X"7F",X"86",X"58",X"86",X"78",X"2D",X"9F",X"87",X"49",X"87",X"7C",X"2D",X"1F",X"2D",X"1F", + X"2D",X"7E",X"8F",X"5B",X"8A",X"5A",X"8B",X"C1",X"96",X"51",X"98",X"D0",X"9A",X"58",X"49",X"1D", + X"49",X"1D",X"49",X"7C",X"22",X"1F",X"17",X"1E",X"9B",X"49",X"9B",X"79",X"20",X"9F",X"2D",X"1F", + X"9E",X"52",X"49",X"1D",X"49",X"1D",X"49",X"79",X"A0",X"41",X"A4",X"D9",X"A5",X"41",X"49",X"1D", + X"49",X"1D",X"49",X"78",X"2D",X"9F",X"A9",X"49",X"A9",X"78",X"2D",X"9F",X"2D",X"1F",X"2D",X"1F", + X"2F",X"1C",X"AC",X"58",X"AC",X"79",X"22",X"1F",X"22",X"7F",X"AD",X"C9",X"B0",X"1D",X"B0",X"1D", + X"B6",X"49",X"B6",X"78",X"2D",X"9F",X"B9",X"41",X"B9",X"78",X"22",X"1F",X"17",X"9F",X"BD",X"C1", + X"8F",X"41",X"8F",X"7C",X"20",X"1F",X"93",X"49",X"93",X"78",X"2D",X"9F",X"FF",X"FF",X"FF",X"FF", + X"75",X"A3",X"28",X"C9",X"D7",X"59",X"D9",X"67",X"5D",X"69",X"75",X"D8",X"C7",X"97",X"5A",X"29", + X"89",X"A5",X"A5",X"A6",X"26",X"97",X"5A",X"89",X"67",X"65",X"9D",X"96",X"69",X"89",X"A5",X"A2", + X"67",X"5D",X"76",X"28",X"9E",X"22",X"98",X"CA",X"63",X"27",X"28",X"9A",X"65",X"A5",X"9A",X"66", + X"63",X"28",X"9D",X"99",X"66",X"96",X"76",X"5A",X"27",X"59",X"D9",X"66",X"78",X"89",X"D6",X"75", + X"96",X"98",X"9A",X"5D",X"8A",X"71",X"78",X"D8",X"CA",X"59",X"D9",X"69",X"98",X"99",X"D6",X"76", + X"27",X"5D",X"8A",X"65",X"99",X"9D",X"6A",X"19",X"D8",X"D5",X"E6",X"5A",X"5D",X"69",X"75",X"99", + X"67",X"62",X"77",X"1A",X"94",X"7A",X"98",X"7F",X"C0",X"FC",X"6D",X"0F",X"80",X"AE",X"61",X"AF", + X"A8",X"26",X"2F",X"85",X"46",X"F0",X"3D",X"7F",X"1A",X"A7",X"85",X"D6",X"B4",X"64",X"3F",X"6F", + X"67",X"66",X"62",X"A5",X"A5",X"26",X"2B",X"9F",X"A6",X"62",X"66",X"65",X"98",X"69",X"7A",X"9E", + X"99",X"72",X"63",X"69",X"99",X"99",X"99",X"97",X"72",X"5A",X"66",X"59",X"99",X"98",X"A6",X"65", + X"E2",X"97",X"66",X"5D",X"99",X"69",X"99",X"99",X"8A",X"66",X"59",X"99",X"9D",X"75",X"99",X"98", + X"8D",X"72",X"66",X"76",X"27",X"5D",X"97",X"5C",X"9D",X"75",X"99",X"E2",X"62",X"73",X"65",X"A2", + X"8A",X"27",X"29",X"89",X"9A",X"5D",X"66",X"6A",X"25",X"9D",X"99",X"62",X"79",X"99",X"66",X"99", + X"98",X"A6",X"26",X"5D",X"67",X"86",X"B5",X"3F",X"D4",X"F4",X"2F",X"03",X"E5",X"67",X"B1",X"2F", + X"99",X"79",X"A5",X"1F",X"42",X"A7",X"B5",X"3F",X"2A",X"8A",X"5A",X"96",X"42",X"F4",X"3C",X"EF", + X"78",X"2D",X"6F",X"43",X"82",X"E0",X"2F",X"3F",X"1E",X"2B",X"57",X"82",X"E0",X"E4",X"2F",X"6F", + X"2A",X"67",X"59",X"89",X"E1",X"95",X"6E",X"6B",X"9A",X"65",X"99",X"99",X"98",X"59",X"AA",X"9E", + X"99",X"97",X"62",X"98",X"98",X"69",X"AA",X"9E",X"99",X"98",X"99",X"99",X"96",X"29",X"AA",X"9E", + X"98",X"9E",X"59",X"8A",X"81",X"F8",X"78",X"2F",X"99",X"99",X"96",X"57",X"95",X"A9",X"E8",X"6E", + X"66",X"6A",X"65",X"6A",X"94",X"5E",X"A1",X"7F",X"D0",X"B8",X"AD",X"0F",X"D0",X"69",X"A8",X"7F", + X"D0",X"B8",X"6E",X"0B",X"C0",X"A7",X"94",X"BF",X"D1",X"D5",X"F5",X"2F",X"52",X"82",X"F4",X"3F", + X"E0",X"5A",X"B4",X"2F",X"81",X"E0",X"BC",X"2F",X"A5",X"1F",X"D4",X"2F",X"81",X"C5",X"F8",X"3F", + X"8A",X"63",X"66",X"87",X"63",X"5A",X"59",X"CA",X"5A",X"5A",X"29",X"69",X"8A",X"29",X"5E",X"29", + X"68",X"A5",X"A5",X"A6",X"66",X"66",X"66",X"66",X"62",X"66",X"63",X"67",X"26",X"69",X"96",X"89", + X"96",X"99",X"73",X"5A",X"63",X"5C",X"CC",X"CA",X"62",X"96",X"73",X"32",X"8C",X"D6",X"98",X"A6", + X"29",X"8A",X"32",X"8C",X"A5",X"A5",X"CA",X"5C",X"A3",X"35",X"A2",X"8C",X"D6",X"76",X"27",X"5C", + X"89",X"D6",X"75",X"A5",X"CC",X"A3",X"27",X"32",X"98",X"A6",X"28",X"CA",X"5A",X"35",X"9C",X"CD", + X"73",X"35",X"A6",X"29",X"8A",X"65",X"CD",X"73",X"26",X"96",X"98",X"9D",X"89",X"D8",X"A3",X"27", + X"8A",X"35",X"A5",X"A5",X"9C",X"D7",X"35",X"CD",X"69",X"67",X"62",X"97",X"32",X"97",X"5C",X"CA", + X"33",X"5D",X"72",X"8C",X"9D",X"73",X"5A",X"35",X"A5",X"CC",X"D7",X"33",X"35",X"D6",X"8D",X"69", + X"96",X"69",X"73",X"5C",X"D8",X"A5",X"CC",X"CC",X"A3",X"5A",X"33",X"32",X"8C",X"D8",X"9C",X"CD", + X"73",X"59",X"D7",X"32",X"8C",X"CC",X"A5",X"9C",X"CA",X"33",X"33",X"28",X"CA",X"5C",X"D7",X"35", + X"86",X"A9",X"57",X"98",X"56",X"7A",X"87",X"BE",X"A0",X"6E",X"8B",X"90",X"6A",X"62",X"71",X"BF", + X"A0",X"3F",X"5B",X"90",X"6A",X"95",X"99",X"AF",X"61",X"AA",X"69",X"E0",X"2F",X"43",X"96",X"BE", + X"88",X"9E",X"2B",X"80",X"A9",X"6A",X"81",X"FF",X"1F",X"55",X"AB",X"90",X"6A",X"5A",X"85",X"BF", + X"2A",X"D4",X"7A",X"26",X"55",X"7B",X"80",X"FF",X"96",X"A5",X"95",X"79",X"D5",X"3F",X"80",X"BF", + X"76",X"62",X"36",X"29",X"99",X"A9",X"66",X"3A",X"99",X"66",X"59",X"9D",X"99",X"A6",X"66",X"76", + X"99",X"A1",X"A8",X"6A",X"47",X"D1",X"F5",X"2F",X"66",X"6A",X"95",X"6A",X"52",X"72",X"F4",X"3F", + X"5A",X"95",X"E6",X"7A",X"02",X"F0",X"68",X"BF",X"98",X"D4",X"BC",X"6D",X"0B",X"C0",X"79",X"FF", + X"D4",X"95",X"FD",X"5D",X"0F",X"C0",X"A8",X"BF",X"C1",X"F0",X"BC",X"1F",X"47",X"D0",X"79",X"BF", + X"A1",X"69",X"9E",X"1A",X"86",X"D4",X"3D",X"6F",X"A1",X"9C",X"7A",X"57",X"56",X"E0",X"79",X"6F", + X"C5",X"A7",X"80",X"FD",X"0B",X"92",X"F4",X"3F",X"99",X"96",X"66",X"29",X"5A",X"A5",X"A8",X"6F", + X"98",X"A5",X"98",X"5E",X"67",X"A1",X"A9",X"7A",X"95",X"E1",X"78",X"67",X"99",X"E1",X"A9",X"9E", + X"6A",X"1A",X"87",X"56",X"D1",X"F4",X"AD",X"1F",X"76",X"1E",X"1A",X"63",X"5A",X"95",X"F5",X"2F", + X"C2",X"F4",X"2E",X"07",X"96",X"86",X"F4",X"3F",X"5B",X"81",X"FC",X"0F",X"47",X"93",X"F4",X"3F", + X"86",X"96",X"B8",X"0F",X"C0",X"B8",X"7C",X"2F",X"C0",X"FD",X"65",X"3F",X"03",X"A0",X"F8",X"3F", + X"96",X"B0",X"2F",X"02",X"E6",X"1A",X"E8",X"2F",X"D4",X"F4",X"2F",X"03",X"D5",X"D9",X"F8",X"2F", + X"97",X"94",X"BC",X"0B",X"D0",X"F4",X"BC",X"2B",X"C4",X"F8",X"3D",X"0F",X"C0",X"B8",X"A8",X"3F", + X"C4",X"BD",X"1A",X"17",X"D0",X"FC",X"3C",X"2F",X"A4",X"AD",X"1B",X"47",X"C1",X"F8",X"78",X"2F", + X"89",X"D9",X"57",X"96",X"A0",X"F8",X"6D",X"2F",X"66",X"66",X"57",X"96",X"95",X"F8",X"69",X"3F", + X"97",X"5A",X"5D",X"75",X"D8",X"D7",X"5C",X"A3",X"35",X"CA",X"33",X"28",X"CA",X"35",X"CA",X"33", + X"5A",X"28",X"9C",X"A5",X"D6",X"97",X"32",X"8D",X"72",X"8A",X"35",X"D6",X"96",X"8A",X"28",X"A5", + X"72",X"97",X"5C",X"A3",X"32",X"8C",X"A5",X"A2",X"8C",X"A3",X"28",X"A2",X"8D",X"73",X"35",X"CA", + X"5A",X"29",X"75",X"A2",X"97",X"28",X"CD",X"73",X"28",X"A3",X"33",X"5D",X"75",X"A5",X"D7",X"5C", + X"69",X"68",X"CC",X"A3",X"35",X"D6",X"96",X"8A",X"35",X"CA",X"27",X"28",X"D6",X"97",X"5C",X"CA", + X"29",X"75",X"D7",X"33",X"5C",X"CA",X"28",X"CA",X"33",X"28",X"D7",X"5C",X"A5",X"D7",X"5D",X"73", + X"8D",X"75",X"CA",X"32",X"8A",X"5D",X"75",X"D6",X"97",X"5A",X"29",X"73",X"28",X"A2",X"8A",X"5A", + X"28",X"D7",X"33",X"5A",X"5D",X"75",X"D6",X"8A",X"5D",X"68",X"A2",X"8A",X"5D",X"73",X"35",X"D7", + X"65",X"5F",X"9E",X"81",X"95",X"F4",X"6A",X"7E",X"95",X"AA",X"9A",X"50",X"F5",X"74",X"3F",X"7F", + X"9A",X"9E",X"54",X"56",X"A9",X"A5",X"2B",X"7B",X"66",X"99",X"86",X"62",X"A8",X"A5",X"6A",X"AA", + X"99",X"5E",X"57",X"56",X"A8",X"A9",X"2B",X"1F",X"75",X"F4",X"3F",X"0D",X"43",X"F4",X"79",X"7F", + X"3A",X"1F",X"12",X"F0",X"0B",X"D7",X"90",X"FF",X"67",X"E0",X"3E",X"55",X"61",X"7F",X"80",X"BF", + X"5F",X"85",X"66",X"89",X"91",X"EF",X"50",X"BF",X"99",X"99",X"98",X"67",X"95",X"6B",X"94",X"7F", + X"A1",X"79",X"5A",X"5A",X"86",X"D4",X"F9",X"2B",X"69",X"5E",X"57",X"66",X"86",X"D4",X"BC",X"2B", + X"69",X"5A",X"96",X"67",X"56",X"D4",X"BC",X"2B",X"69",X"5A",X"95",X"DA",X"56",X"D4",X"BC",X"2B", + X"69",X"99",X"76",X"56",X"98",X"9A",X"A1",X"AF",X"D0",X"F8",X"7D",X"0B",X"C0",X"7A",X"E0",X"7F", + X"C1",X"B5",X"B5",X"2F",X"40",X"B8",X"7D",X"2F",X"2B",X"12",X"F0",X"3F",X"05",X"DA",X"C4",X"BE", + X"A5",X"96",X"66",X"1E",X"85",X"E9",X"A8",X"2F",X"E4",X"78",X"6C",X"0B",X"D0",X"BC",X"79",X"2F", + X"D4",X"B8",X"3D",X"0B",X"C0",X"F8",X"A8",X"3F",X"B4",X"7D",X"0F",X"0A",X"C0",X"FC",X"79",X"2F", + X"8C",X"CD",X"75",X"A3",X"5C",X"D7",X"28",X"CD",X"73",X"5C",X"CA",X"33",X"28",X"D7",X"28",X"CC", + X"A3",X"32",X"8C",X"A3",X"33",X"28",X"CC",X"CD",X"73",X"35",X"CC",X"A3",X"33",X"28",X"C9",X"A3", + X"8C",X"CD",X"73",X"5C",X"A3",X"35",X"CC",X"CA",X"27",X"5C",X"D7",X"32",X"75",X"A3",X"28",X"CC", + X"CD",X"73",X"32",X"8A",X"26",X"8C",X"A3",X"5C",X"A3",X"5C",X"A5",X"CD",X"8D",X"73",X"28",X"D7", + X"99",X"A2",X"76",X"16",X"57",X"A5",X"A9",X"AF",X"88",X"99",X"FD",X"46",X"07",X"E0",X"7E",X"6F", + X"66",X"17",X"FC",X"18",X"47",X"E4",X"7D",X"7F",X"91",X"A7",X"BD",X"18",X"4E",X"D0",X"BC",X"6F", + X"89",X"86",X"F9",X"1E",X"07",X"D0",X"A9",X"FF",X"85",X"D7",X"BC",X"28",X"0F",X"C0",X"B9",X"7F", + X"86",X"57",X"F9",X"28",X"0F",X"D0",X"AC",X"7F",X"66",X"5A",X"AA",X"55",X"5A",X"94",X"5E",X"FE", + X"A1",X"6D",X"4A",X"D0",X"F8",X"78",X"AD",X"1F",X"75",X"7D",X"0B",X"C0",X"F8",X"79",X"7D",X"1F", + X"66",X"A4",X"7E",X"03",X"F0",X"3D",X"B8",X"2F",X"38",X"5B",X"A8",X"0B",X"D0",X"7E",X"78",X"3F", + X"35",X"7E",X"07",X"97",X"C0",X"BA",X"A0",X"BF",X"38",X"69",X"69",X"8A",X"57",X"E0",X"BC",X"2B", + X"75",X"69",X"69",X"8A",X"57",X"E0",X"BC",X"2B",X"75",X"69",X"69",X"8A",X"57",X"E0",X"BC",X"2B", + X"66",X"29",X"69",X"8A",X"57",X"E0",X"BC",X"2B",X"65",X"AA",X"12",X"B9",X"81",X"F8",X"78",X"7F", + X"6A",X"61",X"9D",X"85",X"E8",X"89",X"F5",X"2F",X"79",X"66",X"3A",X"07",X"D1",X"E2",X"B4",X"3F", + X"A0",X"F8",X"3D",X"0F",X"C0",X"B8",X"A8",X"2F",X"A4",X"AD",X"1F",X"0A",X"C0",X"F8",X"A8",X"2F", + X"76",X"29",X"5A",X"99",X"56",X"B5",X"68",X"7F",X"A1",X"AA",X"19",X"5A",X"94",X"AA",X"78",X"6F", + X"B0",X"6A",X"79",X"0B",X"C0",X"BC",X"3D",X"2F",X"2B",X"51",X"FC",X"0B",X"C0",X"F4",X"B8",X"2F", + X"2E",X"0E",X"D0",X"F4",X"7D",X"0F",X"E0",X"3F",X"C4",X"AF",X"02",X"F0",X"78",X"1F",X"D4",X"7F", + X"56",X"F5",X"27",X"95",X"A4",X"2F",X"C0",X"BF",X"1F",X"95",X"67",X"67",X"80",X"7F",X"90",X"BF", + X"3D",X"55",X"E7",X"66",X"50",X"BF",X"41",X"BF",X"77",X"26",X"66",X"66",X"21",X"EA",X"51",X"FE", + X"66",X"66",X"66",X"59",X"99",X"9D",X"DE",X"76",X"65",X"D9",X"99",X"89",X"99",X"A6",X"A7",X"76", + X"96",X"66",X"69",X"66",X"59",X"99",X"E9",X"DE",X"5E",X"66",X"75",X"5D",X"89",X"E1",X"7A",X"6F", + X"2A",X"67",X"83",X"B8",X"03",X"F0",X"B8",X"3F",X"A8",X"1B",X"D4",X"3F",X"03",X"D2",X"F4",X"3F", + X"3D",X"0F",X"C1",X"E1",X"E9",X"0B",X"F0",X"3F",X"A5",X"2F",X"47",X"55",X"F8",X"0F",X"E0",X"3F", + X"92",X"B8",X"5A",X"95",X"A4",X"2F",X"D0",X"BF",X"67",X"95",X"9E",X"62",X"55",X"7B",X"80",X"FF", + X"69",X"99",X"99",X"99",X"85",X"AD",X"55",X"EF",X"76",X"66",X"66",X"66",X"55",X"EA",X"15",X"BE", + X"96",X"75",X"99",X"79",X"5A",X"C1",X"F8",X"2F",X"2E",X"03",X"F4",X"2E",X"1B",X"53",X"F0",X"3F", + X"5B",X"81",X"A9",X"6D",X"0B",X"D2",X"B5",X"3F",X"8A",X"56",X"A5",X"5E",X"27",X"A1",X"7A",X"3B", + X"66",X"61",X"E8",X"6A",X"57",X"D1",X"B8",X"2F",X"71",X"A6",X"29",X"8E",X"57",X"D4",X"B8",X"2F", + X"B0",X"39",X"AE",X"06",X"1E",X"D5",X"76",X"7F",X"66",X"AC",X"0B",X"9A",X"02",X"F0",X"B8",X"3F", + X"66",X"62",X"67",X"67",X"56",X"E1",X"A8",X"2F",X"65",X"99",X"9A",X"66",X"67",X"A1",X"78",X"6F", + X"A5",X"1E",X"8B",X"D0",X"92",X"F8",X"35",X"BF",X"74",X"7E",X"2A",X"06",X"AA",X"60",X"2F",X"7F", + X"1E",X"5F",X"0F",X"43",X"E1",X"E0",X"2F",X"7F",X"A0",X"7C",X"7F",X"03",X"82",X"F0",X"3E",X"3F", + X"83",X"F0",X"F4",X"2F",X"02",X"B8",X"68",X"6F",X"8A",X"C0",X"FC",X"0B",X"57",X"C2",X"F4",X"3F", + X"86",X"B4",X"2F",X"43",X"E0",X"B8",X"7C",X"2B",X"56",X"A5",X"7A",X"27",X"5A",X"A1",X"7A",X"1E", + X"66",X"A9",X"55",X"99",X"A9",X"55",X"AA",X"EE",X"2A",X"9D",X"56",X"95",X"A9",X"46",X"B6",X"BE", + X"27",X"7A",X"55",X"96",X"7D",X"41",X"F7",X"BE",X"61",X"7F",X"1A",X"81",X"AD",X"45",X"A6",X"BF", + X"66",X"39",X"6B",X"A0",X"1D",X"5B",X"C0",X"FF",X"A5",X"6A",X"86",X"65",X"9D",X"1B",X"D0",X"BF", + X"66",X"A1",X"79",X"59",X"9D",X"4F",X"E0",X"7F",X"66",X"95",X"9D",X"86",X"A6",X"5A",X"B4",X"6F", + X"66",X"62",X"68",X"6A",X"5A",X"A1",X"B8",X"2F",X"62",X"6A",X"55",X"DD",X"8A",X"67",X"A5",X"3F", + X"96",X"66",X"76",X"59",X"D9",X"95",X"67",X"AE",X"C1",X"E1",X"BD",X"49",X"D7",X"94",X"3E",X"7F", + X"D4",X"78",X"7F",X"07",X"92",X"B0",X"2E",X"6F",X"D4",X"75",X"AF",X"07",X"85",X"F0",X"2E",X"6F", + X"6A",X"62",X"75",X"66",X"66",X"62",X"B4",X"3F",X"D0",X"FC",X"65",X"2F",X"02",X"E0",X"F8",X"3F", + X"96",X"76",X"27",X"56",X"A5",X"A1",X"B8",X"2F",X"86",X"E4",X"3F",X"03",X"E0",X"B5",X"7D",X"2B", + X"79",X"61",X"AD",X"0B",X"D0",X"BC",X"3C",X"2F",X"66",X"5A",X"62",X"69",X"99",X"A9",X"98",X"6F", + X"66",X"59",X"99",X"9A",X"5A",X"A5",X"A9",X"6A",X"65",X"99",X"99",X"9A",X"66",X"99",X"A9",X"6A", + X"96",X"69",X"65",X"9D",X"98",X"9E",X"95",X"EE",X"86",X"B8",X"0B",X"86",X"A5",X"3B",X"C0",X"BF", + X"7C",X"1B",X"43",X"F4",X"1F",X"C2",X"E0",X"AF",X"7A",X"07",X"99",X"95",X"6B",X"95",X"A5",X"AF", + X"79",X"66",X"15",X"F8",X"0F",X"C7",X"D0",X"BF",X"96",X"66",X"75",X"6A",X"85",X"AA",X"94",X"7F", + X"D4",X"AE",X"42",X"A5",X"B4",X"2F",X"C4",X"3F",X"86",X"E8",X"47",X"95",X"F4",X"2F",X"C0",X"BF", + X"8A",X"E0",X"69",X"66",X"94",X"7F",X"80",X"BF",X"6A",X"95",X"5A",X"62",X"95",X"6F",X"90",X"BF", + X"66",X"62",X"66",X"66",X"76",X"76",X"69",X"DD",X"66",X"59",X"99",X"99",X"DD",X"96",X"79",X"DD", + X"89",X"9D",X"85",X"9D",X"D9",X"99",X"9D",X"EA",X"99",X"99",X"D9",X"55",X"A9",X"9A",X"22",X"AE", + X"82",X"F5",X"F4",X"1E",X"0B",X"91",X"B9",X"6F",X"72",X"B8",X"2C",X"0F",X"57",X"84",X"7F",X"2F", + X"A6",X"65",X"69",X"5A",X"86",X"71",X"7E",X"3B",X"98",X"99",X"67",X"27",X"65",X"A6",X"2E",X"6A", + X"99",X"A6",X"1A",X"17",X"98",X"A5",X"6E",X"6B",X"95",X"6B",X"9E",X"03",X"D1",X"F0",X"3E",X"7F", + X"A4",X"3E",X"2F",X"02",X"87",X"B4",X"2E",X"2F",X"A4",X"3D",X"6F",X"46",X"52",X"F4",X"3A",X"3F", + X"D4",X"E0",X"BE",X"1E",X"02",X"F0",X"69",X"AF",X"66",X"98",X"7A",X"66",X"47",X"A5",X"65",X"BF", + X"65",X"9D",X"66",X"5A",X"96",X"A5",X"B8",X"6B",X"65",X"A6",X"27",X"1A",X"86",X"E1",X"B8",X"2F", + X"A4",X"7D",X"47",X"8E",X"82",X"F5",X"78",X"3F",X"95",X"A5",X"7F",X"0A",X"02",X"FC",X"0E",X"AF", + X"95",X"86",X"F9",X"55",X"56",X"F0",X"3E",X"6F",X"17",X"A3",X"F4",X"19",X"6A",X"A0",X"7D",X"7F", + X"6A",X"99",X"C5",X"89",X"96",X"90",X"FD",X"AF",X"A6",X"58",X"99",X"9C",X"85",X"99",X"EE",X"7E", + X"99",X"99",X"99",X"66",X"85",X"A8",X"E8",X"6F",X"A2",X"67",X"62",X"1E",X"81",X"F5",X"78",X"6F", + X"76",X"59",X"99",X"9A",X"1A",X"C1",X"F8",X"2F",X"C2",X"B4",X"79",X"69",X"4F",X"82",X"F4",X"2F", + X"A1",X"E8",X"2E",X"0B",X"47",X"C2",X"F4",X"2F",X"C4",X"BC",X"0F",X"83",X"D1",X"F0",X"BC",X"1F", + X"B4",X"3E",X"0A",X"D0",X"F5",X"78",X"7D",X"1F",X"A4",X"AD",X"2A",X"1E",X"4A",X"D0",X"F5",X"2F", + X"96",X"75",X"6A",X"1A",X"95",X"E2",X"A8",X"2F",X"A4",X"AD",X"0F",X"82",X"D6",X"A0",X"F8",X"2F", + X"78",X"2E",X"0E",X"8A",X"57",X"D0",X"FC",X"2B",X"75",X"6A",X"57",X"67",X"56",X"D4",X"F8",X"3B", + X"6A",X"55",X"E8",X"6A",X"55",X"6B",X"84",X"AF",X"99",X"96",X"76",X"18",X"9D",X"9E",X"85",X"FE", + X"9A",X"9D",X"56",X"11",X"FA",X"19",X"A9",X"7F",X"1F",X"87",X"D0",X"A8",X"6A",X"80",X"AE",X"AF", + X"57",X"E5",X"B0",X"2D",X"67",X"90",X"7E",X"AF",X"69",X"95",X"FC",X"0E",X"0B",X"C0",X"E6",X"BF", + X"1B",X"D1",X"E4",X"BC",X"03",X"F4",X"7C",X"3F",X"C6",X"92",X"F0",X"2F",X"02",X"E1",X"F0",X"7F", + X"99",X"A1",X"79",X"59",X"9D",X"87",X"B5",X"3F",X"98",X"9D",X"5A",X"62",X"69",X"99",X"B8",X"2F", + X"57",X"A5",X"66",X"2A",X"96",X"99",X"A7",X"66",X"59",X"D9",X"66",X"66",X"A6",X"66",X"77",X"66", + X"26",X"A5",X"69",X"5A",X"A6",X"66",X"6A",X"66",X"27",X"6A",X"62",X"19",X"E6",X"61",X"6A",X"AB", + X"79",X"0B",X"DA",X"90",X"E9",X"A4",X"2A",X"BF",X"75",X"5E",X"5B",X"D0",X"E1",X"F0",X"2A",X"BF", + X"79",X"1E",X"1F",X"C1",X"C2",X"F0",X"2E",X"7F",X"27",X"99",X"8A",X"A1",X"86",X"A5",X"66",X"7F", + X"66",X"62",X"66",X"69",X"87",X"D5",X"B8",X"2F",X"27",X"98",X"5E",X"D9",X"07",X"E1",X"E1",X"BF", + X"5E",X"84",X"7F",X"8A",X"41",X"F5",X"68",X"AF",X"E4",X"61",X"7E",X"9D",X"07",X"E0",X"7A",X"3F", + X"E1",X"18",X"BE",X"1A",X"06",X"E0",X"A8",X"AF",X"9A",X"80",X"BE",X"79",X"07",X"A1",X"69",X"AF", + X"9A",X"59",X"98",X"96",X"76",X"56",X"F4",X"3F",X"79",X"4B",X"D0",X"F4",X"AD",X"0B",X"E0",X"3F", + X"3E",X"12",X"B4",X"2E",X"0B",X"83",X"F4",X"3F",X"8A",X"82",X"F0",X"3F",X"03",X"B4",X"AC",X"2F", + X"D0",X"BC",X"2D",X"4B",X"C0",X"B8",X"A8",X"3F",X"29",X"8D",X"67",X"99",X"8A",X"A0",X"B8",X"2F", + X"2A",X"25",X"9A",X"98",X"67",X"A1",X"E9",X"2F",X"66",X"62",X"66",X"67",X"66",X"79",X"99",X"9E", + X"98",X"A6",X"26",X"97",X"35",X"CD",X"89",X"D8",X"A2",X"8D",X"73",X"28",X"D7",X"35",X"A6",X"28", + X"9C",X"D7",X"29",X"73",X"29",X"73",X"28",X"CD",X"8C",X"9D",X"68",X"A3",X"32",X"98",X"A3",X"5C", + X"73",X"59",X"9D",X"8A",X"86",X"69",X"66",X"98",X"9D",X"8A",X"35",X"D7",X"63",X"28",X"CA",X"65", + X"A3",X"62",X"75",X"A3",X"29",X"68",X"A5",X"D7",X"35",X"CA",X"32",X"8A",X"29",X"8A",X"65",X"CA", + X"69",X"8C",X"9A",X"5C",X"A3",X"63",X"5A",X"5D",X"73",X"5C",X"D7",X"32",X"8A",X"35",X"9A",X"29", + X"8A",X"35",X"C9",X"A3",X"32",X"8A",X"36",X"28",X"D7",X"32",X"8C",X"A3",X"5C",X"D7",X"35",X"D7", + X"66",X"77",X"59",X"66",X"72",X"61",X"7A",X"AE",X"C1",X"A9",X"EA",X"43",X"E0",X"B0",X"3E",X"3F", + X"C5",X"D4",X"BE",X"1C",X"46",X"F4",X"2E",X"2F",X"D0",X"F9",X"A4",X"3F",X"02",X"E4",X"B8",X"3F", + X"C4",X"F8",X"2B",X"42",X"F0",X"A5",X"F5",X"2F",X"99",X"67",X"17",X"98",X"9D",X"D5",X"F5",X"2F", + X"66",X"66",X"56",X"99",X"D9",X"A5",X"A7",X"7A",X"5D",X"97",X"56",X"99",X"E5",X"A6",X"69",X"DD", + X"67",X"99",X"85",X"96",X"A6",X"68",X"7A",X"3B",X"89",X"8A",X"A2",X"85",X"E5",X"A4",X"7A",X"7F", + X"98",X"5E",X"8E",X"C0",X"F4",X"B4",X"2E",X"7F",X"C0",X"FC",X"68",X"2F",X"03",X"E0",X"B8",X"3F", + X"75",X"A9",X"3D",X"0F",X"57",X"83",X"F4",X"3F",X"A1",X"A5",X"B4",X"7D",X"1F",X"42",X"F4",X"2F", + X"A8",X"2E",X"1A",X"0E",X"D0",X"7F",X"43",X"F0",X"9E",X"4A",X"E0",X"1F",X"81",X"F4",X"EC",X"2F", + X"3F",X"06",X"A8",X"0B",X"82",X"A5",X"F8",X"2F",X"9D",X"D8",X"2E",X"07",X"93",X"C3",X"F4",X"3F", + X"2E",X"47",X"D0",X"B8",X"2D",X"6D",X"1F",X"C2",X"2E",X"0A",X"D0",X"EC",X"2A",X"2B",X"0B",X"C3", + X"99",X"D1",X"F4",X"6D",X"2D",X"3E",X"0B",X"D0",X"3D",X"0F",X"82",X"B0",X"B4",X"F0",X"F8",X"3D", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF", + X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF"); +begin +process(clk) +begin + if rising_edge(clk) then + data <= rom_data(to_integer(unsigned(addr))); + end if; +end process; +end architecture; diff --git a/Arcade_MiST/Custom Hardware/Berzerk_MiST/rtl/build_id.v b/Arcade_MiST/Custom Hardware/Berzerk_MiST/rtl/build_id.v index c8dd13e1..76439b00 100644 --- a/Arcade_MiST/Custom Hardware/Berzerk_MiST/rtl/build_id.v +++ b/Arcade_MiST/Custom Hardware/Berzerk_MiST/rtl/build_id.v @@ -1,2 +1,2 @@ -`define BUILD_DATE "180708" -`define BUILD_TIME "072736" +`define BUILD_DATE "180812" +`define BUILD_TIME "123132"