diff --git a/Arcade_MiST/IremM62 Hardware/IremM62.qsf b/Arcade_MiST/IremM62 Hardware/IremM62.qsf index ab2cdabc..759c007f 100644 --- a/Arcade_MiST/IremM62 Hardware/IremM62.qsf +++ b/Arcade_MiST/IremM62 Hardware/IremM62.qsf @@ -235,6 +235,7 @@ set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top # end ENTITY(IremM62_MiST) # --------------------------- set_location_assignment PLL_1 -to pll|altpll_component|auto_generated|pll1 +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top set_global_assignment -name SYSTEMVERILOG_FILE rtl/IremM62_MiST.sv set_global_assignment -name VHDL_FILE rtl/target_top.vhd set_global_assignment -name VHDL_FILE rtl/platform_variant_pkg.vhd @@ -263,8 +264,8 @@ set_global_assignment -name VHDL_FILE rtl/spram.vhd set_global_assignment -name SYSTEMVERILOG_FILE rtl/sdram.sv set_global_assignment -name VHDL_FILE rtl/pll_mist.vhd set_global_assignment -name SYSTEMVERILOG_FILE rtl/YM2149.sv -set_global_assignment -name QIP_FILE rtl/jt5205/jt5205.qip set_global_assignment -name VHDL_FILE rtl/Sound_Board.vhd +set_global_assignment -name QIP_FILE ../../common/Sound/jt5205/jt5205.qip set_global_assignment -name QIP_FILE ../../common/mist/mist.qip set_global_assignment -name QIP_FILE ../../common/CPU/T80/T80.qip set_global_assignment -name VHDL_FILE ../../common/CPU/T80/Z80.vhd @@ -273,5 +274,4 @@ set_global_assignment -name SIGNALTAP_FILE output_files/sp.stp set_global_assignment -name SIGNALTAP_FILE output_files/tilemap.stp set_global_assignment -name SIGNALTAP_FILE output_files/sprite.stp set_global_assignment -name SIGNALTAP_FILE output_files/cpu.stp -set_global_assignment -name SIGNALTAP_FILE output_files/snd.stp -set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file +set_global_assignment -name SIGNALTAP_FILE output_files/snd.stp \ No newline at end of file diff --git a/Arcade_MiST/IremM62 Hardware/rtl/jt5205/LICENSE b/common/Sound/jt5205/LICENSE similarity index 100% rename from Arcade_MiST/IremM62 Hardware/rtl/jt5205/LICENSE rename to common/Sound/jt5205/LICENSE diff --git a/Arcade_MiST/IremM62 Hardware/rtl/jt5205/README.md b/common/Sound/jt5205/README.md similarity index 100% rename from Arcade_MiST/IremM62 Hardware/rtl/jt5205/README.md rename to common/Sound/jt5205/README.md diff --git a/Arcade_MiST/IremM62 Hardware/rtl/jt5205/jt5205.qip b/common/Sound/jt5205/jt5205.qip similarity index 100% rename from Arcade_MiST/IremM62 Hardware/rtl/jt5205/jt5205.qip rename to common/Sound/jt5205/jt5205.qip diff --git a/Arcade_MiST/IremM62 Hardware/rtl/jt5205/jt5205.v b/common/Sound/jt5205/jt5205.v similarity index 100% rename from Arcade_MiST/IremM62 Hardware/rtl/jt5205/jt5205.v rename to common/Sound/jt5205/jt5205.v diff --git a/Arcade_MiST/IremM62 Hardware/rtl/jt5205/jt5205_adpcm.v b/common/Sound/jt5205/jt5205_adpcm.v similarity index 100% rename from Arcade_MiST/IremM62 Hardware/rtl/jt5205/jt5205_adpcm.v rename to common/Sound/jt5205/jt5205_adpcm.v diff --git a/Arcade_MiST/IremM62 Hardware/rtl/jt5205/jt5205_interpol2x.v b/common/Sound/jt5205/jt5205_interpol2x.v similarity index 100% rename from Arcade_MiST/IremM62 Hardware/rtl/jt5205/jt5205_interpol2x.v rename to common/Sound/jt5205/jt5205_interpol2x.v diff --git a/Arcade_MiST/IremM62 Hardware/rtl/jt5205/jt5205_timing.v b/common/Sound/jt5205/jt5205_timing.v similarity index 94% rename from Arcade_MiST/IremM62 Hardware/rtl/jt5205/jt5205_timing.v rename to common/Sound/jt5205/jt5205_timing.v index 2906f1ed..a8dd1f09 100644 --- a/Arcade_MiST/IremM62 Hardware/rtl/jt5205/jt5205_timing.v +++ b/common/Sound/jt5205/jt5205_timing.v @@ -41,12 +41,12 @@ end always @(posedge clk) begin - if (lim == 1) begin - cnt <= 1'b0; + if (sel == 2'd3) begin + cnt <= 7'd0; vclk_o <= 1'b0; end if(cen) begin - if (lim != 1) cnt <= cnt + 7'd1; + if (sel != 2'd3) cnt <= cnt + 7'd1; pre <= 1'b0; preb <= 1'b0;