From bde4d8fd783d3637243a4441eaacf5754b6255d3 Mon Sep 17 00:00:00 2001 From: Gyorgy Szombathelyi Date: Wed, 12 Feb 2020 20:47:01 +0100 Subject: [PATCH] Scramble HW: ARC files are also generated from MRA + Dark Planet fix --- .../Scramble_MiST/README.txt | 2 +- .../Scramble_MiST/meta/Amidar.arc | 4 - .../Scramble_MiST/meta/Anteater.arc | 5 -- .../Scramble_MiST/meta/Armored Car.arc | 5 -- .../Scramble_MiST/meta/Atlantis.arc | 4 - .../Scramble_MiST/meta/Calipso.arc | 5 -- .../Scramble_MiST/meta/Dark Planet.arc | 4 - .../Scramble_MiST/meta/Frogger.arc | 4 - .../Scramble_MiST/meta/Lost Tomb.arc | 5 -- .../Scramble_MiST/meta/Mars.arc | 4 - .../Scramble_MiST/meta/Mighty Monkey.arc | 5 -- .../Scramble_MiST/meta/Minefield.arc | 7 -- .../Scramble_MiST/meta/Moon War.arc | 4 - .../Scramble_MiST/meta/Rescue.arc | 7 -- .../Scramble_MiST/meta/Scramble.arc | 4 - .../Scramble_MiST/meta/Speed Coin.arc | 5 -- .../Scramble_MiST/meta/StrategyX.arc | 4 - .../Scramble_MiST/meta/Super Cobra.arc | 5 -- .../Scramble_MiST/meta/Tazzmania.arc | 5 -- .../Scramble_MiST/meta/Turtles.arc | 4 - .../Scramble_MiST/meta/amidar.mra | 5 ++ .../Scramble_MiST/meta/anteater.mra | 6 ++ .../Scramble_MiST/meta/armorcar.mra | 6 ++ .../Scramble_MiST/meta/atlantis.mra | 6 +- .../Scramble_MiST/meta/calipso.mra | 6 ++ .../Scramble_MiST/meta/darkplnt.mra | 5 ++ .../Scramble_MiST/meta/frogger.mra | 5 ++ .../Scramble_MiST/meta/losttomb.mra | 6 ++ .../Scramble_MiST/meta/mars.mra | 6 +- .../Scramble_MiST/meta/mimonkey.mra | 8 +- .../Scramble_MiST/meta/minefld.mra | 8 ++ .../Scramble_MiST/meta/moonwar.mra | 7 +- .../Scramble_MiST/meta/rescue.mra | 8 ++ .../Scramble_MiST/meta/scobra.mra | 6 ++ .../Scramble_MiST/meta/scramble.mra | 5 ++ .../Scramble_MiST/meta/spdcoin.mra | 6 ++ .../Scramble_MiST/meta/stratgyx.mra | 6 +- .../Scramble_MiST/meta/tazmania.mra | 8 +- .../Scramble_MiST/meta/turtles.mra | 5 ++ .../Scramble_MiST/rtl/ScrambleMist.sv | 88 ++++++++----------- .../Scramble_MiST/rtl/scramble_video.vhd | 18 ++-- 41 files changed, 164 insertions(+), 152 deletions(-) delete mode 100644 Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/Amidar.arc delete mode 100644 Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/Anteater.arc delete mode 100644 Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/Armored Car.arc delete mode 100644 Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/Atlantis.arc delete mode 100644 Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/Calipso.arc delete mode 100644 Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/Dark Planet.arc delete mode 100644 Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/Frogger.arc delete mode 100644 Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/Lost Tomb.arc delete mode 100644 Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/Mars.arc delete mode 100644 Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/Mighty Monkey.arc delete mode 100644 Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/Minefield.arc delete mode 100644 Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/Moon War.arc delete mode 100644 Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/Rescue.arc delete mode 100644 Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/Scramble.arc delete mode 100644 Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/Speed Coin.arc delete mode 100644 Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/StrategyX.arc delete mode 100644 Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/Super Cobra.arc delete mode 100644 Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/Tazzmania.arc delete mode 100644 Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/Turtles.arc diff --git a/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/README.txt b/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/README.txt index f51e3b49..6329e838 100644 --- a/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/README.txt +++ b/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/README.txt @@ -4,8 +4,8 @@ -- 10 November 2017 -- -- Usage: +-- Create ROM and ARC files from MAME ROM zip files using the mra utility and the MRA files. -- Copy the RBF and the ARC files to the same folder. --- Create ROM files from MAME ROM zip files using the mra utility and the MRA files. -- Example: mra -z /path/to/mame/roms scramble.mra -- Copy the ROM files to the root of the SD Card. -- diff --git a/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/Amidar.arc b/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/Amidar.arc deleted file mode 100644 index 26925577..00000000 --- a/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/Amidar.arc +++ /dev/null @@ -1,4 +0,0 @@ -[ARC] -RBF=SCRAMBLE -MOD=1 -CONF=O78,Lives,3,4,5,Unl diff --git a/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/Anteater.arc b/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/Anteater.arc deleted file mode 100644 index c16e46b4..00000000 --- a/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/Anteater.arc +++ /dev/null @@ -1,5 +0,0 @@ -[ARC] -RBF=SCRAMBLE -MOD=10 -CONF=O7,Lives,3,5 -CONF=OA,Demo Sounds,Off,On diff --git a/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/Armored Car.arc b/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/Armored Car.arc deleted file mode 100644 index 5d7ffe9b..00000000 --- a/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/Armored Car.arc +++ /dev/null @@ -1,5 +0,0 @@ -[ARC] -RBF=SCRAMBLE -MOD=5 -CONF=O7,Lives,3,5 -CONF=OA,Demo Sounds,Off,On diff --git a/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/Atlantis.arc b/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/Atlantis.arc deleted file mode 100644 index 1f3d7c46..00000000 --- a/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/Atlantis.arc +++ /dev/null @@ -1,4 +0,0 @@ -[ARC] -RBF=SCRAMBLE -MOD=13 -CONF=O7,Lives,3,5 diff --git a/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/Calipso.arc b/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/Calipso.arc deleted file mode 100644 index 0a7a0cd5..00000000 --- a/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/Calipso.arc +++ /dev/null @@ -1,5 +0,0 @@ -[ARC] -RBF=SCRAMBLE -MOD=8 -CONF=O7,Lives,3,5 -CONF=OA,Demo Sounds,Off,On diff --git a/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/Dark Planet.arc b/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/Dark Planet.arc deleted file mode 100644 index 58ee2160..00000000 --- a/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/Dark Planet.arc +++ /dev/null @@ -1,4 +0,0 @@ -[ARC] -RBF=SCRAMBLE -MOD=9 - diff --git a/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/Frogger.arc b/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/Frogger.arc deleted file mode 100644 index e9d2fc09..00000000 --- a/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/Frogger.arc +++ /dev/null @@ -1,4 +0,0 @@ -[ARC] -RBF=SCRAMBLE -MOD=2 -CONF=O78,Lives,3,5,7,Unl diff --git a/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/Lost Tomb.arc b/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/Lost Tomb.arc deleted file mode 100644 index aa537248..00000000 --- a/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/Lost Tomb.arc +++ /dev/null @@ -1,5 +0,0 @@ -[ARC] -RBF=SCRAMBLE -MOD=11 -CONF=O78,Lives,3,5,Free Play,Invuln. -CONF=OA,Demo Sounds,Off,On diff --git a/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/Mars.arc b/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/Mars.arc deleted file mode 100644 index a93c5876..00000000 --- a/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/Mars.arc +++ /dev/null @@ -1,4 +0,0 @@ -[ARC] -RBF=SCRAMBLE -MOD=12 -CONF=O7,Lives,3,Unl diff --git a/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/Mighty Monkey.arc b/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/Mighty Monkey.arc deleted file mode 100644 index 686c7ce0..00000000 --- a/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/Mighty Monkey.arc +++ /dev/null @@ -1,5 +0,0 @@ -[ARC] -RBF=SCRAMBLE -MOD=18 -CONF=O78,Lives,3,4,5,6 -CONF=O9,Infinite Lives,Off,On diff --git a/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/Minefield.arc b/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/Minefield.arc deleted file mode 100644 index 45fcca0d..00000000 --- a/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/Minefield.arc +++ /dev/null @@ -1,7 +0,0 @@ -[ARC] -RBF=SCRAMBLE -MOD=16 -CONF=O7,Lives,3,5 -CONF=O89,Difficulty,Easy,Medium,Hard,Hardest -CONF=OB,Start Level,1,3 -CONF=OA,Demo Sounds,Off,On diff --git a/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/Moon War.arc b/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/Moon War.arc deleted file mode 100644 index 6e04cc34..00000000 --- a/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/Moon War.arc +++ /dev/null @@ -1,4 +0,0 @@ -[ARC] -RBF=SCRAMBLE -MOD=6 -CONF=O78,Lives,3,4,5,Unl diff --git a/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/Rescue.arc b/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/Rescue.arc deleted file mode 100644 index 41890e67..00000000 --- a/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/Rescue.arc +++ /dev/null @@ -1,7 +0,0 @@ -[ARC] -RBF=SCRAMBLE -MOD=17 -CONF=O7,Lives,3,5 -CONF=O8,Difficulty,Easy,Hard -CONF=O9,Start Level,1,3 -CONF=OA,Demo Sounds,Off,On diff --git a/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/Scramble.arc b/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/Scramble.arc deleted file mode 100644 index f30fa498..00000000 --- a/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/Scramble.arc +++ /dev/null @@ -1,4 +0,0 @@ -[ARC] -RBF=SCRAMBLE -MOD=0 -CONF=O78,Lives,3,4,5,Unl diff --git a/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/Speed Coin.arc b/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/Speed Coin.arc deleted file mode 100644 index 5895d772..00000000 --- a/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/Speed Coin.arc +++ /dev/null @@ -1,5 +0,0 @@ -[ARC] -RBF=SCRAMBLE -MOD=7 -CONF=O7,Lives,3,5 -CONF=OB,Difficulty,Easy,Hard diff --git a/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/StrategyX.arc b/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/StrategyX.arc deleted file mode 100644 index 01cf90fa..00000000 --- a/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/StrategyX.arc +++ /dev/null @@ -1,4 +0,0 @@ -[ARC] -RBF=SCRAMBLE -MOD=14 -CONF=O78,Lives,3,4,5,Unl diff --git a/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/Super Cobra.arc b/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/Super Cobra.arc deleted file mode 100644 index d2b6ac93..00000000 --- a/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/Super Cobra.arc +++ /dev/null @@ -1,5 +0,0 @@ -[ARC] -RBF=SCRAMBLE -MOD=3 -CONF=O7,Lives,3,4 -CONF=O9,Continue,No,Yes diff --git a/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/Tazzmania.arc b/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/Tazzmania.arc deleted file mode 100644 index 40cb853c..00000000 --- a/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/Tazzmania.arc +++ /dev/null @@ -1,5 +0,0 @@ -[ARC] -RBF=SCRAMBLE -MOD=4 -CONF=O7,Lives,3,5 -CONF=OA,Demo Sounds,Off,On diff --git a/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/Turtles.arc b/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/Turtles.arc deleted file mode 100644 index f0cbc5d2..00000000 --- a/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/Turtles.arc +++ /dev/null @@ -1,4 +0,0 @@ -[ARC] -RBF=SCRAMBLE -MOD=15 -CONF=O78,Lives,3,4,5,Unl diff --git a/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/amidar.mra b/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/amidar.mra index caeda697..3f75ef70 100644 --- a/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/amidar.mra +++ b/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/amidar.mra @@ -1,6 +1,11 @@ Amidar (Scramble hardware) + scramble 0209 + + + + 1 diff --git a/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/anteater.mra b/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/anteater.mra index 438719bc..fb761561 100644 --- a/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/anteater.mra +++ b/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/anteater.mra @@ -1,6 +1,12 @@ Ant Eater 0209 + scramble + + + + + 0A diff --git a/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/armorcar.mra b/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/armorcar.mra index 800231da..22da3ed5 100644 --- a/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/armorcar.mra +++ b/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/armorcar.mra @@ -1,6 +1,12 @@ Armored Car 0209 + scramble + + + + + 5 diff --git a/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/atlantis.mra b/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/atlantis.mra index 332a5536..4ce34f75 100644 --- a/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/atlantis.mra +++ b/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/atlantis.mra @@ -1,6 +1,10 @@ Battle of Atlantis scramble + + + + 0D @@ -23,7 +27,7 @@ - + diff --git a/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/calipso.mra b/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/calipso.mra index 1f9d9347..260872ca 100644 --- a/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/calipso.mra +++ b/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/calipso.mra @@ -1,6 +1,12 @@ Calipso 0209 + scramble + + + + + 8 diff --git a/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/darkplnt.mra b/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/darkplnt.mra index 539be507..58f6a436 100644 --- a/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/darkplnt.mra +++ b/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/darkplnt.mra @@ -1,6 +1,11 @@ Dark Planet 0209 + scramble + + + + 9 diff --git a/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/frogger.mra b/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/frogger.mra index 2fabbfee..6d0002fe 100644 --- a/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/frogger.mra +++ b/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/frogger.mra @@ -1,6 +1,11 @@ Frogger (Sega set 2) 0209 + scramble + + + + 2 diff --git a/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/losttomb.mra b/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/losttomb.mra index 4a9bd17a..b97bd9d5 100644 --- a/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/losttomb.mra +++ b/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/losttomb.mra @@ -1,6 +1,12 @@ Lost Tomb 0209 + scramble + + + + + 0B diff --git a/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/mars.mra b/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/mars.mra index 9bbdfc52..b593e249 100644 --- a/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/mars.mra +++ b/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/mars.mra @@ -1,6 +1,10 @@ Mars scramble + + + + 0C @@ -23,7 +27,7 @@ - + diff --git a/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/mimonkey.mra b/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/mimonkey.mra index 2965d346..76792be8 100644 --- a/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/mimonkey.mra +++ b/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/mimonkey.mra @@ -1,7 +1,13 @@ Mighty Monkey 0209 - + scramble + + + + + 12 + diff --git a/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/minefld.mra b/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/minefld.mra index d500174a..ce45d884 100644 --- a/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/minefld.mra +++ b/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/minefld.mra @@ -1,5 +1,13 @@ Minefield + scramble + + + + + + + 10 0209 diff --git a/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/moonwar.mra b/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/moonwar.mra index 6cfc37cc..f9c3a1fa 100644 --- a/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/moonwar.mra +++ b/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/moonwar.mra @@ -1,6 +1,11 @@ - Moonwar + Moon War 0209 + scramble + + + + 6 diff --git a/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/rescue.mra b/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/rescue.mra index a58b56d4..5f9279c9 100644 --- a/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/rescue.mra +++ b/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/rescue.mra @@ -1,6 +1,14 @@ Rescue 0209 + scramble + + + + + + + 11 diff --git a/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/scobra.mra b/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/scobra.mra index 0166e106..24931773 100644 --- a/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/scobra.mra +++ b/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/scobra.mra @@ -1,6 +1,12 @@ Super Cobra 0209 + scramble + + + + + 3 diff --git a/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/scramble.mra b/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/scramble.mra index 08a2653e..222b363d 100644 --- a/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/scramble.mra +++ b/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/scramble.mra @@ -1,6 +1,11 @@ Scramble (Stern Electronics set 1) 0209 + scramble + + + + 0 diff --git a/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/spdcoin.mra b/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/spdcoin.mra index a9f7d359..77d85d04 100644 --- a/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/spdcoin.mra +++ b/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/spdcoin.mra @@ -1,6 +1,12 @@ Speed Coin 0209 + scramble + + + + + 7 diff --git a/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/stratgyx.mra b/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/stratgyx.mra index 9db82b2d..3010e8e4 100644 --- a/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/stratgyx.mra +++ b/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/stratgyx.mra @@ -1,6 +1,10 @@ Strategy X scramble + + + + 0E @@ -13,7 +17,7 @@ - + diff --git a/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/tazmania.mra b/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/tazmania.mra index 25c03b86..68b0a92f 100644 --- a/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/tazmania.mra +++ b/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/tazmania.mra @@ -1,6 +1,12 @@ - Tazmania + Tazzmania 0209 + scramble + + + + + 4 diff --git a/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/turtles.mra b/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/turtles.mra index 167eafbf..c7c6a2bf 100644 --- a/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/turtles.mra +++ b/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/meta/turtles.mra @@ -1,6 +1,11 @@ Turtles 0209 + scramble + + + + 0F diff --git a/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/rtl/ScrambleMist.sv b/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/rtl/ScrambleMist.sv index 80c93704..8f37c04a 100644 --- a/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/rtl/ScrambleMist.sv +++ b/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/rtl/ScrambleMist.sv @@ -54,8 +54,11 @@ module ScrambleMist `include "rtl\build_id.v" +`define CORE_NAME "SCRAMBLE" +wire [6:0] core_mod; + localparam CONF_STR = { - ";ROM;", + `CORE_NAME, ";ROM;", "O2,Rotate Controls,Off,On;", "O34,Scanlines,Off,25%,50%,75%;", "O5,Blending,Off,On;", @@ -66,8 +69,6 @@ localparam CONF_STR = { }; integer hwsel = 0; -wire [6:0] core_mod; -reg [8*8-1:0] core_name; reg [7:0] input0; reg [7:0] input1; reg [7:0] input2; @@ -80,144 +81,125 @@ always @(*) begin input2 = ~{ 1'b1, m_down, 1'b1, m_up, /*cabinet*/1'b1, /*coinage*/2'b11, m_down2 }; case (core_mod) - 7'h0: + 7'h0: // SCRAMBLE begin - core_name = "SCRAMBLE"; hwsel = 0; end - 7'h1: + 7'h1: // AMIDAR begin - core_name = "AMIDAR "; hwsel = 0; input1[1:0] = ~status[8:7]; // lives345unl //input2[1] = status[10]; // demo sounds - no effect end - 7'h2: + 7'h2: // FROGGER begin - core_name = "FROGGER "; hwsel = 1; end - 7'h3: + 7'h3: // SCOBRA begin - core_name = "SCOBRA "; hwsel = 2; input1[0] = status[9]; // allow continue input1[1] = status[7]; // lives34 end - 7'h4: + 7'h4: // TAZMANIA begin - core_name = "TAZMANIA"; hwsel = 2; input0 = ~{ m_coin1, m_coin2, m_left, m_right, m_down, m_up, m_fireA, m_fireB }; input1 = ~{ m_fire2A, m_fire2B, m_left2, m_right2, m_up2, m_down2, /*demosnd*/status[10], /*lives35*/status[7] }; input2 = ~{ 1'b1, m_two_players, 2'b10, 3'b111, m_one_player }; // unknown, start2, 2xunknown, cabinet, 2xcoinage, start1 end - 7'h5: + 7'h5: // ARMORCAR begin - core_name = "ARMORCAR"; hwsel = 2; input1[0] = ~status[7]; //lives35 input1[1] = ~status[10]; // demo sounds end - 7'h6: + 7'h6: // MOONWAR begin - core_name = "MOONWAR "; hwsel = 2; input0 = ~{ m_coin1, m_coin2, 1'b0, dial }; input1 = ~{ m_fireA, m_fireB, m_fireC, m_fireD, m_two_players, m_one_player, /*live345*/~status[8:7] }; input2 = ~{ 4'h0, 1'b1, 2'b11, 1'b0 }; // 4xunused, cabinet, coinage, p2fire(cocktail) end - 7'h7: + 7'h7: // SPDCOIN begin - core_name = "SPDCOIN "; hwsel = 2; input0 = ~{ m_coin1, m_coin2, m_left, m_right, m_two_players, 1'b0, m_one_player, 1'b0 }; input1 = { 4'hf, 2'b00, 1'b0, 1'b0 }; // 6xunused, freeplay, freeze input2 = { 4'hf, ~status[7], status[11], 1'b1, 1'b1}; // 4xunused, lives35, difficulty, unknown, unused end - 7'h8: + 7'h8: // CALIPSO begin - core_name = "CALIPSO "; hwsel = 3; input0 = ~{ m_coin1, m_coin2, m_left, m_right, m_down, m_up, 1'b1, m_two_players|m_fire2A }; // coin1, coin2, left, right, down, up, unused, start 2p / player2 fire input1 = ~{ 1'b1, 1'b1, m_left2, m_right2, m_down2, m_up2, status[10], status[7] }; // unused, unused, left, right, down, up, demo sounds, lives 3/5 input2 = ~{ 5'b0, 2'b10, m_fireA | m_one_player }; // unused[7:3], coin dip[2:1], start 1p / player1 fire end - 7'h9: + 7'h9: // DARKPLNT begin - core_name = "DARKPLNT"; hwsel = 4; input0 = ~{ m_coin1, m_coin2, 3'b000, m_two_players | m_fireB, m_one_player | m_fireA, m_fireC }; input1 = { darkplnt_dial_scrambled, /*lives*/status[7], /*bonus*/1'b0 }; input2 = { /*unk*/4'hf, /*bonus life*/1'b0, /*coinage*/ 2'b10, /*unk*/1'b1 }; end - 7'hA: + 7'hA: // ANTEATER begin - core_name = "ANTEATER"; hwsel = 6; input0 = ~{ m_coin1, m_coin2, m_left, m_right, m_down, m_up, m_fireA, m_fireB }; input1 = ~{ m_fire2A, m_fire2B, m_left2, m_right2, m_up2, m_down2, /*demosdns*/status[10], /*lives35*/status[7] }; input2 = ~{ 1'b1, m_two_players, 2'b10, 3'b111, m_one_player }; end - 7'hB: + 7'hB: // LOSTTOMB begin - core_name = "LOSTTOMB"; hwsel = 7; input0 = ~{ m_coin1, m_coin2, m_left, m_right, m_down, m_up, m_one_player, m_two_players }; input1 = ~{ 1'b0, m_fireA, m_left2, m_right2, m_down2, m_up2, /*lives35/free play/invulnerability*/~(status[8:7]+1'd1) }; input2 = ~{ 4'h0, status[10], 2'b10, 1'b0 }; //4xunused, demo sounds, 2xcoinage, unused end - 7'hC: + 7'hC: // MARS begin - core_name = "MARS "; hwsel = 10; input0 = ~{ m_coin1, m_coin2, m_left, m_right, m_left2 | m_fireA, m_right2 | m_fireB, 1'b0, 1'b0 }; input1 = ~{ m_one_player, m_two_players, 4'h0, /*coinage*/2'b11 }; input2 = ~{ m_up2 | m_fireC, m_down, m_down2 | m_fireD, m_up, /*lives*/status[7], /*unk*/1'b0, /*cabinet*/1'b1, 1'b0 }; end - 7'hD: + 7'hD: // ATLANTIS begin - core_name = "ATLANTIS"; hwsel = 0; input1[0] = 1'b0; // upright input1[1] = ~status[7]; // lives35 end - 7'hE: + 7'hE: // STRATGYX begin - core_name = "STRATGYX"; hwsel = 5; orientation = 2'b10; input0 = ~{ m_coin1, m_coin2, m_left, m_right, m_fireA, 1'b0, m_fireB, m_up2 }; input1 = ~{ m_one_player, m_two_players, m_left2, m_right2, m_fire2A, m_fire2B, ~status[8:7] }; input2 = ~{ m_fire2C, m_down, m_fireC, m_up, /*upright*/1'b1, /*coinage*/2'b00, m_down2 }; end - 7'hF: + 7'hF: // TURTLES begin - core_name = "TURTLES "; hwsel = 11; input0 = ~{ m_coin1, m_coin2, m_left, m_right, m_fireA, 1'b0, 1'b0, m_up2 }; input1 = ~{ m_one_player, m_two_players, m_left2, m_right2, m_fire2A, 1'b0, ~status[8:7] }; input2 = ~{ 1'b0, m_down, 1'b0, m_up, /*upright*/1'b1, /*coinage*/2'b00, m_down2 }; end - 7'h10: + 7'h10: // MINEFLD begin - core_name = "MINEFLD "; hwsel = 8; input0 = ~{ m_coin1, m_coin2, m_left, m_right, m_down, m_up, /*start level*/status[11], m_fireA }; input1 = ~{ /*2xunk*/2'b00, m_left2, m_right2, m_down2, m_up2, /*demosnd*/status[10], /*lives35*/status[7] }; input2 = ~{ /*unk*/1'b0, m_two_players, /*2xunk*/2'b00, /*difficulty*/status[9:8], /*coinage*/1'b0, m_one_player }; end - 7'h11: + 7'h11: // RESCUE begin - core_name = "RESCUE "; hwsel = 9; input0 = ~{ m_coin1, m_coin2, m_left, m_right, m_down, m_up, /*start level*/status[9], m_fireA }; input1 = ~{ /*2xunk*/2'b00, m_left2, m_right2, m_down2, m_up2, /*demosnd*/status[10], /*lives35*/status[7] }; input2 = ~{ /*unk*/1'b0, m_two_players, /*2xunk*/2'b00, /*difficulty*/~status[8], /*coinage*/2'b11, m_one_player }; end - 7'h12: + 7'h12: // MIMONKEY begin - core_name = "MIMONKEY"; hwsel = 12; input2[5] = status[9]; // infinite lives end @@ -225,7 +207,6 @@ always @(*) begin default: begin hwsel = 0; - core_name = "SCRAMBLE"; end endcase end @@ -292,10 +273,10 @@ wire key_pressed; wire [7:0] key_code; user_io #( - .STRLEN(8+($size(CONF_STR)>>3))) + .STRLEN($size(CONF_STR)>>3)) user_io( .clk_sys (clk_sys ), - .conf_str ({core_name, CONF_STR}), + .conf_str (CONF_STR ), .SPI_CLK (SPI_SCK ), .SPI_SS_IO (CONF_DATA0 ), .SPI_MISO (SPI_DO ), @@ -462,14 +443,21 @@ spinner spinner ( .strobe(vs), .spin_angle(darkplnt_dial) ); -wire [5:0] darkplnt_dial_scrambled = { - darkplnt_dial[3], - darkplnt_dial[5], - darkplnt_dial[6], - darkplnt_dial[4], - darkplnt_dial[2:1] + +wire [5:0] dp_remap[64] = +'{ + 6'h03, 6'h02, 6'h00, 6'h01, 6'h21, 6'h20, 6'h22, 6'h23, + 6'h33, 6'h32, 6'h30, 6'h31, 6'h11, 6'h10, 6'h12, 6'h13, + 6'h17, 6'h16, 6'h14, 6'h15, 6'h35, 6'h34, 6'h36, 6'h37, + 6'h3f, 6'h3e, 6'h3c, 6'h3d, 6'h1d, 6'h1c, 6'h1e, 6'h1f, + 6'h1b, 6'h1a, 6'h18, 6'h19, 6'h39, 6'h38, 6'h3a, 6'h3b, + 6'h2b, 6'h2a, 6'h28, 6'h29, 6'h09, 6'h08, 6'h0a, 6'h0b, + 6'h0f, 6'h0e, 6'h0c, 6'h0d, 6'h2d, 6'h2c, 6'h2e, 6'h2f, + 6'h27, 6'h26, 6'h24, 6'h25, 6'h05, 6'h04, 6'h06, 6'h07 }; +wire [5:0] darkplnt_dial_scrambled = dp_remap[darkplnt_dial[6:1]]; + wire m_up, m_down, m_left, m_right, m_fireA, m_fireB, m_fireC, m_fireD, m_fireE, m_fireF; wire m_up2, m_down2, m_left2, m_right2, m_fire2A, m_fire2B, m_fire2C, m_fire2D, m_fire2E, m_fire2F; wire m_tilt, m_coin1, m_coin2, m_coin3, m_coin4, m_one_player, m_two_players, m_three_players, m_four_players; diff --git a/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/rtl/scramble_video.vhd b/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/rtl/scramble_video.vhd index 380d5dda..63ce130e 100644 --- a/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/rtl/scramble_video.vhd +++ b/Arcade_MiST/Konami Scramble Hardware/Scramble_MiST/rtl/scramble_video.vhd @@ -585,7 +585,11 @@ begin if (ENA = '1') then if (sld_l = '0') then - shell_cnt <= hpla; + if I_HWSEL = I_HWSEL_DARKPLNT then + shell_cnt <= 240 - hpla; + else + shell_cnt <= hpla; + end if; elsif (cblank_l = '1') then shell_cnt <= shell_cnt + "1"; else @@ -781,10 +785,14 @@ begin video(2)(3) := '0'; -- r if (vidout_l = '0') then -- cs_l on col rom - - video(0)(2 downto 0) := obj_lut_out(7 downto 6) & '0'; - video(1)(2 downto 0) := obj_lut_out(5 downto 3); - video(2)(2 downto 0) := obj_lut_out(2 downto 0); + if I_HWSEL = I_HWSEL_DARKPLNT then + video(0) := "00" & obj_lut_out(5 downto 3); + video(2) := "00" & obj_lut_out(2 downto 0); + else + video(0)(2 downto 0) := obj_lut_out(7 downto 6) & '0'; + video(1)(2 downto 0) := obj_lut_out(5 downto 3); + video(2)(2 downto 0) := obj_lut_out(2 downto 0); + end if; else video(0)(2 downto 0) := "000"; video(1)(2 downto 0) := "000";