From c23fc0a76ce8b443a28a720a54ce382ab1f9588c Mon Sep 17 00:00:00 2001 From: Marcel Date: Wed, 20 Mar 2019 19:41:39 +0100 Subject: [PATCH] Some Changes --- .../Berzerk_MiST/Berzerk_MiST.qsf | 85 ++-- .../Berzerk_MiST/Release/Berzerk_MiST.rbf | Bin 283286 -> 279016 bytes .../Berzerk_MiST/rtl/berzerk.vhd | 47 +-- .../Berzerk_MiST/rtl/berzerk_mist.sv | 151 ++++--- .../Berzerk_MiST/rtl/build_id.v | 4 +- .../Berzerk_MiST/rtl/keyboard.v | 82 ---- .../Berzerk_MiST/rtl/line_doubler.vhd | 104 ----- .../Berzerk_MiST/rtl/mist_io.v | 398 +++++++++--------- .../Custom Hardware/Berzerk_MiST/rtl/osd.v | 39 +- .../Berzerk_MiST/rtl/scandoubler.v | 24 +- .../Berzerk_MiST/rtl/video_gen.vhd | 12 +- .../Berzerk_MiST/rtl/video_mixer.sv | 25 +- 12 files changed, 388 insertions(+), 583 deletions(-) delete mode 100644 Arcade_MiST/Custom Hardware/Berzerk_MiST/rtl/keyboard.v delete mode 100644 Arcade_MiST/Custom Hardware/Berzerk_MiST/rtl/line_doubler.vhd diff --git a/Arcade_MiST/Custom Hardware/Berzerk_MiST/Berzerk_MiST.qsf b/Arcade_MiST/Custom Hardware/Berzerk_MiST/Berzerk_MiST.qsf index 1051134e..dda45872 100644 --- a/Arcade_MiST/Custom Hardware/Berzerk_MiST/Berzerk_MiST.qsf +++ b/Arcade_MiST/Custom Hardware/Berzerk_MiST/Berzerk_MiST.qsf @@ -1,6 +1,6 @@ # -------------------------------------------------------------------------- # # -# Copyright (C) 1991-2013 Altera Corporation +# Copyright (C) 1991-2014 Altera Corporation # Your use of Altera Corporation's design tools, logic functions # and other software and tools, and its AMPP partner logic # functions, and any output files from any of the foregoing @@ -17,15 +17,15 @@ # -------------------------------------------------------------------------- # # # Quartus II 64-Bit -# Version 13.1.0 Build 162 10/23/2013 SJ Web Edition -# Date created = 18:40:37 November 24, 2017 +# Version 13.1.4 Build 182 03/12/2014 SJ Web Edition +# Date created = 19:37:13 March 20, 2019 # # -------------------------------------------------------------------------- # # # Notes: # # 1) The default values for assignments are stored in the file: -# ace_assignment_defaults.qdf +# Berzerk_MiST_assignment_defaults.qdf # If this file doesn't exist, see file: # assignment_defaults.qdf # @@ -41,9 +41,31 @@ # ======================== set_global_assignment -name ORIGINAL_QUARTUS_VERSION 13.1 set_global_assignment -name PROJECT_CREATION_TIME_DATE "23:59:05 MARCH 16, 2017" -set_global_assignment -name LAST_QUARTUS_VERSION "13.0 SP1" +set_global_assignment -name LAST_QUARTUS_VERSION 13.1 set_global_assignment -name PROJECT_OUTPUT_DIRECTORY Output set_global_assignment -name PRE_FLOW_SCRIPT_FILE "quartus_sh:rtl/build_id.tcl" +set_global_assignment -name SYSTEMVERILOG_FILE rtl/berzerk_mist.sv +set_global_assignment -name VHDL_FILE rtl/berzerk.vhd +set_global_assignment -name VHDL_FILE rtl/video_gen.vhd +set_global_assignment -name VHDL_FILE rtl/berzerk_speech.vhd +set_global_assignment -name VHDL_FILE rtl/berzerk_speech_rom.vhd +set_global_assignment -name VHDL_FILE rtl/berzerk_sound_fx.vhd +set_global_assignment -name VHDL_FILE rtl/berzerk_program2.vhd +set_global_assignment -name VHDL_FILE rtl/berzerk_program1.vhd +set_global_assignment -name VHDL_FILE rtl/gen_ram.vhd +set_global_assignment -name VHDL_FILE rtl/T80/T80se.vhd +set_global_assignment -name VHDL_FILE rtl/T80/T80_Reg.vhd +set_global_assignment -name VHDL_FILE rtl/T80/T80_Pack.vhd +set_global_assignment -name VHDL_FILE rtl/T80/T80_MCode.vhd +set_global_assignment -name VHDL_FILE rtl/T80/T80_ALU.vhd +set_global_assignment -name VHDL_FILE rtl/T80/T80.vhd +set_global_assignment -name SYSTEMVERILOG_FILE rtl/video_mixer.sv +set_global_assignment -name VERILOG_FILE rtl/scandoubler.v +set_global_assignment -name VERILOG_FILE rtl/pll.v +set_global_assignment -name VERILOG_FILE rtl/osd.v +set_global_assignment -name VERILOG_FILE rtl/mist_io.v +set_global_assignment -name SYSTEMVERILOG_FILE rtl/hq2x.sv +set_global_assignment -name VHDL_FILE rtl/dac.vhd # Pin & Location Assignments # ========================== @@ -129,15 +151,17 @@ set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 # ================================ set_global_assignment -name FAMILY "Cyclone III" set_global_assignment -name TOP_LEVEL_ENTITY berzerk_mist +set_global_assignment -name DEVICE_FILTER_PACKAGE TQFP +set_global_assignment -name DEVICE_FILTER_PIN_COUNT 144 +set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 8 +set_global_assignment -name VERILOG_INPUT_VERSION SYSTEMVERILOG_2005 +set_global_assignment -name VERILOG_SHOW_LMF_MAPPING_MESSAGES OFF # Fitter Assignments # ================== set_global_assignment -name DEVICE EP3C25E144C8 set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 1 set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVTTL" -# set_global_assignment -name ENABLE_CONFIGURATION_PINS OFF -# set_global_assignment -name ENABLE_NCE_PIN OFF -# set_global_assignment -name ENABLE_BOOT_SEL_PIN OFF set_global_assignment -name CYCLONEIII_CONFIGURATION_SCHEME "PASSIVE SERIAL" set_global_assignment -name CRC_ERROR_OPEN_DRAIN OFF set_global_assignment -name FORCE_CONFIGURATION_VCCIO ON @@ -173,55 +197,26 @@ set_global_assignment -name OUTPUT_IO_TIMING_FAR_END_VMEAS "HALF SIGNAL SWING" - # EDA Netlist Writer Assignments # ============================== -set_global_assignment -name EDA_OUTPUT_DATA_FORMAT VHDL -section_id eda_simulation + set_global_assignment -name EDA_OUTPUT_DATA_FORMAT VHDL -section_id eda_simulation # end EDA_TOOL_SETTINGS(eda_simulation) # ------------------------------------- -# ---------------------- -# start ENTITY(ace_mist) +# -------------------------- +# start ENTITY(berzerk_mist) # start DESIGN_PARTITION(Top) # --------------------------- # Incremental Compilation Assignments # =================================== + set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top + set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top + set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top # end DESIGN_PARTITION(Top) # ------------------------- -# end ENTITY(ace_mist) -# -------------------- -set_global_assignment -name DEVICE_FILTER_PACKAGE TQFP -set_global_assignment -name DEVICE_FILTER_PIN_COUNT 144 -set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 8 -set_global_assignment -name VERILOG_INPUT_VERSION SYSTEMVERILOG_2005 -set_global_assignment -name VERILOG_SHOW_LMF_MAPPING_MESSAGES OFF -set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top -set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top -set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top -set_global_assignment -name SYSTEMVERILOG_FILE rtl/berzerk_mist.sv -set_global_assignment -name VHDL_FILE rtl/berzerk.vhd -set_global_assignment -name VHDL_FILE rtl/berzerk_speech.vhd -set_global_assignment -name VHDL_FILE rtl/berzerk_sound_fx.vhd -set_global_assignment -name VHDL_FILE rtl/berzerk_program2.vhd -set_global_assignment -name VHDL_FILE rtl/berzerk_program1.vhd -set_global_assignment -name VHDL_FILE rtl/line_doubler.vhd -set_global_assignment -name SYSTEMVERILOG_FILE rtl/video_mixer.sv -set_global_assignment -name VHDL_FILE rtl/video_gen.vhd -set_global_assignment -name VERILOG_FILE rtl/scandoubler.v -set_global_assignment -name VERILOG_FILE rtl/pll.v -set_global_assignment -name VERILOG_FILE rtl/osd.v -set_global_assignment -name VERILOG_FILE rtl/mist_io.v -set_global_assignment -name VERILOG_FILE rtl/keyboard.v -set_global_assignment -name SYSTEMVERILOG_FILE rtl/hq2x.sv -set_global_assignment -name VHDL_FILE rtl/gen_ram.vhd -set_global_assignment -name VHDL_FILE rtl/dac.vhd -set_global_assignment -name VHDL_FILE rtl/T80/T80se.vhd -set_global_assignment -name VHDL_FILE rtl/T80/T80_Reg.vhd -set_global_assignment -name VHDL_FILE rtl/T80/T80_Pack.vhd -set_global_assignment -name VHDL_FILE rtl/T80/T80_MCode.vhd -set_global_assignment -name VHDL_FILE rtl/T80/T80_ALU.vhd -set_global_assignment -name VHDL_FILE rtl/T80/T80.vhd -set_global_assignment -name VHDL_FILE rtl/berzerk_speech_rom.vhd +# end ENTITY(berzerk_mist) +# ------------------------ set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file diff --git a/Arcade_MiST/Custom Hardware/Berzerk_MiST/Release/Berzerk_MiST.rbf b/Arcade_MiST/Custom Hardware/Berzerk_MiST/Release/Berzerk_MiST.rbf index 67462f9e97d9446df6d8d1e93c00ed733d08759e..dc9183856efbd55bed3fb42597a42692165d58cb 100644 GIT binary patch literal 279016 zcmeFa4}2ZRRWCkwv+=v6X}NcEBQ~mWcSj>-b*&_dYWg$zyw|ro8p-Qx*_Kr$h|mv_ zg%XTun?Ll&>#sb1*}YoXQlrFwQ2PR}w6c0h0xgYW{GbnbjpQh83gN{`(~@6*59)-Z z^aqq)n?T)C+TZu=-nFk}*_LAEky0I7yR$R1XU?2CbLO10vwOe){R_kHZ^iHr|NIaC z{LkMxdHVEs|K;1KzyH0{-~RshzxTb{>AOO^# zDZL7>jRSfO>hDhTF6B)4vVi(?eH#nYXtwVGWq;oK>ccC-gd%pUVKURZht32Ss+00^u{xA@1K>vilul`)^y>-f7^Q7cy9DVq zom2Uvb5eToC8xIS=FkR5V(H zv>M&>B;bAk6%jpi7lEe%3#+7b5I%xbE>oGIa^gd-2KD@)e1en@R7P~vpNSuoM`y|> zp!5WQ@(Cy}r6X-God;=ula|gN=^g?is{lyvry4|iI#y2sB!J3i0BODSOnE7!c~n1@ zld~-{rqw}8SJHzhq9US)?j?L>z!cy^0HWbJK-$jv&L;qASxO6?2LVgl00Wz+dn z0OF|)Alm328t*ip%INxk)qv6|q-dl$fdKur|pornekk_7@PBz_S6#7i0z0vcDk zCZ5olfYJjsNOF?A7ivI73&`l5*LdyXM*#l>AUf*HKUxFICmM(*ng{9F?E$3o;&XsB zz3}{e4bptVQ%@JQX#ncai_t-OCg3grp(Hvg06G(H%1hf=%DE444}j>QaVOcYZ+BsO zZ4J_V>chJM>i|?l?4oOu57KP}_30$1r|0i$kd{&VLv_$m0}h}h6;V2cPuGC1ivT)5 z1Ry@tLp^UO|7Ad0PW+h!q}Oz&IY>bC(3lg{Op>d-2===bHNTE7c0O($l1EM8` z3(3X##)#Ul2WpQXp(r^fImz*}HK41MU&LafErpcl^=IOLJy2Qwbv+G~rg2}4hBS}X z6lyP}jb!V4fRqM0)Ahw*p+2dfE0j*#JKs5NFD)n9sc&gMv5RP+yc8}*6O}KdgVxw| zE-d5?wN1K{fYPKteh%>00Ft%606LRQrtoSF=$evgetNzGKx;PPOY>;n)&rH*1NFWB zI-%$+O++`*k;20@plhO$WR&I+wMXZp0HTf3DNr8iq!ij00pcCCmBPmXq%YEIT8~oN z7CS!!*asl_q;guH(mcw496&gU$DK8JJK(AXKzS)p5z#-w& zDofLJ{@WTX%p*DoeyIjcb&$4See6$8c2UQ^Q2@hoxeZ>3v_LfRhN<*sViLa>*Qm%>aC@=D@S3xM)y4JQ3YK;ud(qzyo2l=tfZvRgI-sGMvy;w9yg zjh4=d0QEFc+XOUU=}cvGCj11%8v;s`?MP>8=aV&{^lt;`Om_930ON~dSC%P4&(fP8i;+X|q(bj`dTKAl1ck^_<@l6_h?Xni4|>x%)cnFMJ)!b7?&h2I0D`ijn!pUxXPQ=bSZy&gd4 zYXKxH1Vjg&X+G1L^aSP6nXU;aO?fF$Ub@Z@J=90CpD(L}bbQh*iiNxlkml34-3FjM z0$RW5nsgS~(FBzKSwM1?9P9NjjUBaL4{3WuQwnJwov9rHN)t`gk1qm9u2P`ug>ZZU zJPWALs;7a{BztN5bf)$QC`~$y_kRJPycFpAVn95q2b!}qH&dYY7K85s zXztaQku5>6SRUnnwFZ=44%FjYO|Nobl>@6BSSkld|B*hOS3g)ZG(WFO-9@1;P07bU z8e4aDxvDO`%;Yos&ODk~=AGwOum04I1(|Ma&n?JY+GX{-^K|>tZaQDBd#}Fyd_~L7 zKew05MsfCrl_$(Ze3-cI7aSaOv8V(%N`{gYTn#CYAuFc`n!#cLayi{cH(O&mZXU~N zaHW)BoIQH47T=QIADJvc z&W8)vaA4@o!*eON=$iKq$1W94S8s4=%+*i*pJrB_G(D-c;377+PX!3IV*Aq=GYRB{Gvq|lg^ zfiQDo7?rfrk!}vM5?ru+4GhZ_aQyo}rt+16m6wi{p^L2&wp>Q8a_rwC#}Z|*+@96f zzq5En{pBU4-{33LCH3v>&8su%EH9RpTIJZ%_;j`wIA&$fUS3kFP<`nOmxN&6&8uTM zj~z?60LRKpsJ5gk?#ci4i%Y7wq)KmS%Ep$|g(XzVJ^N{zN=A@jc@C!pZU(I9_Gl)G zZ?-b9scji*OvwF`vCeEyGV_*wL-ki4SOPgqtg_PcY{f+IuHXBSC3fNB)!yg^13Xq2 zg26~GSWPU*jlGo2$3IDX8X=4){`JG5xL9;n+-TF-VI^`;Huy3V%> zPR9)$J*W4`w-!3yeR}WEZhLn0HfOrQxbE{m(^j-m_sMBvU3BM0x@Z2GzvP|X^TxfM z9|!IHSahdT5uAEhs$IPGTPwCAv+9q3^5T zE_3*nM8hws`uQs%P6W93g%#6>1@>se@{q-$*(AnxE}bXdu{>nwVmO=gud1ozUb=N5 zv9okBRt^8U{_@h&5ZM)*<*Ro0vd7|IRm#psZ7hB7ojqUi^7B_%FRqNh#=U&z=w&Om zl>8u?-EOA3`@r%C^n7=$YLoME=DhjI+;}}qSXjr@>Lpt5i{1{Cp4_WN%PukVsvnIl z?%1UEI42{#=?NI8M?L$A(R-bT8jN?J9_733XYcuASlp-!^ZUivz!nS7Jh8*yptp04 zXrE_sCl^QVj+uL2iCI>`S07u^Xm_w8b|m#6|BVkVt0Nbzyuu621>0Iy;VNOvVizj^ z&~R)uKD8XtctaY>)BzB-GWKYUY=y%lD<)&?4ZDsUf0W$hWrwbpeZvn$tlQ1p@Uho( zcN)p~YlPQfUARO`bwHVUh!v^D;=VEjZ<*JZExfyc>$@HaQQmu zolBsm?$%Yp>X@;lBsjKWrrK((dr2&>ue8$oV#SQx71!5qT*_8zC2=$P|M&^ok-cm? zdbu~`*`wcj>u!JAgC?v=B;-IE_V@CMbEtD8(N zzHZL1v1-K3=f}mb-q0LdT`4a}0_2KKev6OV;k-koGV~)wKuajwve*NIt^Q(Kie;^*%FK^B)tewB} z>K~prHx_pOwOzjsYUi~Pvhc1|!WMGmV%OlY^Dxh~F_;`S-?GN(5K;m@FP=Ub=z)y6!QdRpa%r=vQB@xSKlRl=6b zx;HAv+{}9qEVnP`ufOU;ou4<0m#iem3U^-f#(b@WHY+aP{nwJak}RtQaL%_eWaXmZ zZ|EQekKerG1wa1roOir1K);@| zGrT|k`J9FqK1iSOZg}CQ`1f-rzFGVI96G;LK5523s54aFm;8dx4;~WO_Wq~SlJH^$E+25^s@T7lf?w-0(n0)GIjwT zEUyS0TRC}M>Cc_OELk-6y=tB>Z;;d4tLFKoTE461`Ko!I&ZY~Wm;S2>^s>jijV+68 z8>yc9!(heCihs42G+p|=27H=s{>~Olk8|V0GhaNXmsWC9T#V_v45aHjm;P;ech);%5hcC&$(6M^^PtPw#PeGrhoz1OJpUriU z^v_b1Iycb`3)U)cKD zVWc5h9&uA|U+_^e18j@sgs{emL~54Sf&Hfz)B~0}@{Blcw4loQFYo+=`C|&nwG-m# zo&bDUR%fd}yWq=?3+`TUd49U$lCKsQyj&9`<5D|aor7*35DW;UWhoR<#Odwz3%Ws<2HPF6!nr=>&nz>5oX z5AIEs1uz?+HRbnGuom{u&9AnQLXdeVVZ!`+=e^qZw{?qityW54%*o|VqrYmJ-%=fY z$*361AC)~XB;vE+H|7H`7Ag!#q45)W8tzsdyrdttU$j0uZ`AyFi@r!1Iv(d@R=8nP zCI~aFQJ6`7-hPql7i#sJcW8SOVp+ifgIaY4JWvMaG7({9+)!g|zXX=Fr-hGy`%SBH z`LmB1mKm3iS6oueO9uDkzCBB>e9?+nEyn6A#iW=CL5YD^UwYAW{()a#PzRGD(J@xi z$+OdYLB+)`-}d+aZeDhLbIL7Tq{(@e&Uua;2Z9pNABz5X+J`psD`Bg7vZvHHH9Mpe81D`4>n&`sg_(_62HRxadNW z_Fxe?ydbv2P4m5+1(huu3+vM1H?KKgT_S9Gb}Osia^QT}NQ=P8hPl3UsJ=qqR3bX+ zR(j+__yZoS-Wbtj{hyb+U`;;{fVKhFzLfIiIEm_F2q{n?np#amn~H#l`*$71=l zyu~#Etz(O?Wrv@C%lWZ^+C>2Nz`O`yU6o(?PTj>NCKt-erJ!5sxo>6bsY%#`ajcoK zwb)&KmGh_F%-imnujFDx@id^Y37~d5KaTk&f3SD{b$XSE#xkY&-rLUDSA-{~Ek#JP zZCOzLFJD=#{xV6bp{Q=Z?p$Owww+sWv7G94&GQE}=_&QlN|yfRDW<~5zqaVadTo9E z_}3T45@|4vm@>nRmw(d=mt~{4C%^Ic^O-`VC96Yh)PVtsdY)hF{8!audxLrNB98aP zx(>?@IVPl!m*t@3zxm%UL#PC+0?XMFaRng}SeVLhF=t?|gpFPnM5E_DKKG`wL>qqqy@1MuFTF5RAtxe@=ED^Lr;Avi^ z3tv=!a=|Z=)b4I1X^lxnBf+vlZH4NQ-(RHB7>N~Q0!l=&7O8W-tm?6EEK(FBOK1be z<@NjU4U1^YnmfHnQy0#v{(oPBc*R=nj4^D~qE_)tJ(ElFR%aqF$r!%(BidP`I=RD| zrJROrK%-pwBUm`yiY zXC_*ppBK^j9tv&$OMNW4NyMjEnh7HkXAvWPW_iCrBfyZ~eswLB<(|0%^M(iHEe6Ts zu^=uj-RCq&9fkF;GYJxXM)Taa zbo#>u{v(|L(q{qPIJ1uZ{QGK=$yzZmCe%sRm+;X5qJZ!PdZ1sGB222E!G9Jxa)j`rw0ZQ0>o0ReukN z=H!exZ~M?Z*C-ZE;wkw@@eL-3iifIpyF~+;0FsNqZLQ~gM>%1qpgVCddIN|%pY%9E za$aJq?>NVyL3fi|i4(wH>!}ZBCdjDRXJ9y36M-4$!kr(u(#p=uCZusB-JMa?2Jr>{kF$7}JeIELycr)FI>!s|j@BFS_gUlO+0Y zqPxIDt<@kIp87#bOU|5moT~oA!=Mv5>hDHpp*{MTl=w3&TQ?z4&?ew0jgFKU9wcf~ z0EW){ccz7S&54_FPk!k)YI-9r#Z!#iRw_p zXB#RBJ0s(UOll~m*eAjBM(q@er~dB7h5Tb=qSIOml0_yEm$N?V z4BaM^qo{b=KQ~8Mud3V^`*qB)xJ)8&8 z2K;u&SnTDD0X=$K68eckq+y6q=A-NpVy&A6QQ*mZCuoXCBz;r-tDn6b-V?=jA>L`j zR)}`Pj5Q1SGp#5SG-nE>rVKPHo@4l|XAI)!Ixr@{V#(GdwnnFwZ2S!Ny1 z_^<3duZIOK1ks-H9H>BSyr6Uh#o=brnHv2*ZG)hmwUNw6NYSiWWPil_ernmS*2+6L zOZM0P6(!e+9As%>j6-J>B#ceYbnPrbiC8-Rn?d|!jEwIX zAPb8ER74E>ObBqNC4i%Ijt!{cHu~A$oa^Xk?vaytD}%)>tb4rH85 z&(8_nlX1RPC}g}`US+&N_2`x>T_?X&UgxuGY&jJ5{79jV>)Xv)hqZ2q3gZX+SdW># zZb#3XQ0+zeLRsIR=c4w5tO!BGfH~1u?mMAO=UL;)NzTS^ zwC}H6=ky)+LV0XgPn-9mO+CwuXst)1K6B!^vdHxK&a($!RIO|Fn^!&O|G#CfUlR_A zi9J6N6&~O{s6A?Ae>>)bG|)c-jA+#&KlaSSa&+SVjfg*B!XPBzua)lHHd%v4XvrMKykkYyj2(;s0$R_m&%{ezwW(sF04AREa5}YwwF;}cpk3EMz zCc6{_`kG>>olQ}9Q=CNKV*uwCLZDk1Eylk2t8c;p_abR!0&va<_yJ@P8!(Eb-EvwG ztqJz%Rv2AwH%nsCJY*p643N@EfU6drTT;kZ|E0jn0;YyzW5h(eVGQ!Pfp)_-ZPUtY z;EYMb8Q)mz!+buRp+BelIlUX~^>R91K4H0n?q=?M*LE%Kx{1fa7%Vp-(WZ_a=b7em zKes}GuvJ1_b5d-T=l6tpt`23Y@DKQXx}+Ao>i_(otVy$Nn~9tTfzChL+RXGzN)J z5CoZK8Z)}D4y-em9h zmY_;!At^|&J?I5*KPU&N9^F9~kN<3s2LCv|Cq5d?j@{cjMkKcPBrZA~OQjJmpp>z}CCGt@}IQ2_UiwBGr z(Agk)9}n_<+&a);ZEMb^F|*xo6GqQ!_IXW&Bu&(gSk9o<77btqfdgNi!|Zm zhLYB57u0A@mzV$rRZv*sJ;`#Bwz)%W9+HmZ6dwJO-jqLRbl6Q`5;v{|?Hg@)y!MTT zEe`Wchs|tTSeG%;pc%pxhG@$eQy4GkQ{K)ktx0QxXlt>p!|4bN2?>Z9;J@-cr6i>TRu98#TpPRJJhkN#yUM7zD*Va;W(q50z+Hj`;!x={w5G@dJKn3Q*MuIIRp zuy>mt7Yc{Fn2ylIoLWU_6aQpi2}&^og>$lu|tc*M~v>*07d1sOP?dAJ=@T*%@PzGjLe`XH}}ACXQe z#3$g@_ImGA1;^X2bdj5shgAX5hi!9go7(;Rt$k`+&+e8!wMBjKpEe#Ew406Tw}Vto z*y}rrm)WK%Zs6rGgFf`}seD5pU(Z2ov%z;l_aHUJ1Fyp{gxx4SW7;#OxM4`!?c?Ma z7742i_mBiEdOTyFg3jw;=KRVfB0Zo>)ADdi%k6d4s&djEgI_)NXs0A0Bw z9n(#{Xasbj-6@X11eiR{izA*Yaa%c0hhFM?j+ah+%tj8ZWLGLf9wlyoNx8jlcMrvuHh(7xn;nP^KUS zRzK45E;YIcxc!N3fc2D0d%<6}@0lNsDEuLUj16RNNC<(RVhVVC+-Q4R<4&j)1O+im z5pi)3-E8EhZ$=P=!eKMCH$M_kyI3LU0p5OzL)`;lx4+;(Pj&T6G)7ScMt?ViZ;5gc z)4=w4nAuTo8zmhM7!JZk+`2g1A6kAEHlw;i4dbPLfdmsB zLOQ~wSAFhpPk5)UuRS3?)q(_`5Q{rIj(T`PTuB}?@9U#)HoX|0a^jCRt#k08nW7($ zcEn$8`qaX&HdXGtiN5@__*a|Gph>^|bh?;4ul~-bllVt`rYF4Lc_^VKMt1>f9*uvo zcb#({at7j$NWD~kHA(!{$g6(*`20mA;^*h<{N!U)x5OW#>boWW7**fTPSBI);wxRr z*PH$kcjNl2!*42M>A@<)n3b7=%~*s8!2l+y$OPm`AUaCLNXL*a!89t!CmBcp(jk#T z_#nBi4m8(FR^Wuadt7)_#+1;fK|kDh9-%i z8a*)SwVMBfT#^+kgKn{8q=dR$v1?xXz+0%7@!iyI!ywzsEoU4wjmoiCWl@R%P1J*b z*10;H?OTNmbk_QZ7!lv1?Kc9iOhyJ#*$CE=qG*Tl0yCNrx)LTR@&pSaOd%K=F|Gi) z2~sdQMY{)*f#np4a=DF0?6wSXh{t9S zJrELpn{G?ALJYL=$Of%c&`6-?U^oET=1;Jksaunr+#?|m31l}63L0!SXmHnDXrpa34OTa0TG%42-VR5zz+^Wz2P4krM49t zf~T&k8~z0sB=Z3zj65zvAL0)@pks~Uxno{@g+t9LqPs9=965O4m6j%rvB&Rz6S2aM z?@5ly6bGf=B9Hl~tpL682p?V47*F?G)bH4Ryz`TnflM%4!{jCWu<{eIu&4x398wGl z+%Bg?AcWqk$~tNM6yPl;Niw#H^Jt}(!cei`)&KmsATVqjQ*{T2MG)K#;};F0x;+Tm za^(W~OK|K2GSO53liSiU;S$WXBVk2bt`5hSxo|Du0mljic0S~5t@gGDvS(CbEHywK zH$tN)Q=S=!UJqT z?4;-jYnldHIjE9$yjWcXaYM*Y0BvIlzU^Kx05ma?Fh0mowqSwO+H@ZhKO;du8X1bo z7ZMI8gr3*hNe30J`rr+^0LbaQDEJx{922fy{p+>JqTL*jT#(BXuEb&%=uNsqaTP2L zpi9v7rDeVbyV68_j)xllO-=K8C1^O#wx8Y_j~$~?h7@78U}+lU@Dbw-oGq{sj4EPe z(a}I8Gd9KTP#3^vl<1uaZxjZE#+0!^i5~gv(cgKC(ZR?(VWO8qZ=wk+<1{Dk8uBG| zLDGDX7G#II7_*m<55U*pOUk*C}-Fn3Qdi4oU#vOSg`LBJRQAwsg<; z+HvJ6nNu5-@)XS!NgF0McMNxS2xrLQ&K)Oz@@xCybl=5gIpgwUM$0j{-sQ_0v?8_? z-`LR%MJzb}3Bl2x)23U6BV3=6?fft=K%|CpTzpQlrl=yApw5vXa&`yeXnKq81?5;D z_*gzR>zzs|QBXvUD{z2YZmk*Wk#nMDPN<%tZm0V2SI>CeaK5jNy>TNb+dH^}VUGXe z3fIY!cAUePt`14buH!>iG%bV5Fdi;yP)pi%62n2xIE2hcAr1eO;0m(>&vbRR8Lqh} z-GV?|LZ2bUQR3QWI24l@BIRFmu*Navi2RP~7p9Z~QZ&cE~Ey~zm*aF`&ze#TMoG!hj@LZ#+;Bs|7 zqPEQywQZ_+(DAnU0rn6yZNDnpwgV1!lL4QNXost3XRe1+JjLO`6WwsTK`Y!!VZ-wl zH;Bp*^LK+Dc#V8Fq69^)8_|Lj;wVQ;@K4vPsrW&5QR^DU8rN0f?#oi6KeqcJ5DK3x zCX|8L5^SmKWE=hz1f7n-O=X34w>pGc1n1^U|}nKWbR3# zEe;5*=Li&#|9hBg?vbH~xLB`z_azQyf7W^(`0%i8w-yI%B#84n6oJ5uUkAq8>#xiW;JkUg;d_5Z72h9PzN8 zeds_OaoFZnfAggvug$>ag-;DH*M!5Kfg6v}hC;*<|JN&5g7WnWiWsX!+&@9eLJ(GR zW=Xk1Tab3bA4M))9X3n6WP9Z7Ql+3zG00o>Pk(OEh7JT`D z)1CqwjSW(>ZAWD-D@N1n2?Z_N)LZHjRdP>B#FgShABG6p7H}Nq9!3AfQ=Pr9NP*S4l?AvgCF}PMAT;6_Sh7= zh`P1dyt_+X?#At^+jVLR4n9!Nc?@)z#D}g>0~xGWQonm1L66)lR+ihotxX_W0q4oa zhge+u5K{QG16e6?jKI`fshPo~WCh7{2g%hx&UJR(9-mz+eAi4`SN+sCuNjY2scG~r z!Wah`bU6+#!0GoqY>g;u;J^TCvUTqv?>-LH#O}SQx%We{vkZ^@q^9UM9LIzi2 zWs5|O5uiL}4}6}Fq8(-`jAp3ea*{Tv#nflPvpbKhStq^;C!an`9DDoZu9N#uUuyo& z9{JY7J5D=^ckICZ$Ifv0?L~6?>HPXQ?3MJha+N#r`DY@CA^;oSaXRtW)9vo-Y6Kzv z5Ig=2NdV!M+3&;~-e1AzmXmNs*Xcc!@b|@u4e#{#DQp^lYXOP=B%twpvT=Sij%VCH z8gHC`1PSR~b1I#la2gTX_m*k+t;Gp(`hFE6_Z%u=+4#MnWrXg!p1FhG2Gp{@FoPKoPU(*cA7^hKNp}&qAd^sJ6P?IQ{sa zBMxQ}a`eE0wrRp&0)cKHMtij_7Xb`Gg!5$V{WJP}o0UbqGPoxLH0nDuD!mb7gg_-| zXW#lAGAKP${^(W4U%e*-P1sGNAkL&dGz}C94o$H3S zE?GV(rkcGHpKU2liV7EQxsN-R-qFs%U)a?e+(+Q)=6Y<-0(In;mDVotgipjfWT=0h zA3rpK4U1=*c&JHj7xh4&#fVbdN_P2$Ec1=_LW^$MJg@ZXum3R%c&J4Z4YlRXgJ2;y zM97@tByzA|2^NBU*_9RDV=9Zat6P+n1J~atHp*t5GZ=;fYe6o`D#MB}u@t+b0`^2j zUF{+^OyQ4((h4P3uU+lJ(y9aZ#eNKWhZfj~1~w8{x=krLDs+r8u`xG>laC@Axo)sL zUUdi|>x?u%=V%D<_z)qG%Oc7LS&ZHJry9*opW_)O4wHE1xw48|L&L3+*3L1E zF?OJ_1a2moM4xHC*Anqn9D$&UA8*cr>>M0rU07pUfVBmhW(bwzS%PDrhw+h8cohV@ z+0b;{3pdJ4q1|qQnGhJ@RSkkHDbP8tX^PTA;9P!5f+ z4?zB!w2*vr@>Zj3ZFC`nt9n|29{gfWWk|iHx1q6m@Huyw=XmHkPi6q~Zq-<0^kNw4 zz-49L_OZ*cc{Bh1pp}vB;jsUF- z2e^bAEV>Cp8AafkGoH^v;-@_9VR&`VXIV@Hg+p94Op_bKLC4&*5I!Y96^O4NXhIg} zQ6a`0LtV385vW%B4D>Q4_A8mPEAnFp%+W~e(ps)C!!Uw_Znkz9O@;(#Y3a2soy4>l zi8%@L0URp}eZ54WsR{fLas#2NffYp}5;O@*~-1$EI(@larx!hG;F{6B)-UY^voxeth~U z`NTI(bF*}GXieU34&iIAS7M6WqI;U(6}=>0mY?Qu^9p|7G}I@|Foq8rI?TOC>8*NK zq~ooDD;0$a)Lb!=`UimvPPB2ico|DK->v%Qw!`z*x2i37@Ga)P=wLiP={9x*#_<*kpIJH{Mrta03f+!4gXp(1&^$+P@za`zg< zM93kf(1LqR!gVI!8P1|Oe3p;!yYL_T!j-ZlmJe`JCx02?n(1M(YE$}#pudZYJ# zsAqU~Q+dVT_GP#?#V?L|Mh6%<-1M~(autar4n@V?4#-bJlIByRh*gMdDeNK8d_oel z*K^iP^dd~*KF8nRnMp)GAqht)=Pe4XDu`*Wpj%6oZiparN^8PE^Ht->W4`XbTa|`Ns)SP|nzaKs(96Brq1!(3+O4$hA#s3+F~u+>_T!Q6C~~eX1+- z=r3#QjN3twkyMzi~|-i>}I0@QA9@#C|p5*Od_Db=`W`T+7u)L;yT2(OAaYGDq0Ys;!oobhocVBC>>W z04bXLoXpbHh-fju!y`A;5ZdUbm`qyREL<;~nW$KP z*Bs_g*Sq6*$887S++ePGhm&dLL!Q0yKEr*?DCeDn9js{@4_!E)iNFmPE1nKFMH3s~ zWZ9qtrdn0*0gv1dsSMhJFOOxKp6lo45M`Lqz0IQB7b(Y4!89H&IPNHvdk*&bW-LmP zKU3(5%CZL`HYHAd_9KH&!C#v(dxdKb7*50};K0~*NU#X1*ox4=TIk%m_b5<8wnEAP z++YDQ-GEgbvV@f$owwG6tt}czFz$~Wvmq-7L*L=XjQzH+UAE|lDBtOy`%?RdYcI9e zziAO~5_qL+r8fyAFU6m4&%&~Pt_nL15^QI78l;hn`WN-*^$GC?V_l{G&Gu7&`HvRa zA~lFTZJHSXC>Zb`7NDiYGX^4u>xDphadn(9rZAr&PA4ZfovDY}J|AcdW09MeU5H(R zd0A&Js;9RGKVq_-IZ+gGD^g9(iZdeVLw5VdG7 zMw;Ztol`viH>fOOpl@i>VNnZ05&>HAw-s`ejuBk`A8l=rv-aynpwD!7xI-`Q_b2p; zh#!;gL7&e69~xj4JnJcFhn$=#rGu8}S=dlyY>tMb{Zd+R$|FP0Aih!Xvmw-k9E@Q4 z2D&q%OV?4^Mt%I{5GyGj4B_1=AoT+qyAB$ML232j55c_NC8g}8e%Z3c#3DC5x<3{t zta%%xZ*&T^Han==9ZNZxZfA#|yMl#wpt6B(j_XC`AfjQ6+t@asdwrpcn2?mr->)ni zwq@wM1>G+WM@9snF*jMTGNx1tMXfaW$rIE2!>Wqn)4gX~Tgu+m*+r3Vvt!IYjl19^HENA9e>fcFeL~r(09f zXAxp0hr;n4!X4vwVOQA?rCT0WZOYdp=@$BUrxs4=bG>ELJyr<%9d5dQd1twuOSTI= zXM<%6RlRM6zLo;TH?$dZBs^5WhR?BIE;xJN(KA$alrdC#Q6Ba0HpQf`OxBT$3h!50 zgR|~PsOWNLRDWX|1<*z{dxnN3=ld9P{NoJ}2Q?=tty*=*eF{;P2kC;Qn4SrGY6q%gb74F9xXk{!tv~0~vrp+(z3o zXW*MI_^ykOY9*SN60*b?#E+G6V~dl4RpAOOXKa8QnC376VKl*vB)83AcmC>|U1QgD zZxIV@gEo$xHja)b-x-_rPVXP;?iUjG_$tstQoB%MS&5(xlmdB&yFwNs42M2MW}~hm ze=wS%sWSz-Foz=C6RM~B!F{|6IqhLS`W&)`u$iOG>i>BIE3@G8P{QlQf8L-)=#fo> zYU<3k!@(%>o75FPA|)6&l?`VGBJ_g-SzrWQe-L*X1r3yGz-p@SquP?`%_)0k0M;AP~`f%ZWKvD@#v51LUlvgQ^rXsTdz>gX?C3Pk`( zJN`+qOO6;1`V0**dAw}sPec06A?e!~2+1}Be>8)6CZV}eS0c=&pdWp-TGS^nC?Fhi zWsU=bv!Q$F*vSoIz)u4L6eb2$FjUxWw8fW2KvOD2@N%Iuu#HV(1zIH?2=Zb zp<%QhAt*WR$v|@?-uUvI>hBGKdlMRF0z*jG2yNeFsE~iH!j7KaY zK*9L9u}fh6K+`!t8$tePC@~;-84wD}3?h{!3g=9#V45_lh-c7>Wcs-%%rMsf{m0(I z?3S`Bfi%p5sZY9VViM<}S>yAQM?-o^jfpLC9y+?qCUWXskI-+||LNU3hb^@MBC2PLF?0j7<8?9e%6# zpdXFvBgf>#yX3mI`wN>6wS|Sg>B^^~=l$~Y&ai0Ppf(A=b#hnNQ$68UIXLJ0P8*hI z*KEwny}~V53gZaMjB2?dF^<`^D;n9OM_~WBZO{4P_E2wdc1Gie1lF$Zu~))!;SsxJ zh=aRAXZ+Z%=svMe$&Kc&iLT+OW$gD0T93Aw->4d=W#gKSr6cN)-Fawd>5yGI)a-5S zdhw?(E4yQN7Z3WM=pHDyPWsnIk-g0vpYwU^JNU%0!V^d30XZ}hVfhL-?Fqjjd;0li zRXFH`P}sZXpby&+1RBObkMuj^g{K^|IXkZS9ONSs1?Q!*C~Wk*o#ALA#ENxa;g*f& z=r9(J&-+udbYQ@k7%p#fc8AyA5pk#GL8oQx%f9;ho1;kEw@9aY_sL*@50*phHn}4{D)T`JrP|hVN4QsQF5gZiT%ImT$>;t| zO85B|>}-2b$!K&E2WVy38yo#VnOWXoKGNdCIE0au8>@&YB<)^iV%u{0S?=MH@Bno(iuIix|{MZJiTK`MpqBx9LZn%BJvs zyk>VutsU)qdP+6)J?%B^7~7`&;;9L97Bbz+4Pj(?;YDF?cO#D?clG1zmSdojSzSYwYRc+O*EyT z%SOR{*k^7>hhLyzfr<9_mPfIhW!(D>S(-B=fA=O+ubPdm*qI-OCH=_{ zs5NXGq6|@&9zNN9RI2QHWn-=F9b==XrQd`GAC8)|QTh}@Ngu^ZiPV#{W8no=>5p8o zW32mmRpI+W8ygBD-`MW$R5^b`Om2@J4k~kWKzU{kmh;js^4$Pfl=r`VNX1k}mLdLEYzJoF5;dnuFIkc~5uU8-r|0A%{JSdCu zg%2SfM66`JW0oG!%Owa1sd$S87`aImhk&U|FCHn(B9vFqM`27vqn}Ya=<2NGL0DtH zP`S~t%J~AGB6`f*>Q(<>?+F{PzPvp+I@I86>$^hXH|`jN%wB6K3^hb{XiYn&j<59& zzgITtC&Z)-pVUe!i&4HyYNKX0XW}!E*ZI5GjDAMKK#a}h5?ao((X8Q`!rMrL1*v6` zgIOP)zz9-j@4N9X6?x_(s&u)x1@ACnqZw1}eS za;9A(e0A_1vn$e|;CtU#i8zSV7{-G>r0t3e)7D3z?{^Ctiyd4q+afjN zOer(!njH3%h?qy)@KL^7>q2M}ak1*3kGt8atJ=a1&}hxm`HB1sM&-u)&ETOO_nT@b zeRyZ)bF!#ym5^;A@G#UA*GcSK>N9Lt1BKaE(f`Oku|c{o4X;JS)^S41MJX{RY_m3zH~DZF7D^IN zDzLD04~DHmKfe1)_xU!V&*5>AKHS-EOxwr1a&0c z7koS=WrH--KrNK{l;>b_qelkZuAa8Y4kP?7de*Tr&b_TyrXjO|dB3T?U}Map;iXs7 z??B=`7TOInaG~!&rr#AQ?v6hunZDz5#97wYBvUMBqIWNb&n3U_p1vuR?(|9C$cdwF z@lK!gN8(S{Ud-8*ZasRAekQ&z{r#3tC2!`;|6TQceOH!Y$jkUIvFPLH%a$HMg%Vg1 zq9OFes#J!NlH{E^BBUefKMZ05OXohf${Oy42~L%(UmHSpCN52D)h=MuBNb%fXwC-= zD4CK8cxi&pWgvX=KZ`LpyRKXA6XoCM1j%2@xiO)KGYX*s9m1C#cMht~2nP7|@SXgdqG;CV z6LTuenR>9nHx)d1Z?KHG%Yd9RZqd2N+lQy*RptE2{YdtMj>DwRa)Ubb1?rm{4!jbn!dC<~8aY4?l| z6BWucJK^b|+Sg@}$z9nwS73vl+~4o90m}CK^josNDP5i!!2tONOa<0`iJ=L@iUx@LBxZ<=uO;L^d5LbyFg;Rpo-W(X$r`r&?a1jhY9$qq$y2ptNA-sFA8*Q6)M4;5Th ze!m)#Qo5^}keLl~69XBf#1rO%1`OE3vRqh&yT+Ee- zPi!#85Bk^2;KP12@#Mf(=ZWDxBbn|2Kk}a__|Dyi`IOm~F&)F42zN@O^?3(jpzO%b zF!Dlop*_H{o}FvL3FY@F+8M1)Lp zhr@{qI&yU+>{rG`80Js^Kf7`o^a8X2A{FgU=)m@c-sql0q#fVO8VU0~aD#IbN@t#_ zLW4~hC@y(d$T;2sT|^r{6hfv@RgF4la_!}j2@l6?&5!9p^ML~19robPi%~2hJ5~Hm zxbUCL?lC1W;e2ogvxZcbn~g%uEbj_7Lt#;8By&HehYUlkc$iXbNZf_(BWhI>?Ocxu@{Bk5@u7Y&OT{L|=%gCM-(K`UqdUdy08gn|`VX zuD=hrnY?yCiXsHeG7h_Ate~rL-M2b`lvR2W13`Y5lQ9g8f`{2GRXeOj#G(qA%i-qC zSQJ9hBBZQ)40K|j_rp`gRi1LLQrhG@#c2S5C-+&ME6tdZCv`Iw~P-uV(P|8#wCTpWp$C*%u*HYRwhk)IKrW z6X=^sx#_;)j~`Ip(~uqzx^vi5%7B>>=JXJ%Q6kvyK_7#EvcdOW?f&R~oTOj-p5 zFs(uZQac!7HiQVAbfwIAZMAY z3@o%02cR4=z1*zVuo0S2jp%lSiH!dfM}OMQ^8RB_^8P1Y{H&Zm}!W17?`jO%Q+NFj-DI9CefpC zLLruyGd~nXURKW1;~(sU-S}D8DExa)0d!3M+1yhgBp1yV*i6AvS5n+Fg4TeA?BTr% z8+4hV6DAj?nl+21I_jD8(TSOYXJ9k~4?HnK3ra%HH5jIY_Z6o-26YP&V{KtxJA}Q@ zBl{ngqwvgann!9+<6T;yaj#jM5w3JJAI{b+nnZidHI<5Nq`~8!o_?`Ktiu-n zhC*So;C16O@mZ|nrbk8;!!xV~JvWxmdi2E~#2MM9C}h8#foX!+b_nx+#>5U`vy{O$ zfh}+H)LqzHGA+Z0yJz|A?ebNhzZ`}yj>z|P+~wTi*_$)bwOtJ#D0~JBk#?xz1?R&( zh+u7SB5&K;CuR%XUygR{8hP+pH_1{oiD4MYI```)$pFVhFx7-&F#^SNJTyN?cs=c%s*fvbatrl1?V_$@9>`) z+l((1jO+7XaWc&x@Q0#SZ=gJ)H~3H8x;cMq)QXr`p|kK{qtPsGyv67|U~Zax{F;nV zo@d*FH~WQcYpxTO;pjT`yL`y~>F~w-Te=30h1VGkA28nC=KO-U(P-)y!#(|F$%c)Md(}v!p6coRl7F*r zc-~%bQ|N*4r~CcRXQLYnMqhZ#*v{D}c6yzd^UZggUycqK*Y$W?{cF26Mb|cuPY#S9 zZ7e)!_{{@R!>(}P=SB-xG-W38?+HVELCR>od!4q`|Fc`&e&MwE{oLW{Pc{trhzRZsM++4L)rF6Y zPV6-MjE}8mKR5ddk9K-nrJT6iq+leJcU(838*tdGyaC)0>|dhl ztKEc`f}81aL^m5=C<+~iibB#6k^L+e(T!&725;{>a{UqZFm?Ttq<7y;#IW|2`+oQ5 zhp#P+4!q}mL+%IFt9JAHq0xIve>gP#_DzV=t&bXayyxMFH5|bb*mcX$`lcDPtEGSJ zOaES8mv1!Q-Mzkh6n6<>uQPA|KzHTspC7v+!iF@yb?uYuj+oD?ld1=sdiHsDt4i1C z*h!@t|IsvWeihG#qjx>MRW-if?3*}jWVR~b+;YwReox!ULgD@%|GvDrwMQDyyqG`I z*FX03$!@j&=6&Ig@O|=z!t-PA`Z``xd;XEUIoVg)Bz{q~NBb(z%TMLKXClM|^KB=k z-zvOmb?d7)eGef7>axO1a^e>%cc8;hy;rvOk98ewIU=!VZo8c3qhI-($`GPg2xSd_ zqo<_f10d^6ar+U@`<$Ikg@LE-rfA39&h>mWyM?!#H>eG=cv+Lsx_S_zJFN7*VdDW+ z(hsXj0Ypx~1DR3&{pOQb8WB2XG=`Dh^Xx{wK$o+bUbtz%W9;+1sQh ze%IeF*F-@j-}V2q_BPOQT-Tjvb*scQWm!g-TX3;0%T>h!Q~*hdWRNVP$p@-fXcb!^ zMZll}Gv1h>Aq8gS=p)Qn$!3nL8r5Vq*fa@-av+W;2H++Q&L+`Ev{}uZNkq_~&G^W+ z=uxuF*~AtVdPmtLW0KNj6whS6zxx`XWhdF0IcJ+B0CpGp-MZiRfA4#Jyjo4i?LiS& zptT+}xSoxkiJ0JQP~*?2g3XMXTXnD&__Ko(pXV=>bloCpJ8 zV7?~j*>`l`a$p)2PyhPT_%bkvTY6)BJY-h!r$K=Z7h63q1SibFb0BT!hXBLwxpDyf zYEu-I{Z}Vb{Z6ANZSiR=)wlk9qXygxiP?T)(r0tp}fI2uStd!+UZG0rfuMu>sg|adMfT7%jcCRJqN1JlGm= zY)q;8)}vp6=g53);vPUunes}{N1qAO^WSxV4F|_|_l_*uQSVLlOy4;C>8c#cSuZa* z$?}8<^{)r@U6VV*dyArC`OZDz_STQOk%qTjC=LRGLnniXqX6}LP8H8jhJnchT5|qY zFo-1@=iB{TwZXKM6`j%S%7$C;zVt;F3)=%=plD{6YpTBi| zShzpj@S{w}<&y`(QY{y(&kv5d!2hzRv9sV06b4&ir-?*&369S)H1Bd0j@w;5#_z0& z>SNxc6Rq1jOeptV&e*{W5FY!m(PjTgwMXA}5h%mo5MG^dw1)t&pAXXD8vy_+SA@|X zn49jt+3mR!3bH=*p&2 zBMW$s(MNjpM}|Y|;^c7U>Yw=odn$}Daw77_xQoZa`B1jFZU{AiP2Sesu*^#$2RaMu z(_(1UhP^IhB-^#s?kqfHwpsvyd8#i8v1cAnk({lkEe5CB%ok5 z)n5ynI?yZ~kHwJYlx|8ZirKpXeOESkqJoum>SqteI7(l;Z|R{tXzJDRZw}l#5WVU! z!HmlZ2KJ%n=Yl9%{s}<$lSG0GUkMC8da0VF+Keh!w*=i|t#Ezrl|VNi z3Wyt3&-Fh7x&9;W-UO(Zae>@@rK(Y2oMwr;7;@d>1$fwW^`;F-dkw6PBu!{Mw@A#1v{juXB)7)dxU=k1=odGi0Q0u%D z6!tC+=FQGAm)E-Tcbm+8ySYzn>o;~ez5ZB4C+1Wq!7LzC4E82Iji3^dkZ`Hh!({z1 zMW=DB!tY1C8PI9x9lDwNOwQzR4dW!{pYQ7|Y$Vz2N$p`zEod6`yqUUjv2fM+>Aik? zv*Y9DTkLWChaaTVKH*4>`7K_bEUlbybOX0+ey9H}-|M}6V{74!vx(H%LO<|XI)Z+( zb@HR$0w2sHu%+=&o=o)r3A@y>rO6CUW}wJ ziAIL}iRI?2(ap=0^F~-HO{8(I(@X7%n&svIt*llwG#VXtcTj;gO76@ZQz!0t@P*Ej zk>2rYS}dIiqa8*RjBURdEC<_kqtlq6Gxe1J(l2bg$>|6;x_(-FEAYCPqK@geyfAba zA4!>fD;7-p_Ctwbyd37k;JzBv`svJ3+9%5V-O@}2gQ2Hz1erS`+`$hayrEzs=v@wyfeDvx5QBBa zjn7A0Xqj#em~(^Lej@^t*c|j8;2=a z&021!Ta70Cxzd0>b;%90o2PPP_R=sFdl|+;OurtLxiH5z>wBe5tIv-LRi>>9zNn${$22U(R;U;RH%)ooT&rOY|u0F-bacjN*icU&IHTR z=O6MfZ>)?uX=|t1^`g@?+&x8qnbNYkG}5`?KbW7o=saAn=tjq~*|TL&v$W5SpEOB8 zr@$yneNlqb{6N9oG>=3siJ zH)+}@gHERp)IEB8`1j5_og*(aUrn8nv|fswk#mXvSoLCbE~>x2q%Yl9Gm}>)FQ2%a z95DBdH=p-PrM{rEZ={0APYex}@)c*>CFj+sI9jVeAAO_dlP*whmWQ&wavHc3)!fGP z@y`9}-Y^=cWwIsm8#8y@6?VKD&5Uldmr7yNo*DoA^WKu#)JM*o4^fT0QJsnIszu3c zYTP-!^A5WlrCa*7gZX&8HLzH!Ubg>ZWlMB_|0XwhSvNkDzV+1IrL(23o2>j@uY$k% zNo6bz=KQ4L_kw4crZt{So-IY%k#Mf$f@!3Ncc3(x*x?4+Ela`XT5=@lPpsnc5hEH1 z3eDU$eS_QZUr6nnhLSL(G@i=f248KF) z8%3Y`sX-?nCNCSNzSlmxJsALso7cA0bZ4me-V0^GV0$RJ*~s`k-zkm+R`8|B*Sb;^ zz7P!PK+HkMsHXwtYvAw$lk7xb=Xt0ZQ#l$!4p_-aj6KoM;(H)=XKvvjY6PcWiMdE4X|E&a&5E7_LQ?7hp$AEmF>%mN@>Pywo^x4|e% zoMXmNb6xsFprJr;qvYXZ^x|~$j)f7HD>N)R8+|93nBX5!iK;crhQ!P&99(xj5z4&;+EzPz)Pn*P8!f`(H!f^(&TLTJ0uwp?O4#6Bb0RRaYN zO)K(p_F40wS8#nJ1;A#U`IpRTj#e=o^Xh;4qk)I2rS9MjKXvkFeNLqBOoQT9o2{ef zk>azqef#5)Ul`~Qf`NT@i^f7ntHh~Z9CcgE4dvG70*ZSu*e8vG-I4b@@{RPs9zE#1 z;0@%{sUj^P_Cx2V^ntNp{ly?Ruko~D*tIc+iVEdEGnq;XJ}r^V3iAemsk!{|hu%K& zTXF7)VZ7gPZr9&i%5NVBHt$^CW_C=@+_8K|P}&t)&K3X+tz$VDNd3%ZggJDUIJqK> zN%b0Ojx5gdsn=-H1JApm4}cmo0-k8Ge}Vn}!%R!l?1+-ePn&l!cX}c?9AyLfi90j< z^M%x%DkS&&cIGmuIZ!%2()<mA{!D~%UOxS3QU&RGnw19o%d6GMgxUS9egG7s+;O4w&C0HMEK1|1`Pj&uH|*b(tH0T2@6GSWOKCF-3DN4(1J6H zfz7eWfS%?Z>`+5(h$ukiY@8mTyFx$6?{GtJ)ZN7ZIHHixBWvVHi=4f-KcLH`rPiG2 zktaDYz|Rt!9z>z0#kF)zG;san$$-1XOkSJFB~DP;8cngGaIW;eq?e3s25`J{DKe4= zZym9^#@&i>tIIesF)k$I9-}KsrIu|A3df9r$g?S~&4k*<%B?SDX-*rmF9N5#*i_W9 zRknk{F#L|z%*{pav5djg*X_b_5B#)0e*L9)IdR^$6Rnh(bF?db%pkWd_h9~PF@WQK z2hgzFk>4D3IWvwu5ah?hz4op^8(1jW>-)m4QV^UQwd;s39|M_3Q^gC0Sugd6ZsIcc zO2Nc$({~y`WOX1cm5(Uwcnya?Upx z{n3wvDF3EtW4>d_=#EOmWH^IcqR-BFr6e5z2oLemz|HKEVD~_9;SOy_kh<>9G8~76 zJvkd+l4{4Gr-PE~Elh{mZFWsy(%4X+5p>*I(L0unfiUvslat}C+io5ht1%hm^#uB? zv4ioLj_aTJtH(+g{p;?}ebl~g@A*ytUj`qT{LM;z@W(#f{5G(B{f~cg-2d$lExj)} zH2l$Y>1TI--~*k_Fa6xpU)=t_rKaEP8-2jO;xAWU9$mQNe=;qtZ;u#f{80!}5-Oe?D^9{?ilT z{~SEET-ml|=)U>`$*bnF|Mc?z^0^@NN7H{*>b&^zS4&Tf9k-wO1c-E!Y_r{m=DD@T z#adsbIqLcM2VdCs`R77ExMlstgG*-E`PrZU_{H8I8*3~Z$<#xqzPN05PWYRR&tHp- zrJm8r3C=;-Q0m-jc7E3kIxp1*Pez^n=Ao`*!JR>RkB!_8`(4-jf1LOX8$H$LOedx+2HbZ;fk@8Ofv_17} z;s15}TW0@L|8<+c;gd(_hu7VH`$F-@u5BB<_xfkeXHL9jUor0Uqu_Ay0pHe*!MB2N z{qAf>Cy?dH-#l8m0`8n=!)UPEV|cD-_u|)=^=H#-QE*RCI8uJ}O3Ao1FzqP3gV#Hp|bOGo``8(d1gm z2sRAHVC2>C?WFb#{N^>Yzy94XRn{LcU%&8T`l8{#{k5YhRo0 zdER^7`|!aHVgC-}QTK%>{`UT-ua-Lds#j_sdgOm9z0Wx8)PLQ7Z*92wiEzVceeCI< zDZMZP1b_R7i_e-{wtcFkr$6@GI5=Na22c_h{=A^E;Ck!1?+gA2kT=*FA@hw?w=TER zJb-C$DSw}BS6jt;S>N@@j>-mh^hO(YH%A^Bd_EY>&YNj6Gc;blJU?8s%fC_jcHX?d z=)aO(yTjb5C#^>(UI5b{{1dmeJ30S|hf=?g77iD`{rs11JyQN1@WHQ-|6TJd8^Yc< zPkaWw3h#ZQ^n6AC)`#^wOI^>8|82k5`l+C6-WfbFxHU-XAE~TQh9`EPUVkVVm@gik zzZO-Cz1PihQ#Vp@Yq_hr5Qwo9j6L$u!IpFW4Un~ZL=kwv91Fu&yd|*0!^LPr@XF$~ zpklw`7Pki4$MjvP%s86oFHN*|gK?`HTMofq|2k|H$JK>%X9_=_^8T)QhY4;S4>A7L=`AYBgoo}m}gYW#kE zCjrJkpd}wHE&ILS$gWj`_wO^#XMo9=9Js1$GxHi=?eCvpl)D~X3Qq({%6xm}c<@TL zC2;QjqVb8H_Sw#dP6Wnw>_j{N$i%?d1=mdKRR9qmC_JTmb1jNCgynO_1_1V@(gWn| zi$x~5_4B{rQe9=Al{D^&x0a-JBCX1MN_VlmbAppL)6V(4O8_s_}IIv^w z;De=7bNCSkb)8+=x+|r07|6q{7q*z(%Z!%(RArl9H@En^vQ6MWJ4%~^9{ma<=Jitk zXpL$KIDDI{59qIe{&v>Vg{|%>1M3;5ML~yPg5HTfXfA}QwqZ0~j&l?Dhd}eE{&i?f zfQFVYyCq}n!j8aB1|xx}(--Jjy_}7iew-U;c$P`;$L{!uSJm$u?~-#D{lNZI^R|xb zAM<}a+Ul2_^k?0k(%7E}7n12ZwIB_ra9 zya6En^U;sf!|=;_#(c&mdi1Fx#~&OqHdQ!d)3%EGhR{lcp}&SLC4+xk3HI#H{6Tlv z+cp}UHFicDobre(Cl&4}4X!y>8tly13-;c{9l;(T_#aU6hIb2gV1!@kA*<_+VepO?;q*QT9tWb$(-C zABEFbmbdI$+!U+i32QCk;G0&It_1WwfO4aw_5*Nc)!r6*x z>^NA()`wdB#%zVVYz|^WBcXqF$M5f*=d-Do? zK*~f5IsB1?&H+~q7|WqizZCpr;P^Bh|9U1Qag2*7^VKYU==1Kt%VBgj{lzFqo{45d z)2y_jv(07im2@nyhTSkY`Y&!<9^B$Li(`Go=5X}TdwqtCtK+r$Q-cfx%~Z6~hxGI# zW^UQn((TMH<;`S}Gl_0WJ5t}At?W&h&`W+NrDp5amdDT1mXjdfjOd|g8~;u_)vJh zzh3)K3Q*tvPAt5Al;Nc@Iqy%s$@gLTm2(4SwE08tZ-e17Agw-HUMP9H4bQ&=+<)p* z|6sJ4T&Vpa2yIg2^XU~S*Z#k;{f{T@j~rP235B*>M>#sa#Nrl2E$C}T&wBfv@ zkBH_Ta-Fl``qKVWhl2I3(r0tlx&F<5^VM2dn@B4rBe8~6eDRJGVVZvY<}_k+dl%RQ zy9$ql$10H#^qgYnVNUZZj?UiE2X~qseWq_z?Zwia+1|w3;?j7C=l#3Vhf|8;vu5z% ziR>6ePF?m!ql2b*;zV-1Hno;5*{`>Xh4AyOu?w}qY|HlA`_k`hx;5)l+1wN^=QT>~ zfYZa$d<^|sl1_mqd2UYIZhAR$V?ldu$<0}XMbl$H_<<|BcJG9r7;&lKGS@`G?;I)~ zGmo)=F{N9GF{!YPsnE?Ckps6n2+gWJqz-sw1{oBOy}vy~KvW464>UsB<6aC%?tmmJ@*JG-kXp zupB!F48cb_(7Ztb*mrzu?1Y}!iJXb4#aYXzho!SjCYCdj4R}s2TSx+jXi7TjouSx!?}`_mf1EwS=(Q{ z)nn&sm3Ij(Awf&5B+X?H7N(pq)2H$y0+kNH(0`MqH^-b(1j}m%e4KU;6=Ek>EwHz$ z7Hz%|r&Lchd%f@6{GC=_Gt&8Grp`+JeQ7Xa?M~U2>4O=?uJ1h+C0n=Ek{P#}j3*ow z!2osDuLsk7#AVTAPSNU0{95YdEON@Z(nxbnGVppWhYUAI!CCWYlmwo0JT<$$345wl znlsF>modpNPCJ2jFtLl7-yazrrK~san9=6#d-5-a&Rk;eSq$d9=+Q6d_c9_Fv8~@K zdTE-o^aLgc9fgYL<~7ggDByWCOAj@x0HTL!<8Aoxjx}2Mg2&IHZh&BTnOSB=H;Ql4 zw6mEu=LVXVHdCaPYvj2q=hd|7Tzt#X+i#^|UAhGYO2EbG9!QI1v!?2I(Uu_IbQbPqb$nZHR&)4Pu;5%CAIjP16f!wSOgqg#Vsbkhc zP9LS!dFYq92<_8`^4eqO%uoVJdlN0Sax~+(68txz-KM39Iaq)y`A7?N>lg#WOal5b zHkEYBl{7G5P{~~rcjdXx2rN6%HQ+m2ugv>#J|6e9ecIK(+{3kKb^8IFgFoe6@hqb8 z^1t>YjRi`SN0!cUcN*e!0&umie4~USsS8Pn9P3^&B86OeSPdH^7zln zHT-H^s9k+oBq)T;IDB(qhPF8!$YeLnjpwxihlRau5`Ep@xBO5xKMxRyBcobuE&(si(0}~%FMdfImvh4o=CN-HdXin1>RSHm@J}SjEz4Jk)A((?gZxjtHFL6maVy&}dFwJ=q2l`t-e+K+ z%Ono>^>&IhJH~i7i~Y1o$2HsT&BI?w_xY48^*YRK|GLlg@#FTq!-)#&_g7vA25-Nf z(>m1496!sib8y}}-u`N}AKg_l>40}IkN+SLI#}x2I0w7oHwr0FE4`4LS$USh2?)I* z>~}mH%i$85_PxJdUT#w zpW2hc&zP0vX|^D7rJP?DrLnGE{o@@2!mAiwX{8U?T)~Nn0V@qDMz&u}a@xSfBGr@T z2_Pb5i1uiytVpJE<2RY(S$WM0|H0exqNTs%ZLj`B*D3>#*$}nLKy~+GK=0rQ|AVV? z6LP6{mUW^Z;Oe?OEAy=4s+L%~_O1W*hi#Tu|2rQ1>JL6*p168qyF7`xuUM0)Eqs*~ znFcQ;sU}8d#EDbOYowX#P10ll6XaGbo@^^`hAWqcSR|)@$WL5a)4ug(4ysytsHfY8 zu=S!xmbImIKSRBiW`ETIACAj=dW{u*TV}3E7z9+z~1pI$4oOhTuhTY zUjHBdmC~KI_rBu2HG9VYZ>{nV2?LnxnQ%5#JU+1_edO(5|7n=k?ZCGH!%FyL@x3Xue7D!=nyY&Up~Oj4^^eImshuNd-Xch`c~n(uKdGy7g~`T!FAO=0?S ze{-miyiCqxrq+__ty@1;95?#!c-7w5>8)J4%!uQqVCX{gix<+43r%3|m22()_O(>U z|M>d?FKzp5usPkdd^TNqUrEH-0(E@LRJLWlYjiLrqmh(%sLuq9! zOVbp`2Wv+L&yxv+ZJsnmLo(`(+!8C1!16j!{v3>y};L_^- zbA!+Uho=j3Gt=H$ZavP$3Du-FCr@kIG(_Z-T&&0Y`RsMJ&z85Ci$LSNs8a)k=1H*{Id$RTAZDE`Xrz5QMPTH zPt-&n+$cQqvMlqmg5|-GwKa}4{^Z(*o%oDjP`Z?JbGKLLebkP_cSHz5B->s!Se>w~ zwC2=WA*)wg6Z?Yw`G7}L-yF5qRs@I)M7}V=W0h&vXZRo9nh+r0AV+CoHl2AWq6aPf z@gVZr$-A|VC(TP)jttx2`cjqts}3cCgfPUa5(d~D=jkLdY22#JJT(K+bfQEoRe;>kQ~H$~lw6A2`93Yalm6Dx#OO{L!>;{o#9FOKj}W z+F~~NYQx-{xzh+xCJKTis>m^Xs2sZQSdP=}wkp+DP(AJRx(m!5FGn2o3s!B)p6(74 z%UGcX!C-sS9)v)Z=gl`HUf|xuE;XbkoG8G!j5aTvn=4-Z%Sd}I*elEP-2AOi|gS5{y;j`Cvav>*g&To0&QQ6GRM36e~nP0=H=ugqqA- ze7fvmCVVgrUttAbS-$;RTPg)OVxhqgyto!x%g>q5nU@p1htU+Z=3h1GLSL(5nGq65 z#Xk#c2BM6Qcg2CMsiq4YSkRjACXrQ;R6~&wqxm>*yjYtz(`X}30*#!WUw2X&jj#rV zRSy`W*oG?+cVa@6sd;~xS(lUNOs+<64O&4$;r#F({z6iK<_1+gIvgg-n6=n>-ov3d z9%5=!gv5w6RnBkHad<*Cs?%l^ga}Eow}`|R&6C&=MyyC$rA`z_+?$3$7p4m}!%r2TGMb8s+gpk^*qkx-(LT35Cp0FB%f0E!)AMO*B6wlB$LvqryPghuIg6^chAW^T z;(Rq(8v5iRrpZ4I!Q*1(UXw%+1(j^V7XI)mwpExtS+J7a)&HwE(TpEiA_fsmUq zf++AE*f9P~Zl7w1ub$+-V%cPD^cI&Rd`P-Ww1Ah}YZJLd4Om-5u$YxSc|Ql z*e|x+bUA#2lPF2yMN~qJ@S!Gah})D%lVHHG0@SiC2{B$sl?(X^6Yt4c*B<@?sxqFW zcQTzz5XjAy_OLpu@DIm+A;Oz-TAgSDK9ylCH)Z{5>WXXE1KC!`R;VG630Dw4v3;?q&EXEnJ5*d3%@m0t zI$=j`cDfG=-=E@_9tOX!@rb0 z&Z<-5X3AZsieN38F6PE0OY$?md*Z=eHJ}dtIaw31Y5mJ@*FYh_Ed&Cm)!HnuMY0;tdP)ZG< z+#8-$!}p@>!Y$du)VxBra)1DY+P5!jGi;6(+cJy`@%+;#SKnUI)?NwiBCF>PkTmyD zvleH~@|mKkm9LjSB-9<|k6dcctcIjw`BZU_E-uy5MR_7YT*R8D`1nQ|Sfns6@lo7@ z zv^fSZMaNiNiA%A-%Ewad#7exHII1h@#0oKO9*HJTlS(5LGVW<;`2h*8ygyvBl%Tbm zvONu25a7pB?33jsk$8^EuT2aQ`_%AAfJ!62h+I#STJgZ(!L?egg@2w4ognTeKn0{% z4OJ$rkfV$oLExRK1Gsf=apq+Ejc+U>vY=MW;AgG`mK_1kiH`M5diIH%k5bBIqdOR4ueTwd%m`B)t~~Gw@qJzUl-E1(Ty@yI;v+jA(T1*Y72j0HPYQ7ixm?g?8l2uAnlo+kphy6nrlu3TJn4J_Qm$r$Np_(!g zd0Gi$`d@8So5i%eCA5eoo}#k~tb=$Vj}$yFKh7s-9{adtN( zG3Afh8JUa4IGJY#6c3S#Xh>uvra%k?b(rc0zNG14fpJ9pU9!HGD=`2U_7+i8xTH!| zfcbVhLk7*-(1z9(RI(`r5D}3CT2fwM9uS=ZE~IWsP%Q0<`0h=kYN^2Xz=HmLO8*LTD%DRO;0xN!`Eb zws;P+W=u=qm0CiI^*I8cz)#9o5DQVPY%Os|>woE`oYqV@;$+I76%HqfOC==QPGKf7 z`e-T&Bl&@3o=3c+HK*+`MCk-@N*90_NKm04;R#lI#VR3BT4-lOPq+ z2!X#u*D+kpB7Mku7T3{wo4q9|BfrB%qxqaUo^0mAxaEWXNL(iD=>b`0g98xo0$>^K z^^SOVdsA(90a+x1^zvL+pW?*e_I2Dwo30fVQ<@_wIjmuO`;%1VhHC#=UgP>@`4>bGGePB9iZwODcBT{59 zh@tL3{jj4sQck+9@Ng&xb7t^X@?}YYc;ISTlvGa)@=P+l2=0InMV!JjF5q=ZO)*s~ zBd9Op!iwcL&;3QmBIp3ej~q?-tf>rKEf?&WAFS$@vdNuf<(&2*o(O&o%z79;+e%$Y zxw>O94N1o&n#)C?r>hZ3F-Tk{)<~S`0cp`lZx9I;1zH7}qEOH)+%jj&XRy!tV*k%R z$Oc42XmV$uNg#OKF);{o5>50&R>6_u8VVk16^<&Tz(TlFuVyarWgQCSBIRdSw7h-e zVtSI;vLu{)ID-)wW7RGspb|ak5?LrZv|81Oq&`?1xx;+%rG2*~DHGGs#t9JS6i<;7)M16v z7;7SZHkzQg6RaEJj(JBsaA>cWoF<5RUGTPGKNm-woPthCu7zHSN0TZ{tVzfs*1-;b z!auj;jHr~%P>B$QawwBa!m^ocrMQZV4;>T!bw5fs_1(X=YnaeV=nUgu>Ml}dkWd&wH z5V}QiR$!V7po!pXeD3z!pb1}nmYv2DE$51R=5HT?8gxp0dfTDD0Kb$TlXbErAm6Mc z%=;zK;L#aE6>O>MPS9Ww=Y>;1*sFmVdIZ-fz^-_0Mj#fr8V^KFhGRHQ1dKB$%ja1I z4ZiuvIgY4Gn&Z^ZhmvM7OSo{)%{WS2f%53-kqD)cJOE8cafA!8n-6;3gZe%)tExUV z0RxpT2`0DVnh*^NRglOpGLpO$8^kw>^^qn(ji;W6X5~=l*G)qt(f9h{Z<3fvg9R&; z2AGuyDX_>=z)#xX6lH9x?UoaAWT&^AmxKKzEy3hIPWKEifFI*7geXYk(N-r>5gy=8 zI~ zULYh<)J%GlTowg8DZ7+@Cvt`8+)B2|f<$~Y2%2WilU{}z<%Bkzld!2>ab+UY3_8&= zqkMUHAwdyEg|;v{FRIll0krE8umR~E%t*vNp|psFWvNSvxNh|{B#BPLCcZS-beN_Z za#Nt4ViGDXOQB6d5ekKsDK5z(kRa}7HJRs<*ifx+Z;=k3}+@?vLpEM zazdJ7r>>jg4&ep+hb0S^@Iu2bk+3V4)(R&l*E9u$pq+^Dqg7x;ugA`sDA)`t5A72Bly8+01$mIxYD&wYZI-aNf((&1 z33)3~7|Mlc5Xjl-p0#-S>%a7w4s=uc|H;&FMsW%g$I9+Jz8PZ|mxIoT8A;=|&^%5u zM*%N#t#+>gZ{;)bmZM66azPRU3<3$Zy`?HO@j7jG0auZjivVfapgVlW0yU~-lnqH1 zQ24p0%UCzBeEsdUQ~n|~U-43AKMto73}eo()@51p5z@J)OU4b8R246n=jjR|k#wA& zx#Y1(3=h*=ar5K{E2by1gCqgQSeh@kO#&(4vPhAVP6ZuUL}rDa℞JzesHRx!-&D z0NwtibCw-zq<>(+{+?U}1JIUMcot=s972BBcGTnrp=3)@8K)!(Jao)F!!8d z1ORhW0T{zp0aZCdmDjPNqzkzByt;xgsR~$2x)#dj$ml3VSP)i$ZbXG6099VxT|=zSK{q`O z;Z$2MMxzpK(Q#;B%?E@i{y-W05NBl`M|5;I#2qL|pMpX49JnTn=VxYl1M}*y{UJWd ze*=Jc#$Qb(!COLEIY@k*QA&qWKa)lFtsOLZI+!yF7`;R{F? zRBpFodSc{~MT*uG;-2}eT|z111i=>HNlquXW^xi`Nv#+U}2uH^&}$h-7e1EU60fnc8us z;8K|9V&$78)WJnjI8|RACkHKG`_*3Y6&x7YxR@0M-p35*+vR4Yfd!z>M{qvUfc1w(=7ZGt{z;^O+i^)mt6*gMTDkO2XUKipDC;1H5IEM0H-APEIMWJM-ml?f^?jL zT*}|1CnJaH|J|WBSdEbiGDX{e1#$!$2GkR}7${Hm;1D?!^d+i*JK{BJI4bxBGu>Vn zj_J}MqAo?V2%}PTf%nTpR<@8IY>{=_p^xSGtI&h?j{>;h8{}HzHWvc1aSP-M{V$EZ z8@_eEjemF}fqMn`JT?~4yh=HGO$bydza&X2fg$g<6m7v|?GM#c$ouxy=zV#?PhzGGpD%+4dlfdZG8X*!o(Sxzcnts!|i^xz)^ z4NfHM#A(R{2dF_stLFFXWP(S?av%xMhQE>|M;;IZ6ZpapN|24Rw-)*2NX(%PU)t6% z;t(U&M1eEKX;Hsx|7KJZDB}p(i{zjJXBbAbr!^SFx<(4)0)R2kl;Gv*w4z=qfw=dy zc-s93iP`%gE_f{sFJ&ch>-9n^AQ>QnJVc?yXNeP+a;BV#QUe6wvTzV>nna`83C>)m zlG06|d)5>fBdvSGS;`H}6`AZ96kLTmRHO%Z4Jn8Om9pr{PVRSI@Ue)<%&S*8&%Pid z!@R6KM4J}nhwz@tecCi>PEV!p&HA7;I+}-Z+!InpahGd;p@+G6^xtm3=?VNZ1#*4WCTF z4Dz9Jj&k@0@7fuZu#u)9B}DnnFa3gcC!)GjP=f{zORyn9>{Mne@cuHfpS%uKKw-BS}DOyNmN$yAG|Hu~JQx0SMr zQkW#-efK^KMt3NglJp5cn7$e;EuSJMXEjOBfvArnH1XyHwSj1|=4oh-OXu2+B@l_w zMA2j@NfD2NuaxKFoP35MJkpC~Tj>i+wb)_TkF0vKJy(7xyS(QPSxZWr7_1AnHUiDM(Y}IPB0^Q&tfG zUuiwm(9Auv?l{0k*?#<&fh{DY0IR6{w^+c~#$xGz%D@)s6g9BaQQ{w^#R+$GN948; zh}~o9D7{QRKs63&)frKch@NpB+k1rH44nJrwE*%xyir${mnG}U?Q-h2o4-ohgmu(y;5!W=yD{)7n zRpKWBb>hq>O+bKue!s>qQ^gew20^93EJp02)A`&579(sfhEpZQ7JQ<$;2`yu3)hJI z5`48Is!B(zB%+ARyipLWG&2bZB#bob5D#fmPV@#wARa1osKE)D3{A}ypE>!iG7~bi z^*J|g3WL%hW^hdK<1pri`TJ@n-FneV)JB51RBD6~hEnmBTtu*t%2SoZ;t$9s(IUke zG@`)$BPY=vZ&7Au5QTyT+D2um`~#BkQ~;X>nVVre@ZDPkSrRjsa#v<}m;syjIeti1 z?xI&6cVV2On%85c7Vv>m8$a+v6Vm@97 z;mQe2@u*qbu^fSl2N z;itj~S41e0Q-Vh!Qz~?3RyMcbu2qF8o?1m1h1UVhlgjb;TW`K6zQ{}sobv3VCk|py z3n*3np>e4-=_QIqD;Hd_nDc2TBb0usou zYl$FYY(gx$PHO{x+KZG&^Y+*O@0$Q}2xJn;aFZZ9k@SEe#R4L{LjO%wvu!U76O?eI z4DYLe6HQ3K3E=1GC5X4cyLJ_9WCd;8!tB3_cq;(_uq_PnL+-kB-Mj|PTDa6IO ztH1MgX+4pQ_{zu-v{`N4E`BK@C6NWlp{42&$1I67Kj9Ckccu1)qqs`+DpIK&7jqeO ztqKp}zzncm`No9jbPrP_qE+w=5kj|$!7@up){-uL+$~Zp14|G0}4@7}o zr4fl0F>Z=3m`sDIv>u95EnW}?RVBQjQmHGR`%rq6gq&=gCJb>616=V^!YH(fe^O}| z%3cpm0f2CfObTBN5S5dE6i$K}5^Yw7O0Ew6lx0%_n50X@TdF`Q=oA(%9Dx^=H&PnG zlKjAkB!0r{XBz=8dE&cUPA|SAN~eIFRM=PDAzWyiS)$&O0T611C({MeFqQv987r;k zN}_;7ms#Rrk@Vp5-FfR`b{Q9?Tosq1j~r5|f(7YqJdsMn8Gqi!aiNP(2GN|jHBnvI zN8y6w64vd?n$p20qKN!N^WRC8D7BIxbmat*Bb@TEa&5g6Ug7SE%naJW%<{FT{|<#C z2^e@%w2Fi;ZHT*P7DZquGNvlaLk`gfjq~v8Zsuco1%W;eUg2K_@=Y~W4S%9Zi%9Hn zy({PxLW@s^C$~|A=VO>=miOC76TkF!SWh3`_v^QlVa|TV;>pDFn(8 zHl86JpSSP%qjyXBk8W>fpF+;cRnsn#$w(F4P3f>gkxaCTQnSMjTF`P81YwW}j+(`YukGH)CUa(tAE#!U z^81B6X5bk(lw6Itf<9GH*pfsGdI0j3zn8LFp2AiO90V5avm`^nZ(X69Qu9y97y5Ao z@{l4)je%q+uvT8H1jag2kD=NS1z`nCvbwq1+Hp1d^uTYTJ=aVsO6GX@E`~W4s0nnS zZwzlDW#WkldxK3PmJ0xvzyPg^MsJneytgHxWiureSb@|p@KZFsEjt#4FggJ>l-WE! ztFjew-YjN8mqiu4m}SUN@?H_0J-_AA+O2F9OsAG;+ROG1ZZxXOXbJ%%5`_}@PfA#! zOad$4M1{p7i8`=L;$2mbc>Nz4cOrdiTO1OaE}XOrbTOetP#Lj4Wp*SFvBZ>&&c2l- z*I)7(&!!{B$1l+`VDB(X&4*ID1=t)_!9P0kL>{IJ;RP3h_TkGpqZ);h*2+H^dJ-2& zQHf#UG^fur=GJK#m)cSSaWIJ23zQEkAa{c!Rjl4*-mKdQY#MprOolwI5t-l?_Q0D6;&6PZ<$ey*C+YP-_79Ibv1TUJ)Cm1zV$#h(a?%wO@u5S|B9^H#gIUW1`@Qz(*Etu0<7|~i z;WT4RNxYzNLBN2ck`1UVZ9w3q`jZ}0ze;j$icgI(Iw*>!1e_O@Q+0x<`8!fNAw?m$ zaf8U4lc*vOv`Jinatd5RGKV95bczn^#f<5>PSBw#w6Cq-Xj4E#vl{l}pd?-hlORk% z0~b8;n8*xY$*xBi&p{P|-pfT0qb^#_ofK=NOrRE!-5^YbNfspGSNzl}S%el?Ih`f2 zshqs|)I1+oH_7{vG$puM&h=60FM);IXD$Uj(M2jmL>5*aQ^JWFRa--}!+DmTq|+h5 zl2iv$oGLaTHp$X(2GW9R)Od3%M-D4FCX7P)k!y`ifQp?RBJ+ui6_lU5k|WloDa|m( zWt2NhPLxgi)ssk!nng6Yc=fNo2%==M$E#tJ?@EJlcuYK!5?Expq8=;+5dzFWM}4y9pf?B! z?*I%Iq@raZCe{`f1^|l`HJL2Mv^KFTlhT&mZB{Pk?%|ATqNp?g*8tV{(vzxl=Y9Db znn9cQm?=1g$~(!t_eka@g)RDhm_Gp)4TON|r}Y($W>7U{2ak)a9*eS3APmG^K&wgA zRe}OdLQw&)EqHdkn9NZdp$ptL4J9mJu?AwO1fblWpE=I6|KS1IGD|2)5}&=2;*3s} zR+UE!U=GPNIE0D|1Q6NHl((265;Uo*pi4=M1W8h1aHR-GQHitS{;2&WPb2j6)=FvQ zN%dDHNHSt|h5#T>vd zNU7zmR8IrxdLb-?*|vBIF-lyhs)RJjBT)~8#(qU5sW@k~BZ!ze+ANWTYtGDyCiC~r z<`6MNGFuXXw@oy<&f!CJYJA zPeoltnrH|rtpvmdCrNIlRzh~LO`aC7fM~f+_CU=)Eo3pR_g}6*%br0Rz0s3x!V*qZ zE>a2%u1x%+E;=6#oZtXcHpVHOr=y?E&)6AAi==RYrN>uN_Epj;6eUOF#4Cw%32jP% zZM{N?*rLib zIL9r>6v!O>A|YT#t%gz}UD=u|5lBMI3g__a!Z@Uc>r}-7+*zERJt?Dc;<9Xr;NMCE zQTV5k21;XYWhmo=zp-XuvB=2G61pZFBvUZJ<{9LPb#N5PxM_5`a@a-!^>~RXIx3Pn zrEWLI!u(wL8ZrgI7uv8*(McuN71D?;k^(a|Q@}nAY4D_NOTE00za!#0H1;OYt>qJ+Rc>;Yh|OR zpko5#Lm&5`$ihN_<$x(bE2vQ#j6ibZVgqC_BrXsw|0H*TUnhHVTK`9X>ph8KB*|48 znT$VDcOpFs7zun;c5V^)C@0krLt6{U&POs}Xb^guJw#)45r!U6(ORSk!%#{kPa#UF z5KKVDSy}uCxw!0&ges-`D3?S*)U3QXBMqPL**ahk(@vQj6q=(bhI^)R5#kS|;MJo9 zz(BNSrQ_oyP7GkjTsCuRb8fHj2|hxjV6$SCP$W6t4Wm>MS0k;A&zL81tD;c~<0OS? z6V_IKVdqKDX7b9u_;7wodNB11=3bdtV?ZU^W7k;)iv+WifhMZ%RIn)JonS?8UJbBn z`yr)UZGfS2Zt7Ts%5TKiwG#zVW3oF+ba7Uw{X8j?v;jq_5`kaatSoSuCKoaoLP{mQ zmur9UO&Vl(Qi9HyoEB}$(Fr6cx8o})L%Hn~!cckT)kT@H%A+;*cL55sxrB|3&gqH$ zr`_J}1?G|F!o4f1F1I3*Y%3Q_s@N!lb=2gGGvM^7yJ)sF#4uOoDKH6=e{XS}c^n7# z2hT9`dqh0Gv|BqbNuwQRGx-ZYTzJO!$m)w^5K_QS7Q|05nZ>N13Y5LfBlp%I%#y%< zNbUt;{$QCblwo8rP}FN~b}l=vAw{J(t3A9L@?N2@Jl~KV_T@J>{C#`OKuU5uu%FH$pIj)LxVm-oP+fM-66Eml34jZ-i1G*eMy)P1oN{}E5Gnx9sYT=rs7OOdq z1nL5kMPynwYfSf$B2dGFb%>i)bu<1;(!Uz;<4n0{Emg+#PyH2Lyp=LQ!Z=L_*)xRl zgGI}}H_>e=J5g*Ic`6*L9dgOdrwn1KfJ$2G8kD`Qk_sFHXwE>%u}vH){`g= zPZ(C4wbr%)DsdCa@$v%MkR)LIt`gbR_y21SXgo?UpK>tXf>&YwNJ>Fz<7<`-)o=od zIB)5SWwotRF84CNQg!sI)9vQ>&4m%kAatPdsoKykjFpEnH7JtALFi(5Kn^Q`O&~DJ zi3wGi^0zo#^7@+Ctk(ajQ;cu3d6qE5&Y?^#fJgwtV z%0h%VrQ^R+)CPwnEt&-NniSom*2v2RlCGkDDLIj1)s21WtSAjDW&H(4#ut@F+leg! znV*&V!6r%~3CyKm4JWqxfQ0ni9NHEXT(-M;tF z^Eh}$Rhqe!(^$kH_jE~|#!Hd6XuxBx@>4R|fZRG38G#@o?u$J3oXMt+URYFJ<_H0i z7j8;~jPfahq})MCuz1Pa33X@Isb)D!BfKKgDW9N1Cc+bEb-bL}(v0}c?~y68Ro!U# zcu@_Mm?R6b_zRR)r8)#|WEm;N5;-;qE#f4Q(=Hq6x$dBH>=EOCDhb4uk=(a!ugxk^ zblf8)G$f+$$E7WUfnj7Z>V&|^>Q(YA83w9v+;f=?^t{mp`Z80AP02J^?IO~kC&+{z z!rN-NM>zw96Ty(XJ!GeRr_!maZ0ohcH>9{#!JIy-xC05CLY6FB5Ftv*K^n-u&>Rtk zIuR{V8l_(3GUSILW+w|marxSZ%*}G7RcAIX@Fk|f)^JK!#*RozgOrTL4W)1;A!Xl$ z;Sp(M>`2fd3{Z}^; z`x&za=VK)>L8>HopukYx9hALiEed`0Z|^#04#<8WsUA8bW)S8WYn2d;v9&!C36+e; zQAo+S!;>`9G}&~@ut?NP8Y&cXHMKvQBlrTwk7~EMqr9NSk_zFNPvn$Z$yCGz2=Z~m zO~S{F@n3lM*oSZ03!emO4I7el z%uvQT+tuLeC^`BprHl~79(B$Lfz1l-5!_6vd&V=8z?2&{hQJ1;qOQ(RA>@ujmUQ4F znlYGsN+cobO5%+SBo9i{gxSn<-c;V1bN0(NfM`F!u%`&3Lvl#XmrC*ngOHr!5fsUq zV)lfuGo*A9K!ib}UU&swC+5Z(=QIe!$5`KUU&biLGIEn+FtOb71A~M~qAhohhg2zm zR02?xCJoFB`%+_c`@2ao9j*=1!=OWA>#MuFzI*FaJD^PW!49+DJOWz? z_aDrA`1htN;$aW=MTis3Z$*&`QX=ENT^<_lQ>SBI2(LsbGOL&TNwHJx<#bPxf;qHNDb5_D9mP$2B8 zNGEh5GD$6^`$-3zd|S$6<&bbk5_4B;83^M_{$|-U$OsO!|8wqI;(sc2yG+<|7#+VE zCtWN@f&yK^zy<1Zndy}wEEUA=29Je0Y;J;KKNO2Pxq=Sz5uLP_M5iQ`;c&ba)v7Vc zD(WLS(wV2_mB{$Wh=N00g=R9iZQmMS3WF_f7vx(WO##FP4<7F_Lv~n zPen{(E)7p+F-b$nR%i}NrA4{SlxA(An}ZPcSyDSoJD@%(sgqcU%u=o-2eLAyimGMe zGmYuBs!1bWp$!*{wA;C#MhtEU5H0Qrf@PR&B{L8|4KMHusI_@qBAskrw)iG~0ZLR;LCpG0Q3iDVQIkl*QR;?Jsn$?M8}+4Ce>F!E>aUL!Rg zb6jl5NyMR?QgxNEg9ywwL_q+|k{eNUc!dYUx34;hMa-)pAY%cg z1~CvfN)&5Xpitz&O#Y&DeB;O2lRX@)@%ImK8e$XJ(bK$Wc~ICq?bw2Dck*i(jR z6kKb10Wq1T-+m6tI$h~qASR>bV3ka`i0z4QfG`ndn<`~u7NNJm`uMJL1L)C!C@U9Z zfGaf>;0yWH;w+=2q%q(7&dqF{@GgR#acCGRRZ<7~7m?9GBQnTSM{VRS!<(kqfMn<@;o_Q7kb!Q=kSBc=rM0uGF>L=we zqN~6Da~<9m90o8I#>ey~*uScYQ&kj8FAtBc{B_5rEVz{63LKBjX`-5Er^%$_!AFg$ zIEAvo91)zVJ^5KYsmjdBHC0njROjjAqDivdQ?&$cWD3&Hf>S*>ckSw?7cDY!jE;2J zl9>cN0qd}3f)clqO(+-u?}Si5j6F-T6_-%iOZB4sf#BJP)JPSszwJ8SNe}GtM3s=7 zN8lF+rM#o9QcdAg4UkWgmu4bfK(%Bt47}oITcb*J3ZVkcJ}jS`B;_6c z2aP#PeWzybTO=+Lak|OjshJg3Y6K|d(bgqyT@#-vN(^8z*AP!Gw@;d+;-UBesf4xm z!9TOcab7ga*Z*JA-ak5y>$>x-ZkCvq!q`BmgOocOx~o`(9-9Xa;4AC>Z>Pm3~{Q z#nNgcfp0=E*6EfJ0)$Jf0DaUOroIz_Q7MVLu&uxZ)*O)zT9w`wtiS@7p^`usz8|~~ zwv=$PVufO!L|~y7MDk?jX@~>n_f)H1l_G#6q4eX00p@y5GD}M&i$r=3q;8y%A{`u@D%6o(av)x1H;%B@gkv72N+Ac}cY?vO_5Oh~T_J=D+`+y20SYQe?h2kto#^ z_O%x@C8=$yAPCS$Wi0{p0DSW7Dpe@k7PBh$Ag+!ueq7un_nE#WFD&jX4fBfJnw?0p zNjM|&NUr^bii#7}pbKzWJRsP%1~l*AlAUhr(taS9a~E5fkwhq~#ToeQ7L% zHVGdfl!cO2AOY4)pM*0E=2P`)aBu9ad_ZPB3_D0Ld25^LtJWb z5@t?1)xb0U=-EFITM*M66U@TG5IopSbf?$=KTLuWkR--Q0CA9maZM?7d|vsaV;9>S z!#3z9c9`X+UN1S8nbZg%5PHIDk4}j1LJ-kw861so7$y9k| zX99)Yb_Ein9p<2sM$V4S-1e!o;2Lw89^lZNI2Kw?(3tIX3dKC9{I5h$N2OnmltRejMlOlmLBOKim~xp)pe7UjG{i^Y z)IZ7)Ut!FTg#sbZE)YBBPhyao5!vItzto?kgci9DG5wX$I13)iZ|uayrrvB z7bDNH1X>g~O}&hWX(?_f^}*;@rK#|kO9r*M5DBb80e5$OuO+}Bu*C~CtK$DqIwtbj z2ShgPc^WpP4VNT{L7BmL;{3Ex)+ha#D8-?}R#CR?`ijLN&QC%a7Qm8=5v>+L$z&3) zu=8A&6{1NZeo572X&_pfki^P{2G_{A-u=DXYN0fQ9}Z3AB!4bKRWX2o2^R~GC8B7s2?(yHWo7B!DRG1*-Qy<} z@%%8OIszx-s1m&oW_;PmOSOvF_jFEg+KhBjHm41vAT{ZU6(SeZK6XPuOfbxstb$3U3jz;nslvwJs%CtxTbgAAJ#qspq}MwQDEC(eTUW;n56hMo+{ zmUutKGD1S|iF;a_OyB_F3hEWHk7om{oxowaDf1<|&ooO^Dj^LO4QSu2_4Ui7KiUY{ zHAcw_Eh!%ss3#@@LW9nmvKLk6PP|E)FdMgzfvzAt!3ZRt9yku0gOji%&f*!hWN4NAI%gN}2BtdbOEr)@{@4LFD<8=Kx*vErgs zv00tiZke;DmzEGrEFE@2P~q$+D1Ns7!T&KSgm4QRX51tc6kT~%3gk)1NCqCj&R3n4 zD4(?W5k?lU$1$A;;5f>HNxklA%srSwQZZPu8-W&Pm3d(XbKFoSQ7{bi$5@Hc5)2b@ zO_C%k!=z^Z{9msEehPpgnI>h#z#fWCQoFEZ80xXq>oQQnZ=k*GYa~_0;6b?1nFY}9 zFwC9eS+NH)cM_&DV_4Wk#Mgw@3@+Xfv0wbr4K0gd|S+0nr<|AqK#s#C4w_1$0%k zI&7Ac5G)+TG|b>5&j!`0K%hiwD+R=JnMlKJBGFV)SOx*J#IA1HGr(sW$cQk^lB`9> z<`Yy5Gb)82o%s7=rtJ)1ih6|ZbRSqq(;DgPEC4Po7Q|Na_^fECOwyhx3y!5kLx^_v zR~Pk`E=ETdqTuoF+|rsCQNU~}5hanV-FuUTujk*01Fp|ju_s(Hr2jPMf8qeysc z;S^IqeX_C7Tk2IbmsK!)&u|Lc|j}wJ@DU?uwSDb-G|B*#Lr6XcaSwT|zqa z9}YbyYmW@^QC4O6()Tkk`;T!L3*a-Xqa#$#oZV4-;0EnA$ zCyW7jB-tQ11VhLjMV*+YL?Q`hj-e5UlDYZ?I76~|*HP@_lzFojX5Au5bUi3yC%p=F zcQ-0<(~y&Hk*b!JC>i?93!tO0O;ipNnleS$F8E9_m#O|#@K)RtC1y4lm}zNI$+$@P z!Uf`DuwIzTd9IJ)<>AJ7IvyET3Gx7= z(!UIBI)U5{`xJo#n8@h@Wm<5jxL&NFm~nKeso06s^Fws7xsfw+>?(bCO`w}#CEh7u zvn76oJ8Bhnzs>vU$w)X0@fS!a)suAqrA@QA8H}cbYPF01<26!3C71xq#CnsfvFGWC z30_JyNM>{T8Hqy~3uMC$%i>GKbTz#&PrxF14j4dTpgVzd zz+4i73NfXnswEI19XP78H>8pCtKSm9+bnBN2VYWD7;@%Pc3d~`d1(bmItZdbi9xtR zHwZ8k77D9@SQJ4E)qq!&nUpVFD4NCxQ-x;X`LI*ykab2lKg4 zJR`n(w)O94NgKnIr8mcmimEe>cs{H{vu=c53?LFTCpV#BgQ*%xRm`*TM2&WmAHK&d zddHAWEQz=m5m3yme9WL@ITuX|$`g}h54Qi>PEXw|aC zY%nu&aLykd#&l(IkWz-EQR^ZD^?oe^9wcFA(BsO6`Qw0Ktfy!>T7xTQ{Yf+$k|^8LuPJv>DR{4;P5b<0Hi`Kp5{eusP9@U~X!RNX$|^qT4jwL?C;Z zDGac-IKU>Wz*R`KwDwXRl2SVm9*5rW#1#QY+(TxU=}URVbPmBsr?E9;SpEeP{e*O{H>ziGJv2}P{DhPs=%D#a3Myh+H}=!5Va7G-Bj1y4zbT>LX( zOsZYjE|EZ1;f+u9tNHD7e1oc{b(11$YP@b<#wahnf<{}Uj>1{%3;)k5$`w&K!K8BL zM{5ocSP_YZdgL=Two8&Q(Xj|E(A19MXAxS=|AB+4&|cFYAheJQB)9~arOQ){t_a;U zX-y$+3PYhHtSrDntXn$iEZQ57hVbt{UG3iG1{jLKG=X_v;sYO$_~x|| z2aEqp*_)L`W;~SweSo5+y^}1eW%Pn>k+l<8s4xHatyMOFzMh~NP}0(eRPvooRL~Us zeZ7!9CIE36G$BPaw4;(u9}jIN6V#C0z6|pXWu_WKBM&4Wsldxha&-P_pp{EGHU)h#O3Yi4hil@D-F&z*lO(rRXv^qXv>H+d@!V0>YC^8j%W|YQ!ChMgeKcvk;sCe z;YN6WL+1C_9IlDQ5Wf4r{{nRiX;Y_n7;Pa$06PruhsIb$X7PLJc!^HLl>xHgGt|PM z2@J64!wuc%LNRX0DR@^d=4C6Z#50Uu3d)EX(TSC;gyc4ufWoy$21tu9rNS8KmjG(4 zSLQZNt1!dw>oAHTu8DzevN*Adi2~+sN{xlPA+_wcl#qbg5WPrwH?iR&_p))$0 zBC+yr^cl%1&>jud6;MTB3UbG{J-a0C4HYP#PPZ^fd?2MgEz|56P$0K-p^4%)`rh}+ zq5x7MhqX(icmBB2Qg?>YP+{c%n6n}7H*3OPvNR*`&& z;!l|u_Hn0-><3g}X{l9p;qVYV8IC5QVjyJ%MIf<|MtHdQt;4wA+(jw05whdUKSFDt zrgN~=FNo%1ep4K_tm@!0I40;1|2aq^hGA#3Pb)Ngk=db!b{QkgPz&TrA*_f2(x*V2 z5TvOQGSoyOOX|T>q&wCHS&G;ah9LPzT8Y{-(sUsN{PU-{yr+}>dl7nc^#S%^ykxpT zFyGonvna49s-Y5SH`FIJKmBmsjgMK;%d^_N+=+19SjJ+j}fF4@W)<}OS(Q?BIh(#?KS z7K`W=Z(2e~vRr5en4&QPo*yoyQl}df1XT#?mk2jU3TQ=HR$-B^fj(c}*)vnYii^XBby^tum;cxPy;D`VOm*$*1#=1E$D@I)QZ zi;wcmg2r&TvRy&Od3l|{l}roOs{YkK+F}+7H!hk~jgU@|O_o1_eo$a60E_^0fHQkc zO|wTJ9oJMFC~P^2H&>fXFTFuhV8HrmB1e0iQpvP}b3{aL_`^#O zUgBh_k_S3Sn3@6}u}&*k)2G3WA}XL*fbj~KVMSPyX5rlp9u#5*xF}U-LjKdZ;V1A; z6LpDimC{_cYMoHya3|Ct$cT#OB5rCanj@T|9y~(|A;kKo@-92g=wyV_O3_W4ty)1F zM0K$oU--nw%$QEXLa7bG$y6j5N@YNd8^)~3r#U)22;ve-@?=GJA4&L@E;In8^wQ@g z>7_KVf!|5pneaUyp}T4HYgvGX3O;Q`+(9%dt{TUGT7; ztetM^cyYaS8^_o-{n-tZ&}6S9Duv_D$$D&BYZ=*P2R22tpaj7$l7w3RGEwhm2%(BE z{Re%9l4EIdTXUZjWkVH~35-Mz45i5LuhSTT7CKEmtHIQqLez_hkD`Yj6zO7&aA6!1+qq`A2wOM5V<6IYq=k z2XGO~iYjruXaTzN>Zfy}u*Ao0)9mRDMcNx^;eBbFL4|bQ!W@{1N2#}+U7}JYqW2Fr zYti`*<24e?+0a78W543~qg>f@Pe&jG+2EK~SW0qaIqhZ=q^CFG#D%YdmM%&`S17V# z4A9yi8(I0Ebbe)aNvo?8TO-Lgpc)EC6HR>i22>{tdNFiH|^r5no`(H7YobYr8S-~;6Khp`~C zB3XyXE3lHGj7j9-nNoAGth5ZN1ntuG88pM#u3!yN7D^F7lGnca2x(&3*CFM%)eI4Q zad{?PTB}LpbxB8sXH`2XP)gu$j4D!70Jx+RX=(#5TeKE!5ctk|SlQSDt|YIP5upK9 zD{F$7g-Yh@PyJLV#T*w2C5R^pdBC`0aDO7;X}SlA&xFz!z2sP(xuzw4^NYLnu)E8wrX4`jYJ1^xQk~@MO^L;^3g0a22|c1qz$x{FN*Lud3TBXbQ6IbT z4mMw!h7vT)5xkHBvX72^zyqX)WSmo{A>+K91#WKTC}g_+`h}0vkwV&Vk{vP#CRhyw zKg;@JaRecGz%;x(L(Lec)TVrhbVR~zw3it0RO&RcOD%@r3|{a*pj4;HW~M*~engaW zc`M9fr2>YjP#a$$e?cZIP0sR97jaP<8O!D&5#a`yYz8-IDc(cazS?C5ni4KSlOSI* z0bojJN$w>$DJ4YcM_Loi5=fWf3dVz~Wr>JWzEAS*%U>qMU@G_5|K?+GBW8l3GeDHE z-eNj#Xvqx~X|g2E<0VtK5WbxXoiYz8q(DKu4~#VkIL0k=EfnELQk3l9reSPG##jLG zvZ^7b?in~tLNvxJq zlS_Pw_*?`@qa$H+4yFn4GI`FXD$8u7YzeVEW|?a-=O1O7Y4;+x7yryKFF(d;L?Y+> zzWd&EF~qt_bGxlfiOKGgpCBHV)H0P9d$H8{nOrA1dr^${fd#g%DRfFfj5I?GF^cIE zuB^ydW@%6jz=7zKY}*Q&oF>1>Y8e0O7mJMXgftc zqtRj_4kgA0Gj{_T-!K>HP>WYfl|rOWwR+qRt8h~S=*2ek`2iwWY#oh4@qxf(3{6ux zmbV0q^Q|m9L6WTf6-Jo^Q@WqaH2(De<*}-ntL*VOkI)nr{@$5CcNIiG5oc%Yi)QJc^W@ zB5cFrvIbWa7=6F`z&J}(&b2SJLQZjl{L#;WQM1;G@2awwitAZ?e3Htz z@lsL<>7l&hZpZi%eilU=VKg$f?+0NLw5wy|AZwLa50iD zHNldIDZt2NTSYTnE1{?;uQam|dBMsl7(q(>2eySV{-^;a9HrJ<_79*COGa)v#o=eL z?3M7;@R|@mGu{f7Bj8yi{t1l$m!<1X#tO|ufsaJTuxo6HUK&(f^oZA7d?IpFgISuA z^2FJ!j5)>3o)Bjz;Sw<(UStJvDNjLRuKly`PMY;h$W9DW7_@0!8ej(NhJ{EY;wFzH z;ZQorcs%0$6u#j;_$1%*K_rw~ZV?s#5hM4?8UgD=mpAO008T91%JeEYPfC6N?mL>< zlEX`PNh1?kavvUGiH0yx`iM9A>Tu;!S zQh*ZoW0F^JdBBPjur1fV@k5QXzGIUk4w;hXs!tcs2ZuPVB$3gTtY`BddS(c-#(Enm zGrDw?Ol`#yMIj6Y7*9%kKElt@tV3`nmJ}5h$haceo@NHn@@tL1l45Fl5{*g@PC#|q zk276AH?<=58|f&gGP90?;G*LD8n8ZP)EHpao)2tGpH&tr|4R*^6iP^3{gHZ+5-Y4F zRCom|OmiOg)6$0gkGE2rTX%DEjASe`!x;B6%kp_+jF(arO$?M~j0Cr_;U8=ajm@l>Zx)BlJ5S@Pt%8(lOxy#T&1{z zvc5xGeV;kvhoGloFRHLrTH7Wfy{jJlsD3}m^U$qIC9C0$*Y!Bd0DrB z1ED2|pdb`Ow{GTic~V)Nj6pnRZ~hnRmeVZKDwzhIIGxY zxgLjVr@tg>POgMbCerGyw3N{VX6FNCMD%mfSg8B}s9v(NT4l~r?$DVC;1 zirhw1G-lvmL$i}Pk@waAnKub|BzREDhB=)(fvlM~6pBKd{D3 z74IUKruUOOrb8eW4w2CGvFlN)RE(x}qs?@ZW#Ik_C< zL3gZ%qUlWg$sqG(7L=QNFb-67d|G?wu$ni5ite(f4JCN&;g9)<6IS$i(l@pK>{^G{ zz7S`FO6a)xuDq$bb5%F7-wcQeCIg0=t2yIh5Op_Yp%O`(F}fO?e&v)(^0{Iw2VS!G zF{c%8aZzt(*k+kVN88`wybDdM*uZ4%AFSh>toEXv&rOcD493Q5RCB50!<-i$&gA2w zW3&8Pa+TIZFRmslm%k`K)J>%g)MD18RxyOS@7F`ET|I01XJf6zyPNi8ev2Osav#GS zcdrKdq&wH9qIoaWj@}Zq#3~zUp3=S7n`>wQ8>CkDc4O+~Lffww^va(J4 zY+k$1DP$*{^>7f~o#G&h7jjN@b(9w3W54@Tfnl6K zWjbH@>B_`9bY$P#kjFRVLVcM_*UI_-%9C zsn1!qS+frBi?;Y?&!sR5t>Lh6F0a{P9GGotB&=sjZjcQ5ld9^XVA)Z>aM{bSf1u+4 z&6b=-+!4*qDEm9%?BQ6=s8|*J(IjRTdR{PVrV6gV)9M6X&po4c=k{OEzZFdFd(e1G zjcx5eYmT++lZs4k+tlDdJsb|l;uB_{^N73K&Z|sScL(o+R4H$!!EA-ii6}`s=Ds5 z?v`z5byS~MQF5w!o0^HL^~kZ9@pk$Rj6~z+O!fR*-~0Gy7xVR>`fRo_;rGWn$KLE5 ztohTy7PB6n*t9O}owgrLD0dkMHV$mg_nh`R9PCwR*I%3q>Q~0H`{PXuGCth$VZ-g?hJYmHmM(K*8yeM_}FmK|lj+T`Nn*Q;J`6DYRZ^i*rk=xAHruI~xPt@Zias@ay@I>vFv+LD;6{kD3;Ek@23>jIe~lDThuoDZs2I(JExnyk3HFSS&Tx-HRzzHdHZ88VF&9-=nNpyB|lqy$@Kk1 zui%;OVi4$>^6(gEjku04*R&ZUbZcaVE4Qh3U_agmdxCG=9Bb8#l)Y3`b|*sWe|VYj znI70q9MEMU210bR%_zuuW6_DAl$q=wb-hukdYKH`76Z(o<8M($i{70zwhSjEz=`BnP0Ao+I z*6+=o4ZKoj!v)(c&su7;-=9&2wk2?p5+ltl6)g-qP+?uBvZ@fpJ*3rXS>hU9lldL$ zM4g9=tC{*}R6Y~08*;%q;S=UmKEC^3+|r%JfCrwnMLipZbNO0kCcjwB*h5-j%InV? zS@&%IMW;2#d)cZj>lI=TtBj3|2egV_v0atg<&06wY7;)d7yaY55wzsL=Et1fkuA37R9$z<;ZPjd z4G-Ga$pRV{U(x#-d0reC!G>0DY{cxe(&}LbAb>$t*fi#&7<#$7bt0%_O;vRBX3T55 zRi|nh9dp})u6%E<-_?K1ZyW9RpUR)}!(a@ z%o}y$z7D_WmV6brb_7QEImenbN_$7mZYOKgD$w;qt!6BCGUVE38hLcJjCdx#aZAwS zcXjr=pf8P(tb|qD5|kfjm~u15{-~1ah)WrpFnG{5onu2Au9FQ#+j1Hf3LnG8OdLehrss&p7+NcH43tYs50> zBCIBy&HKE&%=WGh(cbKfe%3}^7Javx6dYtEu~paNMYd%5*MW)U|K^(~s|W&l--lGw4oqSGo31({wAD((%CU)5Btv zX^S(gB;DP?Kl{U$Y+wwATE&JPsOXwjT~%*|$VQd+_e^f8L`YHz?d-TU0|3#2P_TWs zpZl6V?Y8Jz&q7kUHR?a(Cq|rMWsD?${g*%8Mr8=_zo^H9d!j9QnT2A0bz9KL+ufe- z?RP7Y?a4mDjP1oH__>%VI(kLFGsa?AEE&Y`d@Tk-#RD$}nKqT(A2K9vDT5`;B!?aA z4iuct1A(ScbOEwiP5WeYYmZ*^0zLM7)sW}2pSeXhj2;RHH(7OkW@vFsU~B#{uQm2M zN8=~jMkyW|iQSoeal|VcNvrKC`!c8Cs?L3;4I-*!@14%0!y%TiEf?GM4%3c-y&8y$ ziXz=pfF%t6(TEZlwLd(kicV~wemGlAveAzGXp-dr`}L0zeQe6E&uQy*(_ie?`!ibi zZQXefH>p`|Q+5RrHLWdaNB>iL{!Eb#sQkRd6aP;6kYU_uF{c4T9%UEg76 zxH$*|TwcEoc4-}fNO9V6!x!1&=V@B;Hc}XK>g)g4t^HHRZ$CP2-TADyekAUlbN|w< z{ixu7e(<~Qojtq5slxd;y+e8Bz7bsW)P|SVc6#1j!JWMuz88GWbMMOcoXx&CWG{PI0&+%m_bBmGZp`flX9bFcg_qf@`x|JKysddDaGHe4%s zYY$B9+vt8iIO1Pv`_!%jpALS-YJ1-JBkSeY9p{3xJq*`+JIg(f7dp$EPUau^)dz=r z)}40V^wvGHZa0m~N22Y~flqbrYPSbo-rB#*94>S`d8gYkb||0x+pfij;_Mxkp zL-{lL;U3aKWM&T>az@?r)+3Qe3c#${Te~{$Rl|qJjr$ym;)dLN&veDd_t`zC)K>Mx z=0MeeP2Vm2ZhptV%fAy`iq3RhkGmd=)z&|_uTVW>p6Q%FQvK@S`FZ0@U8nDh3a8=| z)5qLtXYRY|zkem$_GWv--)tUnKkw0ZlK)Qu|9gTbMHK%=E5RM+a@v3RsnA5&RarsdAvV3(b z=Kum8FL1j)P54e5g@jA^GSEgZB>8q;E)MzDxX)Lc>896k+RdcVdGA}nnZ|s+jeh{f zZP$bNK2>@&oLIbXzBE*;H5#Q$3uo&5YHi#z?`$@Y?dXa>@$T<^`D(tc_l)eI%R*~di}5V^%b`MX5XKAFWhtC?|g4#-}u(; z?yg|t2vpBr|Ef|u_6~3SHRETmALzTg^_tn$ZC|$b`n{(+zHjZFKX~|w_=(^w?Y}+ z+e^k`~4Yvj3W_z_WI}4r_HOrKhxT)Z~GB$p=7_2?Dg-nRUvcm~Z;ihF&BE>kY8i@kxr+TAzTdNnAQPu|014kLnH;RV|a7fN&YguDGKzFws}%VpPX^le+n_c+Xx<=m^7vvplN>%M37zSoGiLCq(- z%SrTly&lcAl2TaXx!5~Ww%WWU#)E3aOg z_sfk|e}_(km6mxQt=%5@gY|21yglH}pQ`U{>`8XNl6%oS9t4G#rk{+N<*6yZCHHXd zPp`a~f89Ur9WsNfyF;o5nB7;)v0%E=^+ zDF^;_j1-p)cYRukK_C1_ax_@ z&zV7~Vg1p?oprl(YU&ftY4v;YY*Kpn`7d?9=l4}IcRE4rSVqZv*zs8S$@+E*uIz$4 z?Uk}D=tj^zfc&NEUVo#_*+o9}wBG8{<T~vNs8~xC^)7g-J@{Tnd{rX<#*a`EUFU4r`PdGg;h}&*+o} z^dhp)yM?{zOv_-u7>Q6*{)IIK*9T=#kDfEk=&87vYK&s%y z*84u8joUrRyuCZl#g5jS&&Ed07h6ATR9IQ3>^l8s3=6m8Pi-Ek0(S~_=w@Ylx zxZRSC$NtP&`sV}Axz*=h!=;I82T%8P8mE@XD^i|53QnS1;V*|;~~ zSVwe*{1^DLNXYqGf=?Ywf{fYXWa3{5V7Xlk0Yksr<-q4l3kz{^A@0fDec)$^FWOY6 zr)~5-^o4Eb&WQZdk>SOnJwu**gz$*w%dMTej}r z>6Hi65vO8Z^ZrCDxSQg0vVXKPWwylZXWi*`nZ56L*_J!n*`DwFh0H{~=;d{DC-fBB zPEy0v%9-f!vw5Dt&^9#kp_ddgeT`r;4sfLXYIWVpeRJC_i;vn@B6q3}LS>(W3m#kS z>1rDc9*wNM!DkO!Zkrz8br^Jvi#OXIwpHEDJG?-rh7XQP-0g;wMqAD+xff$qa+5f6 z*C;{~t+bbjD$J`mR9rPVY{xT>-Nr@u?VL@dYG|7QkdK5D@!`-up13x*{p@OE>~x&P zQRCXzCJS7==B#+4fN8pa0pBLYL{jm4*`Fr0QynbZ( zAkA;aKBMN^9(?Ode{ez2$F_fafw!vvb=PT^e;e}e<>;E(_yXSyN5|aj-}=Ctd^(sI z`?5OO*2bHS*}T~|pWt1o_O+%c|99;Tc_3r0`kkJCz6rc?{VA{fd|_?;*FYo?i_443 zr#9T?3DD-F7&|c$i+m8u=#`jQgx|or@H@T_(qE;&UHXpSEd8)3R7j4`bMga-?P7FW z{wX4i4}B2*$VYPv#RuMU=`!LRzLOwGKIFzkDaGb%;L88@`!inu>*wa&xE4Bx7JT~Mkc<1kT z+8{4C8ucFaRb!m4r`}*h87gNSMODk1b-Zd;^uHbBoqif@aZv%@h zcSm&D8@pYtZl_q};AiXA(caqZ;JC3lsm`j>?1>&V@yNv4!P-=^HkmQ+Yb(q@^jpDr zXte&5mn-_SBfWVjtI7PL_FU{Zw`j5N@5n%}tjp`|pYy6=r{CthWGW}y-+tPBBM9R~ z5)Sv}cU2}+uEQ8A}J-fU&FhlD_ zk2(cIIZu{G4##U%xY^{8hb<$OA(}6q%Wt2Z7;zkTPows_6Yf=2FUrJQI_mB;&bp6n zqUBYF*{_>hIJm2LF5mKGpcA0RS@KL>W{0oXF{WlL+Zczd2uo1&?~Z$kU$TyO6%N+z zXveuYveyP@2P>nYSAEB~l2dZ3+3x@FGd)(T-fu;F<8Yw7V8{JX6${Gifv4$?NycQl z){c^xuH3Hs#M+tBUFPoiQk*%P-_Yp{w&cp3U#gbrE3?O-aDT!UJCU-^K%!*PUSny{kGft zR=l8$dS|dbBJb8e)p*Tb-#!qy)yTQ*##3I!mjHHu>_gwh>@mts=8HO24sN(JEkM~} z8!BEjgB|v*hr8rJg280I9=r2u?R>1Njr@2#I2R@znlTzRVGAp!-+$h-C>9K znxnB@xe`QUvvFV(okGGH&{OZ&VM;h$FPDu>uolVUJgs|4*sRnbNIA* z$n3PorZ%;!DW_^ow{3Lm)))>CQe;}KRd#L2UNGuc!jZ-5J%MW}d+q1C>R(X{+vCl_ zbKf@4cMgW%Ki>a>`+{}S?C99w}z3L$+*L8MNA^MB(DL4uRcM-nryYp5H zyZ45}4&zQ5t4!Yk&1|}7yWmldp6oGe^?^8C8`$xjTOXpcUFaER*JjgizY>=gJ0B{2 z?XCKTt;+kwTF$SKOVf&u7u@C+9pl$cKUc^~-pcLS>hH)77mZwPAdbWCQGbi+P!FOl zYGe5z=_t1(VcyU+pY&vRK8HmPQ7qUrjr}mrfJfrQaJzM=p?IPJ@lQskpojCl*b#bP zf&gZm%Iwf7ui9k}dbRiFdYq1c*SL!lPCdWIunPGS1W_?|Kh^QJovB|APa4DB^b4L0 zx=hXAv^Z+89p%o2ZUgqHoOva(U5|WM_>Ie9J~*A%mDz74S#AGO4SO9zGr~J6Nxj*i zm^M8u#$)#C)bQIByXf_-76$%|HJJGE)&K3~Vr=zH*~P4@!Y%pOC}+)Lv{h+Te#TnO zXNSC58FtSqLyg*%tf$=8xalGZUkQ>w)|mnVI%T2wZM z!q3L_DAPsmpqQCiU(19Z1AS{{At{F08CTOfigv~fyVtbm1W#Y?uf^P5<`&AH4cA|sbTma#(Yc`IoEeVBWQhrn*p#N=GtIav7VULDyFr zgQ&pNMgOspj~kOl#pn!x25reypxM=ou|M=c#im~;CGBdVrdhpeFMb|=-l+PziwL(eEfS>|)@I$Pm+wTi1q_udkl`wtuY6Ri?Et7h_E z(atX3{cd(Lzdh>77;#H30I3)$#u;p}vvAohoq0v}nMvok%GEvkS*7hb5PC;EH?V6Y z6O3YeXyn4~6xVd;w2&M%6>F+Oc1jLy|DK#vMJGqPM2a$+YA=rxIEj9N}!fJ9gTD zYrVNi$7h5P0!+t}BmIjKkZbAss zG1R*^Sx&~LOw_h?vdW>+a7ca!amm+%aj%$-3FTUHlWhS=&o1h_@|tCm^XSiMXHh-Z zw8443WN_MZT4li`gV^HZ&x%$^=|p8!g#jwAu8iqajOw3!FvEF_{!Y`g-HNME`s-pV z+pV&n%R4U_`dLq#og5G|sP#B*WbgC(?!9BNZ6t0ZYqnr(-MKC1gXETqIt4s&ag`v? z5I(*huHyOSBOpzZM}fO3rwikwdf1(l#<*)avZsWFt2}jFowfe_FMh_aTn=g9sPg;b z(1p0}rIx^H<%^vdmKrS|{Rd`S2*Ji@uie7nd=NDdV%v#^S?5{Gu zyMD)1|AfCYXglOwcT8`6XTCjIeSYCnFQ5CRw*Ra;AKsMh8whrF?6}FzyWWvLEa?$%$02nk{)Q2R3$NPOOs9WrcE~UM zr{K}5j~bpfJIooQZr%R5a3Vi$m1Zrlps5yXFR9~Q?HAQ#o=qyD(SC8Ts`qW$s%m4o zEqS*z#JTWlc&v8Xbg&ZUaP{aNZ^z3SBG zj`-(?4?j2>zWgJjf8EdKw#T*Y{pzLBOW~XG>h9$5NcjCH2Is6Dw)f7ZxlY>M^*&{P`hv28KOb@925l;aLp3?Ngh=mrrzs_1j0Q{b6KTZf@Km6R@yTwVk;m zg8Z(H{YAXcRehl+s1DWZUk$2{gzY&y99%m(?%D&}{NxAGNYDAd`xk%vwmaxFS?iO| zW;KzAwkBfjoy)IPV^jV^LR`D-Q4TtN`cXO!xb962XWVFeGmbAuU&M1A{6X|aaNI9H zQsw&cNH{h%LWC(K`4eq#l~0e%-7Y-#$$Q#4Ur}p*vr=lHIwG<3afc(N=TEY4?f0 zT(#qxziXY)Z4dTsg9Pq{(tTij>sOz2-W%8p>$Gd+OTT756swJ29B=(g|MR};xn3^! zzi|6n-RoqgqRb$v1agGOUy{GPFgedAaZWlEQ-_t)(Fciu(G>5KKjWHTf+|7+OQxz^aWC*U}GjzoW)cfa)P?LU_sywduf+4V;k4>ksl z&04C1-+z0)Z`p`%2vEx{c!5MEoI#e$&LUa%M19yIMOTPES@Uigm{6l^4^B1oB z{+I5|ZuhJ<^UO%&0h5eS<5;7?q0@Iejdu0wrEC4WAPH&3S{j)DW%yNyuw=L2=^Y9E zyS*ux9sdh`-x|2(!kW_F>7yDLV#kdt$GGslu*OGyL zcUzb#@?2vVoozjwJF%))x6Pu>Tn@vfn~k6Am`J|!0z>l0_2<<;G;8xmONY$lg+H;! z=6$<#Jo!%jq*187;It1W_a)`O`T93n_W3YL_Y2RadN(zG%_hC(hrK^MaGI5rvAf^( zz1^PeoeECdCvV^Lyl3?9_4g!|PP^tU`Wt=o!mib0!4KL`?%e|eU&wyGe5YruHGU`1 z79Kr4d~$2sd!DDpxAF+mxS((;%4+bOuk<#okm8-+GxsjuXO~um(3WFspf8oGXVipp z{fGWEIft+i==J#*%74mc*!lSa+-Cfp-`zUK9I1}leBu?t=N6&j#z;h*K6mTYMQ_7< zU0uBl*O6#pr@yUg1gqn*_x$0FcA+(y>xiu{_;I$}=_&u&qYV-+nzji--1%ife($mq z?@OHB_T10zi8ni?%$*}cko}JbCp^fLYkmD2ZF>)8_w0OT^3C{~5&&f8O6*UXxqYp9 z;j{yfS!9mg(wt>h_y#V%KH&E@oMWLqFX~iHPFmH+sr~z+IUke{-%n~{&Zxc<$NDpt z>NL@_eEHDM+X{O}He8AB_j`4wG@$4Is@~3l?u#(!u9`Ie;-arTYtC73FP`=q@Q5$@ z;OV}(1DjR2djyRcobPY=-Pw*k^_g{>$el1NQa~IxMz*cm?pxdJ%trrMb#Kgs$K8$F z$H~%=b82M;Wr}kwgWeI(WFePq&c0$sCe?)L1vSG&jz`$s&^z9`a5VXkN#ORL;c_SkHzjh%br6}@!c@I7)s z`}`8;s`_1VT+D>BD_{mXFzL7VCZVn38Jqowgb`WaJ=kbXDsHeT8y2%i!VuJ=sKd=f zWj!qGe^|@1LW9j+y$^bJw`%;_HrFI^*ZafaQ^ODPFr{zs`MXDS^TOYIUO|Uscb^Cz>N{)vc4I@+g2Rj?HwMn)}M0h zf%&@*muzpK>}ePD5XoVgH}QA?ot$*IO8|wje z6M5Ow1*bfpY*#QbjnoVt^dY|apB4KIX7;Z%R38!_DWrU#pSHGc#;APqOFFZ z{Sx{<>Deud4)jy5W$>c1u_}}z;>&#aCHrDHYV^-XT}JJUy=nEiIs0r}YHU4v zJQ>|zV@K18=;{})?|<19~Orf+-~Yk zxqbIOxa*2TVc}HY{?LJ*ertH%={8I8(86a~=VN&oiZOkE80Nd$0^M$z9%hinVtW`y zo2ty#H3ZBwN_7@FadJeiibIV@6Z%_V0;Q}qodVn|QLE`+`@4Vlw)@7XPwy2z*!leZ zKku|ZX};I~f0YD!A<^K?W12c{-9Ju^I$(NfSUZ8mae~PjEQVyyjWa00-07+L*_N7 zzje)atKv>8NZFb`V`*MUeY>2#+V*fvq3(=h#b-@>N9gBc-3#xt+JpYwOc~mN=(iny zJyV5bZii*A-P1{*U?X=lTQE4<%dP()?4Vox$T=x8{%nL14$8A`MekS9`Z-GDx0=bg z6MyncYmCb6rh3V5_17$hXZy|C-e7aS4$-`dOqw0j1JAyvqzVT@a)_~Y;^DCOtzf8% zy@-ZfO1^f4nXunAIXSW(hqG4Ih{v}7=O90CY2EWMLSTxpcFLSH29@f7*``*^oXi`l z9Co0SUeTJ3-;STT_%*dbH?~bBM^_!qbX3&3XkEs%%jc4do6VVpPkt-s_dF2nIJ^*a zEAIt^ydt@}Q1`2ycZB=h?P1wXoXn1``O3SF*>%LVW7D=f%7!!N!ELP_eaJQzRaf2a z7^!6K7wiG3bxrH;QuRK4fAn_fu&aj61&}B$^M(t`3bXt-7l+ix!hBZFCDqz(T5aRy zWbAWcR}@~{9E|Un36r3{s&eX%pWgA(9gAIcUu9n2>V&UU-8I#4!R-H_S0|NUR-6Bh zr`ubCwI!o}&T7{mf=Z$guS2=Ts{Iw{_-t3ndMSwJEb3Kx8n+ULvzhx%S){5#2 z7Y|QQpQ()`rTNao^IhiAxge^C>qetLJB9vP&j-7bwW^wV=bQf`;|6W&QFQhI(Oi$~ zKOEIt%`<^@!5p_J!Q34VhrOfWD-^6P47PvD++MYN;%LEHoXA(V_J`Y}vHUARXe@^3 zL(6_NjQd0O3+y;SP5+_%U{uen^_91_-{^@9vaJ21hkJ8`g0+|8XgIpp$=s`VaZKMm zW_8M}Wo!@1+aBWxlFcM%&3K@$!ptd(mOn8c)}7xlF&yRS@SHbanjQMqyrIUY%3rE~ z+|zt2oX`4R7{`;QM~ZDIf~Kovw3@XptF;85D#3W`Hki%q2}6sZ+scH{aeE>l@}RqHmqvwk5)b*4~Vnh#uYOj~qMH+t;|f`J$(_ zkA`+jY+ENbRkOg141)?HtN7GmbBa)C-4<$EWzL1n3t0~t&7E;vzmh42nJsX5IfyT& zk7xA4ivIK7zyBv&K+(RCrP_`RS+M?0_lWEBaNWMsV>+!mt2oXM#=9J?*s7cEB5&q= zCBT8gh+NE0`r?tUR8UBs%ET2nmvko< z%x`QkKdP8D9y^?kA)EIG@t9uE9D%v(R;_3Sb;G!r(8`>*+$mMFY?7d3Ix9HyO8gDW zt+nbUMp&wlc@v|O?VVQLqi$HS5fDnTOLf2xR|F#1Ood&_#L-#ye-t~^%nt2r63$q0 zWzBCXc2}5aPM7+{FW=fmMv&guI%SrqC$Ez_=}R&#^Lg?xMJr2LCluavP}z{}sw=%OQDJsh;(5iq zI-J}5){LilQ4~G)<)7B5gy!wKFXm%j6Bb=l*Fu1`iAZZqt!Pm79>sxB>vuC+MK|Ym=k)+`EB{N_NjV zYyi4r?`KM~syJ)$zhY?J;t8sPfDWR#$W}cXX@R0E@rfk(|M+?z@HVdV+;e6$Qean2 zh|vT)!EzbQa0DMhv@Al5ituJr%y0k=L5h-S#TQqbO-Pzn5!Pue#m|kB+uhEYIV2AV z=pRG-vfkVlgkS~sqc)PFq-ray+)X@55S*9jWm9kj=7~rYi1-a!o?0*25zkmw=In5) zbwmsd=rDXkL0CcxpOnMcM_EZ&OF53qE_qlo0rOEgg$*p>+zd+$>_izu+S>?%E)b*n z=F$%nvcN_xz~Gar(N5qE=?pHhgV$1^W5@K&EjiGmr}1{q;0B8#kt8Df)Cx}sVVdP6 zL=Eqnup_93z#V8ZygXD$^dseovn*64sFD>w@w|@81W7=?evC6f87`pMDE^fb?^6&C z42skUj8kEP3KJidaWb|rC`~aPkBK`>q{?t#QIIGQ&<0IOcbK~AOW25e{<6*lGLAtd zQ!svz8GPu3jo>SdSt|%0CRmslp`Mz-_Pc3%GQmGbYW8eI_{{nHhn&}4M}6Im;?}pM z?xruC>eOP9JsQr4>hNf%iilRBxr_>~P5RWqD7&nd|D5^#<}o#QRBIyhq(t+_X8@YfwvMy)48*GR->{upK)o=J)m-SMYi<>A= zcs?w&R|wkAHubm}b=?ej=YP@SAH9}Z4p0W=XUbpYr}#L(PB+JyPN#f({(AFL;7g+;5VQp%)Vubdon7KPtXSe??{iG`F(O%Gxm^_WOvixX} z=~z_1rINY)%-cjgVHt1GBPxyswbHQNJ!Vo%iBAT>8}v2SA_=A6`KarnpQMeHD+`c< zCNzmvB5pqL*VJzj8xgri9=W7Vp@wB((JlWbHL91iKh4}O?;_8e{Y$rR_>Fj_r}~9I7Xg~F*POGgafAqLA_%`we7QjnyPfyGouJg4XtfBa zG;s7i1-72N=0+KqwCRUsX~1{*t9xvD{rh#;+yZ9C5(G(gluh~}zJ4^IDNtspuYW4R z4pHNsKjfL>V7AFm5+Vffb9BV2zq<>S92GYjS%5hH98V`h3;6H{b1?r`R3Ev zM1Sz3&0T5@a^i16`aI`f`M8rUIw4Q3I-&G6XD&x`A9rvY-M5-!_3qu)urqxoz1M;` zw_)TKoq;byt6cwBTpTv0zY7X$4T$bu`-}mRYVuKv(+527PA9*JF?{8$llmUrP+)(r zWxV89SWXd|SM8kEb>ypA;X zS0Jq}&e0iC*8|arZ>Sjq%TY!Kx*@$@hGNQY?x6a2Z6X#_|H zJXu8Jf2QYDE6l@m;%y+$b)ZGhZN>o?fq!X^MR9I%8DR??HNzPqLW*wfc2Z}Ioa~+m zHq;!T;#+q8KwyD)@2u|U!;>%oDWRWl!%p2%)!I}=KS9NP{0j0TsWjMd0Rx=kWy)g8 z_^Q@&iK30V#&rtWH0rr7X!!3rBH79S<+vIrIIhw$ZMMlVfE6>{w2EzvqOi~$jS4XD2DV(o3@17H3s*Vn z2%>QuyV25eO+#SQA$px0n4}4Lk1B+{&BA8X1-ZH<~nHE_vJFjInL z-4l0cN&x{&oDxiURyd2ZScSpaOtZ}eyWrCgW2}b*zK(0SUH`Scu!;w&Z{f61N5LqC z9|Z`oID-HSM@ofr>V9Nr!qaCA^dV8`obVL@d*o$!c*b!R4(xOG+I3^a9nNEfx|o2+ zv@4A~L3^4higRM@bDROFXbVtOr;KPAO_LSSLXintK}O90*6hZVf`Q>2e4QT-|KqIU znFsiHR$T$v0i0zdCQ{Nf_o2yh%{cyP!LH%R;IwfadbR^39>vh+)~9iMK`H=uQE1KU z#&Fbo4Oyw(VIR^lXSXxmCNsBH4D#WrgYvoL8SIb?XVPy7=#-0GB-ngHjok;3ohCP;g5W%)Evji$AfM^RbH$osy zk_2srpu__A4rsp&p$8?Es5)SV=uXexC<8|hyvFHSt}suu5_YGIZB|vpD?4<9kixd(kj6YLeXGpVqt&{C(q>JuRy4;#r6pb=;z1)F zW@xe8>Me#XPYo={!}4iYQKlO$X=MF5bXzF|$k|mKHDuJ-wlnUNPd@wJ*y|s?X#I=Y z=)%uyqo4X@vkrCh7yc`dF>12jl@dSvK@jbqLElD5jDJZS{m;npFY(&5p(gt0U*wmx z(I~q547q4c1osryUF4ol`<+*LWL;scbp6t+{Jr*zukxpmU9PiZd~@I~ukClAjsIfzEnx89`)aNK z_m{t{zhxpk2t3w*xcBWlKV+}!?-^bkbLZ^fN2XSlA>=F`Q`eyX-%Fhm)mHk4_PhCu zW~*49VAv)N>ONgowep7mZm`#(nvr%8QC?KvHno?gX18k$ka5Bv=vM>3MpsF(l>N zuHzViE&=4E#Dv~06%-VYXR#;79F*e zt5i?xizm7zZOq+0yVo~&x}Insv{zMn(gk`UC=;HdIKkMxN?O(bEY@snD4{r?mkPSx z?ro6(Wtf@NG79U}q}(KsF2y6OZndO*@V~w{epw&+?14+# z0*dd+%ov-q;N4|Whs`){zt(x##9qlMX|0xmvn44;&kcW38JU@MhLvGk3sA*db<8Wo zF{-yH;`0?aGFSa&h1y7-Xo;DYO1-ohh#4FD!-`rpeA&|_1BceoV+3ATzD4qU!=!D* z`Pbc+JIkMKxfhIu3Uug9HeUASlzG{OCTy}?*8=12XuQLu@=9Sc_VHQhAQujN)QK5z zzbc480u|t5Y~xXQzM`5{npamzO}C*9wRZGoBSU>|l|~oc5$tTDTpHTt#^l6f4ht@m zWK$nJqA?LrAtAlgr(u{+`snmFG=n{Ql1p)^D$Uc!*k~pp25pTi*mFtw3>OB>Q#^)` z@L`Q(iT8`sys3J0;Ug!__)RDM@#>KSH+}PPvQ#f$bz9n~HZ)-^Td||s6tzzFI(z+# z+889xS6zQ6F>?HB-S~Uge1N`WUg&Qhn2g>A^`lxX=j!s|>ts?1E+X3xo#e`xhPLi_d8i2!1Rw|6Obvr}gB_P5Ssu`H!`J z%^hGDi}l{6>TQ2n4XWpj8>~JQ(BI?bvvGI6PEzJ6t^Q9LX;=k!7-`tuu`jvD)YENk zyroyHm2_E;s-5N*sG#HR`$)#@GQBizdoXjUn^|&`i4;2hF2c$?Ip(IoiqMtOGW6cF`YiGi^l4~s zTrGGucD!g;?_<@+juDM_kZwptV@>mVuvRQQXO7PdmnuD(C;r|0V2cd-yBwx`6f0p` z{sfKN8W4>ObiwsgiUpsD4uTs~6=6`0i}nuq?g+EQitHGHUz42iJ7grXBPn^88wv4q z_ZqHa1UH4t4|gJEc9A@|kp}Psc#%gEVs)!b6NHJLID{PXoB!Aboyh_sxCmU5 zC`@#%$l$u5bexjRn(4?+()5x0rIuv`;Tl4e0P9D`6s5MMQ6%JUVKN%9HInI!xp|iN zxt`;ed}{D@>;wsM1j`F8Ks%$McqT!K1a+n%dirv|QdwrOqG;&wJ9y~udyB}`J5%<9 z#2KG(vEuXSD$cWIr5Q>X;EM~1tY(iGQXms&$I=rAQ2y^t1X#XYJMBq{!%#azhyt_* zNQwn1^cal*;fa7n-m<9$fe2kB6U!U$rr9PE3Dh?L8CgU~nQTLq%EGTdF9~UTVvt6a z#iS6}uJ3Qm!6w0xAX|peRrN8`4l!fXsOqp2juHXf$1<6hg-IdNu#*tfL>wTY%~_x< zcw_?T891I;hG0RZpka)W9Z(HJ91P=x6_VO`9VER(IFf@x%b&l*4PjtV%Z39on0C? zePyYX2a1Khw=5aO&@+c(<-V6ZccElwhMNcvLn~|$DAsT^dg?W#@XCW95|rmAqsrH+u;wajXZ%LrKE1lT0sIoA$tQ$xO?54UiIK~{;(aI7MqX}I7bLbF( zB#NjF^}F~7oCN#{WaNPL*ze_WlN$Gn*b^uz4AOvtW{W~Ff%Hd2S*_vOZlbPmL?52a z>$7kDf6oiCshrsbNsrOtNVt=hkUWlUhNfk+?wv9%;At4FcnaC&Bt&Q2KWT!0uBgcf z9Yz!;SczqQh(2l~Uunx7h`w-$RG8pF`^)rbS%5_eEWnxSl9-Xc8r zV4#CBOQHG_5`Dz2N?I~f>qq4tkuXY?RbKWWd;OtWbL32f4yR4!j z@bM#B+Jsou%$M3ufQT=7(^C8UZNGp#5A?YeYsl<#cHOqmn|&mh^j|ol zwVhG(gncVueMBomO3ZIk-~S@Y!1TJZE0QVKmF-&jyZ(3m8;H3oO585dYQw(HCVaQL zd^w)c3g?UW|Dl_%xXOj}*PFC5QL&UykTN;HdShNErx#BQKe1z~fMX1v z&XoIlbN`pCWClJ>x>9r2qff<{R-Lq8nDA)}>#>qTQv7Bsoq6en{z2L@AJEs%YnL4e zq0{b(+=Y?TW&t7X*E$!Sc>l=RHI)ED$r6dKUN5pSY(r5;L$_M0HkhoQVa_%5@a z0lEGz9a|kb6GJ(^V-3Ir#2E&xJ_W9!balQ23_bDg+gS&1;tn|kHcqiS$Hp9=65C{; zzP(OJy>EwKuW@#P=q(rT)CWoO*5oyy%l=(3o|r$Y#xC(@XlYWx$^qcL`tlztPebDU zywb%hp<_wBxr$1-N_oCve&%mB4&7)sY}OTXvhJ9(4JHf^KW0Ak{=eW4pM41SBX0pk z6rFARXYPy?ocQ7D_suqoKYZ)TALc7NyVTq_CgFu}rP|0>j50_m-SXCy(;4czzcF>@ zP;9K4TU0>P-=3OErP(nT1p7{B=UU@X#jr-*)Q>WmS6X5U`fs?67$^Ktg^iDy^2eGi zbwT+fSZ@^0M88>{X}+kSrxK8B9-2+g-iDYtlET*y^5%B{=ilJu>>=|QpZ^o%VaOW4 zlS+5O;MIPD-{wub(&vANpCd;&Z+3t3@O$@Kkix5ODEvg-SW3zrm^!$1|Kp!=8U}0a zwSDWyp;GybG4p*>R$iHaOUfDGsa)gGG4e`_Hj>*(uIUV*t4|K@Cw*6bGUZG^!mn&r zUR`ZWWoAOiY|i>isY@MQI}2#-FdJp;G2`NS1LCfw-VyjfLo(J~Ym3S{UHm?{CcrXgM~9-40S?X}WdgxRF;bt^)62WMQsW| zkAe-)F<4HBg+`y(m<&bgSkDV`*&@wHvdv~@=I$z#%*J)b;7DI2%o>r{XMH1Z%$BVHwuxg-COe&U=a4s=> zYfk)O&Zakk_yO_e2gF(u%rw-A2#ltl?|YN;I!0X85NC+w&+(M6mt|wF%(vWmh@Vp_ z82Em7LKkvLAm14$tssliyUl?a#1$Dy$fJ-}1NW-N{`NV;IE`!s#(I|qWSIL2xHcVI zL(a#UDOP_gWb`=o)r%O1IQ558udPjlIoH~uN_tOc2-(ND6HXDF`-nHjYX%S`RKKAS z2c`y5kQV~McM6+?)Ol@!zubE@#Ivc=tN4QZQ?vd3rV;GN-vN!2di0s+)@q>SSjLXM zoju^#xQ4lgK|9W*!M+~@qcwjs{1mxcm=U4)ynE)yt}Eo;>kY~V3~wA{BHH+EYHY3c ze%j8-Wk6je-g0aK21?O6$mWq&ubEY)=oH5I6=>;Ub^?-2kbV#a_5_G2LcwU$@I<33 z6-;S{Fbg9@UO{^9zw=044?j#}3!aVh5%HE!3GvpFUwHdeCr-O5LliFKxS>HSn%z-t z%FugMu(N0^a%#Fyg>kdHE#A3EDbuC^GUe$mAe$?$0=**1_(mYHsYPo7m-y@L37&q?a|U zlSw3d26fggsR=a6fP=VHj%p^ox~eh%29vWHC>+b8ZLoy8$~#dR+~V+p>*RP!eAt{DGBx0kV>x~iH#E-!tc~A_0HWNC)ieo z==vU-4kL1keMAD zv&Wkk|9vesWd3V+)M#ak#D4aGySL6Jbj4J*EAT>e^s4eF8bq)_c6~~4*jSxP$>!Q( zX%E@wVrV5y?66{)OSH=@FZ6qxx?CGI9!K1^mL6%SBg}57i3I{ukj_BUcu7n8Z&(YN z*$4vn?(Rn!Y;DWSrl6eDF1pLMd(N3;B*9Dks9^+hifr(nn;iv84VsrzCYfiMCYj}5 zT>bsuku_U^#8QUz@LT%sU>b)jezq7T~-F55{8p)8NU=OYT*XxlVvA- z{uEDpJ6&udnpCKtOgJaOdwXbeWIp!|4S7uMRlKup-r}XTC)*GOzStoj2 zCNphSr_Y@-3!*v5R3cWz7{S^BC&=6Uke>-&vo~1Ydy012vXUBeE|Enw4!V(y;1=EI z9w(zjc~dhp{9OVP%}4T7(>sQfTCzeMl(E%VC$98DVUuzf5czg=jqo!*VehkIHFz&E<&p)LlRU(aCWpXU}Kt@qjPFb#u7Guog?iv~+VVMP?fMv$abOyqKOP;%^(2_civ za*OPtPfO%g_Ex7+?jsg`$ZsciJh_cl`t|&X;;Sv)zW^FiK8XN4eO4<^zJ@& zMCyJFw%W)_6a)Px-w4%YrX=_$i^59{YZeKlDzXLT(=QC-gpO+EDWo>GG{-`ta5Qy- zZ(5&Lg>T0U!-^S}hvP$oQ4NPWt%M(0H|A5Sv==kITnNj2ot?xZ8_b z*=Jj&g#>Sq;O^`HDa;4XNZGc_1i{*8CS_-9#Dek<5Kt2iO4%tt#GlY-bFnR0rzZ111ag?Dt<45cam>kC+_90k)3(DE;JhYjhRX(>h!Ds^#_J=w60=uu8;n#ZW;~xV+SE~QFD2}z zj+}ShPS<9iwR{LVJU?(8^PsA z`l28ScEtwvP53%g+UQMkDnq@SfBvh60lj2L2C3CRnvn_80{vq#C-jKRa%(Y`ZTAbv zZe!ox){>hAD_iYzt~(N3s7m>!Zr7}iwx&G+;}rB|3OMyqT@$H_Be-+4!qR|26FUoG z2zGP{q+@UtWtjnsq7QNma$OPmJ}Tk9C1`HP-Mu=-g?-5}q;Uu4%==;SCv`}KBSANo;itmrn!QEFhn8etoJgSfHwLhMnZO8*0vf< z+=t45&Ng=*pwtvj8Y2^WaMPz75`3A_tn4j7JS{o9+2FE89*MYR!->E;yx8R;nUvcp6@lz*J?vY9XM4I%5w5!r9lp=n1y?3*SSMMz zV+n(9mRpQQY8FqR37084Mvm)LW*PS5)P7T5#Sz%LxM3P zT7w2=I8caSWLdWis7rA%BpOQVF|lxq^Q1{P5W|RokduV$eRi|3&s{0lh5&Iav}Jg) z#A#^74Wy$5=26tDMO-_HI?FMkV%k<*PWU2a)vc0eHB13DI(@vN;|_}MVRIJx_MthF zvxNQQf{UIa341k@l258D1nF*j*u;GWwpf@x5rmVdG=q)+p9+aX;lxA)(yb{wz-R^Dg{7OD;iUUZ5NK1*>Os!>;! zsV6#ulZZMFMgoT4m<7!?0*43=6hj4zqLlC#$oMS6b0e&M2&4dQqzBi$h2Ac6*BbeX zz4b5M{=!2q^xNHU_48PX#je?ZtlUxE;&4z=-Z({4SCsTIRlD)%so1V>#IG5Q_xI}% z5D#7+sbXW`ELP`oFTC#FIl$MfOlP&TtyPZ@l~0vP>Md<*@Qw+4yW~=%qBCPDsln^4 zXd%lRn`#|{*F_tpV9DRsre0_(sfpP@?{n>ew~MB6%p8PsN&H1pF%LCOm@rQSSKNYC znu#0FTFDZF2TKKV2LlmCX}haTB8W(#lSx0p_4=JF8&K1Aq~Cqp{z&F;E}Dy}u0z2e z^)G5D*Zv2^O~3KhGm}t<$1gnoc`WV!!#^5)| zV{H1=?cn`W&I#*p*{P{x>i6D0K=%6Q)tmOE$KNWkVDWFqN?QC!>g{hSLpSNJ1IM1M zv|to=Uh_Y2){k9de9O@yn$h56BA)wNuhk}lVcZzEQKDr$<)of6j}A`d6y(a2nciV@ zc_&ZmVNBZRM|GLvIIydqZsA~=Ji9en zT!jxdl-F-h+9-$~b3ctuaXr$AZ7He&Q27~8}eDb-v+$UFZp-JELd3_ALiRW8v{>0&U)U~;(s^tklT!& zC|l3?*C(#7WhN^|*TUZFH;nqu6ZE;YF92IL`4?W){_pDXc2|^qyZZ9k&z)GNcNq(j z$XesSy!j<*Om_@0&f)hO#mKV%pxv&neRoimpl2J(5OaR~0O&Td=LPPEN4=wK_S zJK!blK*g*i?(ur}qnR1fu&N@&lyLOEg_{^4pB54<=-lBd0c|l62k!WPy3a}6q=!3y zV&{7f@J0tP-0TJ0yvirs+9aFf5H|n#J5_|4`gMMkFWCdebIvy7MDW8U2wIifJd%cS z3wYRI)A_;=A!mj(7Gr{Oa7j?k#8;O-Up<>7!yg!R&T04?h<|UcmK+%WUU#7W4V`Ep z+lGJe=_rJ{;Gr!HNs!RqdiNkamI8#SH6wudJP?h82O#6lcsQ9jNQiE~8p@Cdy1@t8 zmWc7!H}|0q=i1{F<~FFLBKoW3?&ZtSov*ToNb``_@3&fmZZ^R+#U#?tEMhw zFn+$nQu(4g&o5;D+`Zdw3&*O%YpK5mGds>w)?L?m{`h`=g300a;VH9zYM7@k!+1He zowVl99vF~dgB}N4J=oTA{ie5ntpxRVz#4kIZ^THoR4AOGI7PC+F}7zQLV%;e0T$}o zF`mgjUV}`%$fsum@d?Q!ws{9$;btfmf9a@E-_N*%L3<1( z0QK|;18}AR%KBVZ}QzC^rj77Y#+Q3a2QsSn68bW>Jp^S`Al;xqAcFy-9<(_a! z2Z2?H_%f#HfMH!Qq-Q=*-Q?$(uOq>XSwiS6Yed=HYN4@{`XkaYZ5~g(PN^LKA!j4z znVq{`A-Dh^cJ(gfdmI!apf2i)9NIMNic{NCJ>@1uYItG|_SEh^;P!_;NI&>j8#VMPrrs^z9<$%nGXBfG;(T*HF3&etN%^f+{u#6r zRqDoZf6r`Bqx<;6-p4b@1RUotbNM)*Z{|~Xsz?UV^Q_r)Ykc^5qJ3-acpJ+9#D(f@ zr)k$vrr?y9m*$DImp9iCvlL`XM;*w36DVvjr9cM$W(Ms30X`!&!)v4&#PW!k>X=vX z?ubI@Uhh5zR{m8@OknV%rXsW-|MkB67(N~EE`nCWF>L2$Fz$m*Mr;~%BcXLT_E}Qw6Cy9 zYFfg~ArrH*=%V+|fkQ&>^`tyU*+e|L%B+=`NmjJnCS1+Ej+~7Yvm-N;KI$=$andJg zYuM>nZ5P;$mc{*6XIZWoxW7@BZ>T}k)#V&IzPaBpHZh707e)~{OHbaUT;Ne3hil_RndY}TP2WQW8tMevm(XLeMW{P z8&T+|Wc>See?N@39;H+bzaV`36w@)%vdpj-otn}f0*CZ`3%kfyRrV!L&5gg&s5**u=}R}_C3w@J;RWnv1Yu=Q$I7-{&{x(&a1rR zX8lva_K*JsH|w7nYu{t%p#uHEb?*|Oa)6>AzXRZ{yR+fvxr;;j@Gk-Qd+yx8_?1w{ ze$Nv7T?>>SAGb$gg|e>tz6ZWZ!~DwJuR;f=3-qyq845*Q?(=y+#MT)G3&U<+BKXbh z`ftL2ERZJi!{^MFV2Ux@^j}=!vmACU_!a7&@q`jDmpFb$IMj52;n-m@tplQ$kaBxs z;ub|mZy~|d2zK&-ogzb)$;GqAXA3OF$6c@igIZyvm~n=!@&qM=v&+h^Tk{is`Y2U`7d4QO3`s&tC68tl=AJwXqd*|F zvc7TfVsVlIJ6akl8rv@TD%DX%Ih2~iR#lNbk_Ha4C_K0vJc8wEok+y9j(CgdJV+Ve~c_Vp$R#ctnSI#hDj9%m zWc(CcBaFZDLs4-CCt5LSRB;|0L8VI1Ek@gmga2q=TVd997$Diy)BB8F2bl70U-7S# z(~z4SBp*2tXeBoWF(1F^ejrl{P-B+iW%H6IQ@%qzo~gNU<4dLaXhXB-Cw)JK@gS7V zs1^w%z?8BSY}7pc0gxOYWznoEu4H1FA_fKYu`6x z{QH@XGZspaoG(FUp;&vgp@q&6htcy&%;{HGwB#yj*$FA%ukLcR$jQ#KA_ovY2CxUO zfy08^3`Lkmk0VoBQBG!rsu*HGPXv=sCwU#ohZaF?nz?Mzb1szHQ@-aLH?he{5;-AN zCuf{tdxyhV^;atC?e(F~q7D%t+#5^;fn!i&|_-&lMZD^6JsdEq9{jj;ez% zv4tIM@Vs%Jy@sxWJ2KTRH|<vqW{E_?hXt&*t~jOyR{4LU@Zif1C#CX`^Hu{UU%KxbbJd?QNC^F=9kiLehL#Sv%N z$xj5-$b(4G@tM}zvXy_F(TYoVv!PNzt1~~V_w9|ddd95&+q}oiI9b9tl&ZO?Q-{)D-RLlNnftQhj>tXZ-I;B z&bSkp4+6f4=Y!W$-+Vm9h~bZcqxJbzQ(7 z6TQ;Wz*+(hOxOewE#?N^d|s>t2`K>9oHxN83AX8^Q~D&^bXLp2OaUyF+F?^}-33V=7y%`0~e?VNpzoR$YUjUGV=3J%Og?)holNDD~Q2>uGbcv2U3LkW$; zNo9-#P|g8niUJ(}6Ul{);aRtRGYnx8vX8Wvf9;_mVp=mp3OOb{ttAWWwe7 z%dWR&ywxI_Y>J6-QpXBrZPa|&-AeyT&VAqZy$vAWTnjU-HeqhIYehnxm2pK^^P$BkuNcN|=A&IGOmqL9dN zmLMYZ=VIv3WYW5pxa3L%7aBDt5nKvry`_XhWCNxp`3Ry+!Zaixi6ajqVWiA%X4+B} z7A^)(sDUM%j;X(1mOU|#JdW_H&n|Q}7siP(5}|`7IwI{@g*iTU@pkvB4N*z~;V?#O zyh-3#6NcFLC8$Ufewu?>I&#T+3+b;e;sC~bhzEtrSs>c^esnUNW&s!P_Vk%=~7g)lE!1!ipNfx}IO;+g@%{>CY z>T+JoVC<$^?&XDQ^tKyC2+GNMjXz2uTiFADGx5w)uaS&Y$n0wGN8RMDvA_5q3zOY6 ze^)hj(+6_9S@&%J(Y^F-<<|3G(|R-2;kmBH@X`3Lv%$`1hD)FR@yOweuaWQ8)v4vz z{vf}%{N$MNzu3l4l#$&ta}FJa0R8c@^5Ui2=lSwhh|8B*@VmS^PmVpO*|-zM$k*Hw z+FC5%p!I{w?b(c*y2amMtEKYS+*59_tRsK$$fcr0%H5Z>^3y02rDdBu>JKzx#*xZk zFyR{*3tevfCM7Lxc;TZQZf6r^HWd95F;;zREATYFACp*}J@ z{XPG1=VCs@)UP}5GAm!7>K5pD7ykh<8Fq=Y z`NC!Go7Rx|m|up0FF1Cya1h}OpFgwfo;?ZTon91hmch5*c-N%lc&PP0uQf*i;^{oz zQ{(IgRo*p1nZvFh`}uoc3iq_(cZe3iu@uzc(V$G8zt@Noap?)?C~J(Nj-J0^WQ)AXItL4M}4f}9MksRIGk_f(Z=s@;fV5eZU1-oy!Z+0 z1pRFF;MBnEVTM>Vs_m|R+DYB)IczWa@f-SE&Kml=ExvvEJKKzzyQ-RlSQ^UHWvr7? z-id|9tybHWpxlD`jmc!B>glX;5VeE(Bu zpf~x2|5uCW;frFNpdjIAjBkB_ZNCL2b+wTMSPRAEU0MPXYOQ8N%Dc?f4BK4*X}xlm zZ!?Z*(7cWge0B-m&)`=TjvtMI)1j-%PDs&>ZGSgz<<2^D^a}dwZJPqbZ-2&IgsS)9 zwTGOG_7yk==eI@Y5N2F74(VGTaiB_WCK+5pMhbEc-5#ftht;6VJl6<~r@; zDdPr(7#BXaCwK&~j}pbe1jPJn5=?gZ>SyU!pBUe7DBrVqZ)d^U|KrU zu=qIY619nAtwLO#gn2-vo6W;6;u7b6pLV6UX+RR(e0<;q*fh(^iSpHvNZ8Xe^3lvI zmKeYDd)oDhf8$JV>WXiT)s5V5=bwhlb36Q4@|DiCvJb8Bpdo+Tb_`S3S5T0%cj7HO zzYW(P`ttDl@h}dE_i5C}35hm&*c#J?jYMFci9+7Jii|}3xsmI^Yv==7SR_#NqN!pe z^Z}U;F)T6`xMmah51d7q2}yB@O-n)nqqGa~Jb>1@oCdP|*uFKO76A#soE zH<(%95;|v~cjD>jZWr3y6BI{f{L`sTU5ilXE3a7R9kAfs*F*1=slC0StorfXnJD~) zA8u~_x?@INUsi&qlO4+!%){C@9P^fM!@uGHr``<;Wi)7}I3Hmr7-M3-3ADRhO~JAT zpXKWsl)p0b%(^iHAmg2R1QN!}S{2ISRyZURryzPZgy~z*>)?}wmnV%Y6Mm3_6zq3= zJc&=AL0X~Wka+!6#lhHv)VH>i=ETn|ZP;r?>kjmT4j2Tifo5($-uM_k{*%s`^=CzU z_sWA#G{ zB7-t9!Kf^<88Ckx94{l90ocWRr8dQTP^N)ik)|{g35OOatxIMx0muM`WY93;6SN?w zZes8l_+wZVQBzjLGo(`oK{|0<-X+I$!M6;(?9yg(d?$wrrcOk z&rX}TQ_XyT>f+dpHEAFn!h|kmYv-oks3P@R=)rl%wzoOi(0RlyY!nWfI%&K=-p7R+ zx5EaVwJmT#!4W{hDb?~b5eyok;2?WdP;oalZZM1pJk1qG;TNd5D2GU(hx*3`c5;LO z^aj7?G`rCbAT&D1(H}u2t(3#36Kl@z9Nx_I>AFsZj-s(Gnz);PVcTPI@j)Nr?o0OO zeI(V4Dvw7JOPCQ)|B2sp!l2h3OF(WG`Xrr>`aLpr3z6$+W;6?I{Cn8{Au0#+9<>Sx z{$!zN6OJ7>pV2nFpqmbM6fy$E{oK=EP!aXD>c}dGCGq=d5a545}bhv2t!WNAc zjGOeRZ^&!-C00f66nAYbwzH^x4i{l-iT5-VmcXhza@N$UU>2zsm}^}rldv^2oo9p4 zHVqbSNAUn&fi7v#}>mQxe>hWKJ_~gi+7cNp{eCPvy?HIb^j8qX!(r7Ka%5C& zhP*lfG?9p4m>Z$$F??X_-cS{9laP;irp#O$>8EV?3_P-KKLcN9OX08a7_ww!YHr-d zG!O*nXx@EbgZ3{x5WBzgKco6>K*P&D_E zZ*3h-6`k2B`iv=-FE;wzn$^W%Wij&5BCFVgrwAh6TqL;aicsVvzU>54Tsf}AC%FQr zjpS`w3Q|4%dCizH#HHXFPP=#<&EkJev&$DUEZv0y_uZE(|RfoODKJ31pO24pLgqS+@(P+=4q5UNv1E-f4wj0l$rxyHIo zqsU(QQ?W#b&9U-U5F68&7|zR3wioCX#V;?Th}iX6lDAnQ%F#FW!zea!n82tFE}!Ue zN<2X(c=ZO4l4WK4QsQ|ng$gcB;U!vjmzrs#ynu3j_%_!q3>I&LkuJldoZzwvq@?wPczZrXim z;&QNIL`*B_HW?WYlCBa7T@dH29nKCj=JKd%@h^>4Sj8%fRVGnC;Un>i%VbR0p6|r5VtPR6-^10L6LmA~~LODihuCqCk8&WahcU-#?!e0OxI zGii#Wo!H2gTU|86AeS~wPmERdQ<~T6&%&v;Mx5${?_WhW<%>9IH|Sm}o`Xw6lN#Ef z0m)^-x3Gz=ipIF*9X6p|Kc}V1o(%FP)d#K=^Sv*WvKo`IP_wFvDq+9eC>j;)$dQ<0 z1&%gUNM)6cuab-WL#|!8d@861alfTj>v{>!*{`SHV~zA>T4l5^vya&`3uchEM^$~~ zqM3X0z)_+?`8Q|fr=033Qr)SMa`gMA9`u=zd_|}DnmIM!Vx#FGNBBf{jB`<2V#C(T z(kKdIVB6*HB2O!L9Lhj&e8Cl4m@Zm*!iR4tQepu@V0z1cOLNNSGi?_Z`7osz^N>jI za%r{gva;G7{4Fh;(Yi?U_!No<#)>10Z3wE)Sis2J+{!}g@mb^nwDJY@0=Mr5e+5#p z28cv1W2WdeC5^_3ZdKvAwrf%Y2EhDO=u(Cpj+2c%LC#pvLqd)Vk5YlZU{EAAG{95} zy8wu_EhPZT9M1`)s<%``9Wp(MZ}l|9&?8coBiWLH>k3U=Zo=7NP&ZU=^wKRCnluuU z(6V;zpWbK1)spRPky(dSv$F>n2A05FC)Nw2B)Fv6DA%*#Hc8|`qbC~iS0LknJ`z+3 z_h?pKB)jUCfFMGKbeTwWg}{s(!enTW6$Bz}r{K#%iFLV9Z8O)%B5`s_HFZE8fCVwn z8xIOXCX;xLoi>#ZHl!@Gw6x1c&0g8EY=_z|b&_(3b~VqIrYM#Jw?^_MhS$s8gjs{^ zMc{J)foQmRc#=y!5dpgZFeHR+9cN7Fr)B7ywGuUO(*(B^1QqKW46^M=Ep~`Y9&!s0 zw1u`q;AP-+M5YWZWXAj32(Qq#(ZmbzNH${aV**$t-rmJZ2!ZPe*CoySu(^+==scEC zAFsm%V+VQ(PGRxe)y4vrZ&TSGGSgRMc@1nPhqXMEQSx4#ohWf zml~qCV7oZp4h{=;6TgSmv&%Sziuj&*@2@F770PvVJ4u4pcpkwz_iEM77XkO4IhgT5mKkf@66o~Kb**jjf{XLfZstc zuiCJjkvpUWMy4h+e}yCJM=Ig}XYE~}NdQPI z9mdD$Dipv11U>-KJ_zF(0}w(Z+Qb$WC1HHBao_ISWHr$=A7LCM@reNl(m;wFi;V2m zCf)>~z%gvo5$Sl=+BuVBQqg9VOg1KIO-3`x+3fE&0FrX-WY3;0fXwBCc-CgiT+H z!BnOu>#XB!=>$crN;+5PP+)46*|r(W)B!s`Cc;RqI6lZbM#;*Fso?;! zwvjF^Ch}$<)v&x{a>PrN^H@d(ozz7Xt}~VCl)k)9?ZJ3Onl?6QphC`Tue|HXvOnW8 zHteuj&1cOi4nqnEP7@G+QtnI5ie8p7j0Xc(E`(Zzn#6%>oiEHumZ|F|9Vq+J0FBKs zqSa?Cb8^ITOsWh+2Yo;n8tJ5gu9$!;Q+b**7pWyjuXqMK!16!!Pj9QZY^Y7LHkHj3 zWR<%Z$Zg_klQrpp3#mZh*e>S4a32OcqWzCe)2CmW#e&wvgg5H zE9FamUy^?%xf-9^*|}+I$DU2HG1~*uc<1l~M`qieJiFW#x9zX?B`N6lAY+Zb&&GQ; zfw9gnjYP>a^8Lds3yjR2Jh`1N;=H)K_12?x~xy-R~;Yayz83`pzV^7X}0P6??4a;Sul4iQdqi z53mY7u-zUwXM3+nSMTt;O2zpd$<&d~7mGU%M>W3-zm*?KdVVvg=`TToWxzf%UYu|1 znW&S5p1SYm4<|dIVGJY0f^runF<+g^r^0RsnOSN~)OlnJd!dk+^NjmbYx2aS#=Wv% zwn*Ln3&X2QBjYU>k5}Pz_k%_krv0soG2JuYy{plfi0!sb0o-=?icsPtkyO4UVU%op zA#!uCcziS}uE5LBnPiV&Y!^(=fP+yq84HiiZ}w6!=kAm7fSa41cyvr$XlZqSBYsHn z#i!L1V@nPHjJ=DhzpVJ;?8BDx?_rAFnr9y)_!pDR2){l+M4)jmSihIn(sQmm#w_`) zo%vCJub=6CBOWZywZAN&d2T%j;=ByV$KQuPzc+sEJx}E>{CeN~hX79wOFz8vwXf}t z0rvpxHop8i^uC#U~wsp>(s8k{8ZPKzWIaoPsUJHw>}Kxy9v^NcTEAu z&uxDh&T=r{)i2}?JE)@zJVsnE&c+2C2O>%m?FkVoS-3b^e>M%ac1`7uHup^I{KICb z=&V4$plauA2+xJ<)6+8_`_u7vwM4|d!e62#j@Gt!;RTiI+QacD@SpgCJ#=yJ#0wFs zDo5pR39&c+#NcyXFQ?ZB@M>vZ_{U?_t+BZG^j6TQ`WsU2OY8qAmk;=2p?Tnr`oao3 zMuBQ`3(L=cHn-yQ;=n>}8F(RrJN*&mob=&~Kl`QO-sIQfbHhI?j-?PehSE+zRTffG^_=>(aIug}U*A@W%IB)j4VBY{oRljHFP!U=pI%AqLUMZiUKWPtz2^C} z`pKUa5#EW3c2aErw2)`A3S4Hm4c!DkCa&CLu z8}JY2yW0GDza)Fr4h$;;T7Eo*B}=%zXr@YV{?jPyfW}`=eFR{5P0@q;`G?c}vj;zV z;Eug0#CSU&Uhe&p$8w62;LhTMc0Ol^ee=-3vG;|$uO-p3=e8@fd0%rXO$uY~R`~27 zuGg1k0`NWf+68`bl%;)$?>C9&UZ;* zRnTGSh98L)@H;SnaA!`{H}ga|CL?x-RKVBUG6Q$=T98a-Qu)K_!b&0#2fCcj4(|3@ zLOPUWihr11RSP?wn<-6A&v@_sKi+PIT5V`zE5<&9NiRR(=TQ}Z#m^g$N#A;1?oh8j zF^@k(zr7_LUpT~?$G#-tJ&TcxqPr3xcj&e^ipo47?tqWB%&sJ990Dyq8KhsM26btL zFWP#~(?fW^jCXDz{!|`kOeBEu`lCEA979GsHyne#951AQ^K2-k=gcnmc*y2-TuB2Z z6J9NPV-4*SFTOKWk}jH?HD_}Bz^aGlKjyoX+p!FgHMYOqub)P3J8ymZn5rk$t*Lfz z{%dKR`LpE%)R15kf;JZu1@j*Z!jbgYHa6X43RhWSTSD48YGHn17E1 zl7_*3zppfOa4-<1CW~)~7#%U9mY8>OIEIo=x+FS@~ijx7e+htJ09#=_O$#q$ffORtuq!t8Hhv#sS&^POqyLtA6g-& ztDk;p%N#2{pzUXQ1az9lIz?DTMu;6j{Qf<=ikec&3QKKa`O$Bt7A((nG&&I4XAXMO zF&CGeZd@C9y{ruwGoGfZ>|%)O_`= zw$=z^@oF_>B)&Bohmc=%m*jxCR56=um1Uw94hVfmsr>eK6p!wU9<%Mts5r44plBo~ z%ZVZDFd7f1>2tYen26u9@kSter~7QXZ)15yhQXj7>w&zVL@r^_!LO=9x*~oyNvt%H#6~HNcxqadf?)agq-|O`6-x_C#a8o2iv87j) zMp{l9zc|EVxCBHVS|v-Dz8JPdzt@P{>)`Q!KiUeU#s2<2(eG6Rx)q3$D^XG-K+-=b zkT-vDOo$K&w1Tl$WAc50eCDklFyCB_NnP>K|5;4dG*ABEHW>R)|6s${m~?A#5_pSI zusQmc=YPw)bBkH-*zZLTjQjh&;iruIEZi-EJLB>vqxqVejHod@6HLujlNpBdW(?lV z4`eaHAGT=MbU=Vkw379T8u6?7W+kIcS9|%wM)il;3SU>BZ+&Ny=C?kqhQ4-g{SR|4 zHEme`sDgC$?n(yD#dJw%)tBy?>X*&Gu6`()a;rg&m7o3PY~;v zWoa>vft;DwLOraY&Y5l)6*EC9sV%ru@!;FR>G8y4aHFh-Uh?cYd?5(87Mqo``6`PN z2t%e3EAas!(_(r>oSrOamh71-BH+mBx+I(hq~%n8s`s5xk_Io>Zhay~Su#Bu9@$vc zwz=q-4Z73$Ds#5}l}-KjF-zQn+@w%!s@P#~Y?vwgUi%VT)ceUeCM@w(*sOy8)Hc99rNo?$T-xyT5~?W` zEUBYb|{>42EU*BT#&#+!9XID(GC`F}4G4a`ZU9g<{ZZoec59jk4d>>oOM% z2ii${v`U{Wt;%>ifQ6l%6kz+NBZP5Df_5>tr2ygET7dH56qq7RxZKLflIVRipM+8- zjc}y|3?MAq9uB&>Ua|_l*gPBA&}>KG4FD6zV3yhD3~%gyR6Y_e9~tR^*P%J z$L?2OH81SgU&YDQ0%8w#^A!BSrEI!^(JD|;29+9JF12Mu8FhsvXs4c5_jMup6;u?0 zFrC++8X{$q(X6EoA2ln($=e&*C@j5T&ZTs_tYK{vKB6HDxR{I*`?ct zgthKLnSMk(a;AIt=~{Hydof=fnzXvDj*PeHhr5H%=WAj}vNj^xij-B&@W`p^F=613 zfs#{%UD*U=uq;n>=F#fRWe|i3*iPL*HKXZ^F2Cf=xeBFf#21rYK)Ckdai3bhg zbnRfdnGM82ZSx-{vsTnI+tzUZ-Dd9rZ(}%k$Ud?h6wY^uhB0Bk&pkeT)P?Buu(aE| zO3kHw_viDwymDse{-ncLDpUu~r}gC|yJ<&yJf4b<=lfQ;FQFB%MV9qZ95N!z5d_Q9 zarM}(U9IZ<#%R0<$x`mB3rO{W=nCp*?AlUka0Be@dRLyMx}~5ex6NqIgU(HjHSm6E zU8#x>$-Py#>u}GRkq4W(1?%JR&#jl*aVCI%c2N7)pS|54brY)`)3mjra&LDA$q>P(rk{VlB>!wThkEP5=(?Z)@0AVD*>`sVk{IqMXeEP!nVsmgY0oKE50u2 z%d8o9;MArLvzRkW<;<`kMHO93e)r>Azfza*oyZ@GMq^Opsz2yba5&`(Sk+$IW;Aqd zHcYbd#+cf0ChtbuT;M@L$Bns4Av`SxsyXQkN3Tx^*xqI3FEq%~5KiZvu#}9zSKSkw zEQPjAD&sOrz(t6*-tcFEaDe)p>U>D^wppCBZ+z+F#Js2aNL6`M?6TbVh4=S-s(utMr*HeXaKh(H#rVUIxXe>sZ58D<0Hg zHe~G1G^piLRw2kCI>Ry;xo86zEc<$x9ZBM_9Fx-)0(!KTD5}^FwC^+d9$fP9K&L`D zC2Iudb`4K3XKt>kXR%6-kRoX^uPgtBg2nKdx4+55pDk47O}9EW##S=)!K ztQsB%e96}xX=p+LYno)Og#1;u8E_e03iS-8gB;357OUZe0A50{#StNS@AbavZ*`2a z(Nq1Vj52O-Rd4EU2RCIggz?lFik|$Wx4fgGrxl$sqTQK4iDLn;T7?=|n{%y(F=#Zr zyKsS;GB#j?6OYPB>nB^v8CIjf^R?J2v(i@y&C!s&SCt2|;A8EjJem2Y=iWKtFih~F z*kYBtTuP14o{ zn&@XZooJ;vVZD!dX*FF^Ca{OW+`F&6{ADV8ITJgf7iG$^8COnibAh_2x%Igvns>G8 zWM?-%=Hnj1F7XvUHd#;CO%#ZPDsg5Y>@!UmE-T-5}svmFpL>8P{S0?X$C;zT0 zWHnt>EW>h!!Q8Fbj$?7(CM<^x@_X$_)hvy&Vj79fxa^_Gnv$wLHL=P66^xN6lw4Y&13V|MZECEJww6md)U)iE58dcpaH~HD@eZ z6{zY*SPWrxKve^ir9tA!76Fb`bVPWtxo_y{SN~40nYk zyx$hO?ZL*7Y-3sN-=>f6L?o$+_YGEwrt^#oj)u$DAiIgSiLoUCi(atXq_%xiZD~{6 zL>PD4&yQFW%ROfXdr)LPWbcpu=bu(&?c8q`Lb3%Fx7L^ z`lrE6=zbmM5&c=v`ycU5S!5@md@<1be=+?M=x=dp?(0_b(eR;a?Qz7$?)4s;{k-?! z@cb^f{;g_K%7=~8w*Evu8eZ(irO5_}*d%C16i!c{Om~+Wvu^X$i>R*^#nLnlVzQE2 z1@z{Y1?`_721D>LMB5HuycAB-3SnJG!?q7>S~?Nszm+^OG7+lTL(k?MBLq5veui)R z6S=pRe?4-I!0PT;W+2(|+E1E;BOQz6P_GxhGCAbA@VVj!F)!-3+)g6o*VbD zb^A3JJZms)GkV{J>o-(8WZO`>TkcJ4c#MP1y#voaQa^C<4`lK2=7H)1(X;JenY}pe z?fmnJ|1OUxmhz_0ep*_lJt~T!l^JBSB|G_B z7g8w_<3rvxf%%Q+&>PI@jBr>pFqB?h3y4HeJAPo%c}A zIam7LCeX3=y?fq0vAF;yhCsDtT-dlT{mP2gDSMIfXg;TikW=YyeRhL0>`xX zXRcv)z?6HF)E#Qh;NX&9NEa*K?9fH^5p`t5nJ*ycpX;6X0Jp)i$E&>`iHqJ)j0y+Y zunT`aLxa13A}&0E>Wf0BAT}f0@Ejb@eD8DK2TLau1|EF$1DRf!ysA8LmADaP@#u;( zMEaD#%UF8958ijZ+3b)*3s1d$=eaY#DmNCc%lu~UR`*J2Z>0hB)J!YCnP7%z5t%_r1e^ zBy%lq_p*m}zqc>_hQjge=IcSGAYPOU+uKI=JhZSgLdoGucp1IpH)YkmW>4=;GUVDr zDfXB?lmb|y*j&I%<-$VoVX*Lpq9JCwMKhRpr;lao=z(YI3C4(bx?Z0YVTA|aU}KB0 ziboWbuY4P>0LRBFAA3gPJWbl}MIAw`vCim&){XTlqZ{PWc@~2~O=P#bg&v`TVD3iyt zXN`UfyP@|;J?$8^L&zc$A>hz+bPk{9|1r{p9s^Qu12ShK8e#6l|K-r&jAyCrWBL~t zqmgAQd;7jYBFvPLu`DYQZg|*7EF6BgsBc7&-jo6lh&O)WzbIXgf)N(a2O(9yopQDJ zrf<&6qP`b1(2l*%d|b1>{@Y~#vA@PpUOe***`riHwij;h}o=Ufl+qg+J7A1`e`x`2+HWa$7 zvEBqA8ME)bmwX@*JG@FCjLh^=>SBud1A$v*NJT?9_?tOb+OGF4y~p?}K-O z{UZK?gWG6-Jn%;Pu`V>*G?#Szd~2H6`18EAWKEJEMBJ3r`urWEdB>Fe(Jsj-Ic zK}Xvf6+AxNYY#3=bR(>i!y~<(T)O_QBevOu?trHCW|+jJv>qGu!#_vQ`c&R*8=0(x zo1`JfDj~!DIJEbnd(H6tWMpe}xpmkhf>FNeXVqM(4c0Od#Qh^^F0WxinO1Q`KMGc( zaAm=~j4d(@&9^WOb55m<)_tKvq`0$A@2zVP_Eyhck_`ult{{!3u*zl78N zP_Xy=U}qJXAAnt7Xd%YmFK`Wq`Mm?XJlpVsEn?gYHdi07Ru7-9ma6Fk!CZAKwEdtM zyjiRsd)3QSykkYgKhi4yjvyFcRR5r$FI11swSLY83&B+N(?}(IRdsK0>)(nj=apQM z`cYH1)sJeNPs#Bgbhsxp6-K-q#=S{?0-E#}|J&QNvc+`7F*GKmrn>Fs(R%XcxBnL( zj%2j={_?wulVQ=#9rlyAvx0(jYv*VBtA=egy8OVm9_Yy*GX}Tk2OruWuy%&VVk*)2 zOh1^gySKTaSrfUyW&5d=R$nOAXG?s8O>*}2hqUCb4i%pL?=QwSlduz=1H7; zGwDOFgY-4qo0(sCCXocmY=h8OK9iRxI~13S=4;C8slHmh9C$8Hz}UFZCShs{b~vLR zDFeGVsD{%4tX`PrI`iXg#)CLd4r2DsCuMdxD2N3iX4*pN>H#Mk#^j7^H(bM+%MVn~ z`&V#F6_cAw!A#x~JsaBa%JxW)I86ft59Qk9V_NXOFnDmxcPcCaVklRcAR zWrM7Mi?kVwU=CAZ&3{e!6GGvZq7$iv8gL~rM(2ojZ_-zqWE*~WQiDPbrx#g_rF^H| zV~r$oFvMP)xzZ-wGxfOQd$wQmYTnyKpT9l%sh@wh+m??Gp07t6J<6h|%icV>wA40j zGu;O6?y^B#hZ7cFYe$q~~K#ImW7lwH-H_gqZk znt^<5X0!^Qj*@SCF+U+;w}D-vvJcZ#NKoELvserriBM<;3-Uo{f{HO()tW}l)Mg?_ zrz>w`r6*!R!U!vd0MM?z(fDge7x89OYBP)(#O%Jr;k`{F7G-4lv~Sy6z_GIVpes;7 zoi1voumtlC?l5gUBDAP z@BoKS2F^r0Fb44Y#?N(YZFwVW8`v}|&l!bD(u)IjXG%SKrHc{=@bR1o-r$nfG%K@i zOgkWG*F$HL;1gB?1D3eJkNb6ia>w*gj#XeE6}7S}6YQf!RqI25uV9`=1!)PywqMVJ+uZxh5)%h2p!ri4cC-gG5P|eVW=(ezr*rI0@EZ*#{ld> z!!rR8X^}y=0HliMXjLYx&6QVzazd@N9k%IHKA=c|7v5hABN(K?-1F_Mmx4Oe(qL(ZSf?~|2kZe#fJ%kRvh5D3hNy0JvQq-HpJJlcakL%7jeq?eGr z6xZPScu(L+IUCI&oo+>}+X)!N6LG@Ikn2mc+3MV#D3dS_jkKg8RMjc7*Flg;im*$5 z!r=vJ91pcJ%b9o@4YWty2ZNvaFgC4eOG0>yn`i`tK%<>ZN1WYQr0`PRVO${x!iCV8 zcPa5$ty`4yvI<&EhefLzX~NNMiUjN&V`(x1)ygSr9m+t+HO-@_GJz#3o(^~Xk*em2 zqC0U+i+g~ASZ_N{rE&1FdL}QxDKnz!3BwM1!b}^kWRw9=02Gp|XQgLytFDGTQot8? z%rfmiZ|CfC%UV?KGTJF6Gg0$Y4CJluP?6B~K9s2#C-aWcb3QLT$||;EG2=4uz-ywV zDpXA4LddMdOX+wDDaG`#K;BjJrHRTqpV7C;Sh$9j(j0*p;+w_UNMdbZ_IJc++OtHF z54}Kx!%faQ_)}ea_mSAhV$4fO&6pC=lI9Xu9XPk%xq zQ^Pox8b7p0gE~eM1~Kg}us@9IG#{7|Wmqs-ev-PBeLP;dBZ(X?IIZ{d8ylkXV)C@g z1z4h|0u?Q7k#b|JfSItJNEmd>nz;6p-|-*9f-WVsE`PAwKM_8Tdk(ANUQW95_vd#B zx|%pVp0`d!hw_JQwO>?rOuqDx)wnFZCx<)Cn)ewyN~Sh9-aj(Zm%Ltms%K=;PM%K$ zJ7~_ub7QqL*x!z%nFnEHZ%Wcs^1ltJF;wlmC@+My3rM(3g(D|NK8Vwcfve(6w%;zI zX_VP}Cwnd>AClt1;G4-KQTN4acd5I(|6r|fXLmDt(LV1J&#U3-ZO8rN;YWYrj+1`7 zdn(_S-vmFjYui^JVQF*0!kc1Eh^|enmb+|}3wzE6L-dw4y~fIDU-HC63Y%Jr53;#0 zh(-qHHjCr=k>RK|CQnWVcsW?!A2r5k8yl`<-@={%({iOa!-$2w0JOKKlD5fu&8>^= zrRJR;s_(yPcT64$8t7N=?|lgf{s($q3i{n@`(=49 zI1_&-{|*$N=g>(FL#tyXK|XRM0XPYOP!G}G@M~lxw7()mTs&lNVx_rlKit_v_KUIq zL@<&j16ON^4(IU)*HT{2%byifG4pMN2=@3M>x=nGc)o11im~@m=ngG3x0FdXbAKNiY>(_fBX2>*WR zJJ<4g0Lz`AZM6H}uzTn4p2~e_$j|yOp<94+3d!^tvgVz9Y1Nm`$`z_Ri zbKaNi>q&BOetXYKQhZj00W{IQH`Jk5!h`(ScRvF4SJ4tndzV5I2nweh1rCA+h!(J# z_L_~MWG43wyPn8Hn?7=}*d18Qo|m2X@JexDwo4?#*^FXKg70xpW?!>D8Sfm2uImiN zO^D95S%v#Khu9^kcJ|M}EG6ip0%()sb;4KD`SGdlD^mVd4c~a@f+(H;6^M7c;{zA$ z`n3PaZ#iMW9X- zBrW?2k`jov+9#?Ejp~3UtEE9XsPw2(<$wTJzmY`cC**^;R?Ip03Y>A37Do;}1UQp=h`7Sg zV91@?V|fZ;uB)I2rE76~GLSPvX!aKF5S7{7zSvd|5EqdYU$UdhP^^{(Rm=fepd9Z@ z5h6t7fpA8lh0f)ynGTXYbFdZ#s0)i81u565g;l**S_?7XEs`~t+jp_ZysQZ8VBp68 z)piA3&hwg~i8o3}=?GVVjRRj(Zcc9GqH|S*sW&Ddm>bxWXyvtuy&$ojDX>4k@z1O6NT`@cs|v(RHpFg6m^YhvPoXVqE7 z!5{;L>>{fM#_P(oZAmBogz4|Bqu5oZ+;9+kZl#0WBJCWqZPgKO&R(S zo*68FJnLS7n?lJf0kDn|XoFH}VX+EPuF~0Q1$RRQ7Cb#X^>YBpJ{=2)z^Z3r)QJCU zsYG_|w;UzKP22|x~FbHJa#T>>gA)AmT#p-xCMy;u7R0{)%5E zZu8!E{^o6M87IomdmWixvuFSbKJTM9vUOYmvBeF8=yHf+HL~aF{wdC)&cGvIm}S7F zD^w5zr?SJk2vgOi6C+FX%^tF5;zZkGI`grH9xX*cnbWDm9)~i^uk8GO`($*$)uHS! zE;A@$SjP&`-T$?`(JN(XtR$O{V^%63jFUdtVYa?M(R?6R!CzW*Io_DxLy+XY&@HT zfLnegGMiM4@Rh`72prJ&Sj$oJh4;SWGn=IMxXtjL5tu?e$Ay4abFvTTwWh6v8rm|I zu_e|OQGU=tNy{S2zzhTPR2|nMmI%&~iJA=c86GEUFk^`=lCNzm66#S){FsN;^hehB z=S!R_y1F~A^|J~&m>LRMZQICN{=kio{;#*8rV8==p*jyYodW&T!0>-O zy^flKV7|pC;13du_*bCU7Ektv2l8#CCVr?`M5vUN6@Dw%@Y@&OB3tn$@a>j=#*cmO zyFjE01is0S<@?J&eOI-;Y8jdr_+WH62+v3%8RBbR(@M5gz3O;%$}gS??oXzCS(*2> zq!tIJ7DtSm7>LtSr@pDxmJ?1e0*{iKss3t?+{~9K=}TL8F+C8Rt}swpNox7n6w1Wm zY?(C6T8#Z&gMOIbg^M$+0wfE-KCM(?fZcLVfLTHBa50p z{*lI2tQ%}`q;Ssm#caN&GZnDKRJaIu(=craEwa{=q`pG@ta2$iKr7|V40n$|s(aF@ zUQTK|n1s@uqVQI+QrE4X3WQ^syV;KKSFc91`J#OFD@Itph)vf{`;F)c;OTJL_Ab#r zJK-_rW$-w62QsedVJTir><-2cOarX=L?ngC#Tn2ODu*2pgEBZn(o>XGWO?n{9Vm|3 zsa`>BAun;x!%PJ-gJqV{3E?UTE8Nu+>Ef0t7}tgPK~?G|MYv#-Q$b+GAF*mzueGAj=! zi*z5DQggF;lKt^J{F8QHDG32RP}`h^li}?G;ZGpk0*L9&>oQJ4T~L zY~iydHqeeoP`*J2+g3_(<9xo>wZpRd2CBallQlR5>jKQ%;_Dr7n#V+Y_^6c6bb(1V zqw2C1`C^wuo1v5(&!fWq;fpw0UKy-~8?lsar|m0ZK0l}@m5$S~6wuzpe zgEC4&>U%LaQMIFyqW;xh-yf2ordO+Cthe&U=eLw26H}4>kefTEOB0}ebRHS`U^2Ze z`%1MI!W7iDROw1mQzVbv*dGjRXbc5{emYNXGVNcMt7P*i=2=27Jmoi*>F3C%|}gV^Kx~Swm2rEKpo}(qJ*5D+c|@ zgwii}2cm3Ob^RMG5V=z-dQ%aebhCr4S3k2EEU?MP&~~8f1^zvdZ?4s7gB>(PGzB`vu36M%=EzQf*776OVR^QA=my9i@8+=sav~S)*CiD!yJbozOR^ z?dEr1FeIIp=cx{WQs5+5pLEnqv}^)L&2h(E$Z#H!XpFgog&E<=noii3T{+_;uwuj3tmsIJeeQ4G$#{tor>q#f zO=ylWU-Egi=6jX%3iSr`)_eh0Q(p1ddhd-Nb#(7}E6UiOW0eBOH^Yiq_l?M^m^Gpi zT1D50CKm(IzhRIir$J+nUok3XfE^*GikWqoj%!xTe6qJ>P`6b#=R`ovhMVO_0o7Sn zt|A&8-}W{~=e;snpwYi(-+mv-nT8JVmz$yeMEE2vxmi{=4( zGT!!(t5TzYE$5DkaHVcv`~45AcWRvhhRS*lqe@Ru&H~H~Y?4ACpNk4tc67O|YHY*g z?Z9msOj`_38?AZ(b{Z`#h91E4_k}htPUf58nMnXI74iU07YU0$Y*zT*t^Lt%gOP|I z2x#T@v@JRVz&o;)tIVOK&?>G;RJASoHp7y<9XQVg8?&WLJ2-DKSSVymzjH`F5xF{?|9l}>>b=+BcKLA18SlR~rCX8*q z*gzMm7|LY;O3xw2BJl`ELIFq`$oR&i5$6ESD1@e2kr#qWT_2&qxQWL!jmy`*_qTi? z>ilq&trdEXik0!zPzX9I3Ofk?N&^yVADN*DrB==BCP;@dc~<&HoHV?MG^l>MFO5@k zlAs8gQovf3)6hukG5Ylc2TRR?f(!28UInV1D4+hLnwpziFKq1-Q@k7;@McA)A~rA$YdeP$S{ zQ+?O}_%}56UwH(_);7#m^R*fo&N~3Cxg~2<(Eei?*>t#cdYt=7mj$%C2LP%+pK>-+pKz6cChB# zR=nE#yDh8T@IXe3qP_d@RL{=Ws`F7tI#D|qbWDUzw{~_@_u&T@tfR<3{#)O^f4_X( zI$Za^XfKb%!>m7VSjMeF=ywG@3>EQ2y@BmPQFq1tqVK-n?|FYdV2_aXxSf3J>>c}H zrF%@>3@KQl7uvk&^p1+Bs?{+uJHwnGX9ss%^Fh-M=UmU;Ty;0R&!b1$>M`LF%-u$c zN;MJwjF+DoI(EMV!D*4KY#%DyRL+O2nmitL4V&51@ zTb-?JzO1%D8&#al zR4+bDe467sTT-@<&fg7zu=^-usBZ5!5Bsm>p6aR}o|ylUZLWW=yYBiObN57#27ex3 zj?Eg{w(!^x9o_jv`i^ZwbFRG&7BL&wko80=6h+61iju;f;4Yq+9XjR#(~`P#Q0kud zhSPiP=h;`R!`d}y(!n;j56$>gVWSN z`abzfferul0!&X(D5WF0?_yBEL!MWunu&RgB07iG_(bXVUe zU7e{(H0~8#JlE|CcgMgupi5|K4#*qt|M5l3T}_3klZ--GFi?P9O+;#rSuktsx9xck72lcJ2{yG$e?h`lAR|X5qeQ1`xYm@~nF;7l&^Tr_sRa z0H1hgZN)wX#woZ%fPQxa>3TbP)r{ADVblCXu$xVeU6Az9R6i3>23$Tco2xuM1{PVE z%O#VA4ap?@;AEzqG6rbQCBaAk1D57FWA0`P9&LimFK%5F^bKGLKKqjKYTcu_{BFCd^?c0#d$v=TNdY zHp}qq3M{M6Z`XFydxAb%BP+%EuECs~>-x&ljNi2U`9qvUp;q5LaacvWD&*5$71?3z zMhzE_X9s6;eeU@`u7q9_WweGXwKa+*_(|ObSCQPF2aif`KbA zTibcgzP!Q!{m)}K$O&c24qmMw4F{ZVB|cEoXSz@vEo^Y)Ty9T1(~cuI%p|&Y&#yT8 zR{G)qq?ZnXp3do3^34ishkd9ioUWXC^iCh=Y1ug}q6*e3Rn7-nW83br*DRiZS7n+a(w!=7sJ9c65gcXv$@Vt`qZWh}mc z0Y4jK1@#xB`Cimu@1VVJ!!?%qtLlEOa! zjm&%k$--;SId4}OwV;M;^v+MH2%j0>m+p!O%q#J86LxQ2dgEdE=$y4XKhsgvAhgj+Y?k5oV;1YTWA16!VUuf+Bo6Ep^zOeFCoqqDdlPUact1TZrF zJMbs7#9XA}-MvhWuThiOzUU68`NP^nQK3WM3Y9(@FD)+jcUa3YQ^Ile{lx#KGaTgg zEdVxRjs0Xq7{;L5GojYE6^+cbt$k>MZK>)YovscTe;<&~u#l_pr zt@Z!mElL3|tSJTjYn%L6DVzU_Qosx0zpNB+bX>W18-dDt)5V(-Ex6Dke*XW$9$kY% zKOGIM#)R*`|2t|(+6iC4r@#b6fDDLqd0NesW*N@5W=0xQmkRSsS*tRoPqLAYzf9KD9Z*DLMT=QIc)Wc5Cy_J!|8S^@E>WOW<49V+`Bk`4`jBsJ z>w?RN2a(K6rvm0!fx|Ivqf)enhm22or{_IgD8PYBfAhXGbkq;VMFHF^_d9U zJIPdG647VZ^nwUa=x{?FQGMdK-cc}~5rDapakL?dLyA=qZEUss=0h)3@PMAhaBM&|>pg)wy8QN@*=FvsbaKlD0 zW6c{cvCY*3tuO%<S)Psk_T&k1t>S&v!WF zScRD+&#)k=riJ&x%3+?RPEyW6oHasU^_1|Rn3~cavEfw{oCqCdzQ8ujRUH*XG0zER zr!KDT2L$mYjXxOTHFv z<5`HhA?sGeg7mf8?uI#I^_e}hZoya4ffdBUD%?S)a#gPwn$5Z4e%EDXM`vM<{nb6K z>ecW2*MIeoozM?KL83&dL5h8e3~fx9T_!@yK8l#B?w3tpENieeXZJ-U9P~oCn{hTG zY6fOyFlVoTEviZ_+EQb5Db8l7M-}(H9}ecQ?HZ(u?2f@1_}#jr_a?B1Y%lJg=vz$2 z3-XLVaLRX{t^r}(_=~TB;E_OAZl)*KLJAy6kPNid8jB1uBo6A`dR1jxnIL=(V_?_3xN|kN^~|Q&gF`XU%KdzNTrwTcWbv56o`l8Pt z8vDE^NCo?qvHzTT(hE94Wy-!0x`|ea)cTi}&6{zDDw&Ej2`AFVV@UrhKusH0g{JCE z!Myfk_p)ExXeTrhBK$04SRL51OikmStYz%0l8AD&5fWFxFx#gaiUS~3%^@oY5Um+P zqGCtf7X=ZIB|NExDw&$9t`*!y=gV*OJi%RWJ-DZJ(_7Ep{H89qB7F1Atq)%~`|e6k zy_Z9k?o`Jh06e@v1Z3?xVM>Mv%CJ%J3UeMxDl~<1OcIyEZj;b|S+4Wy| zQhfl*l390{M;BSV*h-9g*wB_KM^;8?v7-Y9=e+mKdKKMQmmQ;1UXujQJ|itum({~X zUF-GYbCi1Ps$*s|bx>E-T8Yzpi0y8|ZeP2){_OSquPQ*#VSi7n0NA1LR1G4aJ;mwH zeAe7omJ6#~aK|8RCB;jGG`xat^w)*?-rZsOw7>aCskXd7<7 zeUa32d&STa5%C$Lu~aZ?=ea0oHvVb)U1e(F&13CLnHz5%-s(r9t`7B$^}8Q(Ts!=V zUm?aUN=w=zK-!9@M7J<&U$b4B&A2g~s6K#|h1QA!DT@rtr;EqTY3Otm$y*d!O}#{Q zJ+!XsZYw4%!=wG6-POyn`KcXux@$4b@`kcWGtQWNa~^Mft;1ja!{pZU`Rr!RFdMhJ z(LlAB3R0*4<0ISy73sAjj5(cs> z?4n4n=Bkh9X4`Emw>A2SC)OT#wGUS;H%B8<$@6YP@pf6Cb*> zY>ay3txv7WW_nqMv6PQIualA#%w3}VFbe8Pkp7vU9%qs2pG4G&= z#`9b5yf5ncY}6_5BLmm(l{J8nrhbq6!){%aYoT6zYpD5~q5i^ps6Xu1WLw9P$U8HB zsbk0TmN!brXfjXPiZ*B!Trmp@2Z{r>ziK7awI=7r1YVAylG)rMgnP?~=%Cc%iO#RJ zCwPv&)1vJnq}xueo^?O>M{g?#v1G$SL^h=0&gNP#UJX$S9&T`|2@_M0LYug7yDM(J zQL!deSgNY89Tl~t!Y`IAZ{5d`ok#>PAAOhA_M%O~xQdgi9Mhn+_$#|Oi6X0*%8@Pw z@++rNHxJv5h!Ryg_|HEvle1|l+4D_75_$DyJ)&%b z!jDqhLXJ!%L+NYigl+}!&pxTQuXX~eLtH;po)*6MT9c5qYW#??AuQ{<;8e~Xe)8w)(5KL>@nfj3Bq9YURNb}dmt3gTT)(e)v+EuKG4+Ox?jb{wmfIx zmA=Mh&|XkI3DrWya4k7>XX>PcB@puQFj%%y*hp*awkIAp!?VdV6&pvru=S~R31esl z#+lX?6`Pxp<{2V|S={(`m`x7Dfx=}Q8X%}2^H-&1HNNN&w_?F>qEohsL!BYl?MEwc zHHW?ZSbL+@s>94UZeCMSTK&2H`&1b?z^}l@Kdj1=#04q|t)JEdpLH?5}pRuWrf$Z6Glaqq2?Un>z6nd|@MSMXqSn1oM?qssUi zs<}{st>vSXQl-9buaGatky|-VrN9(uch(ZUo(n|yw&&DYW$Cmm9IYmea5=GlJ9$Q{ z#JTVN@|jNCs;MOsWJy}(x|*KVlw{R=G7zj=bxpm0EsIpkcPlg3lcyfNb=Fblukxvt z7jHeUJJf^UHL!5B*x5kW93PX0Te_M^XRU@hHQNI~e@JUx&X0ygUSyu(tXMa@XaS$9|*b?$SsQ_ zD4wS%Xew_eoA0WqzV{zPC=FPRYI$3wv|2JmooN^ixZ=o(x4uDfJ~T(D2X4NbaA$IS zt#JDGy9?sRGY_1EP``wMs#cT+iZ)zX*y@ecBvps&SQFQzJSrr@#z5z{f~l-_^S~2t zIi~`_h@H0tr{1khll0*A_BwB-Y96+Ot8{bEt2)HpYa;;$%zUZa0h?H;L+slVy!2KE zRj?D)2di#NQ6$z66*})F{*+9sZrx@gtOU}sckTVhJEW^W)V0pQLKEg`ehRA zq6S9qq&}%aX+7F1*j8ciX+5y@zZB{B^$F@(bxu{64 zzEGK{29hJ&R#XpzecWd5Yk{oZx%y=_iLD&Ena1_7)E(3@?N2{<*IFj4am8vs-w zR!*qfS_tQAsJDKrrfltKbyRESz51@z?7ewi8A$N?UxSD!RD?(rGlp^o)v zuKun3AQM!fVCOVxH*a>7Evwe;6F+emt;m}#_BrDL^~TlztEE9%(YoPHilz|u`6iL#CP#Gx`N>tun3##B~a0HQimGir&=-c_-CP4SJH2YIxS?R%IG-8uAs& zl#$bHj#>zNUiFH%vVl1a)mh`rZRu}Cl3rak@waYOA-igv{GAsz(1xh3a@0Xp_X8q| zovl_0R>|lKFR@XP`Ai!Xk;0^E-;Dg)7(~^Xo3B)bq;BBF^n$kxT0N&qZ#MTp@4Hai z8%Y_3scwl3P?b#`RC(G@E?M%p(o3zUM25+YD%REw{JNFK+lsk0r&C7p&n9>4Bks@Gsh zww`0R;-m6_Yn1HOKiARsQ_^UuO0r@n(X_9Iq1slgOVMGPY9eynW*=Y|q&L-Qt|ymi zo*JB};R}!5W@2mCdeON$b_NLpE5}H~yj1##Ss44$1UhopaXS zyDz0NZ;f_|fSO;>Vcvf5N^T`Bq=s&4{Zd6GkbYTJFg5fbXK!W>d9S8q-}}XXs4UsF zkm(eHmJMu}a$PN`mPM)g6XInUmjbwNJFpftGGpG)yp!(n<|SHHb&fgoYUZzBP%$7T zv$>DvH#k_(6h6djml;!0Osla{g{ms6P{S?UGnB~w^`~2Z$y44#?Ng1-tt-?5m2MRq zvi4SSD#W26&OWoj!Yw+Z9a2m~%S>V|7s}Kj=8C+LZJ@25REXPbREFBUldN=?z}Skqe`QDrQCv44YV=F|vH4akW8dW*(;RWXugK?@=5`ZN_o zwY;~A4iiG9i5>_K(kd+w zW-V&;X-ouPwArGRLp70+8T0j4%W%s~u_Ok?{35|Eb6roZiV3-(-XaKf{j`b^r@wRA z1hl)H<`#0eo<-z9<;m)6!CDeE`Nh>K9s88pzAaDMY_GVLVX75WExQ^=QCPQMQt?-o z!zErovD`{9IpHc>_}o)^<0?3lV596b)q|#@b^mHsGF?&i^f)`trqz^D#Y3e+H8wXB zp#U}Yz14c())?>#3RW45Lj`G7)LX_*16Y}LPyF3o4YlbDv<28jjaXE_y_TVB%17@* zh1M6L?Xs<)^&aeC{naWatpt*MRU6iGt#w`1+Sd8jDBq>j*B?{1$Tarv_b^hZBWH{) z0Z6LSUb}@(fPFKnaLIJWf~)Df`FN}EZdLf(;-bb9s%cd=dNYF99~ok44~$TOC|l-V zlwh3=a;@mLLd+tvlq9dvv)*gdLmaXL#SZWKf7*K+FgdF8&bO**puqyB+s(KzcOCrRU?cC_YIPv=95yT`98)r?`Obr?ZVI(fLwOJ>O6gkmz zH#Wk-F;3zKiO2+J?Es0L%T2riv3{OqleOsq=SInH?ECwl`s`swni;hmd709vySnPs zIq&y*-}ihJ1WKzxPJr09M99olB||||pOWeH4p*%DV_7hzTZH38Q zp+C+zEg@4*ArP{nSArugae;aw>yz9Nm1HouE85e1Of`x~2=-StJb$sTeFx~=1K#

_WqQJ4b$=NFr3()c&bCjV4y|zHfGWKnVm-Cy&UMyqw#~(Vc+Bx>Nc~a- zCVm6GTHP-yPX#zQZ!?+bQMo|^jZFwjI#xnsx@+V$y{-(V<2?O)cnhd}QymvC=EGiTn*83BX3Vnbs{jnxPj)f1eQE9%Lb2V-f;G{P1BM19j8v zRfZpCPP3J&5i{Cgd<2sdiEbl3{DfEHy+kCVecZ!D)*t5;xeqwD6EG!Jk(Tk#%;QiI z9g#|48ld7d^JaZy38`@_sTRxR=^tpBe+jLh26OzUFpiU$G3Nnz5_<*q$)h{}m4k^CjVc!wa ztM{MB8`^zHX(70-E$J{tB(Vivt%G^Rwm*Rd>a{s2rVcC{LK9a?5kyM}Ax`Rek{cp5 zpiF=N;Q=N)4NS7ahr5u&97qrS$0Vamf&|f}vkQ%5Tmg+3orB5L6knA(B0lOl!~gt_ z?|Je?JiGqad%R%ArX9#EwMeS zi|ZDOAPCKEtH=IBRcw>vPX8gk0)n!;!V(Uaj7f&$u1jW)F>1`)UCQROIWyY~**%@M zkSI}CY8$zrX-OfL07buUQfcZ*@c;=4AaE!}%s3&ERrHn~I))GW1G{tA`z_2%r_L-t%n%o?4q5xNibHwXrp(iQsy!xxir0F1d6hDX^1(TK4vgd5|Y^nA^{IUCCO*wvP(I3FT`+w|$ab?u;c*p5_M5X9yj3^cdj}g~6 zkSFPoG>LCghNh4_*afjwQ_?8JQ;4UgGbB;fsE6%7dFd;BsEQ3^u-;SNs3 z#t9EJWI-amM-|kPZCIP~7qbL%#z&O>Ou>-YA~{cg;~a}*1kN=(4Pn7EMKm`+z9UwX zl-@o(5j~Qkh#VQ0-}8~-^s)s>4a+2{nalbGfG^&)cD2KT8O)T!q>ypRSqL;KF8MYV z`|`=Wu#1Iwlh%NI^a3D~(zsFdguLO2gqIB7Z=QosQrSz7r0g|Mo4aNJ%i#r-6<+g& z&xQ&it8oodDEVfXK>Y`|_>O)^A&l_KiA(Nar4d??``8NvGHj5mH=xlysJo6xj$r|a zyByxaLN1MAQ$)FE+*bBWR)CHG;f@ckAw572G@^E55-A`nC<2+@Gvox8=TDqBL{ejrEfEOxrDc-s zh)3|xCuKQEXa#mJZS5s3(m1f9+|rONqlM}4*C!bTUNM|S`mI(jEy&S^LJmdtJ0iA4v=$M0T2o)$i7!<<4{pUc>WM(zz{4Y z8E0}=q|DJHqL|P`h?Jd5ymv0UqUV+y&!w9-dxF6;rMe>7XXMk&#c4i-XQbh8$6I5p zRR$562#J##OMx(6AWc#~B9yR)<};Cr@Y#g0FX^FpNCa}czxc~#NCt74@!TPgC=pgk$IxINDnUM;g^8i? zx-y!i9>Me#n9q#v!$Si$iY5(|hQ=TYO9H9syN?nXP6MR=Q-sZuvpzVgK(by-7|A6Q zH`?Q}8ySL8iQ=XxsE%t7?Xq!ir1sFCx-+etDN5c8tnFdi2A(B30}K-Vm>&Ts0+X$( z--5Tq-ft7Ewh^aNMunm(D;V1++D3j%o*5dby&geAy0N1#jDC`Ia)E9@9aHK{qU+=^ z)XzJ+@IUodtn{X^0&BEfs^InzSa#I45gUL{h^vZSd-&-u;Wfy@+(lPYeuS* z*S0aTU%>O0^DQf#(Fiw^j`R|J64zu~K`5a;$O3{m`uH2pDLuiICn?P>4kI9k6t5ZZ zEzJz4MaHkmBm2>{_zO?Qh)n#OZ_q*7#WvKer?HTg_2+-{q9Itr=8#hL+Zee5KjSc~ z7TgK`_BeDTM5xL#q8?cdK{z03hDSVv5OFp1D^!U&WCB9v{mbR&jaPVOk3*xo%)kfc z00iBT^6ZLOFu@FN4xuUCiL5|ejlNZVZ<8{}5FMM4axV-!q@HA+e&<|5cGjKW=71vp z(LQyb^kGqPpM;5~P+`dfp`S3tG!~aiU;sBsU4^I9k|>g~PcOcHSYEDn59K{j#404( zptUlQVQT>*Byj?@-DFs2bWRCk5jkiSyehV9pa8ZQipUr;-{J8Sm&|KGa<_dmgJ*2q z6?e*}DkFxRWvYgu#;!qU5KK`t{6j^iZ`#a!uVK25z0UY8Mr1@!kTf-hQ7*OVAu|I3@T^}iv$)cP=H{e5z*+1>?$n4&63s@$SLwF zNvh4*ZK=H+D0PH@VR)ktwM>_Q0EtRQuFO4HL~p*o_~+NJW~P!)o0}%ty#~@n2oosM zGDu_QR`WJm<3nO`!(Jn+5HKnRpjNSC~1Z>Vuh^Gm&E)5AW?*xd|HiMz+5dQe@p6f8Q19ao`|C=W1Rlz8H9^agf z6GMzRgRyL6T(9{yTB)v+=93!&O#(%4Or_{dhB}xl8to4M!D__VX3Ks&V}KSaI^>%p z$GdHM8|6FL|IP-NjB*--4vFPmX8JK25(T8QYC1z(SL$ayK1?20vuVss??7fVew_Fb zBdK8|Ga`T&uX%_3r3e{`1&ECCSMw5THLJR^t{1&3MBiVz?9;%mOz8yMfT>51#UWt% zG0zOMFZ-|=N=L}3B56?8r-I0;C(3B6L`Wos!7{mWR+47`5qjV9)$@7h9lQIH@i3lt zSS13BqB3idQMB>n8sewHl&BO!P2>Xcl_4D_P(P&;(eK4);m&eK480ZL*rkQZqPm}MX_gx~V$Ikcw980nqcJtD?Q`jU&FV{}k@2p?_S z@MNXq1ElzK!NJZ#fh0>1C4zX55{CG2F}K~pSK=!%Y)4j`Z1!$0sbF|8RT|WIUHWk! zPHMG8`Y>XML?H1n#!oQ7I)W8o$Y>{)nA$=GbV}F#^+n^AX{E98`S~#8)UMzQn zX*XDtL)F|b=`{5k3{1W1m+2(QZ_2vKqg1X(TAn6p)w*oVC9NGh_BR4_v>Fm-XS&Ru~6 z5=M-X0fl(UGsZ;z=e6v-Gg05`Fd?f+5`sQ3#t9n^nttF9HJJvX$q4L*3BVH4q!N4t z87d^qhU@WVW6VL$HRzggg!mRXQ$wl#w_nnp>^C#mAdiGVNLQnJ=|XUVSiCQ06b1zr zYLpztVyj;bO<)2{WYDESB5d#Ap#rA*^WUI{+=<%m$FO32{?ujn3@;A_+DNUOef8pY0w58 zgdtK3OuM%InS1bT!CwH(dZ1ul6-1~JJgpvAY5(FzV1`6 zAn@buoysyXpTfZ#QUn|ogwQmNMRpiQkbV!=HT49T6Jbko@02n*+99DcB0aNQqBeGW_ zo{O#a5waL2HQfaO~uXqX&LQ^oTL&;fMWzqnnv4|L1 zA_Ns`$CXezw8RqPl+<7b0$^aoT@oKZfKh>Hr42uOv2<5`puf{^n0c#-X^o8st4~N3 z4MTXPMAF*DTuF2ykE&d9s~cES(@CZF4JL{s_pQ4SqcK(V-3lrUOOp0T)GCJ6N)GJN)`!;!jnFLmkAoF0;9~(_bnn%1N!38@>Qc7b49430(eujr+YZx%(I}%C- z;<#nIDu~)XOc|uj1}QOi8FVzbh1_5j@e@;Dr#O|u3f+TmJ7`cJ)eP2d=Std614p*>Bl;iDY_1I$=58D;}ZK4A|j_s7o3ti4EJ+-~^kyIQY=Sem?MKcwnJ5 zF72sH5hmfmlX7n)8EPz39)X~wbM?FO)=NSc-YC#B^?Fm(OLe-7hs!Bk93^ea9qQYZ=hrl7_`H%_97 znzlxMy{KFQ?IyJ-K9H25qUklL3g*H{1WpMc_z=A57k*+7lSqtPIZ+TCad)7(ty)Kg z_d_44Gn{J!e9B1KB#0|bQBNNq@J2?}B*U|KLP7)bhW~!vE}In+nvjSGL=6JZ92(MU zXu`h}9AP@D!}J!wjw^rc2zb_ zQci*vLLA83G6S%F5SlW`ml6s)g4scU=r?5dQ`)LMp@arwQ8H9fX)++wc+XUE z+zk6DsX}={tqiH!rSIbHE6jc%q!HLj?H&ZAQc6>~m(W%mH-jQVLB=HoR3lD4gXzEA zQasD-CxQgbi^<_c&}pd;gRcecvPq2DDU4G?rcr2x3~4O4w~G)B)s#%IL^7tkuFKJ~ zuKt5%j!?tRc6>omh!Xi8Kx~Kh1}sP$=FhC$T(wcKS2- z5esTLs`_#t*-Jq(1KWGJsAYlgXC4b=kZH^4poCJER)#23H~y4J3CUq(!eER9MV&1h z>|KZ}nnzTo6$3^T1gS|?N?aMErHee`>=KNr;8>yJSyGggY)BcD6T4(`Ghi4F!qgZ4 zXWe* ziZA~7pJ`;9(K_a?s;Nk2wUSZOb6CzWsaa3T$Qb-WSi%t)89M5ZF9}t7G$D~Q%CEQ# zq$`hq`2zgY+whE=_@*S7O%xD5PLc^+Jc>@vh_;jGsx+d%pMmrCYFo1 z3|PARAG!z#SgcjNjR6v&3wz>%CaXjy(s-i`-$UmFGu2BV=KD03UgQ*4O%i~CiK|h` zP#<6T?mILy7m9_;v+=uW(qzU}pQU*a7&a1h@&y%W1j5kVGasP|lntQ?8cti5GbJR$ zz9hL0fX3tu-+mMht40Q%kE4n{QWZm}{@C~cmphGz7|>^+OInmR3sMpn;}RxUUGYUx z4ba5%RCH6<73X|^5ckO^^vBG|*v1tSHR1;b42#eoqM?XE=1@o_KSpx|;>)Nc!og*# z&0Ixnr;6RpTJjYP+@_UDVqWYD>S3Q%x6{}Uj3<+js5sLWg{A8B6WPHg86VRtK^x=c zCX}RyHo3dZ`^_qSfuD zjlo{eo~m5;E9YQsjyglQQA*2s5fPQ)L{fIy`i7vHgD0sfu9MTWQdn-Rn3N7R>*@VO z_Mz#^J|pA&fV0ha2!dcu%mw@xy(128YFZmT2Qmy{Gwm8}pa+#)#$f8U+E;EvAxsL3 z6bC=2zU2cKKxQ-Hq0)5f#=3<7(;98i$)X1ng}a$zB7cXeqms86Eb2!zWm@EqWv&`o z1w-tKZm3I%4 zq~AtEQyQx=w}Q^mI3kuo*}&&cfA0lILIBExc!$6hJ&Tbc*v7a8ytY{*5D0um_u;*| zAY>=gmL+C$5y28WDUqNl0(n#moqdQ6HGoJHNP#%h zD3DUU0tI>~c}KAh!&cJ?sB@9k>9Ka6mS{Qk=Rb5l%`%ja!)QJ+Ir3`_1rcB~fu7tI zFlf;+0*1t=rW~Y*C5$1BdpGl>WJx;XiNfelN)EZM7=(L%`LNS`4tbe)h}pU0M==Q| znHUztwvw1s7>C#4BlT38rJ7g?zUBd!2Fd@SF_Daz zd91u2(q}7H-T}k#k194O@Bxv4Kx*x(ir4SE`lvofd13#>K^H1L`JJ&*y|$} z1#NjlA3Q(`34dTG`ocRhpiECCk|rz+52c6fCD==>Wp?8m{E{>gME%jlLs~b;{14u4 zNp%3MBTR-NIs_VW>kt#OC@QIeGD>y(;|0`--y;IuT>$VD) z+$RIF0UFoZRoQETF|jT2mLL0Jx-n}B58A9sJ{>DDDK z!5QO?z=57d3P?DEv??fu)5t7SO(lLkvLG{MFim+Vl>tea8a^`&G@cxYTz%bne{`D3G@Y=oCH{*FDs04U_W}hL}OXigBn|x(o#krS+#G!?Gmvc zmkO5@UPi=ZKM#^A8uQSKB&J6)-7FI;##jDGdQVr3fpKa~GGO4p2~6MZ@xWO||-v>?)uLH2^V6?-*FR8}23c zWUU%C20ph#X^;h_#e($=s!t?!n?sx8U@S{igJ2l<5L~i=(4OQyjEk1uk>}XotEg{t|>#J@@eV zxNOugtdq|$a^CTwi}b=_=6bVUcMW(_JQ7!iVL@1n?4O)8n{sF<24W8zry?bqm?~32 z%N3ZL;W?)gAgFH!m9n=4#?_lIwvI5(bn~Ppea01rHnza{$KVNhuLZcvv{2wP1}uRe z10rBuOqFl&PLMYIrfqXW;uaq!xitLne>~SmAMj(@$Q*YsVTU0!sUx9lHj^S2!C}u( z8qt!*gE16WXdc(Bm`A;^C)z{w_`1rt{{A&@J%BiC$Y!;~B^DSnsonCuVu8CeoMr?I zVM^+WPUyGbGi_OJwsE>QcHwy41(;@rB*$+d@+c8v0VM551kiv=htwnaTa!TQty<~; zR%oLUfV5$yHEryU40G178_Cr}PflN?)EG0Qb~hOsf(+_`P3^c^3XvxmbH*RA(I#@> z@G8ciLZBJ;@{o=`Divz(Nqgl}8Y~iUsolVM-A@*_`nXtTWjo3Wxgrq~rbsTS*G&qQ zSqlybY8dR|YS1phDcy!^v8ow>5G*km^CSD0LL?FiYv-+Zu_fA}BBaKP27q1I#Ml9C zkWSvLS;g!U&khS(mIX;O4P#3nDKbP=>O&HM#3)HDWqBq`YM_AlPkjGeU-qF=loc>h z9r|vb*T!LuePlhz(h+mg2lz8vl~78e0F=5y_NZxqz{nc?lv-!dHBWHm^Y6N(FH>O&MnIm*;Ih|6Tku=$wnVDa;c_h1ENO)p%xWq8BQQ*J^mgYgzWtTkDf=L z&%zx!I$FV}vAMX{i$(>NQ6_eQ{I*=#8O_2pW3jWbx%_w`E`6XfIG%_CKuDm-Y5&io z@ctPx=~@EfkV1~ZHtvsRi13E3X*h>K8OExE5>7hgWPm)C!4^NIf~RKXxzjUnIb)6} z9k%;Xa#?s%F*j*{7dkC60ekg3|DY8{}FN)!!CmR&}~NYw~vh%}#O4XKOz zW|Ro>;#PNzF!g!F|;Mp<5rDH$ltqr(9BIDb$CSHq}(f~Ro; z!x&5sT6}<|EG9e)#VYB?5PCQTLr6Mb_m`KHO4zDk;vrbfKsN-6RV`pkL9(ZQ1w`qA zeM7d?Kb7vNL$fT`##+S(dZ;SY8B}b@k+7DUWzvQpf9tu*ByMDs8ShEcW2AQBL*STJZcvt{03s?Gx2fMEsRBmYkE`M~D3-hz{=@&}+Dw7qFPv5` zzGKV%5NHN3NrJiXktL4DeV;VE`m{{#9-N{*pag(~v>Hy6*cx8)Wlj^2%uUklANqhi z9h}1xJbD;69_b9LXyB;HQ{z2&GMj1{bfw`b0xkk#*g?~2!zNayr;)VDR%h;r>O<4N zy^G~|yXn-~NrzOGFRDaTRP4=Q<~|xaj;hI6lX^!X)B0ht#0UbY6O1vU3S(}es4McM zK#J-Z>BdBD-EGd-8c4XiRTg3+3|O)kor z!b+(VNUloor7Kq*bSsQx(s$A(0TirHGz#{T6ho+o5H!!$8p9yiLHFaokcA;lyyVLQpQkMidI+~m4lM1Na_!Xef$5htW??~)gmC+CqD)o z6+UB@;^?I&T8iY$QLUulh9f}oqLK0xVyPV$KpSy~mdPCni>$@a^l$wd6(Sbwkw6q{ z6ef1u&1eN-_08n-j{6nm5;bS5rVNaLDjpP0 zS`5VxQa$jI^T)Y?@(pSdL%}y3idYAZ8dK2rFbs+@<{*Jc>4acJ%^625p)J6uJv5UR zjg61nrJUqqXnOb$Jkp8SOKclA<2vau(G)rYtP3frDD2GQgN*_P(*nq*h)S{1Cu}L$ zz;w`v8%P`ZKC6Jg{h)aN5kU^n_(reFm@!sx2)&oKVNn?bLS#-(7^}y5I z9ClO@B{TFA^DuLsuu9sa3Al+~K@}LBApyln~F-;f=7`oWhjV2&@|xt2AIYk?v* zAjFq63PytkEWAU_8E>9II*UxHGWr7OY1_gqOee@>L) z;%`XWGLPaY`|dRNsgRK~RUtv*FYpP;CkdgTt(k!=ZpP6Y#l$-q1Sxu!yW|)^+PLu9 zP8uL2*4;n(HMd!pb??HRz4Re=q&>pZCm@tX*F-~U?q;nXGAIZzbA(wxbuy4VgO{XC zti_w+n+_sYNYO7`7?7m}#WqLfx49XY>r^Mn zpOe7f#m!yzAoI!@-aaIG>~WFAU?ci~B4LUS*$C(fY1H3SB)%aH^ZXZHbuI6NWK#%8 zs2zb^SP~Xth9G_HE}+r68`(#ZsOJDjDQb@f8%IjXe3|;Fs_-Fq|C-w!rUsgI=t|BL z9|35j=(rBJE(9{W$cS!YMKB*&O_}xt7vxCx2UCVhW+*P@kujiWL7K8qn*O--nvb5N zMbyWA+r5SPmq>Ojxa3%IxLMLjc93kH@}eSRf+aG`fnZ2nQWFVZ>1`?71Dwn{CI6q# zFfmZGRG5;;?z?~=iJ2Dp;JGL~)8_m*->NxjON5}a(vf29Aw}@c1bR}IMvKA(Tn>Hz` z+f$Y2zjyKYHf+nG;&^jd(I%XTY9Ogs7^P-9pT#EQ{M z^>VatKuAE6e3>gB`NeY~IiUrkFGPFlFHCj#NCEDc%s>6R!}cad6H+!}V-X6Nrt2xL zrssx_vIVGc+Moy`Fn(#rg)9&vH%-nB_h(#T31kat1)CH{Xyzc`Xf}p01%Aj8Q`4d~ z)25HGq=Yo_6~ZHiBCY~1J|GoVVyd!Z?0kooF|p{SWGEJ*z-M~WI9Ur?Lsdvc$x^r( z7K$I(Rw_5)R0@o-JvT}Y!%M3} z(HzO^u-h?CcUGK`O1e)ZL3TQ>SsgYV8BHSS#qV9X%K z4;5W#AP=+1yhxkA(}fmE4V=ieF|3L&qR6N|RFf`nmMP9To1Cow%4(AlV&rEhUV_P* zMTN|OEJGt~)WHZy$hV|5nLHHH@Dt9avZd=-3!&F*F(5U>-e1}IYKBKmXDnAwZYPg~ z@ESd3j2(}j)zrWZ@ngA8FzPYvP*;KtF5sQ*XFbDGbuZJO?P%5tOeB4ZS8}J4P$7zt z)67^>@UR!5#=2K*%%5nOw5JnzC|QpW^`Mw)BRA>gs7df2da?AKJ+!H%M`i^+@u|R$ zDg}FsjWG!3v;dg3B*SQzysEfh6yzF${DK=wrXtReV|6!Bd`4X4HA~Re575jC!q|%@O=cQJYv81MFBy*%y09Zwx3uueQ z_2ETiaT!x$>=o4n1cpEG%@MW?b_T&LNnKk7Bv0sk3WL!Rlkl5LSCrLy^$0YEP4SUd>`ta|WP9N0^k-kSM{_XD-X{3T z8m6L$iI9};wdps^M4^<45qXFgM7m%89tqffgDS1kJ}ka*@w$WqImC z#yHpLZde22V?kJA1Kb~+pa4M>o^d_#cS00PxXBi{rrr2H5*2n@L({+he`;4BEixHA zf#S1*HeEBW&6I+`XAp-xJpCh%p91I^&8Zv7(pjD?O{&*OFURe{#}_wzkdT^urPkF> zm}N*Ye&(G#=D$WSY>q#4AG=pcRaoc)v@p{UaAYwo=SKM`9RSpYm) zcohi_OfqT!1>SJlXQ z);`uoS4yq5`SsNt??oL5>^iSi9nE)muQjh{Egvhld4nsj*==vOyw}>+Xs*pmK1aXz zZ^xi%qfoJ&_PXY^<&9&n&DE_MemO6;Zd;>cymx-2vpzpnss@8CZZ(e8Mskfjua0h< zH;`*}#FLK8YmskiHS+6tl{!s$?YzY=-XY%{wSlW{byo8hc+jd=J3Q&#`tL3n=#&b) zHM_*?mw5y1*5+s{H;}stkgG_bRXaE^RxOsxx0Y^fd8PMPt*W~Xnv9KA#~!IwtBn@T z-_&-Gw%d*Nz2%NoZRFS6daHWd%klE%yk+sSX{5PY1y;w+58cB{f@#^!x9@(>B@AZ@ z>6{B;t=B>b4n6{_T4e?*EVrsF@)heQK^7kL103Gic~8PW8OrSan+i^|-E@3RvM=Y;}TV;bH!d@+MYYP|cDcD%b0J&9(0CH(MAI zi-k`W4DOFt2CG%xZd+aV$cpQ$Bc&TxjFieZv>N4hJCB3Wa`x4$w>YpHSd+*ZkE3N?pM@GD{`J4RIbRiYrMt# zo|@Ba40dj;2i6sjl!MYp?#i5h{a7Wa4h&sWZGWxW3~s3MdgS^QrF`YbD+9S&yV|a8 ztz7S0&A_wYQfs1jovlr)-u8G&GNRvVT1}_9Vt1=>L$f{F%)4XuXblBv7_kcBUBG{ZId#A#*&wx8YkVC3uq;fnl(1J8vB;x+qFwUdmvwG zH342!)2DWBz;R(I*}3cGflQT4Zt++-^q;&j+oJ6bAT_P^#ahnup&@U>_-x*L zcFeA>yR&!4q+gl6rouPa) zXtiA1cWX%5P#!;F9lb#PzN^o(0&i0-Kv=ikB;_d5_mm0&Lp_bhYQXU!u@NNNL!4mj zM{O4p@=9?!1D^qDI#Ig1pjn>G<0a>-CObG)!tC*wimq$ca#Q8iPG?16cN#Uj`{*?m zCKt)0LdV)h4zbaxH7~4rP3jyNE%-=WvpL}8U6iP9HWrXf;m%lXC2$%6%PtUOE+X1+ z7-GptL(I0dHSo6i0(c<5HrMvP_wK64v-N>fB5c*G$@6P37;Z@evNUYz+MNV5eQMj+C42 zpxr2_k8cka8oLSNIVc5{rkbkX-EUe`Lu#InrYVAg-d9^j*}7NN4UvLLwUt)hulrJG zru0^nY7t_Vk5A$3ws{^VV!vekMLTfBS! zZDUuU9!JZq_<}=+h+tWV_bmfV*30S&W@sSSMzAN!P9B5?&x5ppkFR&sw|q%KfUo5E zQjun%kzZ?D1H(#y2?@a+)oLUTSWR2NU|FB~pkRrvAYK$C8D&{psb0)r0yYku5k71o zmc?pM&HoLRg59M~p%zxtlpUbsZ46_Nm@ru~ z9tLwYI(g)t{mXe3uD6Y@*N_DKF-3SNHZ>dTY@|ytNryx%{>yu7KJ(ax^%Y_OBGmR9 z9X?hR=p`YJidche$yD&Eh9YRI$9p@ZXl+OR2DH)~25vdHysn&DH_tB}2wF>saf&&9 z(<{AdwX)q`@tCs$nPLm8d|<7vTYKuOhgVBoAQO!Z6#k^y`AKJR`TKopKic*O zk2%5a;xa7!@=?}6mo=nsUEaB~90UO)2FfUNIHk=k8A-3kW!h}yZz!#Y1Pbv4k-NX- zj#sP4YVY6j4ts1>TLVa$>Yu6WwsNnwvt~gKrQAwof0+)T zg`yJ)dJ`1@TTNF-Skv*ya63>4&R524Yx~;Tt-pHyWDWn+uCLhV5NfpX>>VdR`0h^A z-PCD1*Zce1)xqTjXYj__5oc$!$rOWfb1Oj?qqH5t+$5t=4sIzEOb;$!wrg8+L^fa&T2>>ly)iEDX}7j4zhtQSht&hs zVCuE2TAfnvXK$^w{nqAoed4zEx7zn?-+1h8wW0sIa?{wM?(*d>Y!fKDWGP0R z;;LiKp>w91S61?`YkK+Bfk%jXxPG7*)K}#;v=6@T>U%D1e7<_%Cx+}*pRea?m5uF@ z{Km-*t+5*>zw(XtSney|Y9Cm0<)*PZDEOW*7|gw`JdzK9UrRUIP9Wd~HEFj|K`>G1 zE-z0k^C`A$aP!wj@)LX4K4PZc}s10PDi`wE-BWvacN zjDq7HL}y6Xy!k-U&2`)D4}9frs%jZP5AvSR6SZ2E`mb+QH@2^DtvX)W zG**4$YqoWLb7b)I&U6`4Pi#KMhqJj|@MUVaZ3*NR6tL)J7&Qlo^CpkHzCNI9Z4V1?sD6fH@)ti?uvqo;bsWS9rdlU2<5fKCJ+h^#7tLbBj zL`b}vk^D}7V@Twokpge>uu3C&3!(s;1qESurzP8k2uRDlE64$RBA^3Yj(4E?O!;8# z>EFG;nyL=jhwC@6)d-{=Y96XqhnkaB#K9de7FJ=dW!G#v7v|GUF(gh7v2jr2**-IR zp$DANEc}7OR1*p{3S`l^In^$eT9|EacVMZEO3}luB9#%rL2Fp=sdoJ}-#ph6aaNVI z--&=I(iWVs>uzy!Ea#(W%(JiwFD&1M99Uw#PY~&O#wRYZtvi)&QbJy2kc40})F|dm zb{kgU+O0n*FhVoy;GMWHJpC)&flzu>4GX(qs>;HkRR4nPdRN?n#LAh>5jcwsvTLdwm`|HdS4f zGyk_g|0m0M3$Jb6%vQhvqzoUUW%x-BQ(Q3 zx?Fn?-HPQL31A6(T&d+&sk!{%6^^Ht^?5{>FNY87YTr9Fz7>ot?MZKy>m|Ql<)xY1 zs{@nO++9H(TTQD+h#%EJp*&2{l>u(n;8XH*wz7rh8n$$`31}MrYl{Wf2JM!6^cWJb z)$hnS^RMCOu-1EEzjcHj*c+n)tNi$l_TV>u`U{($9lOQ(#v8xT+&;Fm`Qf#fUHitT zIw$UET~>bMQ=3oh-2MS3kxgu=9~c~b>R12xD+fx0PrdmId|kf#`QZmQ@g4Bpe0FTw z33hO@eeCY$i95nd{wb>DpFU+O`9yCe55|==Pg=>zA?ar&^@Ful$D9BBxz>7Nb8yG1 zgS9XJi_0if97&m6opFPjgL#72Mwu+YD6T9Uu@KV6hxT6*Z_-2hkUiu-^Y4+$f4R=G zYF6l1XA3Oq{NLQUXUx4}Z1?u@>gsA`w0Nxk+D!q&kSoYFj*tbY6Dv8j_QuY!qm6u} zL6rJGw1kQ=sI|>HZz39~YrhzheA}GSTgrzj1Ism<=LZ9Ar*OkyAlZ@!B6kEG!`;Q| z$Y&>f?vPzWN2(>OTHRB*d-=J_+pW!2t{AS-54T5n!AvfwH|twl?q0?TtZk$RCfeKyI001B zFtmCF-*Ooo#W-+BfQJVKL{t-``crDewKI}rb-#EpDj9w??g3^Ma%C-i0~dCIYAh%q zW)R6?^^{_PMlwBI*RvuXXP#gJesgqR|GDTagghv198;VKElZ3$a1pVf8HnfOG*cUG z$h7g40w!TbVKq!!z-w zt)J!`4Mo%_Y$8Ivug1rXAS?|6Xm$jk^==M5qYj1WK2+K;V=U-}o_b1TP623g-2T*s z)>WintO}2>w26S*)(E)}#E`^~349q3=X@|fP_qde8;n;0FndiRzzmlm4;C2|GcrYT z00yEk?noZN&_AL8fv+~3_g#kcL7=8^0Zu-NKY2bn^xM8BFgMMmfT-9Lmn9tfN3v5l z#I*20L|ld>stpqR=L#%r9IW`NREuREUF$sYH8JaYxbmc&z9 z@v#oxOrnQeq@hCZ%@!vNep5hWZm!SZmADcf;R6}Ct%kkjzvZL51{Okc5F23UM7|W9 z3un2(Rd@`$u2ZS9th5N6gH8VF4?llPXvu5{Hx;!4LSy^FEgW&(I`< z(^MK!#?*J%IX|qIPl~G^0WMmoMqLVd*uY>IQx|ZZyV0R}l;+eZb9~j>a?3@@IVV)% z3jlU-=V|E$5<)Eo5(zVB)DtH>;zuJAq?E9(`_hH+%}|;mei<^0bt!@--9{SMH_|T4 z!;{i~aV{*Eg}MCa?@VhJ;usNCNScGS6ZA5qKq7e+#z4;+3MlQHr*tVgLTJ+qUw%Hm zLv?}WW3`CYc{d`mQ=BA{E|h#?d7l}H?H#YAq%eu#X#yTekXGzkog~7$*nCL|M92CPUF{3n7QiYumb^;M!0|`dGz;G z?%KTl5(6Hfzf8O~cb&l8r2ws1DoD%vj(JuUKU`apR$#!Jd{Pm&aMb zNO;dSdc^BZ2*{Kh;=H?@Pt;rf&rEv9D+v8YE*fiIAd)A=U@CRx)1zRD(h-Z^BM@22!aSm^ma3XoT+dg z4)hm25Oj8C)m&_rBNOXQF%xy7K>V#YFv5Mtvm>N=SRo%!D!?+ALn?It>Ng{D@I^=y zknGnhUlA?B3uvG|A3lM+lZ$Iz!L7Vp!zt7nWTBA?2ddQ@+*$XgL1H)S&^;Z{Ps9|~>w$>oOq zk6YsU#SCGi{kq8E_=3r0Mha+<8kn@;E{w3?r$8)G3PCa<9Vt3CDWDx%F|8478n~$1 za?#Hm{}|bBmIML8cCJkg{R3)91yw%&v3|K$p^neg1Heb z!|FFYlsFC39F-s_zN?_>bR0PHa}Td9R{-} zJ{|_M!47`!sJ{X_r3b<$P16^j2!bK5exPvtG>&k@L0z5g`0tmD&Ai+|e$zwGXAc<1 z*z+96!|$}QgbWf$GI7(9l4haGyK$Jgh^xd~7`Z_&8u(lNBU-hurATs0aBeuzr-mkS z6T1Ys26&kCe8yjpb6Lfh3Q1vXaIOlJfi<@pUcGdN{<4z<3qKyY88aG z`hZG@=V97;Q4Z;UTo~q@hOD7pd<36Q({%$TXUTyDxci?`|MY0+ZbiR^k$MoHCwxvl z^BnZ4ae^|14sCeV;G3;@!-`&+QC;SU%s(`I=@C}oifU>NX-djG|H&RG2e{KPbOLz_ z5eky1(7|&Y48{mbz>bPmz=b3kwmR3R{ef+Iyx zYy3?JjaLhla+Byp+=>Lp^KG#_IyU?v5!y=*)#@3dLSTbHS|oYaoZv%=r6E>fp>FkW z($YFJLLal$Kpfo{0eMuY7}Sje=Z19RimTLMe0=UN;xoP#fAQs0H9l~BV?aVwbM?ug z9#L%f^eeFd;XU0q$Awz)f9>Wq{c5Q<>1B=h66wM|qC;5%UAeMVHObzaVobJq^Vu{T-c! zkEQ^{mMgm5eJiQRgr{z_-zH%FnE?{P10=8H!+w zSYX!0$4z(<$;0QpNW$a0J>UQG%H*d4axcPM^D_@qU(dzl z%E0l#ky~&$Pf-kGId1Tu0T;bH}eIgJ{L zKn#HmE7K=+(-)~Js-;mi&1u54a`&3!aeKJz`05ZUQUsdhG*R5J5YVs`Nu zonUM?z6+4zV^onjH8AUpduoTE&X6fSQCtXL;u;SB0+SQfn}y*BAqR2nV+I*GO!(l3 z(T>Ijsplx+74RSTO{E^6PGdiDA}#G{iMNyh9FGF}vWZf7aEMc#}X!v4~zNxbZqV0*U9&7m=U zt*n9P5w)ZL_y5c}cfb*GLBUX<=!!<}k|2v!WVfI}3$g17)Z+3YKw4Q$ub!gi>;9XD z2Nx7k%^nP?irFHnIF6ZhjB#c}^{-yZED_a)Ll=#~i@qF<>2H1TBo)maG^GzA2hq{A z4)1)$Wc(|X7-U2juT(6q&9-7dbJGusILAyF`_XzxYSd<@k$CHqsXM zngboxBcXHx1lH8(VlyNAMML4C_^YlqN&X^+I&S`=YKe~6qJ=-B#x<{0u=X6NNYC%Y zQg+{SsOQE>ek9M#S*H8R$L72@uNw*dDBZp9Q}cRe&O%vupZRx8!IbH82 z=z=(rJ?{Sd*?r1vndp2bD_&Y;$CW3k4B(Vs01iSgq;S@w7wqoO{qwA)PIWP?+|-q! zUH8M^J=KHrzkmCs=eijIp8tchlq`3j%_QSzDX^eRK(?UrCo4Q-LCMLAp8DR|4A>9L zsGpijJtZL7*$dfZ52&Z{W8lfp$=Oub6h?aXuoB;U)*lkSO3;YI*J)soa*PH1C_OjaRnF7uPzuJo1%n-?Y$M0fb;KAm$@w+B& zum27c{5GGMs50O0vw!-YD_8NgmapSSPkfw5m>FljU+;wT`)VsrgcJUL1S@H+H!}`# z=tFE;>t6`|x^MkA^D4g_F5>he=k`H(L7e>hNi%l3(VYg^{Z+RR*KuJZ<%}`p!C?X4URn? z+_V0Un@YP%*WB0|?bM&V=XiT11&T)>yy8%8VE@|M;B)N@%2%$)t88gsYwi0>yY6X~ z+LK31S8W=6@Bclkb&9|9jj{Y;zw*e~TOPZ&akSd%2F2aEJ?5E}=kiRq)EvE#Ss=$- zPmSgG6sxsUmfJkm+EZNB-X4qsa20@$)~%J-2IXD%++dA5g{zCl4yxhT2Um5hS65nd zlye>^9s3NMoY^YZef#n(k52ErUtMZLlD6a3XQ4B!$iDl@O$nJ!7U|DVt^VjsNoA*f z(l7c8kgVgNOzm7p)?m$5#G}f!!sX%mt!-pE%x^* zl5z{I_N<1iKk=K?y7+_N>m6Ep`2}!sZ?j}n7N#O-2##Pfe$z7^;n9PiR#>-%H7;ke z^6YrbJOauE;M3jm+)@CSiAT?H0N4Go|CESrB{@q^+>?bQX|d?+-(Nj5etSugd0!}g z=hx>dyD*?sOZP+HPb;gXr;9r4{zK})E~Utc@(@V(3=%3AS#1^9&f2YECw0opJ9}KQH^OQc@5zCJ&n2~`% z3uX98YP4G@;jl&n|%2aredZ=fEjS zW-aheEH0yLvWU+yv*29s{B0feOX$4+-E0!P7R(p(Xl>f$@$#qerJ7u zO>5NX=|QDRx<6Pq9|Y!Crq4b2{2HB4sU^VXL#1q)C5mOKF`x4#ip_^g*)nH2#m>mu zL(6&1FQ*$6XQ{E6^M3oPi-BR*XO;k)l`JQ@xJ0p&5NFm~OB9=xEGN0xZ;CC2wkIL> zEVs_`4sRBS7jdb)YKz`43YOYq+80CS?EXK7_^ivJNrb?lGmE^9M) zyF3-Dr@X&pR8C2vnFS!(8B0Z5Ke9=5LB2HO^i<2jX3El{f72WF%^CRLKZhP}3j9&2 z?dczS>!$U@U-dQhbox-mHDI0MQ+*p?&0;~bY%qIkKIc1*o|(mO^J)8}WoALMk<$|f z;gdc(%gu-Xwufl5l$igee)@^!CkD^X*%2CipFc@XQL>*DJ1YS*s`td;%i|p)r(pKH zZ#V4X7YBbdSB05<(dLclsS5T{)f)DP`@8ScsbHV?{eIhkB@g|jn>{ai=<~>;&s$ z_ZIDbdu{K9Q~wI7Gh4atKmFQlmlt=fk3G#|1`CvzoBini&+p8BV=-63vTvdDQXYN5 z9O%`h1wY>Vz`wG@_5zdr)i1zIIJ@K3%lbB2(ARB{mtHn$crKCd8-rdhGv}5jy3F!E zmZga<^KjAorfTs7!o_aln>F~B_4udl{)|tmTK1Rk&w=aM{h80Eb)BYHZJnKODgnPG zzanMw{LYprHa|M0rIsj`(qevROB9dVoMa8g#u@@ z%P(UOb)Lvzu!xo%%4%k*u2%Ncmh_rg1# zS)b^0&z81f7Gb787kt+JtLM^Z`dV39?c&bP7|9j~PWsf#0kD_PlCG4mr+Ic(y6D2G zZ-8mNNcWSQPLocV$In(x8kl~^(I3qQN>A#BymDmj$!sZ1p$wR-gqVGv@>S#PY0tk#fF1;fl&V@zErDh{qjfL1Jd5 z&6yW0%h#pu=2x3L8p1rCLpcr0x^LI{mX|x7dNR*$cze&Bp3I$vpBkyHFZ{V#pXh%3 z-sJje3rP3+bRvj*D$)(K=M;+&qVDf)NI*O7lb4&>IBkMu?b)f*1vIm5>|CgSh zr}aa;tf+>S`D#23(8#V)R|L-(oWzj$6n2}~genX0l}(e@6|KD$ny@a#BD9;Tt3UYlpkexN3;r+=f+kSaE+0bk*M6eckOoh&QD z+cJ%)lnOgM?&Wy4!xeM5YJIGui<6#+uJR8*+h>~=wsrcSOS-;L8lFjtd1&7JkC}bY M!=Il1LEn!5A8^{5+yDRo literal 283286 zcmeFa51bpBM!O&FNj*qHGcxn83*2Ex)HP06Ips7#*I%*9@aSQ?5J^v2yPjLoH& zeTwT;qU)x5wOeC$2O`#5Mr6XYY@;@$vT~Kgu`E}gmwfWigb2n|!c?TIq-^TMk}5ap z=h@LxO&i5_;`coRfCQ-}#TC~nUGYf7{JVe7J@?#m&;8vqv)}yY<>`ZekXi`}eNqDCMQ0!Q)^Z7oYd*k~~6Hs0Q`#%h5n`?_!esgEaVD$`J~C z)UUT&%Z0!4tY4p;%TviF6oB)KOf_oN=7 z{&V3&%94A1KKkc51UG6A(FO^>I zw|aDO8T~v<9fdE+*3Tn6$$6j8{`ugw+*^wJY2+w$xio!QE|o^^y#sm!BhstiBXa+hsM4cB zPBmm-f?P-*HG9-gCV7rS`yhEF{B&M{PC^$a>GL7w3H9}|uQT#osI)-VAgY`UE6Ad;#j$bE)GqQ2$w< z7dh^P@;w^lN6zK896tq#Oan-GlRVPj{rmDvuD{qLIqy^d-crZ$i)cU8Q-uo-!h?|L zf{;8Ec?ka^OX(9K=~uZHnaEK{&L?}+z043=!e)gp~3U+om%bBdena=_3s*> zTY6N0J#d6)cx(=>Hhv!kL0Q^FA=fu)~CMA z2ah8EL6T>K*MmIBx%B%|JoN9$oFeu0c@y3GYpBnI9Oe3z=wf>^I9BAmU*DyU{rdXP zg?DLN|GtPzc#wO2dL^FZ`Ne$59NQlY7t2QK7TYN#=VCuT0R0Ihy0#aRqv&Lx{=7$W zEvNna{o~(_JPF^zN1y&(kK|f-6djduB=yPhaY%TR z^FGNvu}OW}xCDvpq^>^w93=Lle=YM-pSPusPeBhrqM!0y=BNHWx&Jvx$`l!o_UN6^ z8!sZc*C%--JO~LN;tvR)GJPECk<2?X&-6*2_0Q$_|MlqNd%}m%KkCtq1Jtj7@F@HX z_3sHEG7b{v_z|5Q92bM*bg6RzKVE>O|NA8T46w1}>7zXoJ|uy#FXhN>;ZI~gSl^ZM z)aRjZ=0&c3A7s$Rid_|2)gyT(*D_bV7s~eN-$HWz$B-N+AmK%hLO%@2vCp6IEdFDk z50S5&_s2$G7;-K4OOE0XiA@udvHoUAeBIxNWE}QM{OL>4#Ww!GL2|FpNB=1G4N{-C zK3^jDUxp-2UtTi*h#Z9Eyiam1av3~ceBRe9kwc$k4D|gpX}i?b=R=;!b=ON98WI_; zh2);h!D8Qpq+i8^Y=GpM-1}FM_$_0QJQrV0WGVN=N9&I@NhkN~&>&Azw~&liIm$CR zO8G(}8zDIt-%*ZI&oA{z&VK=tqxjXo1Ih6Zp??j@dH%L4c=0n;ib@!>ym)ML)AUWROqu+&ownzPYV$R;vBay*RLvrksJd$(aL8$Mi3BTPt z-FyAM6Isc5|0sT$oZk(}nq8jV4#~a#oOv@O^SO|WVevCW2QEkbydn?L1JNbXeVI38 zei4%ES0b4+h5G5F9I<76`u9-ZUdd7J_s5MKrACP``irw*(7$E7ZR){q}xH?g`2KCD&rJ#E%w|^Y=mB ztM2ch{gu9x`Uk0BpYYVD{yjNLJwkFWJV`tLBP4p&C%L|uPF+N&p}|{&Jjl7|UcY`h zN_|3dE;dZ`OKhvy8X+0?e+0?BKFRefk;rI}WX#Ig?32{D6nz7du{Zckdk_ywfh(1O*gvtS7o=}23C&-SWZ=RdIG5AUJ(zfR19#29`7%$R zdf?pF%rbMnJo)0^tzUFAO#HcvZeH2t;x{g^?N^rRQmH=vrti4aqh;TJc`KJ)#Q6*> z&zJ%q7O7YL62F;5RT})3EuQo#OmU_yZe^TC%Ciy$3Ja1?GUr3>*z>5S|IfTtQx!*#C(V>l%aQwrnu{ z-RoBmUS8GtH9j+4Rol*IzCM!9%i_w;u1oC7(4Z3nVsk1<9@`NqF{)|KN%eUQwcJnzPmwHQ@>pWln!uhLYE?Ew{j;gk=Wa^TzmS zoMg`1_|!o;N?FD7{<39_rfc1ix9kjyAN|QIVCM>xtn@frF%`V$-@on(oAAoXu62ij z6>ErMSfUqJPc38_do?#d_e&Dfh%#Eq0$CPvJx#pYn~T5xHJLQN6-946n?HTaD(#CMk#iUn!mw%)~C{$j;uWNYzn{nC>W__yCmWP6$YH<AWwQ9gkiH;gZj;^5z@gkT~3l zN39D5@xgCAhHv_~4vsZHUixI#+woGf@WJ5phkpZyo3wb}&nEtL@#15l>xN&W*$XM* z{Q`%(d-2&liEyRwuAZ=PS6@i41iagoa=TqCbN2mrtQco2xI2b_`{y6-5 zb;_^&)vL<3WTtPivzE9wudbCJzj=8pxFws{yD3Y>6?0@>O9<8FgnH%AR#?ea%8%RkjS4_vmSsp0*>P}a1Dj|*~L2#DqMlat{e@&p>udP-5RXVFnX8*Q?yZ!GU zy6$(coZr3TyOt{jQ&_#d!HdoJtHR+e>yEEpyGX>vO?=hYmq+!NS?mfs9?Pu#GRa>v zjIESM^xB#!FQYljc(lSwwsLN_|I}};T1GoApA>^}?Q*tK5RT<%&c1USf>^n5l1sVp z*604D8=!J|v0u?s*ZK#|D@u4piO}a2>*?!&_f?|c-&(*MeYi^gmdq|8EY|}~u4u4b zQ6fgZjUtyg_5tz5B@c^&XxZa-W?`zYBcwb2mvlj|^5(at+wDL4?{2sbcqiW)UY;IZ zBDH__n_qWrTFXlS-j`^{E8JdbEA|T2UHRkdj9s~`x+z~X61zTAE>AVCWlwp?z0^#6D`qRZ z7{#k%yIAEkO{afeHUk~gqi`Ok3w<2_{8_N}GCT+dp_VB`biiS5*IaL8>de;18`?pSj>A)T<~=s(j$1=M^n_MIJ6{Sug$hyFYi+ zRlif&I48Wjw=8FlPb7co20Z$o&leV7I@y&y`L*+9U0H@pry9_-ODDR*C)Zu?D-_iE zWGm^Eu4GqUcfHT|(G{L0t~Wz_$pDcEGr6Qwo=LyTEO$Tf`YUAYm6NTc=7|5DU)G#; zIsX2({K?N1qHA@miNs_|c$u3kQ>#oz7kcH(O#1%7US^JE@Im4Ctpt-xR=N28Ki#Rn z%Ad};S?$$7&T;L%>gih_*B_YOmwe58YIa}pIq&S5N%@}lRP@X8cXT);AM|d&^pj+f z4|>b-X7xb#3*T#BQpsP~`8F)1yiNWr&;?47Vw8Cyp~e4e^95PYT`bMs67F)Z zpl)g92hJ7HJ6XD%?!zTYlLxBrTz~$-ULofWm&kGM`9&v#y#4j<7d^Bt$J)hdxt4WE zpPssPMZde&FZQBiv|JX+|KlIMsF16;ygsciS9sU*e81ebTr&MiyKa}PXt@tyY(?j$ z6`fA7(kttYR`(Z1x*U1rbx zQ~A3)*)!jt{JqQh7kYp1QjX8fob9^jyJoun`nO>r_~*&0qr9!#8m{knL5$#rtD z28tSRLn8|*$>2!FP4}dmKKYG)^qZDRd_u{SNr&k;?iM7!F?ZsmuzqD-!!b}ma@s34 zpHyR*lNKNOp@hdM;VM*V@=G~U&;Jb5VYSP>RC=zsq($9Ql6!;Bw&msRw|<`^_rv5j zNfAp035zi@87L}w%1^?*m<*vz$(B>O+TXk7S)co#$)EGcoG&C9Df_tg8j&t9+{fHdV308ecWq%@4olIZ`tvA!$4uZ<}T427ZjCTNO>31Gg&8I ziktHqb7A_+U)?kP`g5}F@^$X=@)>z5y{ayh-Qqv{dz7*id#_lUB)Fip6#vb?Jil)= zFc4l{`QBTSzjK!`(iIlITfc*^h`kFFy?@6=vFClw69cq-dPh9aR!Zn;$+_bzl_bM*Ug+`BVkPJBKE}!)j890KKaYe1QBQifj#&@{os4fUtH^Lv&x-a7C2H~(oh&7Ts#XMVC(OOLk% zif^X9X6sVE{8E4PzKh>uRD$Q+Bb%(S;85bm_$Xrq9U8W+&;0|DZkKY8xdN+d~hrNxADt)vbMy2;LWW=6JHO;1y5y7O!5!~S{?M^F zy8VQS_t?L9rK1w($FuUf=Ts}peTSROYXcQ0CH4exUJe5ZdbJE%F1aNQN7w)CpCr!( zcoD*QIns2Y^QCpK&;NFXmi*!6ndNZ8}Fn<#YTc!40#T_h~Xk7ulXpSFr*gwa?hV#M5J(&JStac0H$mp1f0 z+x;cZ`@&OFpcK=+k+;3x%tS$Wa7P?#3h{|`NgBb51TUWgmFYD~lAWihdwNc`eXF0h zyVG7+q$=yJKYP)iUhSI4^TqVe60Upa$&yAG?b5(7%A`;IV()Jj4lZ*fom?j(?q$qh zrpJ1$i@aZWc*RBXa!OrkaB(?xUpDP^#x5(KB_6K4#6-uJCHI~yrRMJycSW6XSlkt_a zH(-7aGF9b7S_)w4wj~!jdR|wHS^z3)H&(_^CY3&h=*3pnFGaSODKeL4jia=}17V?C z(_4HiOO}S+&_z35{H=ck$C>oQj-`#Zbfn|XM*oNoGOOlvT8H;(lg?DDY3)TGwMLO} zP0xY^N^4lBamd@_RZTIo_C`NeiHNnQ8=HI;XVXTh#_70T&9XEgJ(Djfe(U~B*>kM% za?$A6GC>>`sZCGmnwyF;xjSPM=vmuz@RC^F`#$Xy$K$N8Fj+9#^a{hQu@-zY%4IhX0({bQ?)Xgr*Xx? zRc(4F#2JjGaj~^uJ{sX^*dQ=EiZz+6Ox341L`Q@|Ctt79=g5xIvqpT2j58;{e)@0! z=fqDD?MdOYSf*Qa)OQg=Ts$y~yiMbz3-MIwhs0+zXlO)3EJd#?E8>!7>_l3Y)rq{4 z$bP;a#~anZ{Ocn3%oM+(AXc~P; zxH3tXI&ss%aSOL7Yo1nEChPF9^rK&890c5Vik6A=NV=KQMuZS$%Kk(gXIA6>q0iDn zVcTkp46V@j9hxgCb&T?h-h}C6dPt#BF=obftd7Y{#7IZ{3n*; z1doPH?f4$!+vuYS&>6+Z$Y2tvGUKRtasGFTaWP}cDO7~q zbd{AcY3iZXKDGrxh$U?rhrXwWGFTmXXh@ZD#$)c1M{|ou(;PM2kFZ*DiV?bOfdGfQkwsCcjj;s}S_X_xBu|z8 z9shJSlG5X4(ub=e(XytGRoq?>n_!NJEr>EEVGgN^A|dcd6i3toPU(lVjD}b&UoEmL z=`0p2sXpd6v%wvrTF?5qPp=-WPVB0b@7!RSk%@l3>0lDYDX10wc~a>ilR=t$y7>_ z?KTf$BbPhkb|)UMR+KWioOw`1r(!o(Q%-HF?Pb1LwJTNncUq6uQ3&p&4S7`SfPZX{ z^55mx?8;=-i=X+?*VQK1TFr^IVO9S5t718ytm<&`F8-}I;f|X#)rp00@P)hYj3Q#Zuh3fTW|V_f;mvClpVnA+QD*jvNhs-zV-0Go*AD%J~VDB z+3l_7r16?(=I!y8yS40>j_)wzrLtK!(W*?CF7{n9PE?ubWK@#!WZjIne&%CE6Ky|P zahe~lDk(RvI8V*hTeZ?z-#sc4Zec&KvZuv66QAgRt(fIXTuh49JZT7 zhh35}TH{9jWMpmCWFNM@&;NimvMS>vqe?1Z%r(otM~6C5afA*XP92{-fn9^wGYCoD+z&g88VK_7~sy8{zgs zF#gDHFIDjnYNlFtBHA>LO3|j2t_)%JRXT`{57B5^j@oEyJYFR~hI!n;PL*~}8tyi^ z3v+0s&QvE2EgpW%uOp2y*NJB9M(iI6Gn4a{be)ug(kD*Ln09a=e&iMlR+>WrMT@3n z{4xrPJ7NW)pG#o}F~S+NVnPb1_Ao7lqAo@{1Dz40h#!yr4rzroY~#CHJDDkrqH1&p z6Hk@UaVPIOd3*7Tdt~aQ4w^st2-Zhbla4?&+lka=)282elG5~KYD4H$EUbhSEE_%; ze%VCO%`_8SB>Do;d9D6TMI)(j{XVl$LK6h|gzStG7D8f`JGHExwjmJp)V0$$9qo=!J($(GVc5wps}4wv$F z6pKg6^n2jH_!-Z^0(rrJYjkouSDZTg%hPv7Op)$I^DavTq*<*N-LwKN!0ZEr(6c%U9ZyAumBwbJ=}%5* z3~L*2Hke|Xu{>3CedCPMXo^xQo^z|E1AZBU=Vjv{vQ>QQcUH^#L{tvfs~vf96fwz! z=~%~&VMgeOg zYyNa2VLDL}ziqtd{@%~&CH=WS<2}I(nYD!ju z%2YebZ1kxS6+r+SRXl5VHV&_Kvavoy9+uQw{HV6c-^wH#PPa3y2q_#@^KI2Y)^1Ce zfuJ^ZHD+Ud5{( ziac4{Iy%S>E#QY6l$_Zze9(`~n9WLvuAOs-G6(5;+QG^vR$it9NW-$EqRb&GiJ#O? z_N1RbppbW^st)<&uz)SR#vcE!$l8nj#3MabJ>WMs6(fbW`a;nLurX=)qF0!73?rd~ zvY=iYY({|3svHS=s0UiaoZ+!RMN2Z9(G*4?Ye#e>4ohxzi}F+^w)5H{#)_8H`Qcrf z9wQPgM*ed)D$5#rJ!pp#otlUl55NG4nxF@aKG>u08Ts@BoeVw|bCju{#0&s0LX&4* z!@$=-L9hta#@y<#oK7Pb59myWA;torsRVk(@Mlrx-TlRFv`-wJNWeAGAD8Z>-Ly!s zsl5HLBajy>$(C)XGl^$tXo=GcTLH_myxlgmH*Zrjb8)P&6tOL`%9H|*AO%B~D&##U zdTJy+{zOH2$c)_lla+mhGG&;M8ER4kW1s#8HI(Hovp<7Cdvgb*n^Cw(c{{aSA(l~H zZQ1asJ5QDEGPIAf~kDg>sa0a7vjtHoZ6&QMF90`V^3yrHMDTUby>U?FT;WYeI~ z+34rpR&>CR@>-PyP(Z7od7C1v#sS}ab95^p0yYTyjr&f^1SMnDAV4dko@9whh6sZ> zWb$rDf&F2fHHV!#LZetzC!ND=me(*451)F66EW}28j9xKF&1starGI0y zPVR{PN%BesoKzL4D@H#SJ>U^@MGl)OE}PVW;iCt~t7sM@2rMI$*0m_mj@8ycBjyC& zj+Ni!ht(YC2qp0aEwf*}^{wBbkEasUn0(Svumq??3lTJxauXX&hjO1j30nu0!KcMB z6aV7qSf0VAVeMo4sLxnYm>DH{iUHDMqH8K_HZ%>j!bIdkaFw5Jo7;W4Lr?sW$>^XW4 zV%Rgx5gN#KUJP4iNct03Kw0~Jk)pCr-8uH**xNDlEGBrOijkOPG#RF#Os%R=O8P14 zP-};giz|D(ingK=*sC<~3ihef^f&tq!EAgWH^vOF)S(==)SP}WcT#UrnRn$>)Q%gj z${keEtad8*0wwBfhg2WZw<~>2*BO<{Xy=so_@z2Bu{F9obA5)uibDMI}+$ON4C)@s< zF6zy>*3Pt7q69`S%40MCCfS8+1`xM`{~RhuvZO z9(6AmU-Lu`$bItUVKu+anGNSRT!9ozvJsMX9NQS34@1KYxK zg0zW6*BOP!0Jhh{SW@`?fIg^S8X;BEY7O9h0!vNMFw@XgKej1pSgQqhFSCJHNiX`o z1&?_8Y0qm8Z6xo`DB}Pz7b81=x>JXH=?;(|`{RGIy7J!JKt` zJO0xUM-eS>0LomVT;be;jw~{dfAPWo=8yps~ z@KiQlW5PiOX|J-Qny(uw%_8DXo*~L^ssXLTuxsVf9j1NQ^#BoC%ngU#2+ZTS^ePY# zqQvK}(B@OEdTbW!?;W2O)QC#ZBmt(Z3cF*0Uwm7bTPQE4;|Pfk3)h)$88SndhY!$PQnMVEIk`lk#@p2+^4{OkR25}wWdgcUGq#9>^L z!gB?kf1E5A&R?E8L~PEDLgNH#jO!b2%sALm@yr1 z$|)VQNUcuBk!Lb@>FfsgFYgxnBegdjvHIghcDX|%iwdzTG#q1sp^q(J9N%^(4Yn2H z+Qv4EG>n?x@te5J-SsQs2_Y_R-W~;8B@zQn2Cvn^y~9Dqqi@E#io?qPPgm{esV~^Y ziYikt1}zAN!zo>cvm~C`wS8X{8R&ScU$7jY|QC(t|kT zm;i^0;h6fZyu*Kf%&#t^A9>#?Yd7P#8gKlzr+?%-KqjWjH&v}S2jyMyAzf(~%8RjE ziuP~2&z!FQ)OM?;((iCP3s$V$n-BIA)?VjtC^&bhcF9_|Iris%s_Z?(jM7c$xi`mG zoN%3={#R1<5+!<6z3%ve3QM*WxcE!IZXHzbd2U;KoAt*3UTDwU*4p9=zur&2sT4&w znbGuv@Np`djJ>;~r*)}NKA_xvkAKS9zv;%*+i0ABQ=zPX@=Y}_y>7bNY;U-!>Lqpj zz6Mr1px*dmDgIP!zj;&Po-#%I?+6P1^OO2uX@7b2-cq|H$y@DH+2e8HsPfjf7uMZU zbr0Nf+pcD7R8IxF^rtCW9V*{v^luc3H@4?v_om4mk9cd-``l;B)#u`~(c90Bva3Fk z_&U^lyqb3>O0o79=4@=bNzWbdH|84lF*m(aAI(OyF7Z*>$v9Lkm+%MEV!i;rBm#=D z7{+^u9l`p@D#My@i;_+=l51Ky3jAVLR|O!(J&4ulCLhoxZ?DBsVmcul1Ndc(ksa%z zowvV!cf<7vX8K^jSW+H1jEOr8gE9NmjLlHCYExpIuo(@kno(^6sA8KLi6TKY>;@Jp z7C;cxkyZ7yj`9b5mhvZZEOr~<)N94#Gvn1Z(<2(LW+`TW(H$-?1Vp4g;cxxB4Yz<1 znaK{{6~SFLuE#gwXm?bl)%K&?J#VgFosXuX{k}J9XT1}OX{_p>U=V_kWYhcAe!pV~ z3@d?O>e$=a#?pp|@I&TY7FL`5PG(5&)osiZC|v|V3>IBOy520?o3R9?jnd|PYBsR- zMxRA_G_N;r$Ua+kANNy@;HUjBJvs^gbravgrsH;Ma3uH&%|Ra=NQJFPa6Ua2*(^7Y`Z*+>b+S8( zbUVlf;D9=5&)V$&-=jLYQ8x~PDB7cNLg#gSgGVgjpO^j<#zLj1Ob{`hdwkD-P!Hb3*4yz!N4mgDLVi=XSQk zqTLw{KuaoiY4)I>P`Oi^s(XV)tYm#oRdT;kIiN?DqlSoxF>K$lPhMq(RSl^~eWn z0p`tAW9@Vz#)=4ph5}t75f&Ede4UmvjKNz2J6k2iQI#FiYmGBEHDjInYiHyZ0gZ~% zvqnZ+#ynkix21?!lCESE6Pyz4&VcpEhATvzp+c%K0NOc+IO8Th{2_#U6tL6N8`;DO z8CY%bAMsN?dI15cosrepRBFtm%(%Ze`u2N-%iWNkF6~n9`1nYKhyrf5n{sh3nZ#d}F&+&ecRRR&gv7=Ev z&61E+kU6TP=b1fcZ2`kW@Kx(1)<)qqqkE$6+}{uC$>OCpV~5WE&DI^iZc_@%Pd`;s z2KDg5R1x<%CA`Pai&wy$5AX&mF6bCbQ%+3iI~fJw9n+)pTmv{_f9M>|E`ebg;(%TQ zcp@)g$su4%6rOrbBgNj|&yFO24~)psIP2hv8JH`YjDO}KI}SJ4@LqOsqVNhl8Nv6+ z?jV|;v=29pq01});!aR4x@?)6Ey~C3hK+{A4LgdXy^ML>t(HAjU{6VfUwrWO85Gvf zIas`i(jvq^t81)pizuUv50(Wo_VPCSWHcX2iiZmsLbB|tN;T|?$dbkqkI!UTI}373 zFck7-a=}Fg?N1dJKlbnQuS5I7)kfN$SVlD)xQ@q0y&Vg>D$x~GqCo8r`G)2ALBC-m z@lku!u1K^K#zj+SzAamWOkkE_63A@!8T{aiL~X%^kb;FmBQ?55EbgsmzxNB7lnjL; zKAT^(0|tzav4YH4Q$aB--{wTqjD0~w=`0JW(LRB?F^fA9C$>OfednaaSqP)z^&1WB zEi8W$PgouV?|b29(0vTZ_++v88%?tTrnJXD;m^9Y+5|w{ockf9pNXSDyy~#H$v@_rEd-^t z+uj&nKt<(>k!$YKZ6HToJ*1+%1}NRx2KFwtbNkupJCMs(?`n6pMs1y~v0pao3Y5x* zAK0T}I^8-m*DkJI2Nt}L=-n0qpt-2m|F8Z|Vw$=BI4u{Qp@a=I3{>0<~f^PrDP;(Bbwk;$by zdM~yCIB`yc0q@mD9}C#*Vv^q32JSxK>#m-n}~Bs|nAjy7#frohbIuP!LMaKB$L5 z=hg$!?fCS5m7Q2P)gpuq=t^SP5}Z?TPgyJLB&3ZN41%Z<7MMH$Tk7Gc379(7#YKVm zCgN_1YmdA=j#$MWGB|8e)lzjI*G_jWsrpr0^ve9eM82YR{d zCU;-yczXD(@@`A7`*Y=e8GLS!yz>p{`E0!t0D1wkMS!Lte*l}qr(5-XJ*St{;cm+at{gY8UCqeN|=NR4Un1O!-6Kq^_DOe0XCknZucwBUJNS0 zY*7Py?pGCm!DZI8$Blqv1pN6(1x%CqE0e%v2?QG%1;i-*&1Ha`K)6p7-gtvqFTrK& zUwrf9;ZMwDQ^zH!?>Hs$xSBxM`Fg7fSju$`?AbyWcdr_LR@V&@u>~x52!EsTrb5VF zlL|vp0}y^jA+J5YbE<_PXmP^@N?xNv975lhwuz8j;pp{`wdH`}d&j6(@SP3&Qyos)q%*YgLNq?@8-{BVdSLTs-U^f8WW# z60T%xf!ICK@0LbjLk&GdaHI&@LN{{Fp=VIeVVkm~3lqFnu8jfX)>PlJ+Q-vihes7~ z_c+;RT^gxGe~0Te`ifnBI*E+}gu4DA*E8!MdgB9aU3~EafBG3hMaNsiHbJ%0BIWE; zrV@&tMS$0iCv)@lC@{1eFta! zZ;<%T2x!wfbpn)Krcinh>13)AP)NLvS6&+WMBE^G660!d7N-3zYzlal2MGp5v?m4L zPZ!uO%G|5crT2ez^*p1^0U@=l&07+%zO&AWg2uB^l=c?d0qAX(cWl^@(bCNU+LEf~nqWXnk_tFijc?IGgXJqvRvpsD_GV&C(-LGCi#27OHp15=50s) zE5%wm+p-tpk?Khx72|)g?7mClxMp4RJGgRso7ytm^wZPcyz8fLC)lAfS!I7eTdm&f zZ;^##b||c#P;;lNyn*4ygo?B=^lFPgs!MLsB{pkgF0P%9r;d!V*X`k*Hrhm|05Gt? zHF!+Z(O#V;ieq+4o9N^LmX6V^ZMFCN1+&jLv35WA&k5!aZnR@w&|pZ$9a&7z5qni= zD^}ibi%5hGoMIs~DSH$_6lk{SL}-MQWxSFrX31m$gkxYVaHsvsgeMhCyU{k`cw0bI z+0}E#Y{nsO<5A!&cA>LdRCBsb^jC>3to=UYnngm%ThD;sUe&WN{=tYfzX$i9nZ)zA zSI6wBc%24%h@+{7yJc9oiYqB1z3=693XlOHY-Q%))TFm!ay{S}ueq7kGoC_*y}T;k zrE!n}YqYHqsuEbU;33$u4g)?@EwKz`ApqzTZUA1u)WK0>lRp+6C@ntterqHZ&)Xw} z$7NHUxI>f->psRa3ZS)jwAiRWaf~H9ffl#;7~zInQ(L_0othrqNc>wczpG81f(F*~ z5a@TB1>=wfw1syK96#nxYhL>6@J1#$%>I;LI_!A`>(Rge zUF-Bj3{u9LdVVUhvIQ(2I77q=5U8mz6*bzRjN|3J9c=W%^6CvbwR_uS+__yfV^-C4 z+AT`fB9?U{ms_OSCW?U6IJN}5~ndoxclwHG?D!QI^xLNv=_uT9$;4@@-}$W!O5v-!&E%J-uf}Uy*i@O zL|NoEs$kUKn4N9=afEi>td6npjq?a(-aVLWn(7eC>v7P6tSUtUdsbOa%>}GcXE&AT zUaz1Ce5tiq%dpU7DHn|$@WK&cRWRqn~bEn)8z;~rwnaE zmV39FyP}PLGj>ZDqy4#fbV_GGqNIbe8^AU4Q-Qi&Wm)5y{hLj`ontTOXfD=I&OSzk zFaof1qDqv>6I+I7j&1fvKM>`=@X^-=HFhtfhs)`y={R6G=3;`doLM$n_j%S4OS7U~ zT}hezf#LSCI8>`ENmJqrWmz7cBIp4X8<-rIF7(fJi&9Z zIt(P@511KKSw@**1qhgyF)==;&NI86s!o9i&9h5|hd`((dn%?85l{!lTx!@7+>|NB z_WA?G_0i0;ddBwN@weVk#5!x)n+gea9 za7l4vG81J`RCx(g0{}7=6W0dHDjO5l6qr{_I}ICCM^$X3+Zu}ol&z(mI^u-X7DPKHMn0}Xr`g8+IaBfA4YnjTOfG3P{M%a$qw zt&q2OB9FKJ!y$0E1uqC&?lz_Ta>@0}2xM!(c*D2fUdn@@1QtCgQPWX)8=;?8KB$+l zD=Zgi3$2u;0+O(-ymn|F1A_>5MxppyAe9c%XEjht0S(9Y-6P+PbkNRNHB-Fu%4mYu z00k?cwoc%MtBlC4C_B}3BaPXpiYDG*k01>yIm%Fyy=4br8E;$yd10U;qu9~}$%nCf z7Zrlha|^^B2imzV5+tAXb}c!WH)kFYN`t=F9{ zwzGSsU4}Vm{&X4NZbRG4rn3)vV|%=Ny$8K#IY<1end8WNZH9l$HR~wdvtoildKhm?}TXN0kqr z{lovKI9h*VUVEF>$PRY&vg*B0=;>ppx4Ao>v)a5c`w?X(O2ygebTx24;-AQ7Z}I>8 z0smP>(bJ_({*CSzV)rLh#~-cZ=-kzg_Xa9!CJOO}sh~Y#s{Sr5Gve*UBAD5FoW){; zLLcB+OF6 zJiF-RxlqjBrNnsp15n~-_m6-1nV>$Cc}We+tFxcdw-9Aj|AiaNXB7+Nwe`}hvu>hv zJo}J)Y_fDyOuSmto2i>(aKHPMW*MM%Oygx8So`1H$JBca@X?2BLm-ceutM*Sb@~aw zJucG2ZEv^looQiEz%wK~Q&7kIH)-&XBYSE?Z?9A9N$cHjJ{l8nmARLiJ;Yb6#JP_nb0xQx%^GvH= zTqiuIK&mE-Z)d~JioWbWBL>5K^ z!h*T*j?l1OTL1HI{-I4x$Gc-;D3cmK{YbrR9lx{ib}2mvgB7z@SBJto7Xsoe`N264 zRB?CqxoD67dR9fWDEW*Ek%}>ecN(@-6KRSfKra}6Hn4#|t?^caaMQ!YKWb1wH|_0> zfTeiH!)J{EutI#GF~mDcENWWtGYv2{FF2sYastPG|8@hwRRDln{J;mn(B!?MGB03% z)W^n3{Y)gr00t_qH!guq28MIV&1UXy#~lGCdyL}r?%>1Fns!X*H6Wj zTLp!r!vPqR5MSg3gpO;2r;0G^<)HbeOt|nQ-Oro{`YX&H9|En-!|Of1L*y-pEwQSC z4{NY8f!PJ2Ot4zvAyJ0X@T^_9f76(~@m8j#)B{0%ZIG$W=&F~BG6z{4IL+Leskr84Qi}$EU=PvCT_ zjD&=CG1ei8J0@;4ZP7mBHKRNXrg^P;L*Yg{U$7T{@i&grgz@5tGyeSU>`eW6E~ZD; z0*h9hg)di~EE==GE4;i!9XD4KDO$X-4K_+_;Yke$j~$TMhoMM(GEZ48rJTM-!~7+*{GMMg86!Jw=-Vb zeV{O2+|7H^XKn+QtRm;reC6Ue!HL7Ey-^gLqD2G*6NE_*R^lV>{@WBD*#4FdxBpN8 z{?42#IG|q|wo&xn8^`pFe5J+VUHyigM;`@H1Ohcb&b3A4JS@qZ9?oL?mq&*ytD0xT z)2tM1@W3_ddBH4iBDney;PKOUrN{3=(QnV;6YukPJ4}s50)J0z-h%if9A}*%_|_bP z2U*Oq2hWNHSB%h291NmA6;5R>%>ZRr0zANC%fSkx8#=6=sH92|X7`h*s6p9x-tj9b z-YuTDnR~R)tYb!I3tA?fgSS~Dj`D7bQHo+?3KU~XS5k}|rks)JMixcYgSYLB9+ous zF^}v{Fe$Yw2rw6w?u+O{di`o z-FS#F8FTi>CI;R_<}Z}3{2w>_bN#>jPcOXtEm!>a8*5!DD5Ec5#T}+UAA_} zjS@G$n>WFJ$h0>3BM;_Aqtj*J2{!$=7un$jTDj{;Tm-C{)-{Q2iLyS(e!gDatDBwN zlK>ig>_kWVl^Sg;&;h@rjvd&%7mwU{S@8Lek>Hrtrd-yE8pNAM?+!}YSPw;SeDS0I zD|~MeAnTZRf*=N33r4=kxR_;G6!RjqwU9Za#)3V>wxlv@Km0w`3tMYt3w_?RR+JmIVpB;kv}Tutc`*(iwbp;yAqG4L}gYy3Iz6 zhM0Zn*#myfj!gc=xBR!FwZJFY0=^p4$)t~94xjKNDsTEjBPV=Sw5*Y;;VsrEHkA{K zIEOK}!+W+s{p>e`=8_?ux51j^yEF2ZTy+Bch8Jde_mkDuMuN#2_H4wud;UPl;*F-0 z0qwC_{j$vTSf+V3cOlqWE*%b-Ll?I_x8EPXPw^2h%r&psm3=_MO*t#F+9)0WaP6kE z<-#U!zJ6D1I#j5trPFZ)3|n^CueWF2a;;1hL3TC8XHo_T%-&yWL0ap+BbG)Kg~j3H0W1>glh$1&)I$Asv%3gD1_X8r?OtM}iu zsurAn!v1lU^{UkqJ}MF4uD+s&=yFctDbJe)?>@3GJ6kUj;gy|^I&`FB@yf!fwTDI1 z$cK*b389YmwjKeP+f^`E_gwb`hBtX^!E%M;ZP z*dy6mXAR4v+!o$DQxoyeZ}Q?#O|{L3^x92)#Ym%!ZOvzfWQ9@vU^`pT5BfXX#l3pW zo(30~t(T9z(M;=;F0W}j<|d*}EatL&TRmBD`8$;?!-T;WL#xeVv~dfoyj#J~j~yrx zp?R_%%NyyaRW`mQx2D*5tQ4J&-RkDedJEQ}=&6_fC4XK7fJktf@tN`BsRccRbK(>& zUUAoXVnYe0sX6UV1K=@WE!{N#!5?G!3`nqr?>L#F_8mRq6G69Ei?M3BEf;H3!j#xX zV299&ffv{)FhE43fgP1@Mk$C;v#e)+W{7=CCu%oSdW27irRh6{m*$e{0D#B>@iwjGDD~&Z?-EvJMCH zH{QUeV4C;SvyLjoYyEUK?Zv!@7|+_ZnerC(w)?Tvgxr-=de%0mDv`Tj00f@yjEco# zv<~c-*4az~27sf5Zx2q82Z;ot!jIyLUn6in0r6G|!RKua4rdeF2*hL}%jy6=QOIG> zDrFCju9NugPk;?E&OU66oTSeCPIz^x?KuEAa=oByZE5rjg9y3Z< zO?d#qM6}-Gv5!PhEI10Y&n$C>CZr0ZFw|gF!64|1#rFsrvtBiK$QOB81QCJIV8&zp z=r_MBo&l&AV8d87dkW0IQJ=Angq~AjfMr&xQ*q=@2Vg4A6!thYh@QD3d`dba(h#YayR<0#O9 zmD%Erm=svgG=*v6ZNuso;K>7O!zO|cs9OD*4b9XvtkS$$cz(~e@b~pG|LL_WY8tO9yRHD_Q~V!OIKUL7Ff!pPuzm1K!s^(PVcXuY4#ecg5#PTA7LC}PX@>>(mTYDf z^5)|pXR6wXm;rk&PXop=#iin4gnguxI$lOVK!q@1(W&=(sW_rkgQnuGVO7{q$lE)k z4uE%uSH{X|R;Gd)mI_&5JQioSrW?@>1@-|`U;4)C8I`@wQN@hQfw&1(VxuHs7)e{!#vI@_{(x+iQl+sxx|tmjhrZ9m zsWE%Bpq<6h4QS7Fkyeh{B&&ivnpBO22J0=R;voF6&!;fN@ZM-+S_qRpU5HTS7}>27 z0fOJk2W8M8LZc$81i~&wqaf;7)<&Ss=3=ZfLv8FzBS=kzaqw9*^lbg<;>?lCjC<$$ z@V0kl&0GL7H+5vRzP5Rg60`9p?{H{uU_Gi& z1`#0Slb_wt-h97jkR8bkpq}<_&XM#3GxM9so!#(kv@4oBrf$x~8;gZ^dnZ3!dcN(Q z`7id{w65u=*Vl_?yx@)OD47qOexhC)b^U)$NMmP&7q7jOD!Or#*Ze}f$MXrwf7_Al znYB~Vhct$~o&AT=$h#D;N$vI~t89nt_ZZpDZ1v7kb65LqM^4sv>FL^3)NaQF=T=n> zGdIhn8J)t{&3W43wy0ygr*T(%%-!r~59V-|=O~Mh^(qAvoL%tlm}&dBmq1*7bS<83 ztGUk>+v;x>*XxgLE~Vzb5TDia&*;fdg6T}G^~S5aaS$pj2wAZ4!`pqV)*gPZ>_`GL zW_R2y(TJZE{BZYLq7mzBC0Hv-c|vkFi4c=`NOG=ck=O?%>oqO!e^(sYpqEq*lY!Gk zC!-!HSxL*EwbsLBVjSZ@`|@vrSDdS3Nx5W;O6$Qd`O%uGnY3>S9)03F2mss_cy~No z-(~F^o)+-zhi^^Z>K=VB2-)5vpYMFe`~6n^699z2P=Dm6*n4WK{)@cWJ;m}P+BXa~ z^OfEIuP50yJSXtvSEeXqxJ>Nf{{*YMZFo=YJrPSBC1B7UL47(9$kCGEvgr3e1UxzX zo{o7Y*i#)^x8Q;^^&%XJNMu&8ICB(COdjx1l)P+SvcCVn0!{jld%nE9{q8q6|Fryz zo9-8EG8onywzp!v{>71RJfrIifqLqQ%eMjVTuU>eO19uu%b)cKG(913C~(9h4|_9v z{PzJAt?@>NztF1;V$fR9~P_*1-YKMe?oD2joKj}M)Cr2dIY5h*tTZuSk2q&z|Z zhEIQ`UI@ld=!C6+ch~D*nF2r-sFmgt?N}N~6Eyc{0a1+L#2;3?-_2{(d@qj__q*eQ z1Pc0tU(0tH54-$eIprPrIQixy-m=M~Q}0}(8U4W3LGqR1~ig+q5R*vy+V3RKuohCZc2| zM<+A8Hf1SeC)t>yoh&n(6YuX907{mR=_S>l0D8$E5nNzu=knHVeJK2@VU5xVvSLIMKJ=%#$! z0ou@H2-Wc~|M1G(Bvszu1ABYQlX^~v=At_64YFc;J+rt4HzY`I}b@^Ll0T#HFfHA=V#CCN+RPb}SMaDd2EY6Q07N-P9>! zfZ}0BuI%or7eki~q@d12%Y)A%0|SBsPj$Mfq3ctJ3dG7knQ|)Ab32@r1KHG@+tG+B z#&&Z_JF&FnOsw#qY!5BOYT~cv4Zucxyfdz23*GeO!2Cd~;dokZ=J#OQKtu({TqU{` za!1tw9MllV1hozUF;j&RW*hc_1nx`sMF%|qRRAjOtjjP7Ld`IDNAlX&en$7^96W29 z=R_U>HGzpUZaB*z4l7eXiTmZ6m4m;K_XJBGWG>@#fCex96<1}&FB%%GVitP(i{REO ze=?xZ96-`pN`(+9wWBgA<#$!=GQubA!tx+S7eyw~;_ukEH3qhwb2R>wz<`9;o|8+1 z+?i&}Io)!OMUex%icO)KlXHyDl(|C>F$YL1c;pF3>tMYAW=>p6kWhfXP~y=iLcH4& zYmiG9bj6CTRU)QqXlpw*3#;=&><^hUeGPQ|0#?^^55J`!IRMCFFi-r89h(#=JUl@r zQ-Nbj+0bLknk#K^Wews|H9l=mO#8wj7B-<^uAj9}w+hcgfR?bJ7?N8SM{+%CO?KE? zya@POqa!4Oy-yb!koi-v$Z!sZ)yT~nZrs}`bJKGKo*h>bmES8|ufF|Qr@=Dq@PA%6 zCq~L_&UEusfX~Y`V(5?YIsI7ABgACVZKjI)M6I|mYLloox?7g5oN?tiuB@3MtzX1^ zl$L5FLjqoo(DX-KZu(MrX9)tY&rGGwrD|r+D?+dnrtBgQ{g2! zqL?}rBZ}ouUa~<%x%9uE1DQx$ftzmVVGLm=BQmN7#q$Sb05v7aX^0=W0v1WU05?!$JI6Z^`rz+U`&L zkKU#iQg!t>yFxsC#l7gO>PrFpg?`(#9~(GnnYjTnK6?$PkQ$6m+m*mhx2om6bN(RBWAKUv=TOhSMG- zZlJIU55Xv!(<^4*tqM~z-Iee`pfxPmLDW7^h(JjR$%1_zlWNK$-x76a>m0`8+v3xf zU2cxn(yoFhFi~4*sBpp2+2S9xzaE+O$8CF?GWcaM1=QKS9z1Y?z#;6(g%oaDZ(=3P z)a{zkRZm%Q(YzgBClKi%tJUUSWiE=KSoV9Dvm~1`v_tFxE?7~er8+znI^L5Is>1#P zJ9yGImKUITZS9^WJ(Iy!w&IT(1t8XrIUQf~2%qZhjhecD2R1u+XgJW?7B^Z4%a1tW zNFgA|_L-m1cwFQZ)E3f>vA?#A(M=HmFO;|A5e-+4kUi*w%w`;u^oC1hlIATELr6HJ zL2#t4!!v|Y6k4`bCNDw#F@hj_if{m9VKQF4XceN_(bz4e+jFgAC13edr=)i zpJP5g%-_)kpU7@=E?A7Bp8vIwEW>!4BUw^@M&i=q=t?cxZ38}o zqMl~w%qm0@hdFhnrXB#8nbY=1tq`+Aqd%^Qvx72&vuZHP0T6vaw{1WY2w9&A5EpA}@X1%U>S6dJ#w1bmcm^Sbwhgy;&+S(La@b!Sp_y?dC?v#Ea#pk zTR+T20SHn90B>I#Bh#NG0ikKdbmW*Pl>91qNu+V+S)_rHAovu>G67r=dC_9Z0b+Y5 zr2(wOQB+9LR&dA@il1aA0SJ%TJNnI3SC4i{PQm0z5Fgxxc~VF*;lVHX{kgq*Zj08) zS%6k1GrUUBGK-QNveHyDF7O6KPA_u6n9P;RW~@`H8QGdgV2gDIc9+oHG_j(aU^nb} zPg{Lfq#F2=&ohE_!PQNzU&*7+j`L`{FblCnD*(b(zMBs!<=8F~<6MLyrz=MS*qf)V zOg(^0nlIZ+;#;m6k<&N*3!phsx6wl!0W-CjO2ma!&L+oDEbymf7>rRIiw=A%uhnR2 zenE9ok*$Ux^xJ108czrMVAXKwu#t+_Uip7gLifSgpk6%TVe z=oeujhX$c85j-!@Dr90Nz^`%<0Y+9pRZWQ`IHn-cW74twVbuE<{DXcb#vzWV(fpBS z zb4wLWi#qm)Oftla$;0j(j2+EgaCDq-!~px6>54yx0Dm2pZUH1N)?BS}{F$Gt|7elH zL=q7W&GC?XTfRsAi31fXiPn%h$z0p*U zNdQCrv`2N__=Jjv;J$_#K-X%gwarU$CX6s%D{185(?gpp=8T6c0K1Hk@T6TH+9hW7 z&d32XB%Bmcj@1?H27tqg9s&Gih07?8WwXarlL^sHfwCK7+1aGz&=+-I)0z=@aN3Ux zXcm|A6<9)#Me+WYd#yeSs-L@LW`e*D;CtF)GZp)I819Aa$Ru#kTr~A)hVlgMT`Rt2|y{TP%CWfTUhw2WN6J1LHeT1MKbSpITPi+il5V^Dpm}6vMZG$8 zysw-cD*tONI48aSADKB!4oT3f2u~TxhDZHqDSpKUP(3(61oU8}U_FK`DIjZY=!^Fd z@X|*(O>%EUlDff06GaEh-A|fdamu3$?w!%XdHdxSNaN)Q_>GIt*G8?r zH=z5^oVQ-6T?I8iv-dWu(`a3>KKsX;9x^{>=N{NTt3t&Z6q(?)3ymoLo%;sPAPbYV zcRJ7X2BH(rO6K1mSLqeii{>IPss_hRd?;}ntqm*81S{?Xj!VS*a05t{&{lY7HQ)eE)80{QI6 zNAmky&s^L~&d*1jTVbg6y(2SUx-JvTAZ z_pIJ)g`0tZwv$rxrEgA#w}6vK_L1H3eC`Fm5hq~hKs$X68t|V5>F1)!Z#tYy zGS%@Mvt2s?bm#jYIX?TY?DL(e%f;nv`@{A8V*v!n0r$0!4HUl|4?KTa5LTdtYqtGd zl#(=l0>ysBe>n>yGfvRHv9u)RY{M(;v@gXbD5L8NWVr7ekipzXTT_>t?$oo@SF$h) zpLB^cKM^1FZVBMfeb@5_Ui+9WcioXyXZL_d5(K_*o&4MW7k+9Bf07RJOO2u#%yj2l zS@?tJO{iv5cR@1-dhHDmOa+JU(H*Bu4~B>;gD)1BeufH$lk?!e7*sKlJW?)w}Ndt6N)GaRs!3;`g*EBmMVjzX z(ejIZqSYpoTblO4lLjf2@M^hkyV=%{IdUkEFeT%57+(bj96)2hC9H#%MdB&`QjfrS zz3RC%Duz&sn1tbH>=clu{iM5AO%P}n)3!0>iY!I+uyg&lzk}o(3s;u_mMiZG;diP7 zx6z;#5$%4HUTzOOkzFa0>XYE_%G6y>yOz`AxSBg(o}$OV?Om|aPC>ZAF8K3+{+ycp zD*`8NLZ&p3Z2FUon^8=|>{SB_pu{?put2HdRx1S<&NHfp3usvYdBj##1$uV1v+Teg z-5>1x4pn&41@VGB`_+&eqIV-<_kTF88rlg*%goH-U+Gmx$ZRnOC336z)Z~+rpCQuj z0T$CTL2bJ};nVMvb?i*m#So+)`nCsGGvfY%|`*x76h>`b_R z#~*T1{>id=*6r#@rC%iopBVA`@iX``Jr80uzzOV}-BwSrfd6l9B`~7>SR-wnBqCNSjGSV+fa^*th@${S3@@<3B>PdzL|;zFuw;(M&j)R99*nz;u| zYI6}4!*w#e>~6OTFLb6lNsZD&tpLI@`CwPv0sSl^-q{}vMfffW!M+lvntIjvx1X4x z!SW(PQ;x?`JB*cc#@TEK$1L@Mu+V6xsMOG8y?ELMm<31V>Kzy=cD0^sDT5^#cu>cH zd^~_u5^9X(qrDW)c>hF8`#Q#zGZn(#a!*9z4o?q#_f%(`%khw+I{)?$D@S~U-H3A2 zxD~RskBmeUvsXHb$nL0J1J)t8f=X%q9!V2tIk8b+oQhfEX@9JChD{ZE>P!+vZ(q*7 z4eNo7O+@aq{cF3EefDj48)z6jC{bFx?tuSM^!3(nq5&Tn;X?coVUew|q#_}Ln+#@M zy_v?)8KT4D_Ez_~*EWB22B?%s0#YvMm1(mKG+@UGA9Ec}l>^5FMFmS{;WDFQ6tLv! zIVmufYwk%O>zyOHkdCGV;$?Hk*)q*WL?I+NBEM)8+KgDs!kU%bra4T?8B(88ga@w- z1H6aV+Xha}ru{D*`4Q06r`OTcrxP^wgQM>V?_4+;f5?AYz2WqkziYeiCn@%a|6r9> z?mq#hN+D}-=sF7ej_?ho+@O>-NR}1zcSryE@X*!Xjo*N5I&@VfKX3hhEcI#iL#pzh zD&#-@9@T#nBD*gsW#x?x+U`F(CB*=u5f$~#=H9b@MU{Dh!JWT9*7 z7krpTL(Ch$>FTaFAS9=8_1zDSB{#g`n*a*Duy5Id@caaVl|OF23QU^UU(}&OS?q*( zMTO5^`tc986a+IIHqnAQ`h=Z3?4MAt>|esjtoN*yK4HGtg~fs6y*OHu)AmS`oOU7{ z+f^L$55OB!mpxU<>%-BcPw-(oI8NlL^&$qR2_GO$Ud$MUZP!5(nPd55>YzP*+FooB zKD5^xZw23l+jH8k_x!F|@1F6-9jBMCJYII|&gXpP?Y3i=&CCAij~sI-RegMK+V_MK`tN|_A^Hui(Vvuuh%AbP4m()lQphRfGB7Qtv4 zzs1zO-s7Ho)-iMb8Xbp^ZYz(Q{ibc-4;n8NWCD>>1CThzA0D__crWEk@sr zJy`oF>vXVm9-p@EH1qo?Y2nb_p@f+1?q?wb3+{bT?Wy z$6Gyr@w9($xzD)*{;eUl@-ZMg;)egt&jRbuM!##aLko-$SNAKBd}>PVBxdfo6QR5+ z`W0V~dixy}IS}LyOnWMJMrT_tCyKZ!<$Hj+_NYS??oJ*E8pSz9VW{=!fsjoU+?!l? z*)jJ=s+m%~<`^k7!3;vIg)+Ib+I_p96B%cJDjotdmEqOB*Sm5265zu7NF2E(1p1A8 zc5yT4eIC9CTbz&Dr_DGQ9KXtzeZm_h+vThqcF&YDA01W){VO$ix6cQv2AQX^474(S z(acSej?^T#Wxf}OeIk&ZXP^e(%`9qUNFZ_2 z8YXriCD`EttQ6ax9{!4xd3>O&wwA)jysBBw`=-|)Z(Ss$&kAU#DZunWb3E&fm^+;N zpgfg_Q#mg(A2MI8rI;9OhGU0)cHHm#-P5*to6&W^rf>i0@=5DhkTXIcZgr>?0>ZN8 zJ!Kt=d!8HD&)D1jLs9S`>4YCKxBHR*i23a9^7wg=w43Gq5Bcgt=YCuxy<)V)YLAY4 zmW+Y1Ak(uK9lMz2J_%+LxfT@=dXIDQKEsTV|dFY-yTB)8xgb*+> zsbiZg5oV~CWRY1RzsJ_h7A%Frcu<3i-P9}T674l-;&*&Os1G1fTBaK*UBF8XIw8|6 zx@|9%93VFqAy~326@4Ma(v`Icqm37JyK=Dv%tGLE`a)i1#4=&Y(|qlMr+nzyX>Al; zE}3{>hXZIUQ+pQr+U?#2j|>3dR^J=o+?LVQDLg6~t|}80BqZ3~-Z^lJy`R`LFp5$8q9fbc%hazQl-K~T^ zZn*TZmI}Z3_c}0fa1jEEy2^Rw!0DLQo_Z)XpFU;w!;E{M>u>v~UMVy(1AF~&7dt9n zhnShV#j$t#r+n>7Yq#k#mvGSy1Oz$A@Cj8HL-B<;qS9tab)8MX$TF~qhzmJd@X_vw z=R)@H&k#d=G7@Nn`L@PEk z*0SRcrkZbF-8L)JoSUivo` zjyp9pudQbYEA~hyz+Na6 zvLM=-5dSIjBhA`i*WDhy8fyi-yXZuM37jMAQ@r zK^E{+tTB^>S+HPJxN;bCAHIsbf9xXJRCK%Woku@F9tR#=)u9v92dYwJEPuw@{fby18uo&am$990?tb6G-)_gxiB&Wu4Mov>|x1c=qYRxTo27 zm~nwg4-Hp&QA%=aBIY>f%z}FDgP&uR9HmEv-S(YK6iop(8=0wEWv}TJvVmUlwrvro zPu$g`itc;jSIF6$GsBx;q_~KBPLqoze z%$e}o%73^(a8am_PuAKGY^2Ezt{LbKaN4hO2A;GY-u*Vy|-*3J9Kf10hYx%j>Z4cVW0S6R?xnH#iwGZvlS$Tm#vx^mfViw0+(VcWho#*kl+VSNqIh%4H z%Ri-NIfu{gHk|roaO=Q+*Q}1%hgz@Y-O|YLju*U<+eb&N?hAf!alkuvabdP~hgsTd zog8_@@g8+%FP?PmQhDT^>Vx(n=Zy8qI|oOqWiM)nWxsp>-kI8Hqt}Jcn%kR4)s6Nr z?6Hw|m(3x}2W3;TtuF7jpP7nPz0t~vJ@+hZRg>nI^Cy&>JKkGpEacK{9M%`S(%#|Q zj`to_%;rPpTN0eKp&IbGp_+oER$GqHxe`njI5ihT^C3F^=&h{q5L4lWvU44nZ4pYz zNC4WBTkfTj%QhCQlpFpuWdArbBuvs*^+(M8YQDw$stT-hsrgIgAA-Z?>`UOQYllt? z@3p#y*$Ru5hri()G2l77=FRq2+1yZyBJBJ5O1jS0RRbDPU?)2( zx48RWb{g@a;LI#&<(EGcz)amSIrOgJQ+uk1m(T7wq!a{A@(&KipmyK!%WwBy<|yjU z**(x<=LN0X1>oz7#IQ0Oe0m!fa=G4=Kk-takd=-I~AeJDiYi{_G7xgrcfJHtrn?g6SSWKm=lxBN>#r2~t^DrGb9}z?q1o2xOurPr>`eZ} zj^*9nxe<5h-Q8k(;5(M-3@-0kCC5Xzu#ghmklcr`B9%Kru7V)}27#SGbV*YH-*bTV z`_UHOuz_s}pk943Tpk=C%j6!?Mf&?j)1YLNHFwA~lA(Zq`|G+U`2;wb=P3t&$;{x$ko{YOIXzR;ht_o|4p6E+7ZJuNQk~)mimIJo^=NrQO|{|F?7HGWL6F7;PfLJSZ`Po zCbGK21;F&kXPSM|_37Uy0mng>Ioru{zxMk-2DQ3GA}XP&5;A00z+2-gIWbr1b=!eT zyOa=c-F+7=?-o}Gt={0WyOII8t{$0lqV|xfUkR6Y(=G1{_AxHMMUT%F2bTBL)f4W% z>v>4Yu{t~W$cTml=nM%rx#QAP-68jk)IQbchLFSu%UKQbD#7kLxNlTl*&~@$-6%dg zs5Nn0``XaUEf^lx55N0@70RhinVD}E8%j?5$nq_2nNE4R z^$keCjvo~e^xAw57`T1ig*=?zAE1t37}MJ`4-YPzK+??jY0p87nkKACpjYo=?vB*6CZvRmBE;r5qD`J8-|$Q4NAzmn8(5fpzXNMMJD{s# z8nSnBg`NVr(|H_!*EmaL~XRLX}?MSEQf|#ZX%UNNv zR?fR1>j1a_z44B;TQQf}7Lhst`W5&yx()@QN4L!SLP~bq9pDZ?Wo-y?(RibGb`K7P zc2JuO`1!Q^gsUNrNCgCZ5+j_=W{jVE-;d|sQ*tn9cIO?Ka368aet{FYc7Wn96@hdA z%GSdk$w2ex?H5SIb!(Ya;ZC-`aAy?Nm(9#$cM)LYwt5{G+UdScObxrh2)LOr8dPEI zOvXD(CV0_Msx!*;0!TE_pidm{7~ zM~!Rm`c7qQlhyHpJ>lVNq+m=2mPXE!BLCPd)K}|aH?SXaXH}79Zo)0!mM%t{u9Ol) zw~{P_(ax6dny>0^ZU(Fej%?IyEI@;eBj1h5GuntE!FA7)1yr2+Cltu8Dx|>Jn|yRP z?Wnq2dj&KbdzT;o6VZ#T0 z%DU~PnHwi&1zDc?epvV2>u7BGyOaK#z~N7<7c;sZ%>M($Jd#U}Nq;$5!4WaR0o6Tl;QEgLAV}@T3y_O#b*x1~osbush~%`=u3j;)WtqZ?SWh+;T$c$AuHcSi z80gr-Y?Nhx%bW17*v)W>yLi#Q_Hj>2`u>+(#mC?OW|IvX7JGs)SKJi8ut)oWS0;V! zSAr`+L#cxUqp7gp2n&;~A|Oky?B@vD9M9ILFE&P_)AlD*Jz&>edpUJi)$`M}m9QQh z(4SRoz@OQ6Pn4Srig{zZ?+j)4c}uG!d5M>DMpFy=s9twfo?Qcp1mV!ay^NaKC(S;r z*U&7xL(kW~(IR+!FM31SS6U4fElx%M z`^PiJ)t$t~6SUgQVhd{iWl{si#lta^J1*9&&V5$rv#?nPC*!EUq;`2ROj&|cF|!wY z7E$A{gEGGPVE%<#S?WxpaBOvA5FqZG-O~;f*O>etY2Fh!( zb2r4jU|zQDLL(3mb1_o%RW<@$qJD1m!50*ZYy~RsbZs6w*s6|~2JQ}I!SJ|~vqCol zOmy(WL1iNQ-A->Y^-gz}Jw6-Uc@aNLzqkb)eQCg)s@w7WeouH$319xvCk_lzP~0sxHe_7?#M++DtHm%gb+JYY#SXxD!E{BC^pZ$D_WB*Q4DpHybUen3{@4zoB%0e^l~QO11l*S@PV} zWrnP~U>cd*z5ad#eqpwE^6k&Qob(#t`1k(cM?Ew5VoTZiBP(&yGQzsD>T?PRg_$D< zXM@8&bgw&2>p57*-ddV&nXbxh^@(Hlq8)=~y8hKTO2wntOUDAvttGjtA`A@? z^edJ`!9Kzn*(%-z(4Go8thy7}_EU~M;HD(6=E4%l&O-Kh>w@Jsvt*t*E^4tfp@bm4^q zR|99+WHK!1z3x}zZDn_~5t;s>79b!8^=9S*Vw*X^e7AM%VtvG4H2c2IV=S zM)!E`{s{VCY{JsM*AI{P%0eM8ee+@#&L-RV{b(Ftj{o_%RX=n4_!&s={^;kwmAac_ zEwUr_LBG)hY?@R19raE!qH)e-C)Hmv5exgnZ@=GqJo}d>06y6KBKB>TI| z{q3&Zi%*s8TP>5|Nw@mHP|`?qb~MwuXqWQ0`!3!OT<4w7LUY~jnXq&gwmqG{$oAGB zor|Ce$&6GxJ$k&vt$h-%c2cFs@*(ICNHrCQ?^F9cDdk`V2T#NG=|!a*y$=ZUU#Xbb zd8S@l2a}i6cbZu*%IbXw8AO$i9&w&hLunU0pbee@5+iOl(ZjK3`b}h~ZG8LfZ-EzfcWUPl?A5fJla$Dq zdZ^b-O^1oT+nmE3)Gqj@azJ$;PmK5ckR!1`Xm$+)S2PY~36<=U zKNByO-cz_7hEi=qE2uD!zXl7l4`A4gdR`A)icZ-3OwVqD7ayc);phm5*j(HBJ#9{D zG=l7fDF3jVtvx{T}R`9i-hxD4=iFB;#ZowBd}PeIeEkyQ7T#mH(QM8%NQ6sdb{ zv&PDblByV)eYa{6Eg=dlfUcP#B&k?7&H0v@#%6=Tqf{xqztzOfj>F93_!UzHy~}n8 zb*TYxXn@XzO-Q~%PCVB%3b+jrjHW(1X=!Wf;-S53NY zqIJsCv_2x`qV=?0Zb7FiZXDI`bJKPqGI4SMp^<3D;xL=gU|mqgg?ty^SbChUfgF;? zGnkG)Gn|6l-s*uCVgOcZUgW~+Jf{4xerGFk<1aj7Xgd_EiPEe3gsYHK@LGrN&gjFK za~Pzbp_@~9h~#|w(A9db8Tt@Q zL?g1kL*g(%WP22`ee zXBM(e0j91IYB+&3b!cX*7m-$1*SuyHpDo)pO}hY8?||WxDv_m#8;6v6JTgOV*WT{# zy*#{|TG1SbOR&@8xG>mCMd$#WGb)3obqfTa7`|Ns;_Pe92=7!A^TiMoPQcNo%k1^P z7jAaEir&lUl>r~8QXIV!l3O&=P^S?J!U^Xpf98=_Z^|Qquoo&aoK|a`Fg0B(PWd*0 zaVDzD*6?QWWYiJhITKI$1nZ==kqRjS6!nWr9lbdlxFZ_S1+G^6l3%~*!3|z9^HCHr zgEQa#&4(*3JP$?}M=EM$IJIMvF-Gg+C7AOvmpngWEZ`z>Le?%ag0rYV4w*6h z(9iz}u?1qzrG7`bsaMTkHD`wALK5SJ|2`Hrz4c}5iaY6>jpA%CzH^UvVs!L{*3_-J z@WG)6hTQwiizB52e_HFonr(Zed#PV{bBoWH8h7Sqm%P#g?!NLZ<{i$^l2iP9iy#%- zKM|BVzhu7>5a75we~`Fr-d{N59crESZuFrl{q*U=m0;dTI`Yro?k$o9lcrxyj()`@46As=tG(Bvpk^aGvlcsv#vMS!A+GqU# z*@*@ljrd!W?;pQ!@9|)?v0QyHH~Y!auP&#~ebN84ngUE4dLDb_ULRX3c(81g%EM&0 zaLv(g5RYEYm;BG=N33Uv4QPzsYF)nCT5^c4A>mI8pWkIF)-7TZ*U~W$i^W{@R*=n$@QaDYpMowa_t$Mo6M7D-;lFlox{tqOux4K zUTZAPtTFX!NWzDi)zXXRlH(~dd+x3)j#fJI$7i#nGbAej{dp1?cyN5OU$~y%9u7jp zJ-OZ4cik?39`)fn+=15~Go7K%6uT>3Rd07)psTo^8hW8) zHiG=~9rHZ_@UtkhdSaLb`zHI(UI!C@w z@IJ7j6Mevvhq4|?KDpsjpk_m0o?#U*b%AW2q5s_5f9#MFEcjV9LZ(;(g73BMnY+k= z1d$bQW@8Dm98v!VEpT2A2Ivo7^uN>tN1l1o8G5Z;1|sCT_R)Y~>mmJwv-%%h&j%iM zhD`fYu0~URn2NVTR`p9nH$eY{hjGv=lZTUr197_m869`u0w7dKDg{=Y>dH29%L@K^ ztG92{Di|^FUc0;Oo`?XgzGGR<?f4dV2ri{O;<>9S=R_;|%n0Sy%-Lvl`_rzQ5&#*u(+@>b~!*Ad#h&5mHL5j6`h-Sia=iIpgdgiByMzGz=V7Xhcy+8dzaM za|%=mU=%FNvQ|4_JM_LF*Js^#-0`E;2V%p#OaS{ts7(k*lcbs4%y~i(mhZDHux7LR z`|fP(OFjCM+P09Wc5OsbCOB?o#l6>_>QfKta{r{c1fB=h)|v{>x{w%GcH`=NB#Se^ zhZXGPNaVVYHb3dQa9Y`=!je`Koy>|O2hNgvkBkykgFK`@lvxXq-6bVZ=Sw~7ikSl2 zj`l}d>=iksN_~btmi(fr^^CcxHeEcBa{P?3ud%etJ?VS%D|=waJ_(Yz`jmUiAfl;; z?zd|-~&}>pNnA0H?@%=q|_|RX!g)^SOqrrQbxTM0{TuI+c)J`MP zB)O~{t+mvQ#B0+vYv%zH+egOs!I$p{B!`CrBD%IP>3_f-dcjxb^5E*x%LTpQPKDYd zZgs0WM7~{4$MUCTQ8>tmtZOI}I3*XxD7i&gWeh;r;qTqqobAB1dGO z38Jm$as7aKGc`Zs0qKlFG$L?Yu5M1hN^c#qBlM3IvNIJR2l%wre4wUI>k}QPI zMCb06ELMlTGj=!_(S<|+{uz=M0X4YEE1q*6$zCYY#215y0@G{t%+4TILtIGpu)KI8 z3w;|-fDiZ0hXCI8FL>{#yBd1~HeDXy3X#M2jTgHN zb_>bYn>|^~UQqrfJ>u&xwuQ)4K{i9pb>6PL!)578?X|xfE+iKhUN7_YTi1V!-t-RE z_8VSncdh{%+O^K?XL7X`M4XAC_!$yqO?tWG>YTOpH%Sptckbh?92kDSx-<~RbA*Mn zSyR0*bGUVzeZ=eP&rSw*=v_WTAQ@}+IBEKJTE$D=1#`r_%m%O5hvPOEHI<)zoEW{R zG}qeCo@i7(G&mcmJ7B`?C2J*nbbk>lq1lT@Py12VjGY$XP)9FyrsDcCaBARhdwj=- zzPSkk5SXg3z~jJYNY+y;MA_kyj=vg@a3K(9u|oil%cv_LpCE~hG6wK?JUG*eB^ znjjc5a!-RvRnl(gqHBP&0@%u-Z4^=*CK7(0jXH!cEz%^onID>J!V(Sv5F$<0ny6y9 zLuvd+F558ZYs6Ng>GrqGYya*KIVS>FsR%aLI^5Sxt)B6a@yeE{fvnSf%3<$EsW_u3 zhwZT=2kLREOq!#B)3n-+G61e;gd4X*85IxO6*=cFSQo6OlZA2D(K*oDU$FY1uSPvp z`TKwRb_hdt;1;#evp_=vq1RQY6KXo!(@Hh6k)84t*o$9?^m63Hsz2V+ zNm^jf1oz5m_kChbF;#W}7e)nDcAF+A0jnJjq+5lHHV#d4x3Mr5&U@M8su`t%2y|XI zd)i2CT*>K6ac-|~R=@e>tsiheWgRCB+aMPY3`|35Ura^eBJHe4o8nV`c(0`cV5l7V z1)<`A{NozQUGf1dGX@-=2=^O)fC7**eG!5ygctIp;W@6Nrhd$E>&~F+z{cruHg3v~ zFZiVu4^)o8*-x1$pU>oHN9z$m8_=)gln?s50GR*jkH2*gz(Wh^@R%WFQi+t!sr@g_t9$5a^>Y4)F=Qw5npDjJ2*lWGD&|2uI6! zF#Gi8rc+*KuU9g(Yp;x=wJBL9xs<@8e7Q?dBmUO;M#p9iIBmY>6okboeGHmL4`~P_ zIgw2nn~GL@D*Dutvgty!IwQr5)>fJ+ zCZ|D2lhE@t?sc2c%ejlkCu68-v;1D5-&-y-)!>hbe~_k~atT7`I^ex#ima5>tl;cU z8pFF8p&Al$PZnI)^cXWEBfZ0X=}>)jOzzZNhqtI3Dp4C*dkQ8^)1lT){nM{)m23W= zy^_v&!>#YKeq{YGnyAUp3XyUBEuO{`r2)t~y+<2==&P9`-6J;zYoC7MZpsXKQk-#g z=0MKnMt79nrs+&hRHMzN-GqNHyYTaaQ04Q*HanK)hJiCeY8Yrp&|l@{rWHQ1;kBGl++8)-51 z)p=7%e@4)Kr5RB{^0Rt$s?W~TlOB=gC9}s&I#5Lcmt|1)k3Mg(&7~)ovRcNz&sm}z zZ|ppBs_n0Rh>WUQhR9lNzW3A=yp_Y(oF5NOP>b}LB&`fnPhHdU9D;Uz}pqu zNjw)chAvIIMXojx391>0XNb2e>4+_F5$%!4T65C&5qEC~ZMY&SO*YIOw?OOH#0Y0b^?StpLHJ_ z{R#J7xyKxL?&srsj(dmdFa6_h@m{~h*x!jqAM9R=N7IW@_VX7lXf{di{(#5Zs0oPKk~Qnv&<=G@vyo#yUha{gy;GJ@~Fso>~ZKykaiTbZ?XjJ@dY4R_#Q z4&!>ciyPr`r^kV}oa_~y**#)sOVPW{|JuDP3f8af?=VJR3hI~QXD-E=OIL&*mvJJCbe132?=X+zPXTzOiqaO=)#XI})$^VjzH)?^Py|LihaOt7IeQdqhM^0*C zA|5PUUPTY9xo|3|k}Ig+YfpDp^Ys}{V~Ubl<7t|(@*AEK_atA*eY~f8xVLlDUg-7P zM=tf-`Ea@uRXNv*IW6+ctAfp7aN5jjedcnc&>(zW`PnUNC1^$6tC&1Hw1Vj|buJwe z-#&4v7e?4|BJ)LL*du_*op8w)gpOQ)G*7NZ)242L!d7gn8 zr)Whod+7&S1l+{+vtfVYcr_2c->)3)#M}t5yH++mL@Os^&IyY9s?YTO$><(See#&~9^zu&EE>vD)m&ha1+U(=EsivTj$Y%HgkP}u zhb)$agI{J%k|e>>-YB}|_BDE!RGR;m;mliMF!N-a$40B1m0BU~xM+Njr&aV!5N`Hl zc*Er3a*viV32>~w=i#|Fd%@{WufIwn2*{(!6=Bsf6b3C~(hiS?{VL}5p+A3Xb-j zB;E}xXxik-d}BiJXTaMPiwuL8+BA;FOK(eG@si2Y|jI5iut7mWv`Dmf_f2c|ErGP&ADGPx*X z{W9qpDibsG(yR2w`+jF#{3oQnrk=UkC2sM^!^}8l9W%ay=HMAg?HnS<)_X+yaji!- zx+KFgOIsC(103m)H9788r!TidYb(d6Au&lk=rW#~Bq7mLDRjOJ&a!fCR4^iun1w?_e@7jDWgT_Z0hB-J|FUp?BV z3`Q{i^^q-W(yK{#@NHra)_3vn?EK-ObIjo$JzSFsyzzz51iaXo&2RJ()x!p&FV;$` z@FB6thBFZ|gOA9q(dtaSdbIn7LS)D!3Z7_&jtpYFI@4!RR}thy@6WSVn}{Pr@Qot+05of=56TsxDUZX)U_xAd4ZR* zk!4g^K6v&g9^{ag#XKpQxd&S;C2RLeX<`cUMS9XW-bL8uqKdJ0DP^Of$V^3@ zE25Mr@}?q?TZk2(sKvk!D6Jd+`WM&ll8UjGpuM!EC|M|znz7i4f$E_A5v}AI8_l6L zS~wB#Z%ie+w_mYjYD5uAW35!AnawIAk<{)Br&AmEQbERD3+Yi&-GFZ>8Saq*5^3>5 zdP=Nl8LPFIEb5G1ID<|(+NBp%*ppRzqx0wlmN~`@4Jz5qD>t6~d(keuq_+`2v@dNR8X`Iq*v{&Z8+0B zUGA0_`i7-T<_yvh){#BB{WJgWN0OnD8pt%5ayi71`C=5OTPW=gWiU2tTb$$pUU4%@ z#&xRJ;Syud=x;PYmw80O7q4hdjWv307OUw?{Z*Eo^!HanRvwGBOtjh28g)X)+^GGZ z>(W8eJ1QXxoEqt#R}8{>a%>-aU9oF;M^pz2HFtO}oTEN0S2Qc>2u6S#P%-lsiD2f6 z;ei}IShx8&Bg2?<1S_m8xY7Y(^87S^#Ibhmg}LN&CY*=lWS9jL6lWgs*`tfZM0gI# zJ_=UkiCFV!9?xk4A=x@8ZEYw#CKRS#=sDi}dzOnP6PpyTtJ8gvI%ID{@~CyjV4kSB zO49s9*L?aL>GknU78zN@4N2tn24#gwhN&jX5VbBV5jCEeEr!O%G?W%lw+fwzeSrdQ zA6>g$bnr$=QPR#tU5PxzVhpg((7m_*N%GMf)(jLooq*0h^6-CR!O?xYqDM*hu; zylG73n)OOhk6ynzU1yQ4T1P{f1)u+CTctzfl{AvMTl@hzT`ziF{Up~i7O#(`jEh%% zV-=9sjS8*ZRbe2c^W`g+{SiM_WpGfU@A}EqMjevQphM`^hu4ds$G(4kmNf@#OAo_j zRFb-jF|syYWRi4OXA)815pSvn#!jLy5_@^Ni)2_w`z${3yLN6umn9v+3M7*t5xBMC z#dhho?W1ohjq8#MI4n3D+M8-6-BX7xH6eo|3jqobvcy@yH*V6a2bvH z>5J#+*EKzoudVA78K@|Eq=+jPMxCWf3?QZ#tMiTj{$%o@C{t>~V~WA_UGt(Crj}ow z`4o54<0AcUoPJaY6W*I}SzHeXXdOmpygWiR{q5{MS@6V6x4ws+9JU*$BdyOBLtW0WOu{K>~fGNJ_w>$g$U$DyibsSaD{S<(^07 zv9>yF`{LwMlpUJZU?aL(pN=_YSgBaM_ZK&=a$fQpkf%FUB zQ5q$~!HcV8XESwI(V$`mDrv1X@^P>>Ug7*0A5RktJNv}ZqWkBZdGoM4CnyUR?^;`!&tEW`X!_;V(2}GoH!WAjh`Gd2G0Boy#b3zv_s%h1NnJ%}AS`SnsSaHfBS2lL ztxN^FCs`21Hq(oTL#Jv^d7wc|88~DcMaYM=hHZOTr5oo-*jucNgwAv;iI!lCzb-Ti z1<0*r|7H{!Ceec0JHGvvbsJ2E3AM&fY7UHGrO1wlK4FLw)J6nCh_1qLN5gQ8m z1NAEW0eMm9WN6q}U`KD2CH2!Ee(O!e06auXoJtOBdTMzkrU%=ggjMN@nEHwyqneD} zVYU^AXT!O940uX36yqWfs0adu9-xn>#^!^={rTG4m^zpwpk++KjhU0|1;~4Yl5s_*~HwK8a|sBtWN>Vndq?wm`5 z_452G6PGTP3q)-(`eEqN)}R5kk(4z$?;5JFXd#oNwbEv52c?^Fz zmpSatPX&y$$b52pvNCxUb_a*&oJMuZb5_O9V8>MxrQX?a7BNYgvj(VTJz8bujsWg> zz>Q~b<^80jH3@LE%(OSJYsDjD zSYSVZcpe7G4Yn3ek5z;4utz&Z2-nA3dJsL$4{Vo^+wOe5 z&+}VlX$}>iqcrRrdk<|4nRGaIJ>r;|^5zE)`x&M*w=)w&`s6_sy#j9OG#o}*)KpS5 z)(umP9a^axkP3lu>|r?ddP<4#ue~tO)kNH@9PLJQHxmQI`o=H+Gpw-Wa{*Ln+H|Sm zHJGSQ#4CZq5nz#8pfZsc)az!_GdEbh(Ip!)&mvU`4DNR4vF&n44^#nqRkRx74xsAm z9RQ67DHp3P4|)g&66Uue1CM@f%la0#LhG0r0IH11iu8ZG9#VpAiAAv{>#y#q`4Dx1 z=S7x0O5D&S$(l%dtIgs3v{!x46MdOCbVNN&n!?Dh^#ro2q4e;NtRkz_h_AdPcsSJE za#Jv{I{0GbkwE5TC_C;Bt2T;J)GO7InTn0W{5gzbRkF^k_oZwESR}mSm=%moBdiEj zeuf#5XEnp_TN{#!L9_c&SfPT|nz1S(_Y1$aZrUJrEOLltMxe*>^m}n6ie9V% ztN<(neIlrUjfq2O{n=DZRzdDUVh@j1Sb{h(Vl|(x%KDyXG}hUVJV@4A%%D{g!iWO} zS+#F`?6)_BQFiu-+{CP`{fD2Z9-x5ai-mz2$TCGU=?C2lk=qHD+|4`_z+$bN={icF z@g*E_!PK8uXTHov?`Vg47|M_Wyvc>q4QL#s5zOAgM(IZkMf6?@TOZg28Xy=>W`4`7 zFan9;fjyN-hHUj%L5w1}5NX4c{nmO7SbAC9=5S95dZj`%B?kwI`;c*<3*{wG55*>$ zsbx@ESRUzXFAZ;Wf`>90*JP0u3fhod(vY+3VKPl0dp5M!Xas8mfSHFR28ZKW-*BK4_^H_CoY?+{R32Qp&85 z3eZ;mRYJRNp^H(aR01k}`ZvCoe99Wm`i_zmH-P4yn|e}I0@N2w6|ug&WSL0BRL$qC z!*`)XJPO^|2qn{&Z^2a9m_N*-HTCDO9+{0}^k0Xmx5{E9lPY-@J2)1gFplU5b`v4> z!6>`&8^6B+aiD;h8Voabzc+7AFUtW=eLT*j4HSB)mBiLKl@uZB_pwT1Hm$x zfF7O7)&&o5VmVhmp{k}%4;yO&Erw%a5qa$C=o8@1l_DU|bghy%WMJ`P zCNEjwwMxD&2Ee6I^oOjdH|`nRsDmR4nJog(!5$Be)>w7m{_#qZ--&dJfQC#$ui-e# zVPnaXxGoqpAh8j}Z&YGOGu3}}O0u{!BAh=K_Tcxd&k+=b>@M)bAiwS8+jPhrpfYcN zYNImf02vn@yD|izopEUpLyU~YXiU_F$g1o++0BL4*qCf$(8SmcYxO~&AvJ9D@UL;r zt5fe+G9LN5%uU%=uJ;Mcx<)Q!0q9t-scuq_-~Q`$4G5c7#$=S;n%*$6_80(X zc(}Cni{d)+@ot0$d*opPABB#xOYd1n5+&MN9XkXi9UJzE?fu->-9vf(kN0c?1PAD@ zs`u`@Kfd>S?|c11cf|bszu8q8igM00VSHjo#mrpl*2+eJT^u((J0 z|M2%%RVig)M^#jGm#y{*Ss6UufBz1L7Mc`}urN_sYCN{%NzqLjQ>5LTDg0kgb#dy)}A zs;Yp!S&$JT0ROP4l2Nf9E1_zJyLNXL8YktU@|1noK`>K7z>7bnz9|kYpFZ-N&S*NO z9AiQj(g?Q+rV`Pl5XTIp+(pQ8eAO!AF{t?rrDuFBGK$g6VgnDW)ADS!##u1wK;S$E zzpo5debA@DL+I^2MNV7IKk%7%jW9io3mR_eHPRDM=A}zGDk&q4CNHWW!a?HG81Yd4 z3@aqmgZql$!seh`U9P2wIr7qx|j{hxZZIR8+|a-%VJ4 z_XHa{fTDeIyJofIN!UJOAmxfz=9;>UDrh0hhj-w5(rPlyb#Tebr*^1ikhT!mwant3 zd_y<~Itot0HR#!dlTyOn~pAe{Y6A%(^uSkcdVgf_Z@hvUR-15ejICIgx!aKo%r+*{&ZZ#YV6ea?7DjU_8dn@^G*ne=MaBDG1nVE|IFwu zjNYIDk%Vuhz_(f8Ss!K@jEq+Rda~k`iDsCP-$81Puj3uAv6?E0NkJIeuMc)-tFzQ` zG9_uk2uAQohsV>&CH)@2i|ha3;n6Js2RLOzl2xd&pNi^Lk~GGR770T@C@8*{s>9}{Z?Tq(- z)(|fZb0kaj49H0~f*FiI#PCCqi=Vi7K431b1s#9~|4xi8BKfD4Fa!(vLRIwuD7vAX3gX96gu+^qnIXO~9f! z1l4k<;q$_>P>C7JlcEDgizUs9=|rRhU?mPK&bT)R-N9>?Z6;WOh+lpb*85sQL5Rqd z7(hHC04j`F5s^LYBg2GQh3kKK^qtsDP!#^ms?T@X)N3je(n}|~HySAZ0dmc$W9>@_ zqrgHA*MNshIORP6q+IPbhq?BeVF@;~$UMvs=!3%u}k{Vbv9+lW2IfcerpmO+{}Mc1Vjkh%bs z3a4TM^ZxX?5CbOIHesB(b@guSpZL71DL~+CMmZJ{$Rsx0Iop*+8VNUVo%s=233;)M zzRy6JE1{8u2CpTE#AH}#Cq_d11*yUkrN1S=EEO<$nTzq{Ou&3(nS^5bEY4cWGF{NR zBn5=RE!JTuPOcEtt%VE}Z)|mMy!vP6Ho_X?ZHcs%X=b`~8gWu)8gMD!M~qaAOBaZU zoI>5KuQMfNi{TBgC;J9R4%MN2=5YD$(|fyuH2DNqkBKnR1fHKviczA9d;l#g-1yD? z2#HHV6pa|4pzDXaE`ce@Tf5{V0x5VF9|(ORlPN#JZnFA9=?o=_)8fr~%!(idzHxr1 zx?0->57OV+2P>LB5AMM*%(Is@K8qUwnW)t0zE$|r?t$^Ln2aX0)S9RuP!%49P%FXS z41{$N+lc8;77qe)V3o&-FNVUBGFSXY6CpI_ceOC|l;!$x7qT2iY+9IYo}75Fg1e`I z4`gwL8(;g+V@_FsBI&oUS>dM6G{3X7k7^q8K>JV~l#p{m$>TH;nqJG%l9<>cw%gG3 znzn!pVG0Q&1?&`Qh=Pr+lkn&yd8~u8xTG|duk4)}E~^;1H~<(z2w7x)xZJ4fK4h3Q z-Q0!Idm ztor@LLEWJ_ZrTXAXsMwdnDH7G=4K@Dt*vX&{nC0ZWM6<0Y37 z>cm7S@6CZB=e`a2mq<;_$TB`SS_=u3R-wl9@ggh}ZurOF8i8l2W$+s=2(OPU_}MZs z7VypGEE0==8-tsMdBDkzWFWbXTo)1q#f1<|q@X!KA#nwKM=ZK9)C5U+co-=b3P}Nk zH?1!}nHvj$W#CR?ekk=Ek@3hXB$k@R2&JPp zW(2Yr6dwk<--jNQLNYcw8VHMF4Foud>9Wb^k^ghNqFgAy8Azy&Qwq0tPQ6H!t7{g) z#0#M&1vvM-_y1#gmqk5FrPi4ZqI5{`RcjxnFn2&8Sn=mMro9|e3h zg^$*$m;|nvPnBGbKFEvtivVb&N3@0I9*h0c_vX~_O|gifC}g5|z4FQ%{?2=0t|XVs zn1&b^l?7h13L2+`)8gV`(3O;YcndnGL)PMKdF8TCQyl@kubF`n#LzNu_2i)gX{clm zscaCr_vCv=3u2VZ+z10VD_ovwT$acyLl7}w;F3Lw5ynNk3CxlPqcMJE5M~-i8bnaO zHSp0L$cEF` zn_w_vDgtA~J1h0K>%2G>*Y`-!vt4N@9gjmCH%gfTM(MW1sS`>6;=dg$sHlXQk+@6B zRsjj)H+^=?sjN@ZilHEs|IbYqrw;Ed@1;zh(w0C&lOUlY5_cTZOmZGpY=HL=uXTNf zxtf;@MQnvy0LW$n7Vgc)Jn&!YxeNtOlTS#xhd=pSbz5B8Spi8q8sq&3#kXto%Tcn_NiQJ4T3<7j1h7>k4w1SoD2+kmo* zK?|dM$lI6>^GTM^Cc}OUY)BrrN;qLWc(VNgi9gqxM<`YgKLW|}owM&6$whKUGz8m` z)$&7paF%LLT9X__;>}Pgn+iA440V%^Onee%ATPWu>MD>hDbiA)TFYl!jjO|8J^=G1 z`?)94R~jwI#tFKFSTcc(3hE=D`4MgIBvIA$S(Ncoe&(0o3%k(+Fwsg4_!DxQUoyl3 z%QxMaTPw#Cl0l5=b>gdm0I*YFuCv(jAGB8ANKRM#;=^hCH}r};YuIzgbNcFduOa_71dLEnyG}w=6u1z#f!D+`smdzg3^ba#VIw1VbiKlw@WY z>@9`PqPkfM{UWoWBEtIr#2=6H0aIwiVTMQr#pTp=X=#Qh68slRxfG9EUuU2R&do;Y z#SVrqc(Q_~AZAfC&gf*L?yVHwY@ZJR_?d(-6DFZnqzObj>^Jz*_y)LaIDR{sp78zc z#5+MQm}Y(B4#oY+iiy3DaQG79P!I>`H;Qs0tO{XgY58o~NhUzbS0%C}nxcy2@{D2> z;7le4LkLB!Ins-@;Hcj8o`z^2F9Mgy*{;vQRylr_iCHHmz!GCoT@!SC1|h)*V1(qx zbS1tPh>)N!MJgW2^5IK@JTr8Hk_LiK(+sc7OK_U#Bui1#u%;MrUt-J~?;0z*)NX*n zrZN4!R3IU2@h%zCltDK9G3OX`%)#nb4OrA#&%ZcG-r?B$vv@DT<>VWt^*bz|&Ve2Tmm6w)? zFPMQM*BYbe3Tws12{j?gW335#8LrW+FxZ9t$q>XCZXgIiGeSn;Ip80a#9L&ayJbur zA_F1f;N!}TtNoBnfmQ{t&Y3>tT)i!1ARGCw)9P0I%S*zz?hZ5qV(4s9r~nY^>FlA41n&s(4S z#hXTVGBr+7H9UhD2;8f}*&;?$=wTVi(Lvq>_pq=MVix0pLv)*q1c2kTCtAy4zYJ3d zb1Di4*x6SGi}~X(v5GuA3U6Y0_1--@I6QMV!%`R^MOb)oM|L8JBFHKlzD*DYTtLNX zp$B`$Wq|5xdmW z2^LBU;-bmC0Ni2@koSCPFWCsegYUfUoA+cfB@VCvqSnNogYFDGkH8D+3vg1lM3LtW`BfjnTfzyOsR)-~Y^hHYB%^kpNzZMnOW&?$iqznw54b z+R-#Tbhe0#id=D;`aw((A6Lg^r~=+el(6g8nMb$#3EpLSj}VPcj5UJV7Mh4j1De=7 z+9xkEYWJ7#-v@k{7rCBhB$DF!JB?tlTZKcFk)RvHeI39W37x9R%8`SSjK)aD;kOa3 zkhr8ybSSRN2bk3I;j%O&;5CULifyEj{N|&CEzuH(M1PNQ4Rtho?%lF(<^#khlqFQ) z0W$KSdpBEhs@z2j|3?3XK@|oSN{vwauoMa6W6}2;(j<`-(NcayB21Q0d z5_r$^#JylbIP&GQ*e}{|jl7SzFh&#-EaI~0O}1S=K?YBAW)(zCIxt=>;fIk7^c>1m zgGM913vH7=@qzaEY^FUbwT1J8Ht>V%|eXhwZD`Q)d-Wc%+6j@I=g}2H znJ9wn7ac3iP|v6bvUj~!^DrlzV2>bnqju|(@+tLukCNbLDc84C8 zoJBMg|EkN5L{(B~v5m3H8RCxSMcPV3KMM!-9;R}Q@v!}FAA5=QDV;%XfYYyu>XO=e zH~xp4_lF^HgRGh2sKna&u9GTG{?eZ0d{QVht#U#ups?D7WR&>}m>MlEii1#r1Rx`M zw@HC(c}pI&>(z)8qPs&gl1O8+r@}1d;$8Z3CSmR0`itDizYOzxjCP`awgupP^i6kl zFH__yJc>C%b(kCh7ebc>xo;AS&Y-v8s-fEliyEjJYSnB(J}r0NJoTM)cNX>uotQK? z8Cns%GE;#3QW?IEgwwk3pK%+bbK(|I5DFz8xKiY5~wp$zvjnh;7PaY_Tq;Be{J z`&f07_dT`t=Wemz+>uR174ql!b6t;->P`J`%)X zBOh^4yuk-deWF=!t_eOPQ_v%07OD!=#{DN+D@$u(xI-@wQb9S%n@HK@7QDor5Q$Mm z*wF!Ulf3!s_fGPU=6!K*P^9&sDK5T|?GQ$!WrQj?5)luFa1Bn!wP4`6G5Vr2~khrICmHo5zq_=MWLpf!H}M=^#|s?2mY%)608Z&QokYkV->33 zOe?cs8`Hl6VjjllP^~2^b75GYqs0xw+n!_M_^#s~om#3_wb#Bk({Q}bWYT3JVO zhTK6(%yY176A*mfU&6SA8CvcDu4FhU1h$ND%}0SO`~%C{@2=KT-sGFXdF$TVdj!l4 zKZ2?_nwbuET?PTqx0Q+|qq3}xA%$?Z;`@b~8aXwTi{IE*%&(}+8J!pj=>?P6CrqU` z7?tc&?I)CNab;GxvH1RRc?_+P%}+qC7=9t)Gz(dZaS&$Rc`DB!>W$0`U64x(+I8yd zS(wsfl2Cj|ai>76bTnVWu8aa&O6o&i{;y8Go5_u48p5rqWTL`%Kh$dp#GxZ7gg1Se z4$xd+D*X*(!SL@q64k;qvP&royxQ9(M=NUUW^nph}{hlIop7V8l}p5{{aKLGbGnWm{ptqw(@47uhT<(71K_McxF`u9_A_ zG=GdUB$O5$JW`br;`*@0!i{sczGKOFNPNGTx=ja1UI;F{BcKf%CWP7{%d8av6+(gg zkhmBLfDA>`>^g9{v5sdww6j7kn4lo^NW*C(=Aqa%az*9bgMV6-j6F8-pV$cLPFsE970%$RXDIx{F?(pG6q~h z5(II-P9muiKy60X?xZ5gOhQguEqe!lw`G7c;bl23+aPw2t31?AS|&w z@I;(Aesb!?@(OMJd-BLZUwrBqfr@udNOM;A>RTZ9F5qSo-OV2PCpVcpiCs&4u<U0f2F%ZK23n2j%V(c9e`vgVwuABje<8U z7lVL+665K!fD(?c|C>Vq(V-w@04O4}u?d_MNwWm4=HP%LY^AKMyeE4{wI8lgyAt<> zpC4U~!Eu@7aw})oIH2ToFGMpyzPqwDpV_9OtV4NdlVUNNipNf04kY3}4D&NzhQc=j z3GtH%gNWD+L&7)R@x@vm6UD&HP5dws8Ie(QWP`{H+8L z#-TO4@m+RIVOjf$8nFZ^vXnTe7K)oJoq%UiX&)$rFUOaT2O`~?%LB}a)@QW8CfySG zBTtRpsZk7;glHo5T)px_lLS`q&=!O?))o>sh?_h-EIVt7!w({jprYzBW*zpa#*g6e0=DP_>Xuvej=WhKf|8U6z7{ z;`|-AF~74?>(il~;J0Rl9vPP@$X75C*~@#48j4N_8L7#QpZbfD;}Sq8@f!~bJ2A0_ zlqUFShDgO9V^30X6-#z81pJruYvHj(w8NJ#vw#86Eg`^*C1^-T^VEok_?8H65U-B9(eS4 z-T@V(&YDOA#(uQk=>p_yw2A6n89)5NSS|2zIB#~f3A@Z9{fpg^TEQaWZwQ}`?^APb zbn3L)aV-DnLg`tJiNCCt0#OkM_G7CUCy}k``^oBy$+T3{FkQZMGi zR&KnMNagxt=SR~QQcsku?BMfSpy9?Je6!qO;u_n=va%F+DV_)#)^1TIYQ=1%D&uK@ z#bZZ@)5d3Gps)>e%{7+ZY@DNCkCE(-5~i_EDzY6Bf`+z-^y1)a;`_&cf8->XjX0_} zE;?o?x^?C--wwUIoN@3F01?KEj1(s!saav7JP}exkZ}xGgLwed3TP7C(^~o9>Is^~ z$cH&N24D1!fdXP-T`)L0Qw`v=u7CZ{N4dGc6>_dZ5tkQ~(a zD|@T8isaSkzKDP@VJ1mbG!7alMNr2sSVmg*{^TacWj-Ko9{0@tQibnbj=HE&ZI$!? zO-~Ur65v;#L*v;ZH^*|JW8-niDq>T-2gqz{j`IPwNISUjV*4IR9;6^VuhHVdzOCg9 zGy9n?#LQ>_AQ;=)+)I2gCwe)! z`Z*ftI`|p#N2o+5WVj{?Q#f;j%^4FtcFnaW5oi=QE4D0a>~frC3qO16aCZf!0pOl0 z`lQ|o-H^8!=jzs=PfTXtFWU@AQm#L8%UHN9j1(dqHsVi^hk!ID6*t1Z1({2&gIHk# z0&H(Xx29=CABh8aAz>r{gAlWps1esGQ%Hfs*rDVOBZn(iB+{twiE5A`Yn1nq|K+BU zpP@-gI0lPD;2;-7g{Q~4^!5I{sEUn!5&VSt3 z*`YHabZQ-jgwB=+LqqceJDLhcvY4o3wXqJNG-6H8I#O4OF#?ZR9NY}$)s?H%b^!#} z*xM_PTd)aZNX;NbjGTB1M?)({RSRkL-~Hrx6ru`}(=_5jBeu9*s}Wi7&YY@3aSrci z01jCK`G^J%a^yuL_TK@V_!)4oh?HS0v5%dU?1ipu?j3aTUPKU6b{P%?_d)B;0DGpR zM-kx&t~<}{+YZxZTHyHQCCp@Y33&oG4G~CyVok(xiH^W$K=qc%eTz188>2p^f&Z@) zS|=)}50}|#m1X$zf%M~xCoqFx;sVbwV^U^>R&n|RsFDZ2^sbR~&9+~Ou?br*bhE6d zNhAk`OGrm#AgcnLK>pzx$&W;H%GP3&<_W_l5=QEjIs8GIb(R_@*o;Ih1NaPifZ zDK1DN(B$s&AdyX|ilW(#-}|J=R^&sWU@LMC4zy@B*2^o;vl0}wvM$<$WH5YipH$(5 z<&$WzLfD7NFb}{bqQ%^TZL$d+^s2S|{LT^wg(d(%m`&o|P(|corF7b*Ub9v2F_=CS z;q|}&=asRuhD8@gzD{v1S#l6MOiTubV-&oIM(6;)LLNSj`PejrYbrUEcOn7b<&pVT z;t0tlAs?$ZuL6Z=)y0!4T!G{OUwlP4B6*oS2lJzv!Kt3b6DO*q({hlH$FUciEn*s+^+y{3q3>==*fpC;Vp#odgQ6x$j*lbfoKU7cKtHv1tm37AK6YKfR)8HF$2r9 z%eE#EOJo>bE4-Yz39U>RaP>+?VGzg6mxamRECf9^2}_x1LTUm_AYNQL8?I0N;pk2> z8PP&Mh7L4(O~HI=MhZlPV!_0DOS`3026sYRg=Ms9jJ)unhE74!P#p=C43}aOk%QR^ z(jRAoD4Lig53fw}sZ>h^AA|YCMAF{7ElyJnZthlkokeC%uv7^L(^n% zL76|o+AdliBg4~FK$qKw% zvwpaIMyDt$TPX}lW$amCg6K`3N+*)(rvm^SYIWn$|1AH$eZAxbox>M0Mx{+=J>WHx!pAq#V*b+B*U+W1xqFDIwC0FqlttAXT zEU@csb*rD?Ss`~wL-)P9BvLFg2Rr1!XQ}m>o$+Hu?+pT*01cvgS;pyOX-c~@0gZ=9 zAR#qC-pd@*L?ee|JOfml839m`>q-AOV53F!UCI3IWL5w_Q#7tsyfZIJ8`w!ef`tr- z%`_CH|7mPB6)`_^7umm8Y+T*GG+^sP+Qk&nE^Z;n$j4zIf#oLIi%x9VJ)zu(;}B9C z#UTPP)w3-&nzU}(CWh}q9P-U|#F$ZVWh4%$>omDdCfW+OdgC{KajXzbho@i@4ln_I zUoW$%k5iU}Su`6FhXN6a#R*=E!J?d;a|Em#0S;~e17uJdm%qZt+&jZtDt$zoA%BScS05&pOBIVP6_8DPL`@3`pGY79kRzF z0T5R*#$dvZaJkUJWeyPn9v?gtcTey2yENhq`YHZoxHv?3Q%f4CjL~oim?SZUg`Z8P+Ww0~kA-){z*yu2^FRn%?`VAECZ#>=qXySc+BBG1+Ro zRJB561OH^rU_qr)*vBb=U679W)<7l(8i$nc=^}MpdeUz-IsnULhUH#2!tej z2pKt`z(wvz#+EO<{(m1B4}!%(x}vr9b$*&_EeG2>v21D*4s+PbAl8F*N^W2dG}Z)e z;NVba%@ia=;x?LP@peni9p! zZFHFfK~6!~a3F(x)HniU4>Cn)EeBSzK3AUR!{bCu2ElAXnieP4)&wS>qD@y)x3TAz zW#lic4au+?P`hSV{m_0s_dkve8RZv-l|72$Et;V&a}Fl8ls@V;5=jLB18c;)zQU&w zk4+g*R6t%bjnEHSJo33@X(?WLekZP>4uVF^C-_5BTqq&Nk!3i(frOJ~NV^Aaeisl< zJ~eDsLG{-QtPJ%`y3k(C*t4-U9Eo@(vRrXIipQZx@{>$(I1E$-yooy@#4d&6-M!`S z0&xbA^IB#512GI48);=>$Sk=z!+7iUcaLzZ)VL)d!)vWGC3j`6dt#ELEaQ+#srd=4 zKn-NSAdp$xly$<8MM0j!$ubDBU}6x_nH#NiDtwO|*fC>^LzZE|WB4o%7L`r-HVx4r z`F>Xc3pYObC483|uSizJ>&uZYIFpsRR^u_sxG2`>Fd-2f&W~S;JSwnSuB>*248yz_ zsbm&mU5k#XvbWM3@I2x!r^T8)efTj^8x{n2Mzt&LQY3czr1IX4lmFLPQGkBHF%H@g znUq(y>+6(DX@=F(OGFGNa!GFrepFW`DD3ewDS^4YT!#uVRSHy$^K|%aGFy75*xAVL zPUN}|)`oeY;6|F+^1GB*`jXN8-13Oa;68>-cR2=!f`GkKJyjcYVN+^ac5<01fmk5d zri%nxatenlN77_SZamSVak0#wTjf&(J*b^);0JItV@S<#kp&}#iPPQHtzEY2?20K! zvi-()$4-g$73-_Gj%aK`r3e;ez&ECFfIh?yPRS?=Pqag1-Ozq$1~FV0mIaweu8p#y z%1hqqP9IGL^9;DKkRJ}j660kkbbR%9NuV_A2330fi;s+lQDLU=x6|&@O0ztD!Uja^ z6p{d!m|?vbrzI>Uu$2%=tp}frK;*^qQDB=m*hEj)n$&|E^kGW>u+U+n7Z{M>OZ_XQ zF9{{dfUDW{U-)$@9p*NYC81t82RW`5IjrwA9utH}k>B7|iQ`0i+?Z8aa%r_vYd+S3 z*&1FuZnk< za7!AsautfaD=dnfj>VmX(~)Tl{u66~L*_*GBK+Z4KPtAs0E{heoGmoo=r$shR4cTU zW~Kezo(32>l6}>>5_XMcSM&Gk{CFT3i5MhqsLWA3XTP299E3_?RX~^6Kf%9QV}wq^2OjaILJr19&s%4r@|i)()r5HpC1a4t(uqV^pCsQO@a+qUC|!2_ zfe(z9c!;os?P=l5eEfI6e5Ti(9Pq`7=(A`Uz9Z!2t5DI^#SbXfI0H5?nuX&!kYu?y zvD=7K%;6gLmf^-FQ8OdP%2BI4|3r#j#Veqq>*w|dArj?3;Ev1`9&~33!-jk1OgH0C zGXx@oz|~DVWr9RKOl*WPx`SX4F}8K_>C<{?`78Z0r2lG-U9PlCi$@;AW@IQ5;Vh3j z0>Qz_j*&F|@}G^rdV=wyy1XsgiKi0^TzkrXn}p${t~ewRBItF9_Tl`vsfeORE|b5sZo>jF<5V@ z{E{AFa}0eHz;Gwxz;KQOwTlbo{uH)<>leq)L1-k_j zbu%^bRyZ7c&G&&jAj%GY22a&5obHYI3(*Wj2sC07LV-a`Fq7BNZheim`#3QMNDPGj zSSFVgijEX?_&HV>4^a>>R*>#t;YQNzuf91kl8V4x0T_58B*^A-DhrTDI-#KzCoc7E z19^ldC7TeuMFzz{nB6c0@D6h09tr)riR6^ctP2mSl~f0@zcEE6j+RJEaHO3_zORWl zyVVzdax4#_y3jq4w~`-QrylFxltBUDCorv4j4cd@0E50;xY%$lME}N&kj%nlPfY?Q zH5Y{c%@7U-i9qd}^XG;$K`?+|Omu(;1qjNCBchJ{{^|$b%e;&w7?dIQi&x^1gs=4L z)xkjIP5LAW2cyADOIm~frS%orm>@M;Ttn${Ary>cw<}-SSzTu=xTlOTfm9`}G)ttl z+?9dw&Mx71!1jh3WpnRF@`qzCRW=WW8XM7s?VZLt*4B0Sa8ZiStTam?R4+#uE|nc2 zsGx)RZSIdua3(%U1b%!%Q}mTDK$$E|8i0pjhl+xNm|++M9ycGJ{FLMw9k)9Re#q!{k3XPab*NZj4|na1nbI_g8i}fkb^8FL`Vy=IUlDDKSJdX_Bf<bh&8=YTV**6hl$q)#(z>Xgx$r#QWX||F;pa#u|_~sq@O5Zt0sY zWrjb|U2qbn#XwkHNtp2H{J}}0I>f6%l*DG=>u_aYizLBgUC~uJ==$yxr?1IzpBb^y zggJqeX5_Lmh*5FyD<9CiQuPMV{OVJ=%23%PbXGWziLWnAR{kKY1E1;h#zo==WJrc| zH={AULP##TL?VT%j^U3qqk*fZ;fz$Xj*_{{*RqVA7Up^}8yN{khs6+-;b$-z1&@|b zf9!7K4&-fdNsI*RTv~pt3?|QZsUMh{FpfmTOZ*dkRtdnl5sT+=_U9l>C8kf%N!ScG zNJC`L5rL#>9Q}$^jVySZG2nVDoR%l228|Zy=6}ds%qNH?_*JlPafzOp1VCpGP%QEl z?WAdDkRWO#`Y0VvWq2|Ron&&Okw~nO|A=cqK`EGSuaz5_N_QPuVri-yrz{lE2;x>E z-`H3ya#pN_*L!~906up(7UaQjMnCiF(1)C?9d1i#)KgitGk?b2q#3V3M0M#uR?LVyU4nPTKfY0(7 zL>OWkJdw6V5;?SQw8V&1iiB~p9Qtc(&GOx+;x5r-kSdTm@MAbx)TAhFT~M@Xm)n!7 zdfw}YCPvFFp{9b?6UqTUL8~#hGtnF(3DaTQ{k-i*`yF&^iIZFCxTliGw zwp3rL|2qO}CZ2qEh-qa2&~rST9T`>pejV*AI%(ncU*A7SK>VRdTA&e`7)N<6&r4ek z)=FM4NJ7pBLNUX{A?6_uNvp?zQLYvRg=nTPB-+6>V6pX@Vt1-|ps@(hCX|hwPFwxH zqRamJ>7N@rbxFA(Db{tFvNYSRu_bnJP0~S5Hky!4OR~X4(9e*#0u`cB!dNKnksPpf zlu3i4!cc*fDOlomIPP&Ebi70bc_A0PI^vfU5}yQEl(65ee&@H|jXN=)@iB!M)0Pe~ zfNO8pNr0;~UxJ2A&cvGgbjxUkmC&C#hsh8rNCeI0l0TTek%^q3t|SZ3kE7L0f<4V^yIP6&?Ld~1Oy>mF<_?Xu^$P~4mo8#@O#u_ zh9js5Zs5yOg#DF`Y^yKq($z-$N)=QJBG>(Lk^`SUQq-grGdkw9LYdGcN=?A%%T83rR^UkIu=!R*-FBu zf8I0q5LXW{B)VyGOj}lBKc8XWH7{)eNi?e&mG5S2>?BTxA`_iWqfCaSBkRlt{aw-u zg95rYp8q?Q(OUQ*8($Q!xrUEEi>Jcfku-uGi3g^Gz|;>Uo718?g44|ly|x(|TQC7V zEjfJ{wn?)ZIPQH|%xH;QgfgJemTX? zInqR#qelEDo&&$e%|_P}<1p6sI#XA$JcmJS)qbW~gsmTn9e{nzg8x*4DR4wLwlUdf zfvkJZ=ibq3aee|ZmnhXk&2DD{bY>3*<1}N*L|@St&y*1dO|5e z%OUsAYfiQp09UGvB^~=o+=2KADg6y-OiGs7=&5CUZTf*nIrh?s6n~AwCB@aW@3U{_ zOsCt(Fd$S1G|Eu;l++S%%JpVz0z!j4SV`aga7CDgKqmQ01UNIlEL`NSbY%_t3HmT% z-uW!Uz)l@&fMS|@1H>&5@LR9%J1wEqSY1(zX^EF7q#+g@p!-PIzyxF>>rbB{Cc^4~ zbjY0a2)&s^9ly)kOt3slYatfG#516GCY;6yb}*c&%hqv90K7oNJM2G%k}zN{+p?3wp~SVfSrTTqWp* z9XU900v?|NI)Kx^^vDE0#;ms>TMI`iN22^{Vx)l+;Y1JzYJ`!gw*ii?2^5~nS7(KS#s>w~(O2e3GjHH1p}WwHjl>+yE2 zMq(lpMitDbz<{zc=mf-dn%1v%9YxH#@$-$!@HQ;4sST4iHx(A?cWuIxR+QSQaSFDU zLN(?i&Y(dHA*zQrA1n6O*1XDdxnEkyhMjZkJC5{arb@OCmkXET~633eJxh!(dqyJ)9z|4Pj=0{}l%wUl| zub9p-DVD}WPgf2_;)-1aDKeH#r`V79IpJ*fGnaQ(2y_o~7t#Sho*mD4r-^^;m>19` z%+he|;u7_sn}^v$U)aKdHr}}7PvIra`{J8MGOje%!zO>-?w;w<@{zJVXb1uX7uY0x zq1cI}P$SC`UIfFDFc{#}hzVz2yaWMLgChi#fa!)qKxgG-IgtikIW<&Qr190(6m@2ol6d4MF)gIm5rQs4?}KYeutPvi%NDVUynq@l*0v(#}E+VKzvDQ z5r{xRyvleWxEZcDbFrHtD-+PIU1nn=eCaN*xGy>S@C7WBvLN&|we|%3ixMG|TYnUQ zVLrhl3pr5+T8u@V>J8VsRo9R0NVN#G}lW0fKNonnEi&!qbLpBWVw)hVa4um!j-|;pW*B zPa~KdJ$ zJQ1{B#bONEO~{ct6n$c5{RoufkqBip)OR=sHUx9=^R32Jq)um{IDEX;@D@x(Py_|G zOfoHx*qS&gss7@baf1#l*?4*6Msp$;w3VCDs+Y*k&=XNQyc!FH1@mYdsf-VLl+;)Z z80Sm34BoyK&$@Aqjoz8gSmRNy4`Op9FXi|U0$Qqws}SAyzk8qP-XBJJ!e1+M9t>v( z?0DKq@qv@#%Y?j=>L8GA7=(>O82Uo7n~_MDG<8gJR74VV>BXcF2qxGPsmMKe;mvUK zD2@h7^Fo8Je|+T~)Q1<5d*MUYP!PHXS09rV?yg>=-HTw3NW_^V4jh_rs+bV2P??*v zYQvd;XXxf{k%B(orS*fBng+{3k?87 z5iUxb-`E*?I~tFP(4x#3(0ambR-P}fUd>3Xy6~%bX}Ja3Hu7)`NDvrb_=hs}wTv`< z^2~e3*zuhaaX4`qr!RIizXPeoF>JJC0rL&wVMyX?g8 zWMzG)aRNfw9Ja4g@hp;bjYLH5K&J=)#5Q6$an#_e|NDV?%7Vc4twJYP z%SA!R?v5kW$BSM#mF29qUCCFyQkXFX-L^NJ*!tZ6{JvltS0R?(6!&us)eH~Wt}5Aq zmVe-;z~y$#BnZN7TU*U~Ck5bNDO#@f+Bqns`4k-qEwADbPb6#3a_yXDl|)n*L;k2a zCCA$O!GH711t%->4NaEkS*092*+O*Kb=x`5`*HFpS~{$Hq}#rqd$5>q2X@I0U8|id zhjtKHO>~tfTHP=g$;GziUID1B->r^a1EY! z(G7wUH+j^lR!bQ|6Q?#SvH7jfyz7St_y6nX>;LfN4_*H^=IzL$6!OS@{Q8?Bwb3XL z5UMov2={zUAsnGtHVuRyluPTw3o? z>_W$U??M<^m2k?-Gl2OVYLQxQ6iIR>93rxbEUdhm4^DJ0#a27RR7{h`SIRBsyeJegwsWp!mDW17TxHKyX*GC5?WVg zQZEfsW*i(+*yLRP`2C!akWJ+yeM}*Wi~d|M=Pf=QY#l9npZDU01s!>{qaXIN%xeeV zd-BVeyLH4%VYse%smm_u>LsPHExy>mwaW6{eYI#08m$&YVgDaj+ zYsb9yYt=MY@^jW^E<3`I$sxa^*3(LOEHaylN;&;XfUnbA$0A)}R3&>eb$fy8{Spp9 zeaXWEL`S@j_=Ua5E4}@pGnVURUb?0-w|3Oq$kk3}cQVDS(95~$El33NoW58J+wDGU ztCjY;gN2l_>#U~o8!Cz;TV3!neIY9J);igSjju`hx`l8mJnGH+Pem+uns%P@rmNXE z(@tRD*LmFC>U)v$HrF}}sk`;cp$-4CU-^=E)r+R{g~;|cu14OIfA>d~tJ{hQ>C)vmYqdW7HtOh2mbEl@il+zDCa+9Whl2nmQ6F2 zbnBt;n0J@wk|~_q-N!zaSc_?d;<_n1sN z-Schl_Pt_T?S+e-SG-but}}g(py!^~(c=A`d%Fi%^b78gZoS~C%_)lJ?sC<$vI|~Y zJzLZkuM#>T-en_D14b0#HA=b76hEs1RN+FHx`(|?6|&S{OEXvDc-!`|;~41S;!NPD zPjSVOh0Uiu7o#ggH|LL|O<9(eY~9XHXSaNBwo%bbt5|0?rHjvcVW!iPT}#v46@6%` zhjlT^E67Rf4FaiGnmX4}UM)v#T~uLe7oH(3rLbnTo*(CNKxkwsmsz@W)GOqt!Kfws zi7@H8ju+_7sc^#$bGVqbtLma}Ed-f&(Z8so{`J3fFSxFipe=D8v7buJRMncla(9Wer3VCP?Ugp0nz%YkM9wdEn`5s5+0~{&xXO4f3!&Stz`H2 z`v;@;v9N6q(m5xZu}iVjj`zak^(s$kchp|7W-kn8(9kq0@Ae0^4A;F^-S?Z56;aZ82A=?nr`OO3ocoQE(JN?JyMK>j8hvYv*QO; zJ6GsU4ZN)46ef=p+fRigp&8=YJjv3^=-G^&9to4xD!Nnbb?_ol#!U$;nov>d2F*< zZr|$XybwLp;ebQ8O5*={O?RGR+U>>g{!UUI;G~uN(^M)#FA7qF^&Or=uX}_OC=918(O^9rd-}c<;2% zmy~mBE<|(NIZk1aaIOmy6a#ChQC2|mvbnzKDzV346NrZ>D<6-Erq9#`z?5#V zA<@241^nYtytYlXfl2iT85kwQXTVAfqUcJfh#rEUBfR7lu|51z+vbNSmu@Q9ElB{W z#|n#@w>)m_-r5&LZ55sltst#Lshh1SZzH|giLOMb6Qd}Eg#QAGCZp8%sfuHHi@D5m zOHE$;z9x7HlQ@4AAe|+h@BKv&W`!OGfb071? z_ia4nezNEto7T6VT|53u?(NORgW<;0sLXS2u(+YNF8PnUPpZy;tUg`5-FqhT?qpG4 z?T3ZldK?}1ANB@^(&NVv*Xz~zk5c>i$!h%y87*79@iixr>MGr-T+vYyL zxVWY+M76k?-r33edTu#;b?O|be8XRl?~1(X?{tWTKUa*N_PofuZ}CYL+`rhLxf)F` z7r%b$?qkJoKBu1XyjxGk)4hj^GYj7IKP|4Wsf{TwS|b1*WpNhQ{ONdoZQjp)-n&|y zSJC=a9c&y}yk8yhUU_KYwhzzkg&XUOTdw{@G&s}_o(|K^_~p=lsdzO~8^2pk*W)>+ zIha3LRfAXK+lUmmgA@9-PTX_PUETQI?CEgs+v;~Sx2d8ee?FdZU-lj@p6jRSg=i)| z7jFf}yoHU9|T+G%x=hg7T z(c!4e{!Ts|aNpyX-O-=k9#{AK(c|uPaXUD?aK1nM{QS2zPF4e++A|-Gctk2!nZJ81 z;F?N$Z*&;T`)_&c-@PYUnrZa5PHG>9CVAO?t#@)WI5_oW`q3BsnYX=}rThogW-i&D z`iPg^`U3xcGM>NeADnLe+u-soPt2BXe!Z8Q|Ng?lKM3R3dX3&<=Ue_mwHsmhL3PmU z{?{;kaBH=9c)PB<&f~YZ$)SfAR`tbOo``C>neThiFE51Cmwfe+U?zUJR(<){_Eh)T z@QyEhI@i17rJ_1?`9q2BeQ#l5^Q3kk5AK@PcYM+N=&O~dqKiTF#LUKa@Im$XRF{X% ze{akCM*QN`*2%4lAG$~7f+sh==Xr_qsXw`1ES6^;_5dYr_B*qQ!}xojci;BB-C6s| zbSnRiROaS(-Ok&y2i1J%X6<$!nqAfN@oMklht8*o<90o!F>`tNpqqK?GR#slv(qOMdyx>l8)c;FyDy$Wanuw z*}mo2E-f?{StstrP)_!e?LhuFe|gHi7~FB7^v1^bJx^Uf{-+Pp6tHh>V;tD?P75^?4&34=Og46Y{pLXWas3yc6u^vFS4)bj*i+6yvL`q(%Z9$ZvNq7 zF+{AM^>~ozFl|6acrUOLUOI1Yoa_dt<*Dh@dj5@$yQ*gnBu-)V+CA~*pz^x5 z8N|gY6zYZGj_((XNqG4f(>lDMF9zp3>SFL@=cBrvs^a#sdUdgLX^PLe*2QBy&(e`V zFt$3BKFWBj`T5}F-n_k)6N!>{^2s`Px#Q=&YVMw&%}v{Ww|6qc7POvuN~V%^&p=xu zK9@zmh^FLc(vy!}3_hL<(BRo5d^+mp-I+!05Nn_Ch?flWTztnDypz3)M^zFAWYXEp zRQ9_)T6pgGezZUVYU^Yzid=fmkFl1eFL-h<%Auu`TFpPaa03yibv^Ukhxjgs)n5DZ z6pYdJFudb60&HD0?;bVfoKK(d^!&rOy{|4)nNbkT+7s#D@(_D{Th25c?MB^8$iqXa zPxsm{6?60USJPZDw>qE?Q_Y|aQRiWLs_!@;miH}`^y5wixj-uU3 zx2{W~*bB1c3kN+*v`4j1B0DiP0=ihp3OovgbfSvR38DP?;8D9B9H`|Z7Zy-d+*J=YCg>`&z&Mp|plFwQb_p(M z#!vHJVIF2EXKhV^tAM&z;h7j&=dE7!APXDZGHyKzCBg_bnIe!4|%;P z_+oMD1>&6bWcn?Yi{?-E^vtoSTl3#u@UmbY0emSy3>Sm>15aduBo|z0TW^(f)2qQ1 z@4QNEZxr@!Ti{Js-^C7;>JD8pYX?_Dq!SAKQPu{xiW+|LOO+sB>VrO>M z+n5^o_x##T(_j0km(?4chrF-d{_=5&ra!y*{@ZSUy?W!(`2EG(Utj&R^B;SBdiwR% z8^0WUY%TrFZ~vo1hl|s%-|_P9OW`;BH$Jua0nHD}{P4Zdds5walz&?9m>y-Y~^EoL|)^^4gWU&v%?R1{FnXzn+&ea4dktO_|G5w zKPqsv&p^jy{VU#s3(M8xQ~%oCddSUh-U3~)SG4?Xm?--Y&^b6hwGd|Q1Dm%5siMem zZEX>TZeyyMZYfBnt@VwP@8^Q^s%PovI)NKLJ-gK}9*kbGJ!q|IJkH>82*OSO3&oeB zG`-{pi&u-U9*e+P&2(Y9r>edFZ5z+I8=b4SMaMf|D6V&Ag6G^!ZEe^yolEZK(L*0d zfAFDySr{BrDura@zpB1g^xciM)Z0SmH~d`Jg%%6$3_|kaf_}Z~Mo*JBcvkyr@%UN_ zzk4C-)T_Zpd>hqQK_@k!+S|d9QB7cukAxZ>3O09v-o#U(Zza+sb`r;qy?1BwV*=J`$|t zNhY^)TT=^UoCiq=y(q{IMjJuy!pAM1oKF`Hk})33NV`bEdN=oM2({?@pM9y)RQk#+ zNorQ|bJhjV%PeIrPUXAK@hM$(JhUNOoSG%==gfppdm33as%dmBm!+@v$-X1+Fq~cv z2|zql@+RC=$n?nl($po}`+SrJHUQot-^G09`%yc{K{ziQiKuBsmV2V}cJ^9*mBkyzFNi|n&Dn((%s~yUDgEWKq9K5RJkS%h$$XEGI z^{8 z**b!M1VB~sC+(buC@cc+DDi2==k(~QNwZWu1MFIXW4)kKBumd(uC<7cRh+0m9${_S zo0oiOG9N8z=M;>H6Dcc=OH&T+Z^kw?K}d!TYD&1Se==vesiy~!b6z`r3Ns=cS|!&B zDtl4r79e;A)7-q0*`=pFs|qt$37_tzbNP*2w&Pew!a0ulgM^Ea9>l1vVV?R=VWkls81<7LJ6lD>Jm0f9Vms;$+r_@+M{a%DSfDD~wWy)U8UUh^(4s*2VSkqw+f31Qoh#iWDkXMyn-m*$@{+Oq{cI@1TFrFnCl5C7Etbk%!L>9gs!uwXYiRcu;wC)yHUH7OMmvWg)s7SFzmv< zV3X|KmFf@^@JbM{1-8#-(6y@2yIO>y;YsaW1yT#GkUC46X{hQMTex2)oLTK0SC`Vu zekt{R2E$#c^j~;?6YgLcdZOMc@!Y+yGYw?-Rk5A)hvr;&Bu}C483R9@G;bU?m=0eow(Eu`~>% zsYn6ODO@2qe3APyZ5~0;4H)er=u+&EwLIz#@K7l(k-t^*xaexMabOeIb_J!C>v-Xk zA#B>0T(s4m&n=_~IZdNz;i6k|^Y=bKLD@~UQ{>ssxm_))BQCAY*r{%}T|p0}r=yyJ zp_SEVDl$`zL|Ag^1&AW4#_?A(ZQis~#@V(5KEhh3I70b#{pV!?;pnNWP-%ligcO7J zE9KOMBKw0K-t;g$Dg*#jL(qGV`*XL2RzZh$dfy_h6sffjl#Vg_4CRsMxoNtAFO#P; z7EdTxKgY9qKFtH^y+1Rlm=M(frfsIk|G~~FDvUDSm!^>By(!={ccA5@r-)4(xr*n& z;BMLE9IVr<@@#|v0?*q@FEMJs5hWO#3!%~N2#DaE`24c3zz~;gR_)0T46%v;G&U4eP%{~V8InrJ9>SA|R# zpQ89sN~vVHs^Bc9=ni175HV_`#?;ynoskt_*jO&N03_^1$SSDhJ?jI%_3p>|#Z3x` zdtT+kv-Ro`Z^Q2wk$Bp__F8r7)3eiqBHkVTTGrG}9}KH{HY6=bYY&B=pxkmYV=WL9 zK?OSkMg4n!^XV{H?1y)zuN?LIY5$d@vq!uw*60QI_GhKSpKjj2v3U&D*nEXZn^G~!w$Y;A0$>C|^RxaO^!pIsmTycFf666Z}v9jcjh2W+ahfA8O0U8maw zoX`sWYC5$rTU%2Pde2a$Q|Fbg`Kh1gj(COJiu(Bf!`k~t$8lYEo>j%B+@dJEt56LW z+Yr_Dx&ReGNFtiF0yB18uTd>EDJbfONC#Hr7=S2;&N#71douE#%%oqV+H6>$MNp(e z*-i{VphG84A~JE-yC+!#6d2R9bR^2wM3bGYDcL#enN3b8+Ox+_vg7@{YEqUG&z$_R zMWTOJ*ZXzfd-s0t_uf+GTJPvP!}Y1P_+sgdTlU5z2^Ght<+BU!+Sr*sbdcF;i8Js> za98rAi?=rX+QBgXY#7fux&mr;Vf1llbZBjK_DP%#%TD2JsG-}fRKHLOl{GqmWIf{n zVt`AAt&uRCb-ZwiO>x8v3$3U9$Niy&(QwG0E$Kb>2R{lg%Pkp@N>D_A%H(B5k9tl! zg&^G(TcefUm|aVz*JN{A;?6!SaRlh^XWJhQIG+@W8m_$d19UM3!rPZZiQTi=pd^Y& z2nY&<`4b-47qroiQ~jjZoMHD~4Y7YUCfT#q>;7a&W0a@GkS|ko@)`S3@FtnmJ7b`K zNj3X?r=bR7P^Y3igaJ?i`l=>NUNc*enb}61G(lYAg7eZvxnd7Ja9`Yesbf#Z&dOKU zpK<;*D$=gACmSG<-dESE!^`4cyE^R`z+$85lPxUmFcxiKBA~=YyIl)Kg?=nN4ECVwylV%J z;8j?x}Y44`7^KyL?UVci5*gnm|765w~V0W=EektQJ;nO!BYR?%3O44agQ zA~2KH&hFB{FHoZj3uY3Qsp+l_bUScS$ku;ELq)}7qX2`tnc*k{D4`;?Bn3qQ_!7)kY{7~F%j8}s-Z@p-q z-+a_-KSaNSySf03fVmR>0cam|P(Htex0-eoTi|ZU*{-q4j_8V9;ek#97^L47k-_ZH z*$|C^=UL$1T}U|91~6yH>0`R`383REzx7_Yr3sbR^=xO2^I3X|9!=;d2e|XmzRg+; zqQ~666_K>F#m2hE20c)`RW8V28X9pITU{Cc3|J@wEsL*?j;ckwU>0>9v(6E(c?~ol zL19BuRa4imfIej|in5acM(Y!Iy+Vz^4;Op%{`-}COvx56*;t5kV8O~Z8yC6N1v92j zbU~HblXf1nygmle6Kntr7cZrA*HtXBsp#;P7ArQbR*5 z+QsD^jB0XNE%wZrR9g2H0>11sv_ntZYG}Ev+MVScOoWbc<-fiaxxyCI6TuDZou;V< zGxZBivr{}sPcaQ(kPn>$#q!`>YJqNa1~U$I>lnQc&4*~ycVI6JZ7_x`cPQ^`J0#hVfkRCiGicdr;>-iPVND zGY9D?KqY$~HC;AwiYBsn4oXjf$>e%>c`jPnApYdQ?4VBxFPDLKgK^AoM@v2(51nMD zOgHqbCI;2O;1>uK%b18z>X(Hv_<3QV^BzHB@PQXw=RD&NK7I42Hm93L(?pk6qESQP z8w7#Y+su)q$-G`Sz+Ey_w_bBYG>cyE|oGb%BkkvnjPcajD9V;2{v?Shbbfawa>aYIel>FNiAk$#qXM%fL`uW!Q zBRe(fx98UQjWF9Ubx}WdD~+<<`!50OfZ4t;yO`h1uekT5px@a8*RJ!jx;ixWem2F| z;dP&U4X@+HfJXhkoT7fohfiNe{r;HWYw&PcqkjK*Uc2*N+V%bO;p<_)Pd@OO{uDg? z`~#oqUgjO?WsZON3xBkmR-O3u!4y3FVfz}EdHc0iago3OP_)C`E3|yA1EEFo zlSK!m)0}F#cmCE}y1z|rz9bjC?rLbE*DrkiIW>1sh=bR@5?BJ5jXyq`SHJN$tHmY9F^sk9Xt+KnHB4WqoOA}V-fb#TTZdqNxiY!e88gM#DjsyOS*U%!v}V!O zJydF66*oA8=XOTk0k!C;Sfdfbo3&=q^qmXgtd-1d@Wi6y90`t&&YI8t)lKm3WbS~H ziYw)ILg{iH4-GZWFiTh6Bkr8+8|{mBdg0c=)2D&ZXw#~=4$d-=;eX9umXEsuXel-#|%^-x=SNR zbBgtCw>-X68NWCiqHs{Ew>mnI6);W*ve9D#C&>JUDYfb^`rechNod@4VbsAy*f6A= zli|Fb9S}lTBG*wuJnbjNgFoDG)CCHF|0T8Ug1&PU6-ow*=Fe?dGt@XrpiH7oQubz( zwf%}HsnCS-fz`(KkBYg4(O}I{y)|fjtj0;Za?23GMkL4wpf+v3t zJIlPSJF(sz%lR$fZV;oL|#_d-ph!T@W%2!3&4 zr1yd|JJX6z`$yd7%d%Bk7@a-mW71>90g5@j2P)%FVlT>Y))b4_N3+gp-|(1f;lK}` zeFsiJNRx?Cw7qGW^D<5jtVC8J^+bS)mSap!lRCP;=6*TEKQieab^9~DnN<%AuxVq9 z0o2X|5aNGp?f{ZC=dp{O(COO{fK-9DG&e-yLg*$;#8sf|qNR@RG;-8m`_hs%B&>M# zg^m`qoXoD{t?tMUBUa0Rb9kc==Zv^_f29b!Uprd4KAT>Q$*vN>iz1$|5m&{@(J)DJ z*|`chlabr(QGudqYcu<*Wf#vEUAtNYvnK*_e)mt-~RooGlad339l(h zOl|oT>XZ{5x8aeg?ErYJ{4N|dXWX0vRY{B})E@=Dm27u&=Z1mrl%}ueMm-=B);Xie zuoYY24Zr}31)SFw#&}Z`|EBl=)kMuZuWlU&fdFoJ38lns$Z=^>mWMXawBa0Q)g7KD zjs@#GmwnpDe*l+U66Y0JM$u>g7<2qff$4|E7BH`BwE(ESKp2Yo%Fg8&JwlmK=5&+c zt?9`e7OkRvWAT{(+|D=A#019tc27n!QMQ+f6B%XJSth{wO>i%;pm_-n=pOKMzDali zIJUmIRo*)mxN}b25ywCh11qW>;8avw^@_3vvP%m9<32<|nt%wg-cB)Ybbu&WAne7F zu(u;v3m<)Tvz9uR@?qc1NtfiO;5%~-U`bFUdK^NT^aIBj2lu0ceFOR${^X-UleULy z%*x$J`~n+xz}SPrwE#w0;F>l{krtJf*=(6PbJ0gK^x_BI^9K_L!Mt7SYDSZ6u8KX! zh$9>tcDTlp5l5N*Xac<%6(tQBvBY-}`mEvH1qi+Fh4q@M2Y{`HvH|zd3d3a4Uj?Nv z8%G73O2RfcqE$S#rR*7tzIzmrz$+*)LiJYuqx&)%b#*rzYla&IYi`ms>s|uFrRRZ+ zFS2X|krm807)e7e5X_N*cWmhC@VeT2k#+Ok*es0<4@LG?iC#qr`S zGH(qC=wZ<|-KIr%&b2oD-JfS*_|u-b5uj2~G`cyL@g#+(`VJfRw0t>d-R>Fy#XB{n zFjilaneD+CVJ7U6Uo-7!&}4o=9c@ah2|yZUOW0TjZXP>v5qs^n`#ycOR7sjOsY z8^lOi5dE3NIn#-h^2gE{sWmv&tROHnojo6dw`mN${2LiM4!zmVNz4K^0mHDH2z1&q z@KB)tHEep86jrQ$4SPC%Y)A$89F8hhAL_+VXx1qGDLCKBjv6__0=$#ZV@=gF-4ckV zkqF~xST?@$<*WyHgs#nv!(_mL5@)?CaCxooq;>$XFcr=E#}y`rOflTtyq@TQL2S2S z(!Ase^Rj=;#!$gqM#u1_FaR)(uzVNSvwOd5GsduH0RpB}NPi{I|Jn@z-uK}VhDg49 z#67WhGH&2!a%X*;%vCk%(wvXE*?ZJQ*qZXuzGLqGYOSz;+8I*^onWDF*8X>%vlDHP zKu>Z6w~n~8Yu=r<^|13%Eb_w@d5`nBb3|+n2ZEW_XBa^0a^qn~41D5Hh~nvi)+I}Q ztqq3mld7%G5PQ)3RM>kmbOaa}&c?a1u=Mb(_|}1qEOGK{ zK=TP<5nr`)Bc8ikqIMyo&)R**`|h*fs(KHo*)_NQQSip`1bBY2aR1Go9h`36;fc0CZHEKXJ*dR9uQ(&4^UimbnDFLi zW@pb0&OYmVXbAHT{+I#6lD>D_yB6*0jYqt%%4#5As@dPPr_~AUISn?ZreN>nWJ7x^ z!5P<%dhq%+d2#^g@DX6c_TB+~7AKG>ynB9?SOHK*!e6SbS6W=AFvHkPhd;x%Ijz(% zcwwOgRkJ=}#I+bxKevU3#$#>6~1<}H@*0+c+6v*sGO$nR2oa?5fV@G(7Gb40S? z=bkhRjrWAAn}aqydO zb)J}g;9~Vf+nL<|$oG98;89K4N5bTtXPs|!rjO^(h!uOMKKZ$he5vs}rS{~P-@ddX zde!$eXyCrp5y=(be_Wi%_AdGD?>funFHXC+I%l2#&}n$LI?Fb&(D>;Q4SMZ*8WgZ# zZnxh(n=LdNDOUIVMHw&Ic_2QZF#xEHapJu+nI4BFne{*dKyQfW26+ISD)@~LRX=YR zK-yO9m3Cj!I1Q9kea~PxRGIk2(z)>b-YT%heZBcpV#r+O#4Wc9Xo&WS&N8G%+h2z0 z_EZQybt1cK$+pGMgt;Xj=3stFprZpwFT73cn&{X9Sj|?;cKs>7dE8ddgaZ5Cjt>6Z zO^nW?U~~*l&b3KegFXx^)ILuh#;5k3ve{-q95*`vyP0QPnexe2G#jz9VuN`-sL8Be z8}{W=V;xi&?C1P1d|{|H5sZXSD2om{|KL7xWRzyjHJ-XfZ1cT5no3@CDOG-Mxs;qJ z00G(N6Rl<2ZdNAwg+YCudYc$}pkpsml078+2CqP2#VB5EL;Pq@ws25w1OcLdW4C^R zrz#Xko?d*Ptt-S%F_{#BU-V}hA6=u4D|XI1t2wHqa0O-jm1$4)LnwXdQ*T$5_!~W~ z2QD)FPqZd3#Cf=R8ZCulTiVuBXq=?i+O-FIz_;=s**o9vFWa1ZSQR9KBih;gl4Bs_ z?b=eQT|4M60Se1YHtJ+v9Ru>j^=26F|GzT@JLRuwEbDojikv3~fwVtBnUEsuwxM8z zy6XV1F&8_(ncI>^&9^O~gC5iCquOyW!zh`#qJRv)-5n z^bs%4Jh>>M9jFmW2#0W|Z@8KdEB&jwZG|2JwH*zjxkCcv(**f!Pug5S{}`s>@`w{1 z{L8l>npCBZD4*Y)r^f3V1+Heek#t;;UIwJkxoB^8fZPj>(*(dzhW%$9>io!A$8Us; znsM1@O9D)9>|Y4OYVYhT=!4Ml9?TEDqD(5lfaiRx?cowqClv69ZHk;)PXFq5;o+8H z+^BJ5YL9XD>IZ%oqYXkZ%ZW}bd44m%-7{X}V*I>zQ)pRW_ZtTR zxYstGam`$o?!%zh&D~Tw<4HhH@=sI>4f2#&=il?ycljcC`$tNbI?i4@?@xR;->}&~ zok@GZZ*=mEwvpH4TVKfgrk>hN_J+pUyI) z=-4NW?>zD*YN{;6rfxSaFjISr#SrRC*iG}6c2iE;#4+0;lu><-7Ll5%@;0)}X*cjm z;c5GpwxGK@=s*Sl?z{iw2HZZ+$0pck)=Adgw~fG1g)TivuTi17?DKJBya;rA45CL67?M+niSx-GW%Kq`A@7(k$6fN1by~KPws~r; z>nj5_&ybKmMLFrHyS48OzN8VKZ;8t0P_#3e1nFi5vduA%HAoE(XpQHHhO99co3CK% z=y^JtV0a#_80m%v5bB$R%QTTrq}h+VQ}*K@|3voV4-U`#Qi?mCe&y~iewpHq z|CF?-8I-Sfxr(n*AMcqxlF|(i?$q4JnI|;qaq{segL@^-N4?kD5aH$;zJYHNr2+tB>6_wL8t*jIW4U&Jo+_{&q?|&~INma?*ao zKZU(!H$1y-%Z55NVh=3%uI<{l+dRB;#Qv-;x5$OT-i5&dGpVaSPOv4X^sMO<-24GY zqpd`6T{-n$T?R@n)`8|GaiH%0gd?41uYDfsR}z`M_S@IKh+h4(|MwZU18`VZ_@ClL zt?xF29y@!)Rmr22eZd9to09kXvRBESm=g0cqir@9>ew#tsYdwh2pj@mopYj)S5KdF zw>i5BCl1B2Nr^jOAP(!QEv`M zHg5EJzjVwKsO z|qYo7kDm?O28OEI^ywZ{uBZiPwFU zY$_ODpigSH5im$5T#X}k?+Y~(Z)peu1pM(|Dhq;u>Vd+}LOdY+mKn8_HSC`Y6QhYH z(`0@-Wl_}OrlZXfth7+m3xJj6Y{?|(u;5%69j&p_EVxeJ3qQ=>;yP|FKWtXF)a)#F z0oV(5h!gj?v<*menDIrqDaq}(d-sOMy0I@*{>eP&a(&ImT_`Dt~h<3B(QuzR_DDXd@;>@kmnNq$i*Ii)iIJ!Np)!E!ig zS887l{Us;x4hzpI|HH2sc9e13`!VOHWj=eP8zWS4FM+T@5cs+mUsOV7?EZ;Cd}OL<4p^A-(H=m%W`juqKhVjB*u|DF z`pxj@pkk^ttwCWw84jtICGbG3iVw72{oJ=#-Ck*vTd5k_yD!-HF%0c#8ycxQJF-O{ z2jR(%+h*^^!<7hAop9QTB#EQr-gLBC=0Dqq55YkT%O3Z7zuC6;5~2RKyxRyCzTa+) zx^x?+HBjxyJC!-#D9!qEQ}2xWZG@;_jo8>r?9~UGnEk3x(Mk|E|{6AN()yZ^z}vL`5&*->)@gynihbhoyY>TpnOU=1Zb z+m3NW=KsAHCq81j5?5Ly5s z1efBLoUDNmj+qkE*7BUX1js)c+$V#> zhBkM77TfKTKP^e|Gw)kH=BV3L*6p?tZ8BKAjC`#_5U1tY2)$pl7=`AHc-x({+{RPmIIw*zBE8D+>`JL9hBIuJJ^*x|zwE_m47=i zr%)A@(X7~6KMBf^Nqw)`l2)`k3wgiD?&ngNXlcgS`EU-so&P_v2BxF7Bdwg#COKTF%kM=p;vCXF&FL-#gVY|%CR%T zFFOV6u9}tDW#e``QY~3&Ib#RFt>#;HmZgjCxvZ?*xm``OsLZJH${v6tI)X^unrSqW z=*A=`r3AReGZ1C;8jiw73W+E?W}9tG1Kr6S73+BM0tgUKl@ zpS1~}a!y!7KE5pn-eB0;P^=J&Q}zupE09ZJ*uUF$Badb=Azbc~Wk$s4m^M_eY#Yed z%XkZN)n)tH-UoWeVhqYBMtJQtjK1`mpo^o)5|?=QZ*Kw|G@&+3 zD;Z5D`*48TXem>yLDIEJALyAnfSPeP0%JYOL{hM%2dmDMufs!>NRS}I_TSo?Z{SO) zWs80Vw0 zOndYt1Io2SxV_=)830`uv@MraTA?#aNWQ5jwVuWa8WHPg==V&tG+#MqMF_iffA5>! zDjmLR8wpt>a_h_<(sn~fjZV4=n7C4MBPvb;5VMW}W7{~Yp+G^9U|51VYd2XmcI%F9 z+Qj3NOUZoMTn?5b5OBF%?6D0l@GEJHe9>cRxrq)y3{t~ zRr`p$1=8}yb|Z23z2seT?cMM2dQaxt`Py;Ulk2^qBwI(<$3tM{oP7V*83m!hUv-Co8XyB!pL&3S=7hCJqYA)k9XvVE87ERZ>?%?xv{tNvcmg2cGjC|4VQz9;)OBMGqYTN+*#Vv zXbqekvY)PGpAt_F-Qmoahi(@G<**-XswWPM6Jm`_Ouy^5Czib1o}L?d zN7);)r~NSBI>hEDUh%~=IfXU^y(G}Q`o~{0s(Dnj9@&u#He}0nfFtnHq@5?$JKsL& zPI`He((~rrw3K$2y-A;^NGj1k{Q#wt0HvO{@g8-`^m-o}*3^-`pHBnK3u2C@MUZ!=V4uV0Nm%g@d;rfYte!IA;?HGpM1`FZ;{DMn`=? zAg2uU-S+eg*Vhr|re5F%3Gk)3zIew?82ahC&!pXns z&xo&kdHYhQ2MSks;tL{dKPKmv8|uWDee0ety!~ovWtTJgg^_ZvbEb3_T4DYyI#>I_ zo*hq%r2iNo%??pmbAIFa(6P!^@3JEf9iN;V|HL6u(t(CTp)-RkkGvPn6x`P)<@iAx z97ad&=j|27Vv`(9~%+i#TL zZglEN6LP2lGNK86ASN-@Osei5IYb4o!_lOXmlZG?Sab(S?i(?|@~w&FU+=T)>(Lo+ z1D8o|b%a^BhoEzM150-AgP$HyWCA$#e>ls|uzXecb1yG7oIJc#{|=4cou;?jli^R5 z*#P)I1zQeZ5iz?4=&-o*uK$N66t^V7)-{cyT7pz|rfQcF|-fgMJ`Gp4X>|Wd6itB0eDV&%{13~?hs%Lq}T;mHnmP>7C!7qqLX$yRs ziPARRq%ePG1dwYF;QZv7p|Y55*dlz~&Mk?0CmeF%hnR~aLGF8B{h!E&ZaTnY9ph~_ z$p5NG2jg;%Zgdzy4ZuNmu@wldqLw6HA%iQH!gvB^2R_;XD#srH7xZ2`@G1CW|9j|5 z9~9GPc-oJZG-BBSSDS5|zQY$=3;kDxUziA&c*9*-`%?_}7GJ3TLjuUl6NCo;RC(8I zqXUdDo>-D)joc#skYw4qb}={m79udP0 z@eYTG^nhh!&)E`#3iESFqoy6req`nI`dj+()F%YU+G05fuKeLJstouj=Aj1c3yt4I zM)&Fc3HPJ}t2ootd}xg)Yu9IP#XhVOjo-Fmcy@3rhC_A+Ksf&wJ=Ti1>@B&s!O<5=2mq+VI*>pPetby*Udofa01n#>~(SWsU z{AQK($^+!Fye368Yu>1f7!Pm zu)X@oEzB4bz4b(6q$C>l;OCv(3y!tbdDq7WdF;n=v(j%F!Sf%yDQ8nP+~QFU*|yO* zj#mt($}P&pU_;5lY@&YAf^VRp=~_2+z)~)@a;_!Tnk~~EjlxzXd#8YxGVOoJYgH*$_C{16j3o5Wx{JBgHropoqm2Pg zEOyb}jA8=Qhh$5joN_dQjpVdX!061Gy%*XBvDkvY0YL3mm$L~(k~>)$GVw8J(&n^c znV<)QRVq|;CexgE#B(QR(^{qE;tX1r;r`rzd>y0n0T`5zrP177LMLI|K#}cVKmEto z7?f8JprO1zkbC$IOw7;4*RaFy%iuZ{^BOhu8U}eSApBYcHeAd!A{;JemmK>2Mi)SY zQrf)|5!|n#qG@FLYhY#d5c87Y~Lml_ig^|PB>r+=7}(XpCMBLH|yb3 zT11R6c=YMFG?C9(U+O0u$S1bxKl=iTK3_1x>Er9aE`H}&cFLY*tpaPXGiy%oH@!w; ztnIePq0u#@RP*-kJV9<)bM>GeqBGxk7|ncq%}zc`4xfd#d!a9>w~{=JH?01_nO1M_ zWFX@6=Uh3b#>(E){)jh+zgpAFd?La6vq!_ZWgIC-u)1Vek$So)BLB=1cErGUg_%sX zMxXG7St>0!j&C?u&i{$w7Gain63n#CE{ip_#c#MdJKMlcsIu+e5UA)UnOuuv z7rdGG5yp+eoNPjQ;hILZ!xB4a<`hm)-*1_AVB>%p5Yoz7aE)NT%hLdTVY14442AIM zXmf*st|8t(vLWVrEhiaQ$RZ4>c~X&Igg8QUqZWPO5T)5eoVA_sPK>M~cIKiJacY;W~}M^P23Bmr=tN6(w<>QTaSK&|uHJW;&&5;v-L`|6d- zT4DQ6ulhi3-f^>{zT6nxFnp;dv&v=0dSA4dF3z2P>xGY-Q1Go_cm>2*`9}qv5K_xY z6l4|LoVx);Qj;Swm|?PwFv9^-c+>K=(~JeK3LuYfKv_WomP9pat`P))OWZT(v^!_5 z0F(rr(y?ro0cu6IknB1qlaG@UE={&Ex1l19@fh?O-^ zN@MqQLjj{DdacmMv$R_8TQ2YuQP$fv@l6Rq-YdN@0O&?m1WKWo9)iAG^_DIPqAq(9 zFIcFSa#pg!ln9^s;!S+Q=oVQd7(*H&vpKW6pm$z=+&%b`^4y}+u|jD$w^e8-{SI$A zMcMS#4M9hy?~FhNfOGoYyv8uEeDNv$xkMXGWr&{92l^OE1+rKMT--?|flq;ppyxr+ zl{mU}1^CIF#pch6be1kDVzJe4xF*>s4CBh@_B9ozBBuiT@jpuJ4Erq=H9#bC&^YUT zy1ocwdX@CYxdBI7i62=$ov%Nk`dm!umuVMrz6$t*ujvauimv`CUWVexNnPjk$fY_3 z^9Ld_2x~0ii|V%Fkn0W)Jw#K|bA2ux=t3B6V-+}_*{I9n>s7(Wg6{juPW?NsP+Y?q zVL&d_1bY4RIF7LGsGZ(dY*1VM;es9kp(1{y4;MsU<%q22b;4hf?IO_;D#7*;1dzgV z*`{@6kFTYsy-~5*-Df|$=3XS)&wciiyU;gg|C(!$?}YE~PO@jaG4x4uO+HH<8n`aC zi!Y#?!JoylU-Ur`K`d`TmVm{WHpOGMU>U+wIPBCmfok>_YH0H8NV5%$#v1$ZlrXjr zE%i?>hb3_BW8rP&O6c!gecwquz1wZ46Pdkg8scXlPk6a^x~ulH*p3uj9qG$Gq+??i z9Aocp6Bnm%M)-V-5>9f}bf@gS<++vIVRdx-~hYLQB>xS zd55VYr2#pR)SU1r^lqSg(WV?wDM*e+gv8@QLtfa@;k!R`V`2Au?e?hGH)$(kMsKyxc=iWDrsf`)-&?p8 z9vp8pbaKJ-`}P$^=G86j0)(gY`)qZ;l<$KV^EfVi`()qV{Pmv^A$`gY4j<2d$=UeL zeH-uf+82_`s`2%s#L;fPywvzW$vn~*2+)tmK-uOu+#v>+?8?9y@z;Li6Whe2^WF=U zY`wKj%v$}Uq7FMrSeV}1cI>kKSL}tb9g2xgMGR$%%ROJ6BiCV1y9PIHN1!dn_N3TW z`qs9aKW&?!#oWgxPhvOeeFp;OzI?wuxmyA~EiBsVgh}c@`^H7P@r=g{laTI>ub6+Oe_Yg16113&V1FLr_0y!NZEp&LqoAL%P|}$&Y>-K07X-}S{wbA?bX~JCI1YA6#GcXdBT6m;)SwL4qMo1eyPprBY5tv88 zsox=@_jDkliLh9)N{$9FqsrOx(>(^a&Cvoa1Z&5PBE%lr)<|U0807ude`Q8y`?Ou% z$R?+%8Qjr;fvS2lGp%|fiP%8$T?@#G33LAXD#jy#xu zLelv`KRgD1kpSMU&Z(^7Q!cxiRX?4xxK)XWTBhn3>^ybCJ=!dq%XE>$t1FlO*Ts|x zr)8WW&;M6jlSB!12FSGCX!5CjZOOyN1kxPuKmzwMiXF&v32Zd|67hVoy0QLO`Hk(# z7x!)CcaT->=hhk*7fXn0d}Y)_Y<0l|BmW8p%>uq+_V&*sb{M1REm9l^c=QNX+K9zgQ;#+@zQvw2}c;J37nh~2++YfYJ zyhX{$Ex#P|ehUo4m);BVe8P+-0k#!q(pX+pVKeX34f-I|yY~_OeW{VX(${A%mhWFP zsqS;qbaf`pBAEr$&QWlxJH)nf!MxL1DI-zaMD#+%vjUc;Ww8<*yd=?o7=CZg?!-`bned8u(P9#FAIzCX86CgdI0#PJFu z4`ohg`s?HVNvs}*dKStR?Ni*CO-8lP-c1xivgQ#1eV=zCSmsfNI$6JchqvCpvpg&J zoN<^0{-AHZi`)L3vT`r!`l^zscx7?8rF`w$sI*#^JF3mjE20wO^DSMf!4993WCKyB zh)*=b=e~T?)((0z`Xa9XWCKysNAG4T;ANJnH&&~WsS>JTw^g(PHlU)_Y?+1tEnF3B zf&*3;>Ou$!bU>3g48BqZNF7S-1sbTQkWkI5?^BoMORwcB{1TATKg(748e6Z+Yx|QI zQ(oJbc+w=hFEy@_;~LjsDSZv{6n?6k@bHJ9x|Z;;OO8A7{=qcV_>JT^psemieiGyF zby*YtX-&?jHTjp&2LGiO`DZk}mEg{I>`uvdnme}z7M=+~&+g-+HM@tmBfQi9^e5>* zbOvL){^<4JYQ;M}P*$!TTJ_WSj0FAC|9Gk24<*;$XicMzhPCP4zq+w?{Wm|hb+n}r z2S5H?ztRd~s;lVdHyr6&sz1?c?wtjT6gPVlVrIy%|9mnn3DfKlc#O{DNLFFe1QPfg z1JvXn^0>G4LSmCSi(KRPZNU^f=Nd#+u4xX!k{>=3_~-I*6)u&Zj9WOUqch>O?fE%# zo^)eW&`+9h3T7u-elSN4sRKs~>|aGe^j~RKIOW0$A=BaQp(yuS5E8``$xY6fd5_`_ zGKIZ06x{I<&$&k_)UX&xBY`{S`raUYxF=dH$F?`4LO%% zQrj*1DDKk7WmPhlw6b2buowI?AzZU1;E{Qs@%SPNH-ryJ5aCb;ef-M3-%BlHsf@8{ z3I31^%-lNeBBO1_t3*3S8%eP~PD%hLm~O;}2bpeiq58hFPd&N=iIy;}o?^Wjey%mbuwsh%;b;)l z2Ow}CCpc3~Bc+CSA2+NzD*9)LCJ<00!rKwKqv8)bduD9ORdk>v1u$Md@n?Jh?*J!? zE<3FOFSK11`^H5U8XN}^NSZcwV*KP8x+)50F%^uY5ssou@-&$+Q zx7$VLRIk~m2mvR1pl#Yt?}F<=?=lH#Gk^||UKT^1VrtO6T?fWf7}32IYp~*Eece*& zdwxK+JWKjG&lCHQQ>mOAAeGtp4z3|E@`9ykMjN!HV?)>|CGHeCuv7e9at~RcJn#$I zm+e!^jB}X=F}Rl`B)Bd3ABaL_s>Q$_a(ot0d$%$Zqger*3CH^M-AH^R3F@u(4RC9+ zzL&$qwUJ1j;HxNI7zw3{C0enYMpPK`!-zyWFCzzWn>Cqi}2a4ynDZJR1o4Z(SXmf;gdujK&9 zBebrJ_Y?sK`9Nn8146=&v+ngUIW*yT%7y{NEyMtcAaq$pGZC2D&9R+&E$9OOys0*=pe5MxZ4y~ zKho8r_Y*^X5&M2JOo|f~A;1gYz2l}1mU|D93jHP%gSo{Kyr6L{pEwl3D$sjQi}qaPJGDytE?uUaz`=IrTrWpC z>9ri)1X=`mpv&SCRI*zL14w~zyVz5*C2pJ7N_>HMfKCxSU1Wk8+nwPGttu_~K300s zA|kk0DFgWHt}w3L{vo}uXmS@vuE9#HkqNzg5~->``|-h&(rlq$Q129ILzr8{ zf-i^+HUcU~UI8r}fVO~SPWZwPZ;XLd+)NWkx2`!p(C)Q$0j%=6i0*Llg3jcDWvzQK zK3c>FtzLb@uz?KyCyLycC|M1F?zquTZl*$$ldru09@Cj(Wq~`##aK!a<8=Kx~|`IuMYjET&rWUL^xI2 zQg;E|=q;|Q2ZbYT%xi@Rz5B2}T5;$LYCKiZqOHH?8!h6J*FggI8xr#zgc0+*Y9S0i z|MZl-n-!4ZXwXHh=lsf!SeE!*w-8<9^aAuD74J@ao>FCzq&}868Zjuo;p^bzDTTzN zG_oHVOzKznTr%KoXz0;#^!KhCnMK`@?%{OX(G`p99~v6jpg92-E%tDO6H38^z*7 zh5`*TlZsOd0g}ZLB4(A!UXcv9CPZ{@cvy&uN-*3yC&K=g26@L@Yi^-smCMCrWrsT( z=4N~`u zUdlJ^F*8Q?=zyZVU+US)2x}pYGUx!LQw>5qm@1UpMN%pUp!Konr%SjaQKsBfGZOCS zHYW(T`Gd#6qc(Vik3NB`X->hFFv?!#nwSenQ87wQUSek#+z@XD;mCn9C)j}G$Fj5u{?Qs3(k{yH? zM|gsLKptOs{?OBR+F*?fDj)eal`mh7$0*j{(=lr3dp1bW+PUgW+E`)ZLF#0r41+4ofXRAp~`*`JPy zOf8^MjAh5OQRZ<`Umvof6@RtYI1=U$1+l99>cEPaimS&fLH|U&YiWzm6$_GVZZAto zmOh9}Vz8yLp3<%!vv-O7b0nv$beA)mgD*(5uFPD}@ zF1Whq?DP94DQBhW*FYNoN$pmIsAfQ&_68*@ zPHQKq9dBgQsvZ{vu>n|AiEQUK3}WbEz5WONr1YZYEDz-TdRZ~wS&&#>mqk)du0A=t zdd#<*TK!cdGownxmgz9dIHMzU-&iFD*DElAYZzBLH&qv-6&e_eA#1;14~&QmX#Z`1 zjh(&;`mb_FiI;sY!>BIz^zMzra&!!lB@GyTCBQ7SZ;Vq7oRGCLC~wQE?+yQM{mpnR zbw5=T-9L-OfEY21BfU^Ks2IHCfpON8wY&S)V#F82pt$@{D&m1Zp zRk#yy|1wq8n=iyI7;vh;b$yta0Q*Z8O?l!QxaU}%$N_{prZ4X~hzyP(?WRaSC^llf ziKP``fuKW|MFcp(m>Pkf`y1h;Bl1V0W%&m8HPP81aqa1{HF`uEiy^?Q4pb*Bz#)KT z3p|Ok!{;X6k~8TY(y^Gbii7|g6?mZSRb<7OlC)zl^1+i%b4*Agnd_t$TrIsTg7>28 z238e#qBgOA(5iAHZ0>S#L-5FdxVuGPK#?3;E|G3eL>Bc5eEqct5&|18)jq1kEf)6e&3pCg2Qz87>m^*h_u;gu_UX)Yw6|zhPw7H= zdplgLIJ27YNafZUB28^Wb}QLOeuIk-I9BzWKsxpPC5#hJ;~vNB%o`t(j3&xH@=_u` z@rK|i*w74&0H5WGg&1l7^&#sbnO!_~5!u8O5|ZoKk?%rWnB@-nO?;-NCO%>+~AV#|ktvU@|sw znvwA;8$wlTntbn$YV%3odxC_J06BQG`mtXF_bdKQpL*S0CsHKm!z0%czIAW&aN_!H z9$vfaM9K~N`P!X%F>XP-rlEOh~K>Eh2Y1Hr6e-;}BdWFpFwPS7xRy6aqc*Gqy z`=qa=%m8+85AYzfJhOwQB|4UlC_Q=jB4)AzTV9Eh)s}| zQI`$|QVbu&&}NDs6Iu{Qahx#_T787fI<#DPMmVR7Ei)HFx#VLq)s2eS7+Uh1)-+7R z+G!^|I^!2DAxhI9N0p4M+k)`Qj*n>{c@)?vY{&Chq}ULy>GiO7G*Ux5nf0OXJD#AbBS%C*Rp=I?YBTlqzxlp!)}Nwy1YAj_sd5rP6l}b?U|0yjL)$$hSf^zlBk>$yd5^ks!$*& zV1x~04r4VfF~o^@;yoK_jmeeV07}{c8LYwbppewgBWRXAhg}?c2ED6R^r>5eu||b~ zjWElj43!GBx?4{^=y>Sx&7Mtw7p)BgnE}!OHXo?zs5U1#Tqyxalo;KxDITsQvyOG8 z_E(f6`J-8w`du9!oK8c7+Ct4>qgw15$Z60lnV?AqGa+JV&@#B`fy@T_xB41ILPOUF zG?j$w>18;wieiIchJO0S^c0#WXOKiai<_qYsHjr$0J$O0dQSh8*fqY*;rF7Cttl+Q zrsc~4i&e%jwqfH2v5u8edSp(9LK<9umbvP1!u|7a(ihfeQrq?PDXpn~rTY?LWOkvg zP*9t0h@0o|o3s7YlWD%S@t7fyv?Kj#)7)*tZ7S+o5d>{K_jX=!L@ix84hljHbUy(o zTx(K9cctIZf9b)*VVcXNKh84W_(`f!@=H!cwr{jWbfZGfi* zL^%BQwR2^pjzUYet`HI%H)&HGzuEASAq3Cj>fA`u>OaupO~hGvL{c!)zxpc!nEJ23 zKCi(e^rL>@WPN`34bPe=q_F`iZb&6TPZ)4iu%Z?1NxNygsJHkop)JO{KYMe`YmOpC zU=gwkTtB+=G=100E#|OH(=LD=&GO+(8XciaP!ZyK!N`lq87DQZgfG&9u9QDvlg;0OVo_+mrxU^oC{hTm$7fb&69y3wPv;Na?8G4lvzR?8I z=a+2V-Fe{t)IQyxT1fR(Q;E5DUEVuf^tDp;#R6S1T?T7^;sly~s6W=7uLUw)Nb4cB zBW_UXuKM*o8^^LzvOQ2}N*uc;fkk`9*X|$v&q4l5roTO%3;ovZ&ag83t>Af~qy^5; z3UaqwX&odxW+U03`-O8T(Aoa+iBQ5c2xrd`k13o3E#<5Jm%^DAdn_Ehj%Car3Ry>( zhyy?T>7S0`8^%mpSv37?gG3KHMTxL+8&qNXY)7a{RD#v0*$@HM)kEe49Bi>t8p8xw zPb7)+?4<+tcvdA*{q zxbl_1SWQs1;El5=raeauG^#;aXusI}EMKX9ruVCcxb~rbGW!V{qBW0LPCZTKrL%bb zN;OlR9E$7fe~pucuekZ?G-&)}z(Yvxunw--mtsNA^-xqvc2e;+87qW*=UyH2qKOt( zrb8$b*0x`I#a{AhZRHtfW8fFg95+)u0z0bWErWfK6$icy5jVad1DAy-U7vc&_KkbO z{&Pt*A$(O|HiE$CW|@w)?~r&8cWs=A{gpA2du?_8dZIEF27}q^#Qtp{4ErDHYfXHJ zn*)U2BgciZeS(XJDu=)~#0?6gtaZ7v$q-LgtZkS{#qa&b`ODLkPJJ=)SU*WRlUmU_ zMUnn9Ql3s)%k)+5tYhjwouQx2-}gN}W(CCr)@E?}2VdrqUW^$SD5oYW^^X4Zkw3=w zu8qdSt@C@SC^12gxO(a?64iwL3OK?aj%6flnK|^^{g-{)dd9Ue_I_Ni2+q*;dgXYD z6jSv&0YE{ZtF7zAPzFdKl}oK=;~NvM5>aJq1}=wj=)Z z_qN_n3O2ifE<*n&hm)N6K*=V}JBgljZ63UPQB?Qtr&p>E#k@^xW6&2=hP}4aJ}pF4 zh5`Z#5cyYUKfplopPH}{k)%?;qnS*7i?Lv})o4U_Ez@JdB1J#w#f8$}GoZh9YU7yz zO^CpxXyPKEg^zsb+O=y|y%Uhlz1}$mOvZXS`3X&s(}7{e6KCE`eRR3ZVpfyamdg}( zfVe^h^t96p7^Tp1W;PMy+}o3V&{AtWaNSMDU;l3cF|i^zV1QtPZ2HtOEwl|;2w!%j zXH>u_Tr_^K^jd2fXGX(DSCFHhjd{MAnb-cb#> zEA$W5RqZ_*go|OY_$P#Uyd0L1MdXW+H!(KN|PRK}x6kOv`A zr*1I#O8dc3SiM}!ij>~V1_OTB;ZyySE8pJ#x=<+{QIv*C={rpRcMbk)N#g(igC2B9 z)4v3Icnt*ULJx1mFaD($dEE^&uj3aw%Id#&tIIuCOaHk@N4@3|O1t?QQcs5$&1-6r zKBaqT_a6G3j8S#92_dkP>2j?_keZUmwCj1g{J_onV-}P*9{fB#;c~KpQ4~G2b3(L7(J`EW$L2irY}-NThVJ7 z>gQwL=Wf^@Xmf`;>T`fl!hx2PiSK;JTZYkn98|I<&`>&FQ&jl?%p5THbHJ_p)U z_XUqW*GOC0w2b|n9G#=x#U3k2VAfK;*|bndHB107q*3$V-)$Ig^_@UslogeIj=~r& z41*wN8Y?K~oSlk-ZdFvNw9-;zj5qWq_FV%VF(j2gGQ$~?$^=nTsZ?(8aZ#b|2pEq#))<#s6-B~8Bn^t=VJak~&kAZ9 z@a~*S(irwWWCCskB*n>SHSK$EzNebS5bOuMdmeh; ze|aapmAjigP|HU#9Tx_fPNLX=Ht60fnsi0QYIZw#*eW)L86nE!JKau^+6>GFEMi@Q zVe?s&0rin<$4ZQ!{XdPOuRDa_=)bOs!EDj5brz&lLU_%VNBszwv1qCvcz(S?H7F(M z%_KgM67A7h{9`S@X*UXfB?qmjl6mysn)Dz)(^vNyJ892I{Q*DMvM!Jqu1W{;pkJg* zx-LDflpa`6O)aR19L7r~$`mUZUMYPB*?RufTY~PDu2&aRG5s}H>UNCYg>+3N8GQ8)z0Z^gtDY}U3BE45p8~HPGJU3Rz*ZTu?r(}DNvCx& z@B&K-6E^z?-$%h<)!`!gO7sf`n*OQJf*bH*6^+$GTJZW6nk%4 zAqlmd-WwJM5Can;w5% z`-~nU{h4kiRq!g%7j8ZxAV;{{p+p(U#qRYBJD^Anr|qJLyx)Nlo|axJ&FWI z;!GG8tr0z8(^1sxcp_LbB~WXWX=mK80?>22P*yuGo_0%juyZX_qnPQTw-znJ{~v4b z0w+gx-}zRz8qt=8=`OX~<+&KTYHH-x7y>hJli9h6UDc`)gQHwV+F7D?e2qpK5wdm` zaC|q;V?$R@jTnU?k_d6wywHr4EXjJ8$Hz(h**wq?vBn8m9-G~8^DvfUC)`~p9wzKv z5+~+RpKTHXihHmCWA=MpA9S^JLy+^;MAw!_Br_~O!_vAguRtTQ<=lLL zkVGS!StCpJQrX@y*4W`5v){{J;O%Gp$NlyV%vvIzo}`dkKF)JK<@1t#dOP%D@~b`d z(g&~HkDGcWPuy;M$Ee+~IV*${R{4+NL6As#yZd48_d=jy?3qWBW4{Xpk$M=%=bIEP z7JPHWP&T`+s7Q)w-o2*bHm~!V+k-lanHFl5*so2V99G2(aRSn5W4rq9jqkmdc(pa>Dt7kY zNBQP~I&<#hps_MEuTOVQv+jm~`%&kNyJyuM;=7k-2;TW|Z^N#WB49O(-w7#~D7m*M zd%dgDT!PO!7H02DQ?s{_beQ|T10z&c%)9=s*=R>(@7>jUw-)pq7`3Nw>UpCZjpoR& zYef$a*Xw(s#{0-wbRPCLk9@*A>Gj;*4|?+>pYj$u5kVt`_3mwlE>egtmBF(LgxU9l z9mXwY|HYp8@=h(j73-<2nmRy94^*g00rhPjjmC!wIAoHRw%TucDBmd>%p%83h2iR=9 zq`+A*BO940I`-WRg()I47-&urJM(xI@yjtZr5Ut<$39Hv)=n~ylDPVWG5^jpxPmzR! zE2@Gafh{ZzGB2=UKjV^S9%iu+Q<}vG_NfY;IwL2&V0M?mkw03VnRn6NQsBouAO8|q z_WD!3RWD>|3?;k5z&snJlw6bU$UiduiI?>Y{YyC-#nK0af!^WFcgh8+Wly!RnPJZ ztjsl>K?tw#o3W;0Hu1Nvi2bM|229`&TCX}Zrcb>arXuQs$b@!q$wAA}4)qrY z4pw{~f<4;7hxtz})lY9tlwYUT&MjRxqzS+szJ!Qw=(q~hmZk^U7*_CqzgX(jA<#=9 zFX}d!J)l|x_~2-V`={*P4jR7Zh1Fq?at|-q#a>KIwVH6B2#abh5K$$?x4wP%{=+i4 zlqIlLiZT?84}aWb5j5;>i88{$uoGw;@Hv(n4kNuFe1NiQ3qMxglv2)X^F*o69ccWj>t&mmIU$7$XWSHN=?cj5gRO3FCElgg#G8U*ErSPEHClPV~P3YTPyfTQM1AW z29yZDmm=y;=QQpQum!5?2|E7cEXMbuT7vfyc~Nkk`@&^!j}TEbf`w7WL2+9pa3Tj0 z^sq4jI*aC+>o7pbS(MPgg)}-J>Rxv3F12fTWJf*1f9gZI>sg*V#d+r*u5nQL##fjq zdAxyV57J$#oiQ;sPIY~ranOoSJHY}0i-kzg1KwCja4%`4HOWRO@&#(?LQ4 z(m*rpFL3lq*Cn7tXxmnI!@k_|_v2=iOsnJ)QWQ#P1126l!qX9XkCtb#>x^Q%nl1gC zdYOtD5J7fUsD>@C)E+JQ!J*P*hItg zJj??--LMw|Wvf$hIcQBk^?CQ0PY@Du4m%=a1uu`^s86|!Ep(AVUnG}m*Cq6Eq1dzV zrnWf+*hoX@M1z6ECg5;fC;^U^v2_2Zi_*X3vbQk&_u z8v;l??T{aU?@=oPE0NdQ*$dxx> z3f~Z;&NV{MV@%y`Odzv|M)*qmFZhY|AC*!`8~2;{qc)f^0uOZMR*9n3`Tj03;%}S^b(P!J^k8(8^xqYif*~mCO zXy+egqxRf`oG+AOlxMoJuW2!TAyw`M>pb1Zzg~n);005oA%v!NeG}LTfvfOkTUUSh z9fzXMP_&y>OHuSKY^r0AU|mfr!qrsNaLHwApKfS|-}!E8KlXaQk_J|7FHsr9XowI~ zW%`@#KzFjC!8~s7=|&Xoj7$6JJ_*_(8_U$HH^c`x<1Z05+X&ijkqFv=EnhPUf z3_)yLcU*^K3FgD@T&KH$g5Di2tvM5LUpe7OMh^0xW=-f9pS<+)WU8z^ZT)b1mPw68 zyBC^Gbch>}GOQ7nQyKLUvI6=uEkPhsl>Qna20EyDU~9@Q6Rr6XVFEwHAPE~uuQc_` z@sjrCVDkxgnEU`94$-AsdEcJPNm(AU3Hq6( zW2x;-q{#rQzCDWfMx;-oXnF<>+Z(yJ$5}` z+5PCp6KCl`?{NJ=FAn(sL}ha0`QHH4=4#z+fe=mox?Li47LOmV^9wQgYF#tuL|Oc< z$dXXaA4%uYl}QkMj6z|U{?A1GiDuc%H@Io?+9>#5#=(gFf+-C1Gh zK@*=MJu~z3E-w*oHa9bxgPRLr=mudn+y;&!cI{=)Q4%T-dQ3?(*?!VXo~Vp&{I}WT z_3Nh@AYR@q6chk@gE8wrW2SHZBT%M$o-hdxZtwAA)ORqM|7#o;_J8en+`kZD?& z(tjG_o~cdXN22^2V+RM6$b7AXThx>I}=PdJI>bNR;)2Q!0zRaHLZ8E+64K8>NSneJ7p>mAecOO z0w)FT7&t-6$^!;rb;HX3uv-de9S>;Cey1FA;rKBTc1Q)bb;VTW&{n-1lugK!)Uf6#DC7VmDupIeI@iuq75cCIG8VdTvYZ_h~`YQ=>CVuWmihEq-ixCvPYe~b&+gOZn=Z7xpfl8v4vRn)~ za6x&*+v8z-MnrqA^t*n`E-IMH2iZC^^huY^9?+xSvtDVWLq>cKLSYLd$`U3@4uCwBJ}I8+uNPT@8dMFTGT1e_){H+js(6gISl5)5e^lIW4GZpA1yy+ z6pzt8l@JNvQ36_BVMNxfR#}6A{W9UjQW!g<-QdLl{U@aKuDoKJ?58EjNW$U9nA`x7%XyDg+HHkG4gtm%QS!*_LVa+ z{ridN!XK$p;~5kThj^4UitM6j)oMnS5EbTG$6Y$P;x8|*&8|AEY#3?~WN*QjqiKZ{ z_DR}nG@kP)vOwK*ZWwD&(@{lqPg=F1E%-QI*r3`6d25s@Fh@gM-{%s!nwm$8f?J)T zyT8}0oCbHt-ByWB3m3wQ9dP=(XFc?pOGl_O2859+^TA=50DNL6E_^Z5S*)~5No!9h zx~7Rzha}Y)!r*(dR3z5?VK6aD{Ipo{65EZsd)agmm*+qTDn1{UYe`&cR@jPfY1@+x zOVtXTMN&D{#_MQQRH_wT{n58ab0ZUWZQ7n_%*VA)P?68RXcolnlxtD6&kGmvokyx< zuWOs#a3S8)v(^%dN5FhC999;a)!R8ZHuwYw!v~cqr@`?kOa!a}$DEIQw$s&A{i#M5 zK-i%2*7*7u#IqzO9J-g-zU3Wb%rT>mt@XeC4I*Wi-6|o#bY-5(DZ9F`hXcGpY2rs5 zyxrLB1a@@Vf2LR8%Hkd?iP-rTH_~b@A8SOd(wuM2s{nWoCeR7JV0?v*J)H8l+OtWm zG22O!-Sx)SwZMtkTz30x(%q9hlN{)vi=7~`r)g}BkcQZ)Rb z50qgz?VHC0h4@p)dh?w?gGdVs{VtY22>?13D?1?rV1mNWaYRZ2bJTVNQ#JH`%OQGU z{~5X@8AJTaHiacBJYW~vwH6r>f(0b(z@qNqaU`!>(rHUHWe}z{6%`J(LQgU4$7{ir zVP%~IzRZao zl>Y9{w9}w5k`>yjgZ$YHOrcn}i@rczaZGx|ww3Js$5D1{U#SrUFJJZ&5S^@TfdX7o zn!*eE%wJ>*ANOGxrYC@!5&_o|xGYX0DPPu$a_kOGB*M^&C$ zs#jp?cn29b9>!>NkR2Cc?1~R*!RIWbD*UpOT7fzk&_$LAj9D=(OWr`0e26c@dF8fW zG{Y~u&;TIB7}=#@llCB55bd1~%HW(|)ra9l)bG}W-&|l~U~>0F7G=2XI6!j*Tc;e> zrE?nc0RqegJe`#09Ltd<8OyGvmc*K zR^n@hPOqJgr}nXB;PvrU&F1{v8c+FC(|?%k=~4DKweQy2M>Fuy>}&H{@RKELtzUqT~OX`93c%guee4{lDLnDty=JC%+! zlX}#tu#n;K9gW$)2tMh|-eM<#&pvaKIpIvBlKj%pz2mydjk<4}HuYK3Q)_I~wXbMJ$nJ3YnO<{!Gny?yyZzj{?-Kk;Fz z+5LmRMO`^3f%|8A&+Xn5jk1~MPw$KL($bBcRnPByr?+GF!IARl4=#P^SHI=t7u`L# z5NV&j-D&f1Igadw`ag}>P1UYW?tO4q^cj10DT*fl=6>gkozXiuUia>6?bf(+SA5)k z;H9@uMXWbxCnaS!=7|#L-C*BSQ;jdJ?D^G$I*Nxvi?3`QT5BF;1W%vYvz^nEKeEeZ zfx(>1o{aptSPedOz*=;MdV7|h_%sy*+bN;oAn}Td?wK8?7=7QoUJ&eLfP%e0;d0ve z!yX%DKIH=Zu4k6W|L{^AI*04~A~@&x_~LYwaHutdzsx!AIrm&9|8I5taQ&or=O>sQ z253dF^CX6*_U@|f`>MdUPIBBk#rKx~OPnyo8vCbwI{s?J0q>=e2B*pIJ;2{jQLcV) zv%sj$E|JL~-SWgf?HI}7-_)&_NoTG5KJY9mT4g1Nz4%$r;~4P<5J%4}aWapfO}cD8 zO1QT#n%so^harOk(m!w#zPBE2|EHyr_t34vFS?#Ufy_{|oXop!%nk!H?Ssv7Y|T7K z$wt^KpEPLIoVjqS zoX|}BxDJaM?d^H+D;&Le1PsfrROi!V}MFvEfuwsHN?b~k=PI~suE2U+=` zL=D?*TK*JBHYqaQT@vz_P>DeYW&Oo4L>lb!LJ7lQlgwiS*QmQ^*_v5qN6~~+O{hh& z?jD{Zwe^{0G$@$~A7-3oWkF>A;ym&a>^L?1l%+*DocFW~3$8s*8!TZui0a2svI>HO zY^)g=lXKMRKO_w#CIyaU4%Ppi^~9AeBp<;>d`FRHZN$ZV3k`ARRAiCaa^mCO&oyfm z);3@uIj>x!NXS{RCvmmLUuIkyAP%Z_2aTt|kP>;Cr|Dr8eV3Xo=x5%n#A!R~aRGdMN7!$T{ ziJSwX_xzK{0Um>lCAcuh#gM1ySie*yjY2Ifw{V5_J&6UtE-8D1ke@mP<|r15NzvWI zZKpc)>{6Y5ZTQ1l{KH+9ndvF=9O2L!gP8o?%UCo-Sn+`uEI;)JtlE!mM!xM!;W@>>30N=V~sJ%GD7$ZLEXcO##cpwy|2R_MTbJ? zsXbn6%~{zG7GiSaY#iabWjwP z6)#Q_ThDNp8;Uu?#ua-v>s)VkWVl1;;IJXXo9z_(+i6OtzdvWTQ)s@a!$h`I=%F+( z^va=hr_eXpNUsXK`~9&0uGU%iBlxYJ&+KT$3MQlP=Nt zyPqVPbk<^#>>Qkgb8ybC#AyF=Gd~BX`Mk;L6n2^v8_zK>1`PBRS+a3S7n1Z!Hc0vi*Eg(e_nmO=n#1 zI;izJDi$!J9)K5eDL`J^iVi16T&y4(%cTh5qYyb<|D!dZ^b5}=>DXzLz#q*BIedf# z&n%kp5mTZkyks!0{9J@*r8`q16=@f3_1>t&@-cBoA_#M69Td-x4v)H3J_sZM4(M8&WY<$r=W%n76R>AY!P))h}di# z2>0)FiH&&{z+PJkt#W7oj>fkvrz}5)vm8BLQ27vMh=7L3pTf`3$$&YHASVl2c>{&Zq&pq?q zG)L`?SHG#f%z;B&rm7#Ci{}-e*X(Gk4mc;E;_;cDO)1YQ7PGDdoLEY5z7!6jp5x{? z;U8gptunQ=r^kBvWp*^KL+@t}l>Ld8NM)q4jL$Qj0gbJKOP>n9{MB6s&(NMsPPF^Ip&jnA^_YDXOp>T zX9-NBKAlRpRIA>0!l4yx;=gz_;SbVF!9YXq`LPiGffInxZ zZ$KS|wd$*56Na7L4^kpw4|hxLR}-5RZvenLsEf1(KJc` z*%6^}#i&+3^GQ=DTIfWl>wkAMQ0ypKwaq4vW?&aI0t6}}q-3la6RG8^7=Df2iPaOO zF{DZa9rCorZD}k%yq|=epI`-(S4P&F#UysE+}+eIc~EsyZ5s z6)yTAW1%tHac-wno(VKiLRKfFuTHNNk;l-RNo@-T!Vj&HUKwh!gCqNakk247M`taT zn{>;;%YSfJIuLq1$Cc`-g=7RA2V<-wKpkOd~ zyf&esz{KTi*kLS6>K?OJzFWbJ(h#W($QRmoerYSNu68f5>fSq7JPz&lqDMOw>zZUe zNoZ6$ynnY9&$%7{ec)kd^+q;9VUz1E*8Z?n;>=#X-7Wcr+f;g0aXu@0eaw5OTRt3z zYCI_en_9&ww9m=ZiX7CuoKCdJ3#E9s;5=26qU3(0GqaU4pg4 z;BB|?7BFpLg}jUG#QodCfVn;6B{acpVAi`tVuPkh@IkfU<-htIaxp+)O^Awm22nyc zh#IC-x5JqIDjJ0rVfajPvX7-E%iOOM8oaYTc-#u-#Tm~$fMKfbOCU3Zc4;7@MSgIR zWu`-O`FzL7@R>g0JuV-n)K7E8dO|l-9aEcr<$Hgdy3BIO1p!s|Mh%iK-`AtKW11F< zt*+}b5M9(4@d7SkrJg zn9RWA4#I?hH+EMr0(#P1K^c8rCf32=p{laa&;xiY*fU&GbP!r0(H&!?T$hUA`1IF) zanrlJtDE<^p1&))#lJqfs?+hWUrKg7;({PR<9H`@YVOdGCF@*rI_xUb%J(+#s^lqM}f#*CLf_*V^EIn@eyh z@eFmJ>DRr0$} zCbcJ*ozn|p)m|>W$4Of~$D{Y;k3Pl8mH^Psp51h%cc|mtm-hd1@;!!EP}SXxXHk3A zW!DXtIHQ1!C^H?o-Lv!zvscVIseG(|Ig}sizdhu}E88YZLo3@j#*&Rox@E8b(9Pey zNm|p!FUsr!$cH64H+-2_W1Vzp)N1wy{xw^ZxiLLzgJ4OGxtyUmj_HpMhTIeN35Q-O zhi08x{)F|yA73IRqy`0T`gy{^KEd?!OL1wD&EQ~DUdwKo2Y6QNwXsM2rK=jRtD(6u zK%A)C$L&$KblkIA9#WcK5C7QP08%hIrcYYcjQ|r$Zs#aq#*1y2BX-Uj9Hmr3BDN2d z*qjg#tog=ISIroMg)ua8lzr{jQs zHu8-5F4(!&P~aT8p{ zj?kjFVX*X`Tno##58pvL6jqHjrUHlXE5Pu{Yr1WL6397pV%VAFK={cM-uTeUF#G$k zP3@{T=y>DM_M2{{G=(4BT1$7JUgmrBc^-gXx1#Hr%Z{=j$*1aM*}pKGZ~J{G~w zkV`GkgR-k*lklxgpB&Ax+V9tDKmFXL7C_R5@ZE~j_choUB;W6FFgotCX>8E8&@3qh zOGO-8v_z*XWA^CEm@|5UjWAb-HOxVOR{OCl-a%gmxx#Ua{~5^2x1Ta7r}+g=`Y7Q^ z@s(eO%;GA;LHVcRUZG@Y#ck{RwHo&V<1}OK_uSoh<memdm@T*?eC<(fC+ieCs z7^Zdei|?Q(x-xJ}gdBcL0gC0hE!U|azMJ#ZY`zksO!^P-n1onI1@a%3&FKQtT2g+H z66HYmp4Th(y8hX3SASp!kTv2APg;FIpQq?>Icy_3=&c+%#-NB3Sc5BS7H;r67Q`z% z=p*nsT1G7mRD5^iPoJYVp^Mj~>tG4BsvY)le-fwJMhhOLQ&D;_2!Y5yEDDr33)}QP z6dO$d_pExyU2sgly*~SNH{So9JmWGn(}MZUjD+CO*|!uYoV>#^*rZ&NWho@6+8-!- zM2s#S3Ozvi03@I>O`;6q6WiFhJTAetP;@}j_V0fR!1bICyg-qF=d5X%C=Tu?>2-K6 zKFoci4DxOzphArFFufNzx76Ym3K%A0ckD^MIEmgL^$H|y-|TEQR*Uxx+nHt;rwKW# zGWFr~i!tZ0vH`uB9nU%yau}ZYPb}0&K^IW?%pO{Gy`fbkW_*HfCs*0grr;&CZ;Q4d zoXCiXlLJh8jR@n*0{KrijCGy-e3#J`hk0OW)2KtPlqFPO$YiGI}jtkH)JK z8w^fgQo9>x{;ZYCjMY-_u(26Zj7EwVr>hXvb&omr;W1gdqIx%=4!Lw~C|saBs!MEw z8pf7Wv_I}aL@YWCR`4O(ufBh?(SuSl#^Q*1(#{i{7V*^boQx0Ce@hD*oz!fGa0_b# zXMi#Zg84na0|Jf0V~%wKaDB${R@W-o?aZ<4zL1 zCltrsjEizOow? z$BY>gGYHqk;r`q&&?MNCSV|p~vToI@Q4ntZe$*vR$>8R6Q18Z2WBBMF+3v%G<~4jr zpS=^?jIrNl4{eeTDH?|MKf74;MJ<3W_z`d%0heb65Ti!Z<8QddD zvJ(Z`i(=}OuhsFP;T06g*tqNym@X>oD~>P^u3=&>rM3I!n{8hmCS~c7&x2|=ZHvbW zeP=`mB(D5(D<86J6bYKFBkTAtD zX*%==EWNO2Dd!kz>cOB>tu2X=W7fZ<-Mikf=dKPDP+~K{bgNfH^pTHl1-+&_*$jiu zY{2B2raApDS*}kz)l&|>B)56C->Zh7z9AP2j_(iIvY@{+gkjt??o7rSG1{6*%syma z|G#8~(ZE6%L&=9rKs3E?iQF7rF^MImD4!{R&p-%YaM!RL^vQ4GbirK~gB+%_A+NXc z8V|r~-*~SaL0$%2zC)u-6>~T-zK8X&vxb(|WWUpVQi7~!2ZJ&rBuqdU;Jd-+;uNQ~ zKrA#0t{2=*@|}x%tY? zV)7}hgq8lzJCG8F5z*ZmuF-P&Ewr;V0kS$ixiUWKZnB5a7MMX;D!bNy{x2CLsuhPx z?3^FbyQAZAN;+o9qD|ORZ!S z3dVo^bMMXG20_wS+1uFPY4~b!dcufFlH3*F1(S&r241bSlEw{BB44zx{_+cMIIbuc zj$*Jwu_rnYTv~$9J1;e&gUJJE@pC>RWo>b2U1&_&u9bhRmQxwha8n|IFMiMaWU$6O z4)*|zaf1?$f;-EnefpM7Py{A1DpewyzO68Y$cWbDuq~9juyemzV<1x%LKjBK=I`+g zgEw&D-2tA9HI(1eZV{vPNo@VXuZxW}M$pV?v(~9LWkObFSLB7bPFo;}{|BSepy@&E2+`iB&*<+2fWqYh=#=1;w(vi%4m8St3coJ;{BW)?*uQ#E+_Qd zZ%n!%%`YPpqPZN?KDKn14`keium+yz4&#=J!UWz0QNH>|%HkLUso|ZpS+Zl~7Y?6^ zVdycAJRnb|#)|!Bga%y<+zdX42t_HGLO;8`)v=*i*iM~}fi!m?{jRqIIc-V;j0=}> zQKCFx?ZNu8OJcj!Y#&n;p@H?Am%)=jnQ$K$bHIMm2t3V+s;!0L*j=qpB1{FlU|sQa zMG}N{5KH5in?{9bq-mO*M)O6~hkGWTi$S#d5TWy)yXeJk2_=Az9Wb9BMDshu4BPC< z-Y_nYa#jU9Xg%}qFP0GD9MU8$@|B~KjtLo9o;Or#-tRQ0ZpQgt4Hy(R<>dH-PUjkHChN=tlP%lF7g;>g?^=db-`H#Z1VT}TwQJ79OUi_>Bb&omrLWrja9S2HH&7&)!Q0fH@uy1wIyGlN|DC%wAXzax& z=$L4{Jm~m}Z)`Te3R$wlpe!AQrPCL0!Pd3 z;3RPjBMIki9KZoz8DZ+<+Pd-AFOfscSTX2=p4dC>8OubiScwT{65ZEO zTs$Gyl1Nc<42R`|7GIe!aj=Q#2QXo$JxfDlbdGe%P)Y0u%?ktS8$7gRQ}cE;7QAGa5sy!LJr`4ST!bE|>-No` zx|BB!%es&Z!sUdHIdgs~iDRivIU=}Ttlo~}7Nk9E)BvLFGeRkh4Di7xXeNAl2W!!F zhf5YtP(hZv&)tU}(O961$*^Q2!boU3!&#b($sJCA)*;m-Rsh2TIt$&dE6N*@E}}yc z2*)DKL#{IZE>LyxfeQM1;~#ztiR@}5CjCRp25E9`ne2VA-el^Az~x!k4B8j@2&ols zGCF#O`{Zx+2Q7}1OLbz{=+D;5HZ+Ue&4Q8o+VW;m%CrK)T_Y=|cT5HJ2CyVK!g%di zo*3rVgeoaf&9J3=;dAMfffh5q;Bgl^uefAiI4m=Dtp`1KHy-_Ay(6f_7}B*V=x8$d z49~zob=VETKLe5U4Y&-cFph%s0=@T{Ohm@vv?n}LJrmx<5F*{S22Njh^~}2(w6K$POjeKl8sS5=x1jd~FgQEg z*@b1$h!Y6&q&K|kjyn_3oB4-@Bjn?GaqfOxEGw~hh?lni%;u5+7 ze`&m85ZOvGY0e~=&=RC}+PFXVP>)?mJqM9OqGc=d>rdu+jVEQE5%(OSCalnu=rM-9 z%%lshki6Og#^Gfl3NggY@*8~*P@eh=ak)#^^hYqq)*0gO{AyqAY9uDA(Y_d_t-N*e zxLgk7C7;@S5I>(_(y;Q`^hWWPnD&t#%-gl(n5EggYe&5jdx6Mg6g>R(7k^4aWh8(M z?ppdaYjzPnOB{si4zPZYBS%G=3dHoAhsZn*Los^OeSQbrWAI@zCI^P`w)Lx5ChL?x zV72d@+hlkIj*u4VPk1PEjRHf4FIQ54NPTgdPe95*TOdz-AiW}hxHTBD{58u^+3KFC zr)skx&{q4l?VI5WL?D~T>r*OWYM&}GI+or%%4`fri-;D3@rt|j2`J!K-s}%YTx}g6 zV~|X`Bi1W*lj$lrfYt~8@?ta4)U2aVGDPBP*EnfHrs8fAdUZ7UnEM^$O~4+=y)<_|aPcwx zC4UH48=iz6H>))Mk;f+g`BlVi7i%#$DxcT&Q|=IzuNx5-&0jC zwv=E&G$S0kD+@_&9dqJi&dkzXF{YV3l-VXHe_0#?QiV0}Yh2&#mXL^fCemWT(T#? z_4v^0m}PrrVlxNVTK{(+(TkEp8KHiwl&q;7PzWXzo2VuSIALayf`jEc({A@TtvyTh0r3i4+vRsY;sxYi zc+OEoh$U(<_6hWJZx~kqUdA2h^OSYxKOo#jTNyEg7+Jr@7Z5+ibvb=6#>`59e!d3d zQU*XU<4K6m3QhvNjF-gJp3)$k!XXhVj<>tJ~Fa@v6k%57t}JnEjX$HAqJ9mhNrS@{7+jsR!|Q-VRbOy4Jp zQk4D-i?v>iymt!e1;{eIqF$l=+>2D*| z<;fGn_?s?%Phqy+y8VA_XMw-o?m|R$~Cs3J8v@zTQb9XoX`oA;O)NM1~n|aT4F1rZA@ir6UI5PHomY7e5 zq+A0+gJrn@I2;0Cz~69~G$7q!9&!2b?R#a|tl$cK;J+$<6zj;95SyfAL|>8`(HCsp zY>Uu$#kD7mh8uKX^rzl8mJsxw4HR(cOLbcoSZ^z~0PC_HW^{b(KKDU<0x^sHM)P>a zE&(eeDNbF2(_pvKBGcN^9?)gbNoL%5+lW(v(=w%pw>^G**qgxF?UHINsrVx|g6sD1+yI`|qLFQe?uZT+&h{28!CKH?w6&IH&l`(XP zw}}*X_k?Gz!C1Q+_x=MV{Gn!%M32GmGSUo!->3KBamB(83A@V1n)@5`@s^?Ns)oRxIFXqZjO;#r$HWVduT z{``>`IZ_^3PRUs#hQ#X7Od=NstgK@kmyd5dPPwOx+cl24I@{@b>{l+;Tc~S!d|)U8 zn{KfVZplm{6FJ6WX!)Z3Q7N?uQy7DQGz_}6K)Z!`YH1Gn#I>@Kr3a!Ct`$VKLg6H`J8l_TRxYZK!%oOq{bppc_3gC|vs#~oO z{A}3}Ni^c0m^*(RMBgKSTD32lib{k#>`*>l5gQC(c#S+|a&PVmbuvfecYyx za{tX|e&S-0)bOMTl4-eY3K`&tSOi+dL^cqs~!n(xc{5bv9I^R}(fZtRcskYXB@D86E^ojg+2? zOa**sW~=~$%M>&U7TsK|z--_7C&mVv_IOiJrVS%Sntwt$D@>H?m{SQnY{$S-*!V7~ z5{ATAuy#Sdj9@5%Nt-9Ojknxb_P1OZ@zej1QW$YS<+R+qU4?3zOJ2O63G_22fvm2@ zxf)^Kw;?kiOBJH!b5q7`s8FIgZ*&udY(wQ1*<6%W0ogA+LS2)wHexRZk+zAh!t#*< z!1n4N3QL9p%nG^vj_HpNNEq===*|I>0t6RG2$f{p;IeUaWg4Uo*lYdsZS$T212jlC zS9#hbB90}*Gy$^598S5HW0qq@y`=Ub)hfJP=vlEv-19t6*c=_+#F!ON=oNFduXy(* z8ZcpYm*(ZEna{}sU=6LV@pF_z9ZMfLyHjBuC}e)Y*#HknQi>XkAytyGd`x5*wx&)p z>)Gl)`ao4SAx$LYio@i}DSfq#rqos(OIFLEGk*_U=6FXYGK}XpTj(~l$D~K)G?z2Z zU^Sha-?!HP>dVpz!VxG$0rZs;=?~*uim5M$tI0LlHk=kCbg~e66KSWhF$U1Is9zMi zGS0G%{RJtwv}c*ZkBYa%r-sgq$}~A}YNrVw)caxM*TQhjoy5sSUDDn7QD0a`6QG05=re?}NliB0dDvC_q$p}1Iy5cT zbln2lp)}p|pWupL22f$AE!-}uonf&ug)#De^wp<&ckln65*_;^xs))bxK|E2qq-Ts zAQ*Fo$cSLLz?B@`KIP5$4d4jLK*acsv@w&~UXL;>uP5zI_kAJjZY*Dh8j~Gl{1R@w z7t_6z%A&)7jj62u!`8n#N!JomBQ#QL8QZb&m6>Mwn}n}p4x3Mz@`;uakE{priLk9EV4Z>#7z^Uc z;~fW?M6$}3v8jEd}*pNa5XjbHVJHaYmp4;j}&Eo%~x!ZRGQ zCZRJS6^bprGSKu;#@|R7-GZ?JLTCwUQ~#tX-_>oStJiuYT-Y3_Fj>%8y2ar9EU9NKEyhIO+q^bY zMcalvfvz@1JA9s8yP{xJRN*x5XWUZnED~G*mnM$Pm=X+$>U@Y17M}ae z08Ny{AjrWlU2zrHF=JFR%x*BNZ|j%cD-q#!@GX8;uGiefMKn{-WNL(Xh8~k{Hg>Py z1wBi&c#%e8u%5Tu1&i>T3JpE-%P@Pa!b(V7B@h~Siofjr zgsnxEViG0vM0ANxMIg8ay$Vq+A=-#@VbBKK^sKN4UWb!FJDFd!dO^Ui_+t|Y$lMEk zlG3bgBi=~bkm;RJL2TG#w{I}H67QOk*p3$m!|=N0k7D+8L5eQQ=t3ff$mVD?2R8E z1EwKOT`}g~0Nve~_|W5{{)yr}UqusHiM z7_o2xk3(DH5LOsw;e2t{y?t{`fv4KzpHK$tW^E_bcPbC4=oUgVd|(rpXLXGtqGvKv z8OXW`ZV*sdY+`hUNtEHq;Z4$Jh}K}x?JGv#Mr#Iqu?b?9HcTj@^_vf3v+ZOdoOV>x z%WXLb{h=8nZ*bN^!Jb71jRF2Jc`AOu%8bp z8*H1!cZpdGhXfXSr(?sJCXOk5j&_4{9MZmU7#hVR+V!ZHk_qiInYBK)Dsv5r^u8#b z4P5!pq$?eIgovc6g;b)9n5IB*Suxi|*!g1UIWdqq{KirnmR6pBytP7&D~5B>Z~L)- zM!*Ydgs+yk1yhucIf>b@!px)qmtq2qmu~y`hNPM171E2u?rN%%9Aw*@#A-~8mL$-| zaORM8H{8op;b^IKjU^i~CX-Zq7p=KwhRmcWC`h{=(0ZUupcZiC?FuH;BQds8p{4fF zrpz@iCI;7kL*Wo21G40kbZyfGb< z;G;#kr-R_s6wh6kWw+%_m zBl?y~kBASKx^Zcsyk!P?R%Ogor}b9Gx+}6;#|wVK`sdza6yN4SMShh zTfBr6^8={O+XmGp!;seQe9}R<66$H(5`*mb!0!e}QUR$0nD-5@(J-uym3Aj4m`p*R z5%LyQ_xk%!8rD|GAzX=3)AwVoAI@t&sRX3p^BMUHW_ShG<^_-jR|{X=B6B048o3N5 z&hYTKV-l9sEtPW!+po^CtA-g4coJiz#8T!A?v^uZgL1O6ZYgGzptn!WuFxz*@Sedz z{|>^^!YGilqqLXx5V5%J>~g8@M)D-%hQ?|4ATC$kEI)-zV$son zPU1aCm&q|vN59M&vYw9S2bhK|yoy_q!#)@>iD)idPz)opNK`ybGTwrXv|jkVON?8R zd9VyRRV2!%s2EW7`R+^d#g^d&Nj}sYbYM&z+D~5Ez={cZ$p3NH_^{&wy9;ZkLB^wx zYU{@TjoKm6Nt#698%|NfWQ7;2vQ@GcQeO&tx*0l5&nW{Kax(NH!yCgzX~pPpd7K51 zI0j{gJy6-*jZgne_)087gV0x+EFDb@Q%_GXxyKAxMEcNxe|`Q*I|VuEFX>BsN9iMy zN*kd%AjG!OxGbG{w&VGQBMejywi&F1$}|9J;pm!d6li|LIm3qB%TP>Ktbop3ep-B5 z%pypNDZ@jAaQ=oCNYjnC%7x0X?#3O)IP#o^8q;b9H(oYbf4ogqdoGlSHrUewq==95 zE9GKP0O&$?ehD)S0PlxJSG>s+{2*JYbX7rTuRrh)^lJ2_RFKR@+JG_$R65}MP;y9B zT8Z)l#S#OyQU@A8x)G3ctKT&yud9NCab^5HsV1aJ*e)2U^}GK&9ikXCYX0#@t~1e? zI0Yz6oRK@67s=h6iCO5=*0?k9)KyAi)U7av*3Y{)HjU5ZcXC#irGhC~3|b@2`9s}} z&QBRhl#(<4dagF%V@R-d0|0CL^2W5*L~(jVZh$xikh#WmFOBDEFohdH8~)r5G+o{ z9=}FkQ*EKvOz_+-F>Yg&i|eVo8wdVY%!hCg+b9XnW#DEl20LyXb(mE;V|3>nnC|jG z#sqpu>@GWQvJFC9K4O6+%$p22{bDg}w$(Z-1#aDTgNpIe+7tjlZqlArbxFDeB9neS z1N>wUV)&)V+3LU$$P79L{6g38T#SKW)?p|n1r*CiFX|tc-gwO^-~u77A!}1GHs+8O+lIYBu8Qjt?!4md20*%2 zHWCrZNXaM@^1mz#AU(67j9^QB_VGgN2CwEFY$b@tO!UW{GTwwV$k1w?@1B-PVx03Q ztoEyG7mLfp)h(l%`{O1P8ju>%49_V{B&olEQ z+UG=eW6%iqP&;sCH7gtk0W(KXj2St+OK|SliE`$sk{#1E_*)?C~&b4yY(j>?Jr|g zNq$cl=d~2tmROJfgPXGV&;k;B=+IU6Jt@g6Duz{;y#&6Lt6;e1anNcyPUkVR>Tx9w zo?W7MN+RgQV7fm%QjR9_k%*v*^NXSIT)G7YVMf=B72*I({($uxA3%wLa_I`QUNY_3 z)KWW2z-g`lgfry2ES9v_K(tJ<04x!u6xaat0|ub5>G;ZcTU(E-D4>x2{MYZ7nM9!& z4LU%WLMtL@+M_VQ`1f!*&%g_XPGM}333yX$TTrhc5VwFB7Lt(iC3DavF;#H4+dny^ zt_^>iS_aUbB7=wy{(c1Gj4F{>k^02_ma|o)rgk8LO6;Xrn!by;^uODVzNw85hEQ8_USKdl`0lN9GpBJ}V}KD?LuHNv2>LW&K`UU*C!e-MWeMA3InnM7$Q z7VxhD3~7A%+O#AGAnP$hEBG;{NlZ~hFhf-_fQJg@G!x_P7UtvEckBXU^t@aV192)M z;$paIKlR&G$7u})>lBZ{tww?LyEYa|py2bthkfztYTnP09mFhp*a&QKQEjyE{PI@K z4#Jr&BYru=S^$C5$8z#zve^zx$dYusq=9MB0OesK&gkn{{bm;u4Z+X|!-Q@cDnu6t z7xb0&(8eX$ReTgI1Li4zTicE)hs`1;*4{GKxj2RzIlGw-=vdYa;usaCM8|McA8g9DtHSIubq9(lRHLsPab`HwfPmGg%%~;% zd8=UAAzXFF@$`n7fTcqjr2Mw^bnk6&FHZ?x;X0!aSpYJsLY2JgyHeLyGLpZ^^RSJ} zKhT^{BI+i*NkewaAQxr9HY?s)NsuqT=Td2Gvp5#?@f%dmnhmNCQzFikfz7!F8WY|0 zyELR!>%=n^vnxY4|DSyOjANe{9FN9iju&ll+ zkj!0Ta`c3>;esr#nT}FTgH&IEu*h2$ZwcmpyD$lOZcp~84r8+qwh={k=(+zu`_5aL z0HZqtcZ)XjmnloDvR|Jjc~|OMC}#3t@nBp+GtWzBFhXgneycJhn%Ukq;k8tH*oK)3 z;ZpmGpHIUjLC1@LoW5$Xto;cLDqtxVk*5Z^-?Rt~zezt~7kF-Phjwr&O-M{4arN3Z z32hjeqR0L->p$}Mz=k&mlGTZZZf>#;P!r1uscLGLnS7IrQzAk)ab%yhQtki%-iAS>eQ6KUGov$BcunP0J!vK5s` zgF6N)$7vrQXMZX)(+ok2Mr!?WB2?q;AS1GM*}ITBWjD&GVR!9{#r`M66mo1~_WUw| zHAzLaVcd!SEjW!q#4NC(I87_s@h+ud@;AF1|KY2!8e(R?48^j)QW#))Qmj-`r=J?! ztAOYEG%J<8)^9Fvh|gc&mYzZxkTgg$ao4KEf~3J@>K*aDK#7M|R8pLMi3$6EWlTZE z^$dk`N(vgN@)fIm@|s8K7QPsKn2kjr6o`bJh&h00c$8^0<@=2 z+t_Faxjt0NK-{GjbA1Q~h$v#`^=KC^5o|7z4Vbj^D(S9s((viO-_i%qDF>{;^xw8|e&$jIgxP8( z3+Or0rO;4clf%7pB5UL4fA&qF!h_co>LWz{841sTQ{uD>6Gfx6+%#P;2175LNHvB* zSpi@FgRO@9gFlA|sSFJjYSu`kibCr{i83yXkj7C}J(?UQ7~f1to{NrGEu9xGvdu7< z)(#qWN{MNkjz~mXQ?1t z2@O%sK!uI%!&IQ&16LXcFCFu_RJ>9vT4I@sVNO6*hhG?D;n2w~Lx&oc7i@2!xsJSa ze(Qrmtp*mJ!xr=kan4dLN%x9Y%^NQ#L)pOL+IWiH1p1J@-cl3PYlxaPm@yl`0w!B18EE)u5DkrBQySxjqA zOpJlI1w6PlMlKDy3cBlo?>)aPLPMB~$-GBUsF2&ZU8-~Hlm0ESm$Lh$1ylAi_#*g` zEAcvP{gC$H$UAvG71=_FuQVo;Fe=Qzi@0EOT_T8c2wT>+deasKD zc=W<_gr&5d98|f2&{9d!R1EoEK-S#foflcus}m{8Z7~6vl$X4S3(eYS^@Ewi0w(r8 zU}1hArXp)y@%i&xAI^QmxgqV4kQQvkP!zmqfCu$#1qWXRn({--}NRDzvijQ zgQK&6C=WGAqP~va-;_%a2cWz(w>hBR8-yBHy-8A#iUIy%28|B^aM&U|=L>`jGU7P{ zmh^%$^el`UfLLI#d%kjhN~)k>-;$0@10H$w`mQ?dK45Rbjp*Xf!}%&_O{0EIVTq_2 z`U;lu2mkH);lg#66%t&6=?v{2(PGgHR9!gEn%@LlvOrQ6R4)yPS^(_d-h6(*%z7*1 zET^7xmJc6e-p3fq6mf4MZ0rIVj(uhvC73GNI|t4V{PuYvLr*Ab%+uip7)2Wwh%u4| zGOT!~1VQq?-f;flu{++x`AT9-g+RGWD9QYvkVw+>OizNQRNYT9J<1;$z6zZG*l%jv zqg)xiUg7IpnKX4OVdP07#=;BVy!Jwqm|Pb~GV=y+lm`ER{bm*@-?7K&dIi{|=U)%_ zH>tU(EYUWvc|OTwh03|m^z{AOa^#KCq~l&w?J2iL=7&mx{tIs@g6*m%&m@ylF+^#d z+bTZx*XM@`KG%eSqB2k=G!d3va0(Si(527>V+?zKj6o2#-BG#WP}dB zTDU+>$_zbuH)9Z?pg8Ld4C2P`J$hcP!0an^)VUfp_`2W(msyEq@Fel}5tfT^?)drY zKT##>8*5AshQ)g^86vp|s3x@J1v;Q4x^bywgpK3EzAooZ%Y6Jt&kqxkjhX(B1}Dycs47N!;9z z<_Vh5J+-s3_QU7bn3Swg7nORP|C5Nqu28`(A3Y72-^d8R@P+fwu~JTF8HO@)XI#z; zj7?Qy+t-;20pEbhk9_O-1y3tUlRPXnF8T$}Pat(!k}oT}c!MP0)%&*0jK;~xv{P~I zDBNA2opvnOs@czV+gN zIV(N!XE?$(vaV@jl!B`7;}r%KH|UgW8iK@w=s|s5T^IMPLOMdXzEEju5GnOI#r%` zn~g?=h0ir!PON5Bx!tj=NqDO5hXB43?hm8n==SYZYdh1_9mkos|8>|t-9FXcF^r0c zo^~(cF%~rFqW7T8H@z8D8J#Zv7%B5T`_rNV4qQYd6~0eZYZX1phqba*D@V0Tt<#|v zD-`)^)tmoxlWBunJXVS5f#%c3`WBCyv|ceCv`Tt30u1wD#N0Y7UhxV-8fe)S^#vfD zkMQs(HU$lK-eC)JVrTalR4%)|ABH2d2d3=V&d4siQHdf(Z?03+ew?zK-Z9(vYn@qt ze%_ytl9e;j>11kO5^9OB#m-*re&O0K7Y)r%b!HDlu6t%N+U?9vM~e-rN2WOkjrguv zc6Qhw_YQd8_m_9>-Cpb5bmhs#+36`~_a~kE8lUHo5H{!zU+H`!9sd7{?{~iQqHnet zVf*~oZQu3wC|oRCXXf0sxv5?$4B@z_(da}`dB%%I8s#ABH0DEhq|vcwA9rUuGhUd? zBtfGxyk;M@laVc7p7Ddm<{hPQF4!D_)4hJ!bfSGp?ZbzLOYzKV9G)K8JRiBcJ5lxf zdj~oz(U)s`+f&oGgt0RSQfF8fqFJla@uqB#DS-&2EX)|t=>qZKQP zXc(yM%2e53?A+x0ajmj4H#Icdv7$4848g+1N)$HBGuy3^Ey>KukS87BT65QGcDdOY zcS0-p$gM18=}cFrI+Yo})rqFB+kTDzEA_^{p0ya25#-2^uW`0_9(Rs5raF;Rd1ksC zuC4uk5|mF`wqLU^E7N92JY0>S^nm zMo{*HUDXk%ac_f{+f?6G+}MqpQKM4{gYxeBbZ6SGw&@$a*?!kc`wGYK$z}SF<&@|~1;#Bv?Xr_P{9V=;-5_VGoeyf(0fNHuD zZeL=LMcr7apLRm(VbPN;nYDsfzVhLqJT>k_JHw8TfIHvCss**{I2GzpFAHX;={>Zf z#0^)xxtV0DdbBaqv(&NAb6wVEoL(pePU8SYC!uxPi?lg!go*@cR(&+urJZvtVRNaB zG&ie^8;Sq?8tY`*$8GE3a7%M@^FSOnFWc8)mFRu;$Lj5p!$jR$7_zbNRJ9o;^@&DM zsZBU;7zfGPWwEt0w5wK7iLD)M+X4a>!XI-QC?CrKf_NgraSNsq+G_2xURbr!%FX+n zs9CiSudz71{(Mregm!4PuaDz!fgPr8E55ULQ8>Kj_@{U7X`f7{_O@gHp2qytzC*rq z1DZRTDu;fv%AmVs7w&S=F210PowX~+8Wszdu4yFQ(sF5kc$ITyT<1K6@YH2i)D5Ta zXM^G!>ebfTWy!}j)ylO_<6FYYlpQsqr!GsPh_Blld)X!=YF_8W&G|JidaAxfnr7+Q zi9mYw6<%vU7hJ&(~QE{4rV){FT%%y%ns3fzGeBSydKL3 zB5Oxjv0GuqaY4V6Fbx+EYp)xk8*YStQfs4OYgKzCI_d8O)5=i_!*V-$85 zltuCGvh@qkqQ0l9KAPF7(WwO{qpiFe^zhfLVVBLZVBYvN0I*j>3EPs?uA@zOBnoR# z>oj5tGam&$n)?6Qd;cgoj_ba+d(a|>)JmFZw>GWShME};!2t}B0L;i6#o9_{nL5J~iOj4#D`#DG4A!iT4 z7!pB6vhWen`NIwDOiy=p)va5%Zr%H>Th(-5 zl*~Rm;lxxrXPpc@zmvS?g|6%!N2$@s%VQ ziAau>3tztcE2T7HY>k4d3A?$FDo#v>pJ^4NX;r40)LHqFBF$8PFJa86E0mY$@w~N& z+9XuT!0y>(IH%W%6KwOG7Uyn7mm`R(xjIBZf5-l*-g)4U>kB4x_0(>L))MU|H*$ft@G>oiO3JNp;!xWf983LAQFC#UCmu9u5 zlt5`EBA9;x3L4{)x|p=ypKpbx9&8P2Yq4pgyH)V$sGzim^)EmBKdLbufQ$P*IyEX5 z6M84bDsU!LdwHfCgoV>6?EKy&knx4CbeYt-si6!3kTJ>=9e)p9%GJS}oIWsH@~NJN zo?0$0TsbEMv4BR0D?j+FVa><_(C@?)v`ZyCcoM^7&VShpcoxoC%Z z@m$MGI%z85r+q-mX#<(9b2d!aTR>vrRXk*`5uJdqtldbT!RU@-S}<+Grc$m@&CzOC z{>Ez5_6H`JYhnI9UA`{<_eptne(9Mz!q$%YYB&0R`^EVuFN>!d_lK7cE^7yC@c>h= z)jk2PwgKJ+AM?*Cx2{kU2N=R z&}K)y;q-O!a5&jI84oZT_xjg{!wQ?AVXp72?X1P`pabSM5j#{arg& z*h^sfzG=*tKTLAKp}TEr(plCd(N?I7A6pvyY2(cPg{|dyGOAKcJh{+r+VXshvmelh zenNK3?jQWo1@WZG_wShAXX>Y0MY})kM%jl;k3?$?spF<+SBg@_Ff*8hM&*-;$WAid zuQc}A+E%V^e$3`b)FiKUyR<(|YjaQ;+q71x_bLp;Fa78Tc1F!NPJSS|iITrGZ;ln; z{cjr4)Qx|5>v6EKr-$Y{=kF>1(GQrZJ9;kB$%L1LPY;`qhsTRa^LX*vx2)Nz=Zud9*UUc}6@%_VKVdJ{TN}}#^v1rq zJ^o;;eWYXh2i#(&)ga9GuvO9sXd+YRjYk+`q-uSUi#VA0Vd0C z=$DdPhTHFJ9E{ihSG9V3Z=u%<*BAPa&io1`%zQFVu72sEpPe(Nd~flYc~e+0w@&8L zTPHtOT;F%#JByP)a1zSc6YCd>_MxfD{Fj>CnesQ{xLriy5&t)TA^|0thplW($A?dP zV#?WAXjhaRD<;WYZ#}O1h2zEU!U@Bxm*!7;m&DzCA!-GeOsBv`yps0N^6vOp<%(K2 ze>K(U=0jxAl!^~mpl5q-oxQ;z`Pg?QN$JO<_;}^!h1WN~|C*h=2PH_8TSI8Q>6Y>o zY?*v1j+^_;&Uih}br*iI9IxN>q}L1_{|gJlW|h7363sl>B%GS(_k+bb1J2}4-2n@a z6=#!RieV)kCSlziFV5Lx#GW++uX_`D+RkIq{1A-!x~O@)a%kx(NWQnyoY?*swHeE+ zQCbtIne93D=6krpG+ZfZ2MiojcgEAfr{-kt z3g5o$Z~y(J505x1^19Oqb?SxbcG%LpzM;>~{E1;rS#5vr#C){ zp-)UaXPQ-(YMwMqs;vK@5!<}EA8Srby%?V5t1Cu8LJrcdM4r@#oi z!?d}tTAuQ&+bE&q-)jA8*?TBl+K(N$VBlyf?(kOkW5s%G(fAr>Nf(DPcfK2cD?MBslgRiMM@sZCKhf7iHl8ELdg4$hFUOem|LScb=!c z-uYbqc$xPfcq{W?s9svkU-sdO2W!?E9cVdqOPniQ91mgKT6|B^@#`se%4#EKRBMwd z^xT0ZIyG;Sj>szPi>78bU63z%%? zOj3F&njX|bl-tHlpIwU!xoeBw${i8Iqm8C=P0Zrv3A31TvNE8vA+?OjCyO`;roLeJ zp<0b+-!3s(1Ajsg`d9(@4`}=_tfx=W+gRn_fa z-&;Qzf94&JCqKUXcUoufZoDvvvF*<%?)!@PP;&Ne<#RT+@0)o%`B%#a?fYtvC;OMPd?rsXob6VAB+F)dmdo~U zS0=xlQSw*%7A1f63mGNH-*>g6X?n z*Y_{IP&=O0sPV4fUwV;>@cYo6jY_K#;gWkv5Q6tzHq$78mTjLMzh# zZVXe7?u&o^-$zfs=2zjo?3Li_AYwP4p4f}W^<;!NE%^r3IT_s<29sAs_59?%rSd5| zU#$bfoT~ciWMnQ_IXoRs-p^Z|5Mhk{HBec+W$=)s?8*OZhHaG90gQfRaBne}q^X^3 zv*;3Is~feZSs$0XmN5pS`aOw znaI?8MS6S6w6>p^HNdNSs}bj$yQ30FNm>Y&p#ultVsA1>R*M6h+BU8M4!>usi?gZa ziJG5y^;!oE8T@jwghnF>1`&Nt)B3#KWXb(%WN3k{E-0Z+c&}8dof!R3%)*1xGu;DX)|3 zBT&9O zr&ps|+dmi;^2ts`eNyG5lw&&+od0FEM$A=KZdpnnIQ)ji{qfFe>~1Q3z@)f&_uvzO z>)B$y+TJhE&jV!~dX>)p1U?UGydrQ2o$0c7ZM7aNlq5j?o0A zd27gdb}3hRrc!PY)K|Z%HfdT5trg~S*d8opPtn_!(#oL!^X1CkCu{eXYyHYg*St+E z6zgf1iBi$hS|*0)Ae=NGoKqvham%pe@eNCmuyD^3$Z^1UgZ~MfK|AiO0`)D$6~Thc z=#tbc#7ArR{mTt@?CCV6dfnej+{2KRNlOe3=vnle{p$2e?gwPul`62NW8PqsgwR{YxMFUhY(aUEBQ z8+=$wpr&9~C7-7_=T{z1(-HLUQN9x#T{?Nc*kcD&Pu&ubtmc_xlvT*j)ORdF0VBRL zpm`~)=5>}Dr*GvqPMW(;HoBdXJKYe53CUGoK=M%z)MO~0LstmbvlRSxN1AxcIqz6l zs_cqaV{fen^J|t{mD;oSPsBL;9Kwi@qc};pOU_WC!qhWDa26VkHZ1wnoooxtVRaw} zozE`CX`yzQ*5&2Jn1E4!Zw{=Ap`qtjJkG?yiuMkv`#1mP8%3i)H%h6?FfbloxCnSk zG-E0G;Ob%vAdRJZyoxWiDPU2d1td-4IcyqH0AwjXa}t&sJ=iaRlMVv$FfYs>djEy0 zgSdt@NC9e8>D(kg%ZTMm28=c!ZUq*E72q8m#YTsWXeiaBDXcz?)19;(3Y}`CH3a^u z=~d>X2pbL45*tQrp)|_F)VAIW_wqJH3Ymp35<0`=8WjUl5T}fsZTTVM;ZC8mT9>q!b}Fo>u}vuN3l?w>@>yxOKToBhl?uJCbKd zsv6#wfTld-7h=Ii+JyxFzT{X!?U^n>J}ScfDoCR{I;d5z^rU{hhw+-Uo*sY_u85o2 zK^v-`>G&rVD@-t$F*Bn}9iMZ)!^p8!tiNJVcws2J%-? z$R&R-N?BR2V3$(C9AiYG7v^vNmlwq$!*ewW3`R2#CJEzVl4#b~4w3|6z@cd|O5;+K zB>+!*i0jb}Qj4&e@Ho&gKclW>d*INAm%qZ#47;Wx#z#g>#rA+TT#q5J^%AMB`u zHMIE$gxcT5*k^I+0iGQCwE4knhMICjdzD;=K{Tm3h$^lLcj~Zt@UakARU1uruw4PD zj;@wJf3<&ol1xlJj}N#OCD2zCk~DRr@h%DXm`B5h9E|j{NiPqX4DHQ{d(u5gGoZ1< z`8|!v2g{=Oo(J~`bNU}KAW8MH`$sTRzi>24fOW1+sTTfYroH7h~lp19#wrm&)@vj_5DW{ALwR2U}&eO(wu-JR&3$Kfl4!D)_W|K9rjgbF|ART$zv|^7HQ)9vv{M2E0qRzy`i}5tMfYYT(D*!(Oc>-zj0cvpZ=|~fr8KiF(;_2hN zm;Dm!0eKgntz69*rs3Y&is!+!jpn;cyDavKXrhnPn%K`c;E*H{%}636&p?r{KfsXm zSdpp05|Ge614mE)`J@=kwVJ^_X=*?yc)NfA9)r;tAB?&|j0xF)t~JkCG!cJa4W-xF z(nU6bXXv>-DVA=_|HKc;^Vc+mVQD{0WK9R79X0`N!PdU@U6QVu94 z&r1wSAHx7Wv~)wS^wK~2HP8{v+pO{gbopqK4q}6rb|B>KxE62=#Z5o0`reteIDrV! zcNrZ~-()@Irx+Otk{4Ur)eD;oz1r0w?&siG#hT>m9J-jT&MMmB!b?ky7*aF>zV$c= ziiboOOjW}oI$9$RJNQNO2Heg=3{mNTcl@YZc0q3jX%{9TR4{B`duQBjqi~ObGkOK! z6)75EGcTr_?^ps5GJuWO59ZCIwHxfQ-P^Z#dWXZYN{yKa_O_~EgfjWJf%I(<{;6xwUQw`SJwC(c^hBS!U_lNoM)ToyakEzWuQsXfp4pq&CwY++NN_8qc)>jRT6(g!)M)jV z8t9&WuJiFPU8KoUI<)wrG@eJjWuu|E8DN2kYEt1sw@L$xoko_Vi8Yg8nrY5`Z?hO| z$qAYYwH;v1aNA39^_fFz@Hxt|kl*;p#w!4!4>&;RYvDL=7mjn|zu55#9OtqO47%}a zgFzp0V9@7y`r8Z!eS2W3#=8K7tN@{`HjQ_^bnlNM69@o0g6?CB1`r6nOV_~qXS`pH zz)NT*9jQ&RfiamBAD}kVu!%>k4o4npRt{9cZrZp)@08Y38k%rxoZr~7tHjwW54Hc_ z<$wSk8&~~#=NSxhM7hl_A{Z^5vJB`t!m%1v3rC`G(iW@ORX`i*;c0Jn5ZAva3Nc2K zBq=2)sHa~72kHi?Ny6b8W;_l%68}AsO_nh`aI2HT;Bhi7uSsiV3#pK0Q!@k3^dGclzLk-Lr=EuZmwxnUd8Obs-y z5Sy7=VFEu#GDvvGFr8g$oZb4T^_(fA!|~4)rbKL4Crz+LNyP<=!LXY%Zx(!|~Ve;@Fo3IuIIQ<=mnj0bta!Z1`9 ztz;YuilhtVM85b<8>LRr8HPF-6y$9>VF1iZz)~$VGx16&S~*f84+7qV(fRc6U5_S1 zKVAby;BJHjoE|}>sicnGi68|80VSX=OhWbt#140W;JfMBdTCRkC17J&| zUy5hJ$;~qtNm0NMl!iZNOX^SnJImNIyuDm<-6S@uO%fq_@(-0j*O`YeC{U-9OmqV{ z!C^gU=egyEm_b!AT#eF_{l;JSyd^o;=pNbx>Mh*YQyAfkIuSN%IYYzaF6svEAqYgA zCpwmvOyDYo3p}N|(ntbE`Z?RlJ(Yt?s?S`!@q6EeIzvE#KS@GMV*p{BL5E#~S0+tI zr4q*g4zx2S+)k8WG#fBs;;RrA%_Wi@D2P>AGNcsYq{`Fn16VCcX5-)eqQ)!=A!D#T z7{Gu0o*Dg4mwgl+AvNT}Lk{V)aozfM78GtVAqi-gMOQ-*(oZw7l10E4^=Nr%(u`?A z(XAmaz2pa+ZIg zS_CDik{#7O6Raa`7J`&EpnSg}SEqYrbTK5e2Q5H7(DY z)K29D8*}P%BcL3v(fqX2##^3HN#c@PSN|?6fuDA#azKCq_ zEEt@oDY6E26f#yhXeO!G9Nc1R;6N0&TJxgo&t6D_DE3W72{cT>r^R+B1u4qO8+7+^ zy%}E>X`I$*3{uWhmiaKJM0il?)U@ITD-EDi92evHqly3mSAOITZC?UsEUJ`_Is|G4 zxJ~IdjLcpsO=e=d$Pi6vNnyH0p|iy*(?G4(Y3rXP5P>Fa1vxKs|X! zL@W^}Ve=^Sn5gC^1E{2O$nZZ?Gs}$~{_+-U?bZoqx(pu4*8J9>nI8Zl1IdG5JpWhu zpTW3io<$^=5d4IgxoIj?N4*_}R#4PsCfgaJ7FzKjO=gSnZArS9X^h!)KQPTQAB4gt zj4tU)(O}htT~LSB>E&Xt7(7LvgfsMAQV?WT1X4+9fR<@tBo&whfOB(dqm|s&-hH(* zkG$~`9#BA0yNIp8T|8m}HNa^ei;9F2rRk%@1?yevMf~&b^zq{4!U$JLJ*rKuqk2V(*bz9&h%d^5QyL|*h+4-{K&s~ zlNow=c(|ni0>w%+wZ+7fw=k_tM2dG`x2H{uyLsHkxgH?^r%%os@CxvoO9X4%qkeuMm#-KuuXVq0CGYQ%wfR;D@p$LxYf3YV9#mwcOQ1ThqQYu>9NX{|MvxEPm4ZIXBJ-3 zImbEI%c(Q*KW$2y2fBAb7mjBO*y^cw2`&;fGl`S7rob+c0L(!-?B2m?kiZDL?crjn zasv?JcAwam_SS07Y^~y;A86EI{w6We_K$z=Vibv5kU!xt0@oB-;@AW*G3B%WoW>7; z81raMgWX${)KVB$(9k1T=S-T2drcq^!DP(|n8#!Wf4ofw!8HiP@e1cP;&aNVh4qtF z&$gj&t;%F(p>>>5=h0fud*X8!>lIaEi~eJrY5A)t5=etY@lphu4YcG$A{|YD56}%m z0E6K?zfu7f$Co36W*F1hraWyfB2A1;;AZyZL*! zzzRfW7QMwo$OWcsit^%^@*j=yYZyiua0awpOiBieeM8Tz2laL^*8}`Zu*ngT4BVl4 z5e~Ws#`@G2Fq`DqcTMFOp|5W&<;?Ysk5%e~;~~wxWbOocnBWl8MW^0*kxFDb)~lyE z${oj=sR4}oIVi;&71mOb7GC?yN&OCcr6A~^FVA$KA4G7 z08wf?{>gl}oUmMkw|9fkYmiL$O*L9*So7X0&REqy83!vYer7f#c)S$7_i>9`3HEThdC!`_6`gv{GuNy6gnl+WPe32^2SDiQ|Zuo`>FG zkZ3Xvyb3#Ln`W1Ya3YqdKFxAAd4ixf3jPUK&%R(mNOaFzL$LAf? zLC{VX^uoz4OIbM2Yh>~fh0dJI#0AbMlO)UJSHGc5UO~w#Gx^3SdF@PIBZTMK8}oO| zHsxSb9R5unWteNq0q2S=H)44(9yke8o34V{wOVu)4!V^yYf=ni*o|#8IxzTf!N#*F zql?j%r!N>M_&VR48GZG1FAVZi3srnpra7S#e-u-{G~WV03VE#^AodzwX&3X|KT)2*am9I4_(=`S+EUnCda_!dsTzY# z*NuW2<{+L~6;nGX9I1ZCOXg36-WwTsxFblQ@lqK|7|oO>$F7b6IZ3}_My}>RgR6>% zl_$Fe=7+?{RkTuQB=-y#=rrS1aC%aUQQn1wdds36%vzP9Z)?7^^wKrg7aB`aT|+3D zJdl*If(=VGdZ_D?Z|gPcOu$Il2ci&JGz{n_Ez2qWc^+*#xz7@(gugODBu7fI_cV-B zRu&uH-UgL#O<>^|wJ7tSkTlr1=Fb>9(F)3ud=Lg8Yd{+?2SruT3}l0OPdyTknfB1C zULwxf%jD$XJC8LX%%90zXX2gcg%!W7-piq>X=tr@-f#Z4OncZUhNP(J)&ys8KBW*G z1+b}N(D4VTBuznc71f2#zPF6OC13|?pw0*pGO7 zkr+r9qX4)F@1Ug%C*s0{LE{Pjp={oo2NcJ=56mM!riV|pidDb{a>U`Kq&n{*p~TQK z0gXY~^9vJz7FBN&`&`vaQ&wlLlPn6fc5E*?Qv z{P!x&d_598&>^&-XhJ02!c|of-j>QC9vW+=)2^9T;0=~|IXNoKfbCoZ!>lu$05=dG zM;Wc#o+OE-I`A0d-rHHbavumF)Qc->rBZPMhE&nDv9;Q`K|cT`q5uf=}(;Wlim7F4gj-4G^mn8_KCR( zEODVoRpDZUR^?J+n=kzFQlczzXtSFNiHX$ zu|CUyJRnS$NNEJ$IR83vER(szO~GLaETP&Ml2p*T2UNMyi31`yKXW*D`SS}DrX5M?h@`vBwd!((Nad~wHOP=Cu5jSJ^I}J@2HYKQeBb5b z6-_Y1#3E;k#uJ(Pv&sSwkB7(9$;Ym@3B?jqs7GBQtV}7(2`G0o7IExG)a=I5Pw1RW zWEuBUKTE^~9D0>Bm<&KZK~OVs5ul`YLA7fcYd|>xiA9JqR$2T(8b6$jd!PDUJRl#B z8a1PvXbcsfl0T2>njTk$QUG^{0pawJTs0)on0%!pRhBU=%$%dQ@#bHyTfZJa-KbJ7 zqft_Qab!=ZpsX-18U9q_CfhiL{?(d3H-2uZ#Go?ZL6-FS+4H2<(^g8!>UnYnJ3=rO zn*Z8I^%w8@OIJgQGVD;_Cr65)ARb_pspF+h zy2r_jd;A~hCc%A_kA8QIG2}cdgx|IVjVY`_Jl}fZwJ|9CS_B>up<{_w}IHoVvDYwZ@FdqW?w5Y40{G(#ArF9RSnQM3Awo5 znC`?URq*OkZue@fexm%Tf6w&Qk+^##PFUd{c`IdJ9m-m#IUencsddhsy{j|$*4dp!@Hb1AQzmkW+-_}QPT{$cUC-VG;Nm-FzR;cDX&rYCNT5-^h z5x+pHZY$Eq1l1eD7`WDNvWkhwhIu*R1Xl56H^vui1}s~`&DQ@YfHE$zfS23ExAYj? zi55va0|sv`(V6M{wY&cL#b7aY99+UP9*v6%UYH_ZoadBFnO5h;QbfAYSnD?l5dy3T z3pasbwA~YPEdo78)W8r}w!V*>IHcenc!X_7KvTgfmWlScR+3Suo|$K{d})7LVl9?J zKs&eGSGbDiqFxB)@gidieVS!<`4KtGYDj!^{Bwsa1l#f{9_#z$%@FQew9@tOF1!H4Rn(1Sj?LlxTJ9lV^sKr zmENOhkcBD2FOWBeY#Vo8`o~Xzu+g+HPER+fRNqi3`Bm6=)K+Jwh_X`0R2xtVbppE* zrbSDD9Nq*vVYs22bA99+hR`o4z^+fQqNv`w$#{xD$uKcGHn$X~!}d_DA|qCWb#rmP zcKO0aMA*}#Q4*}|A1H%JxCN8)+p1uqK$Iu)3U*dG*Z>Zn=>G0CLWN+*K~Mz(mWJHq z0G?3mu&@VMhowdcGxsDI`0Gt#U~E)F!KJGWRf@n8Ex_}%Eb1U1rI+6R3rq-W?l7yMP!gU50FjnucBcN~=cB)K zG|As*Ajl{rC0iBr)z&9{Wv%qsYV{joQA9`ab8y_W0YNvEjKI zrFy%4u>AA>w)flCpw<;tmZ+!y`ZT7zlO5Pe*cHCy$ zwdDD7M$5UUF0qBPVe&BE+GO;6VryS!xn4k;t|znSYLN#ad;db;Wg`b zE>9>q6|O(dI99yk$g3GKPWZYtf@rs`Kjcle$|~Bv82^xKjc>~bTVLVEBQKq_jMovM znM-`rN6@)!dcgdyH>58~s^~XPqK(j~Y+NUq7Cs~js7KsJei~AjjdWg#2qO>+1pe}0 zMWS(J7zGl7FPK*40satZoIN@K#=Fi7>>}iKh)ZCv9&#Bf$dFR_9~!WWQJ0d)I}_Wv zq1@!?G=hy2e~YKh>;vAlB3@b3WvLDQ5*oV!0k^I~gMu*=Ns2>TwTZNeF_>?sEO8yK z55W%jz`By<;*1+<72JUjLLnMO$|)i3Gx*{Oc9ONSU{DA?&5KB1S838{Vv9X+M~t4Bp5hZ2+X3tKPd z8h1kZJ&TOr?iLNEhEfxU2@>K!6j+Ty4C3vI8qytCs?;U_$yEciFaZ6bKamRYup~YD z`QN>mJXqU75sKn~ED$B^9*)F8WZb2V_;h2CM?<=s8Ecex3Z0ZQJ}l=oeh_W){#%@p zrOIidop`dWnXMZ+(CQL7ZaU%#oM8eD>jf5F#Q>wlfQ74~ff2jw0kpHfS4%4($vo4i zj$?~Uo!pr}*kbN>c(H*gEm{7>L!|F#i&OyQ*hd6Pg2lZ6+ z2idz4+()YQgB8z4>7g&Zo(F`g@mPtDN-jJh!m!iuL6<=M;g?J#tUILm$16vySiNyUv)U6PJ@>iURTda4 z^ooAL<_coFl#WEhD5z4oyMt2GQuu|#TV%xg^{a#lcai`i@K8lc>WWhag@`D&tTLc* zR0|;#R~*&WWcksjkr4G79aPZC?#7N!mFQjq%Cc!i>+O-Ykpc+B6-z)TGD(US}wQ;bR||$%=%_;niiw@$n<2pJ<$Zh zXWvJ(Z2;acw?84uFfmO6OmPAVF>8YQ<--x1%1s`L+J_06^oKj6e(|W*T1^rlEEDf! zk^S9jfb$qyPB;r`&r9HSKdglTf0c>88ZX|Dka0HQ*2Ei zjnb0|k$-gf6q>Zt;xr~O*{RQ1B#;ZO9-9D%;bIDKxrnZ2;MlP%noSN2_G%L_<_i2h z3@I&#Ak$D2NYEgqrZk&~fk%Y>)mS~XvKvGy_w4`irb~__o&5;`oVdlIi=Q9G)hM_| zp4v2Q77pa4cz-5guRk0{d@62h(+$nzuIvZcaT@}GRk03;DP&R!xXMmaVv`UZI+0CX zo@ATJL!r03?A5Nob}2t{bfU>T{q+g!8`{42Zg8aZ1`vhgPiwm#Vrcf6+ikv`Uq_ak zU^?2Z42s@;pb^#9TT158Cbr+{FZ4*(o|rlunfeE!1yesd4LUN{+|!sQ$OcqquC~XL zDb70BluNB(J~{8iva!`pVPT~b5nz*_d$_UMfbI;ydbn~Z%-QWrglt^;z(3gn66AsZ z&`*i4iHPxFhaL7_X~Lkqw~j>*57q$>6eI(h0o;^!DcfOoL~5|p$%93FN()!C_63)o zZDzoG>a6(@$K9XdVKM^*^Ckp@{%yfHv5$h+Sqt5J6E);n$~$w}~edWkSi$3u8Yd2mp8) zY84H8|G7FiI086BNl?Fa7ICoprsi#b=`VJ9OR$ACd72*qkztB4gDM3iG!;aF$K<)- zD{91<>I#ynp@*eGQaA8`;11Tv0B;I*0a*|~6#1blxb&zIM^;Y?MgP-d745+3-&Lk@ znnB6;0OmYa(IB=!oI-zG*v$IA9t^;2K%(n0EL&G-2#qkLqR@y~xZPm9J!owgd&C|) zjs*hg~ zQNa}3V<_W(Rsv9Hne|=29&4#KRd8H!{e@OnVjfDUD+NlQ(x+1jR791x@vCpA;dw^N zGH_6n07!(5t!qxY7?=^1#FMZc);9@3XNyPdrotBh(BLQJ3Wh?5sNG2j++)^R2bJ+% zRfS+N9`T{!Ym~O}(Z3dj9T+_VPT0vO5ImwFm+1*DVT$Rw+@v}qSS6kVhgQq8_e=s? z2`+&P+qCdbD9t*}F%TvNrj_N|Jqp^Cf@4XHyo#mZcmCUnVS>rqA%x!BH^acSoUlL6 zGK(YZNj59Qeuc$2$_b24bL5t4yBMAs*wU`)p?4jjfy>2q(C3f+b86&Z?KZZf3D%IV zXo6`V86vcDnv;-iQ)9Q0`5HgH&Yhoq-Q5&`neP$9Qe!alwpsY^P$q_vM7vjjrqN2O zwV<@jTW10W4}kz5QTE=TP8>K4)MQKG>M}yCXj{b&c_p@8W{phm*Z#M{m_`eQzBb16 z_qPYmYhg_GcS>yWk=fo7)l^cVgKu>il$HLn{|=y#^~XP@(Ez0c*_nG}{5aRpE#BWg zBpdHlNA*=#&c9HXdHbq^tN-btwYx)_9)pb))k&#*heA3KXCzJL4Rf(rTh0MvV32Fl zAwE)50?4X}thXl&@g__k;|LC9%*kdhv?r))N(ccLs1Ly$SRm}2Rq?#yp<4nTMM0oN zlag~h^a!OXLlrrcdJ&RC7%-C1Aq?KWrEiuwiALyF)MlYSuRL7pF*R9XL=?FS zqsASGnXp7AptUt6n#$9cu|fjzgNaE>gb_?<;J=_)E6m;h@|(2=d&mgNKajWT6q@CJ?{p^;IH9)J_+q){A=8zd|oj+u>j5iKa+jQ^|@&;Wv4Zpmxe4^s^L zk6y|4z1HtW_z)Ce3e$LknJdeFG5MYB{D`fI1q%(tRV&b`gM(HAs9??{zCB{1690<~ z;c%~bTiWs2P_4ym6|IWMQ&&P>c4WWIR>FW{*5sudfBr#$mta8d?CruDZK`IX z>6vJN4aWF~XB$kiCe3_RjXUC|XIwQ9Q&MY&o*FX(9em7Nf_^f>K!7#e1|cg9 zwd|eP}@C)~S6d|JWxgfo> z3J7xT^Nt)U!$srH)v+Oo-as|0J~YteS`P;uk`K$HdYnwuQTQG;I^X=D0Pd0w`{&vT z5)%~0htg10_Kk8V5D|Pq36rjwpY^r#jd6tN{5^W*kJASIxglxX}FW+KR zAPT}2xbcJE>-rh8L`a^NaWI3PNmWQ-o_2@aAhUh>nWkS0bCQZS4x5eJju2H&WK8iJ&A}Lfrcl8f|MZ8IC*uY5E6B&9tBlH#!pD~MVA>>Yt%tB5 zSJ^m==Y(BazG)SStIi}~9DGo-@d&Id8&_`ihE6LOJHQ!^Op7hb8SPAjw>deC*!+Z! z6V0;nvmVUuPYQrppbZD3Jt?bc2;XGelpc{Gu{mwa`xByF=Ua920XCS!q1U!m7LlKX zb*v%H2830jz4MHG$U0Dh)GR;J5)rU0&;HGbN}3=8GiOMxT`l;XBkLM3JY8Dyp1dLFuOsBKN20eI>eN>yk5bl4!))0xl43Qy@rsvP~K2mECaDzvtrAc$p zd_OTfY}!H0f#PN^+PLGsIp;7dh1Rd}<`x`7tU zZ4R>5;<;8bu@;HKtWHfVCE`+S=?;V|)Cw)u!@wPli2QIM3g-^C$UYUXK?k=8XQhavC>$WEKju$6Ednq$KPQiDw5jN*p_?}(BV zN^q7{?=z?)oM!ZOR%qu;v3&aHfU(Z;902cNl{hY{!_;W?DYIiCMXUlnM8$Jo}FU3|Sq`Ih!l@P;^p z^@s7|T1au*1PDoXpzebKE;9|ndkGC~L^X-IMdWDZm?RN?J zLv|8*2@E~r8&8uhJaV{~Di2i?XV`?7kd%R{kGN^MTTJi~G?$B7{=;*6g@WquicVcn z4WG&p(LC`<#Y2I1#Sq|u96+b`pVdYyOx<9*iFinoYafTFt^uWpf>WSF=p(K3(LTY{ zHDrO+gJp_MeLw~3jWf>}fd0YE!URdRLUkLNS=@iLkpRUfzB@M@VCM@5uqo?u0=Bqq zFy;pRL0{-Qh$7tR(*p~j5|U&#Wf`(43|xZo*p14>`GTUS71~*Q@8&y$QSgj|9~2Rz zaS${^IufE}cIO#A&is-yC}rZVw8F;hEq1)!9QG;L@^`kG}>CKt{4 z#0>W-Yk9bm-GZH#Kk^eWRF8=$VyjL?S&;2T{rvmi zILho>{~~^7^~fqVQUOZounLH!E@*{R#vGE3PaFVf;6>y6%W2e>t_&F-`%y&6A^|7Z zwpfzTAF$+G(!_@jbe6RC5Tiko^NildFWNqq}gyWeH|BCDLj|gL?p%P3)9AF56btxLlS8-u|Xpj9%u$T&~*mfEzs) z-*7;&2dXNM-D3JI%u05i|9~_|((%bHJJeJd{etlG=y0q1xhB#(zPm(|7|NE%!t^o=57kDcvYZVH z=TZI>y?pc8EeuDl9z*I0WFcn*%O8+yAD2CE&_pFt6UTTmSEEpZWkro#a}f=&FoBPN zXg2^d5*h+0kfaodXINu=MIpuYv*t^P8!JCsv^~pdSjzt9fGq3~Il;b9*hmH%Snv@b zj37{!>1^iHO8)IEj_ic!MV4zov?fJUSvVzT*u*dWIng6GDnSq`j@#k5#-MWLoerUK zYi#gV4e6W%Zp0`Q4mS3mpDeuK*ZPAZ)s zaC)xG7uj{bHQeC=_A20umO&j;Tg!M0;hc8V%COK~9<{w#uFB{LPyMETaY?Qy(V{MX z6GtMzJF-fU5$4Eb{3N6-(Q_Onf+-~aX(l0Ae(sL&G%5hW8(-W<3etevXks*lb~yxs z`joq41lvy2bwsCpE;!{f@iM@I^KA16d{#X{EfH$x7D`Y`{`IFXEJ1xBI0^7j&kB}Q zMzont#dKHWumd`#G9V2%l0l2Q(I=>&6%*x$(`lhi+Ej8*m5?&J#F^6Du-jt5_!za5zF8hys|3n6@k&6yG zic{1hmgzUe1=&qL-Oi!oUs=E97Zsrp2P#mwcqX@h^6Ve8R0xJp8)-q3&}{s6ys>u7 zbS2g{s|@y}(GTHYe2sFJ_2iU?T9>@D-syRFefdq`%KDu#n^u%@?+hlFOhF*8Iv_d| z#&c}aZ;?fplrDPUE1Xl-xWgbr?h(nHa43{6FY(K=7G;p&k}_4!FDf37vgRo#cpmJ| z@IKFb_8<9o2fQmv#PVP|dRCbX+#oeBR(u!{KP!&#LyhMsbI<7}7hGQ?J0z^+Z(MQ+ z0he54Db9d};M{Xp8=0_R#-&}}pJF{6I`Rg?)c*n#+Pu7s z1v}WJ$Sx|6&jcc-SpY=9=uIuiBKX2f29UeLzF&bVP1%sqVa=pw)FA7&)U9AZ&6ilz zrU5af!>~}3(2Z7)xIUI&<|k|HsY0k-sl1CfxF@IIJkegTnEjcD%L&oyaufiV0!w$* zEI2VH&eJ5|y@lsFT45tqAfZ{La4pE;|Dv;M#cy>e9aM$?vBe1kWEg!+`DaqL$qWyD z`~o~SrjmJQklWmY0EHd6=Z)#0IvX8nqk)S0W~)SCTZSyVERBeEA~$SDw+puepqba868Mx`Ro$0^A|BD4Bra6zXDh zLwG`&pfIV=?GSy+IHUjGDFXgtB!O8b=k%k_#crJ54wFp&sT<#*1|9-OO%w>t;1t}6 zg;3fg1v`PgK&bBAP#w;VbfVvL1yein_r!eMcd=0ji{m1@1o@1^ybs4+ckG1&mg@Rh zl~aEwdUIp)qw=AfPU|$g3JaKH5`M1j+;ER|AXcM=ylX5@d8}(zWxM@ZGwH9V72l%; zk2W0CCYe6i?>x_1EIu5ER9(Gys~63HxBz)=3kZuPG)Wo+eY9~klXcwy&5ZBGOkGzS z%VnVvnivv+=w*Wl0zVimqHTgc))>|e3Xym@r>vqHxVrPTPswQ_WBehe&)g0pw7=HI zlvr3tbzHk_to$+?b)fZxF4Rq$V=PkqfdelXrO5!en~YLckqen%?{7W4sMe?ZhV}GP zICHe&_EI`wxhn8L4eg3dbq^IPOaYjc$LzAdadzK7c==z?%P%1qLcN&@abFDa{E%&x z(EDjz{<8n@{56h}R1htd9U8rKg^flTRkN>+AO6btIknp>j_NCZzt&HUj_Miic=n-- z_ln*wLSqg86f4MYJZhu}1C=R+0O=UiHOnTA!c>>M1auCvZFys{a+8lGlL`G%+NJlL z;7zPz2w>h|*`RoxJyoLrm;5V#k{p;t#d>m%E^7x#fc#+q4rGAw;f$(`LLM3&obiww z!`q{aC>eUh_}KWl|F<4MJo2g;9aj}Ps$gM^4(lg$3Cv7#DFkKS5A9?63_HFmQe|=;4l`JnM+#8>bZ(p>(9-Sa8Ih zj7F!Isk_p4lr#P{oMMheU%E^=(4$Uz=6AP1=GbVo)QR8~yk6N$PDRM3P3p`HlD8(o z^pw#jDY?}rn-YREYU!}d+&x8L=x3Gm-gbHmtR$esk*|#uAfYzMXvJO3Tnjv2>}OS7 zRRDG&k*se=ElPuZMkxjACt2HWt1M374)z_TP`>ZIXGzp)3`8ZliPx$MkP9D{O_1hV|OV&MvCS;Smxs z&Ry4C1<22lJF1R;xF&;=>w7uMN{~{clyyx|26@sv^e6C;pTh4vpgq29Dz< zr=#axGs~fN*&2WW1}dd=l;EErDQYiwABWk64{%pBryK9Psa{j(9}@%WBtaOFNV#|= zhW?}b5*Wix^qs1l6~G;6)j8g|$Iz#2`Qy}o;0teZB53rRB<}jSs~9<+9JI8fR7ogc zR1Y$BMe-1gc81INj#v1=-?(ix8GARc=|LUP7T}#Dw(|3xXuLe%tm7f*9{GlJ%TkKV z=J0M&0%CFV5v}8JH1jCz9?>VB2(^N)QS-i46s?C< zG7ug?u@s?*i7^$lp+(MVR@AE1e%fMGcHeG%=(kIm9?A&FQn_=tEMr0h!x|U`V^xL@ zOegp4$XfO}7faL)b-3C@-@O}v999@_xG8f~M^y1gPH^kofmfK_AZ?b&-4kDMd6fYl zxWua3#yfv+5f9e{hFNpvf<9vKLwX4oMPE`;ilI4THoX9}pW`2TjBF8~LNKs{Ap^TO zqG88U5IPG0Q$vXCb}ki85bn=L2wHBsh+#mvxZGd-%hx9+#VludHR@(U_MOcjlF3MH zXB4al1Mp7(*J<&MPzs1-GmQa-@>DV^l#20>8ZHxiM&MarXH3}R&a<`na>7);oF*7C0M#gQTQu%j&nlU`I9eCkxt09&O$8Cd~wHH zeJC3ZwrOX7y7?#baiy%FtewT1fAT`SLT#uZH+VGXo%6cegKdUc%BOj$K_2ghnuAMO z0*1Q_*X?N#!p{?PwWkeB1K7*J?QVhcVp2I@n6A$EctLDXD9b-*inX>JuCgNaRc_)L$GC8^*lR zH+7_KAin7q0k9(kG4Az+p-c>XKHP5R!I|iVAI<~i2{w{sqXlSTu!j`Sb5reLG0u@Y z#=DI1f>KRuZfHM@yNYkXli{r}&XpQbL3_9vR;O?w0NyY;EKiyZxC*hz$j`OWbU6Bs z3VE5mCUMeGtRhkt3W9*+IMIc9=}Qk{v{s>qM??a*tp-ex@9oZ?{lpuZ@3$fYZ*c@G zsF58{v~__~-{OOS8JtY*!J$8_Tg?&8ok*O^C;Bx7t&n>}lO0|2uz>AU=-TXiiA&A2 ze2I6_hz9Mo;NS!z?4ylq_sUasTu{kWeRGmqbkH+2rz~eXX$Ce?yD$Q|S#91K8%Q-| zgyPA{E@cFUi4=;70OXd%FF+bCr~Eel`w6-h|J^}Rv^QHSdEHhC3k%!x^4IZ@!>r` ztNZ6ObEpeskbP}xI{9)VzKw6WDOinEw3R65x}0oH5Ps;>Ty;f{vKfE5^4-Vy%6Z@P z8P7Qv*|n1IzGa}k@D(c`6)6G8jxka0a&{@>=ct=Xs}|VDZ8?eY`^Qo_#`H?3+DYW1h=#<0t;$o6TwT9Exg_ zX&c4Cw~!m^6Sxd*EUld#FML>I1T>G$h|#J*dXDPwRqUwD;&?^U88Ui#INhJTYgEeL z{ma!@*7~_)CT=f<2@klOj`q~w%%6XKj((7CXnRlaxZBf4SEjD%#bvSK37+MY<%CBU3TLS=RJ;pyy_c2X3QX+ zx*62-ED}f3#=ku2p390EXOWCgFbA_bIm^X8F}jsqR=#4TTd>G-Nq5uQ&)>kv<<_w3 zLRK#qJmr36zg6Ohwc}f2>&uJ{J?+v^%=ItiwCWHDE79eQF2_ZTs1X?>4mxQXKPpI< z>sYu@2X9Bs$Yp#jWyIaL{o?CN?p(ix*zun~{Tfm4PThy&)QE5@Ic5+7fj!HoTFj-f zMD5==RtM+hls&6Ymn{3r%2I7d)@2H<4pY=JBZ%F}OWDRGZe0CeH|bG6?%^z3abT2f z)@0dbl4r4~Og-sQdIn4y*SwZyOE>=UHDi6?9#PMA+`V~`lT4b=MbK72#*|jc z>MtfUWQEUVf^&~$OxPq#WV3FQ`=d+NTXr*gx|DTYzMTjtsjFxG?5})WO2uTFMe}hM z=MWAtspohG7hLMBc2G6S+)dpH^MD&G0F{Wb-UopMv*>C$EwkVXZI;I3wfF$CAGcn- z`X@@PiyXD2XhH9RfVh)U#pHOZ&w$bLlpa<2G>v8@DUBtLZ1b!as!>w8>@V~BK)uGW z@3VV8y_9aJx}9zQt2I0#GnShX_6bTQ7!(1roH$pS6IbL&<-5W~f)jI%x*AmxOQybo zj8>rPtAYS{B{;hdr&NVFqP|uLfH@O2HDZk@cGUuA+j!v)P;M?bZi>%jnw6*O zQ>;?zF9XI~0)u@|Z|3qp>G>Wmh2N5s{^8MAF z6a^MJ4ie@=DcZwXW=|F|MNm|1oy4)7FD)eYDur8@)=GE7(mF7%6|71vrO!7$^e}}g z3s)vRlD<Jp@OAny6Tm zA7<)5?etoQMltR=+hz5AgoJMJ0 z^NT8yIgdT@@D{`KV-iP+Wt3)_pQGixe6r3vx{yg1Kl%{M5kK%Dp*m?IqF@GJVu`E# zI5(~d?>_I~4obn9<*IbHOeYIaVCu`4K~q2bum6bb0x6H|*ktJV0{L!UJ1_IiQ*y!j zacNvB-m_mkXXB4jiR|-_EaAB;EH}u);vC-WV(i>a_mgJYcnLtlsBxIseR+ z_{w5P;26~L)A!wq3UE!Lr^I~KmpO}9P^!DHbts>a{CFjqqTaLjU0ia(Ij(u|eHdO5 zdJW$!J<<>Be!Nz0FS`aq6>y845MJQcSR<%NF|Xo4t{nXgCHt8*78^(Tr-sZS%^{%#z6eyTmj zlDPTYCgGb`UgLzx8q#%q@*{5q?DJ1(6D_BY$W=`)$AZkATO;DX(Z+YB;XnJ{?Z52L zLLrcpaoM;#SvKD|7jRxg(Dz!Js6x)G}#IWhb;TjmEir(J`6S)-w3%W}%9 zcx-Iq!iJ%c&0XBJV_H0e!>2$WUgq`xw|BjvaTHN}FQ%onRdR9-NkMu!^5O6gqExiD z=-!!1tc6CTf+EHbB2p>o2hk6LxyDO-EvQx6T2X7N6)L4#^@IITN#hpN0B$V^fY|a2xwpV^tA0EsF`Tq8Knw_bu=V7$Hz;YI?FXC{aiC0}G(J;< zgAAEy*cSpT^Sk?LKUB#!R!rK{VVEDXnE_WyaumWhQHx*(B@8MV2mwso17&J(`lbpv zgvz<*{`*MA0S=~?eJ%w_7PAk>LibTBqsOj0M0^Sm*zLv;zs;ncF5#XoAUI1ljSBTY z9vx`HkK-tbN>Fs~ zy$`b4B1hB8nAo?`m9qi?i4?5nl+H59Dc*Q7Ab%4*0#YH`Y~XP0gezIT@x~SJ^N>fq z5iq8XcVL{MPrK4{&*Z3Fz*okG245mC=@s=rxzV2xV?OYEJyz{Tmh1w@{W|3qf3fS5 zqxr*N0yPguA&zUC&piE35_bi6*|hpRfYx!dwL6X9lhqQAR~&Qtsil~q2SBL1${>-G z$?gCKg`8V9C$9h55gq5&(I#TUlgvC`;;I0q3cBzV|J-70X6lt~Dv9Rnt*~{SH8*TW zs9qf~tStFVkNDtPvf==;gh&rJ1;J**yJ`s;0gi|gPkdqRhS(mIJ0zYcXb1DHU9jNd z22{|MRs47~k3G622z3Nj=6YETfF57+9A5}}Zc}L)Wd3MooDa90KF$fhak={AV`#{Qr4ZYs^48wW#u^qF zcG0)_R?^B7lLW{7l}~6yJ>nfQ)ha?u+TffAl8nJ4xGB?Nk^re#qqUfaW64JilmL z6>j~o8?oU5nt?KG)SZ`L0Gq&rLbM>mPu3k>CbBv4?`ACduoni{M;$&hjcj3XQw3$n z3vuP~Z##$}083T{>-|>B))xn@32PUrnI7V)b+ijZTA0#LKSE2=!d~Mat3|Y|*NAAo z7wfTWhs-x@@`rT}M|?D_+m{T35)9^G#lDi+$cse0$D)GLE-?>XO}s=rI*~nvRV7@A zhmCW0TnG`al9PzZ2j)jCiWW~~4}cc%VkLfj0-er4edD`k=zGUf^CZkXVQqsaQCmwv z<1J6_(4sv4(B057jrT9Jb9Y{~$FV_In$_8U`;EBllgbkG`~G7|tC4`E)#?hQKGRE` zf{~qhbZLZP#DSXZRv4~XU|4%-Ffoj^!TMH&hPrUY1%W@?|QHL&_x)I^e$IT zACeAdlDxS>53pv;Os1u?d`{tv2)P&g?+Qr~;dPrFRSn52PDH#h&bQwC$>-qXuPHcO zXcI%so#}?_q^6(~F{52=nYga=9x?P6hOu=jF`?=JbWuz*VrC}$Za0liWKr^}M2NNE zUdf1@W#nnwr@T&?*f$zQtt)f<&Zwh)Al_zX>ob8bBfrqaI8?Akis6986^lqm_SKI` zIl$z8R0Y4Gbr0k7JpWtZ3(ePRC;M8B4;R&Ztu`H@{gQfuZjRQ26`*8CG^v|}(VVSi zida;JSuhlfY()oAGAF)Hw2RaYcP2q%?lin&Zu)jEWxYuTNL=59)$nPT9ZcB#25`1{j|XX5>J=r#jS z74$F#y@@RoJZk3X7??Qxb)C7l-E+Rz3Bdb)LF}-+yX!9$-i6soYUNba+rBmkMj)`III2n!l@L#m>CRrK7ZD=$i&(7Qjo z!8*Ux=fF>$PUyLj-leL78}8F^ynnF{AD4R18YqZSUql^pTRJv~R}V)mKRCUgeOMFt-tIsd=j+cF%Yt|(p7@0?0Eit61cx^Nce$j`AY zimuV_qg>jzQ#AMMu8wpXJ!~3XmpzO=|Lj9C`p|$Z==0~tGzuELacj5|y6Jw?Fl07L6)IuI1z!?1)9T9{Zqj z8)@F2@z_55QT5trpynLnSG^yBXoes1jBh#wg;h7!c`3QI`sU}J<_sz~{22I`?>r)2jI#CW;AHMB6AO&-&G3L^Ind&+q*Z$Dwi zzC#B0xS%2nqKy(hU(#XVLuf{REMpjDyB>pdAo7wjMULgGfP?g+{4-vUzg?~t-tVFB z+ZJ-Y1-u%>rEp&HG# zTEQgQ?dmL(u1YxD@$w3!>3IDDb$gD0D10LTMH=GRE=i&OGEt|G5=lWvWsHifH+^YM zhAI-{%YcSaL`fAp7F5Ie(X~!QR+bFrJ2<_#{GgRd!^OdEiJ^H$^&}8e#G+EKnPRml P0L+X#wfLxaMqBs~Yz3BX diff --git a/Arcade_MiST/Custom Hardware/Berzerk_MiST/rtl/berzerk.vhd b/Arcade_MiST/Custom Hardware/Berzerk_MiST/rtl/berzerk.vhd index 52134350..2ccf2e02 100644 --- a/Arcade_MiST/Custom Hardware/Berzerk_MiST/rtl/berzerk.vhd +++ b/Arcade_MiST/Custom Hardware/Berzerk_MiST/rtl/berzerk.vhd @@ -73,7 +73,6 @@ entity berzerk is port( clock_10 : in std_logic; reset : in std_logic; - tv15Khz_mode : in std_logic; video_r : out std_logic; video_g : out std_logic; @@ -83,6 +82,8 @@ port( video_csync : out std_logic; video_hs : out std_logic; video_vs : out std_logic; + video_hb : out std_logic; + video_vb : out std_logic; audio_out : out std_logic_vector(15 downto 0); start2 : in std_logic; @@ -252,19 +253,6 @@ color <= colors(7 downto 4) when hcnt(2) = '0' else colors(3 downto 0); -- serialize video byte video <= color when graphx(to_integer(unsigned(not hcnt(2 downto 0)))) = '1' else "0000"; --- apply blanking -process(clock_10) -begin - if rising_edge(clock_10) then - if ena_pixel = '1' then - if blank = '0' then - video_i <= video; - else - video_i <= (others => '0'); - end if; - end if; - end if; -end process; @@ -315,7 +303,7 @@ begin end if; end process; -process (clock_10, cpu_iorq_n, cpu_addr) +process (clock_10, cpu_iorq_n, cpu_addr, reset) begin if reset = '1' then cpu_int_n <= '1'; @@ -501,39 +489,22 @@ port map ( hsync => hsync, vsync => vsync, csync => csync, - blank => blank, - + hblank => video_hb, + vblank => video_vb, hcnt_o => hcnt, vcnt_o => vcnt ); --- line doubler -line_doubler : entity work.line_doubler -port map( - clock => clock_10, - video_i => video_i, - hsync_i => hsync, - vsync_i => vsync, - video_o => video_o, - hsync_o => hsync_o, - vsync_o => vsync_o -); - - ---video_s <= video_i; ---video_hs <= hsync; ---video_vs <= vsync; +video_s <= video; +video_hs <= hsync; +video_vs <= vsync; video_r <= video_s(0); video_g <= video_s(1); video_b <= video_s(2); video_hi <= video_s(3); - --- output -video_s <= video_o when tv15Khz_mode = '0' else video_i; video_clk <= clock_10; video_csync <= csync; -video_hs <= hsync_o when tv15Khz_mode = '0' else hsync; -video_vs <= vsync_o when tv15Khz_mode = '0' else vsync; + -- Z80 Z80 : entity work.T80se generic map(Mode => 0, T2Write => 1, IOWait => 1) diff --git a/Arcade_MiST/Custom Hardware/Berzerk_MiST/rtl/berzerk_mist.sv b/Arcade_MiST/Custom Hardware/Berzerk_MiST/rtl/berzerk_mist.sv index 563434ff..0e1d82e5 100644 --- a/Arcade_MiST/Custom Hardware/Berzerk_MiST/rtl/berzerk_mist.sv +++ b/Arcade_MiST/Custom Hardware/Berzerk_MiST/rtl/berzerk_mist.sv @@ -1,5 +1,4 @@ -module berzerk_mist -( +module berzerk_mist( output LED, output [5:0] VGA_R, output [5:0] VGA_G, @@ -21,28 +20,16 @@ module berzerk_mist localparam CONF_STR = { "Berzerk;;", -// "O2,Joystick Control,Upright,Normal;", "O34,Scandoubler Fx,None,HQ2x,CRT 25%,CRT 50%;", "T6,Reset;", - "V,v1.00.",`BUILD_DATE + "V,v1.20.",`BUILD_DATE }; -wire [31:0] status; -wire [1:0] buttons; -wire [1:0] switches; -wire [9:0] kbjoy; -wire [7:0] joystick_0; -wire [7:0] joystick_1; -wire scandoubler_disable; -wire ypbpr; -wire ps2_kbd_clk, ps2_kbd_data; - assign LED = 1; +assign AUDIO_R = AUDIO_L; wire clk_20, clk_10, clk_5; - -pll pll -( +pll pll( .inclk0(CLOCK_27), .areset(0), .c0(clk_20), @@ -50,20 +37,24 @@ pll pll .c2(clk_5) ); -wire m_up = status[2] ? kbjoy[6] | joystick_0[1] | joystick_1[1] : kbjoy[4] | joystick_0[3] | joystick_1[3]; -wire m_down = status[2] ? kbjoy[7] | joystick_0[0] | joystick_1[0] : kbjoy[5] | joystick_0[2] | joystick_1[2]; -wire m_left = status[2] ? kbjoy[5] | joystick_0[2] | joystick_1[2] : kbjoy[6] | joystick_0[1] | joystick_1[1]; -wire m_right = status[2] ? kbjoy[4] | joystick_0[3] | joystick_1[3] : kbjoy[7] | joystick_0[0] | joystick_1[0]; - -wire m_fire = kbjoy[0] | joystick_0[4] | joystick_1[4]; -wire m_start1 = kbjoy[1]; -wire m_start2 = kbjoy[2]; -wire m_coin = kbjoy[3]; +wire [31:0] status; +wire [1:0] buttons; +wire [1:0] switches; +wire [9:0] kbjoy; +wire [7:0] joystick_0; +wire [7:0] joystick_1; +wire scandoublerD; +wire ypbpr; +wire [10:0] ps2_key; +wire hs, vs; +wire hb, vb; +wire blankn = ~(hb | vb); +wire r, g, b; +wire [15:0] audio; berzerk berzerk( .clock_10(clk_10), .reset(status[0] | status[6] | buttons[1]), - .tv15Khz_mode(1'b1), .video_r(r), .video_g(g), .video_b(b), @@ -72,10 +63,12 @@ berzerk berzerk( .video_csync(), .video_hs(hs), .video_vs(vs), + .video_hb(hb), + .video_vb(vb), .audio_out(audio), - .start2(m_start2), - .start1(m_start1), - .coin1(m_coin), + .start2(btn_two_players), + .start1(btn_one_player), + .coin1(btn_coin), .cocktail(1'b0), .right1(m_right), .left1(m_left), @@ -93,31 +86,16 @@ berzerk berzerk( .dbg_cpu_addr_latch() ); -wire [15:0] audio; - -dac dac ( - .clk_i(clk_20), - .res_n_i(1), - .dac_i(audio[15:4]), - .dac_o(AUDIO_L) - ); - -assign AUDIO_R = AUDIO_L; - -wire hs, vs; -wire r, g, b; - -video_mixer #(.LINE_LENGTH(480), .HALF_DEPTH(0)) video_mixer -( +video_mixer video_mixer( .clk_sys(clk_20), .ce_pix(clk_5), .ce_pix_actual(clk_5), .SPI_SCK(SPI_SCK), .SPI_SS3(SPI_SS3), .SPI_DI(SPI_DI), - .R({r,r,r,r,r,r}), - .G({g,g,g,g,g,g}), - .B({b,b,b,b,b,b}), + .R(blankn ? {r,r,r} : "000"), + .G(blankn ? {g,g,g} : "000"), + .B(blankn ? {b,b,b} : "000"), .HSync(hs), .VSync(vs), .VGA_R(VGA_R), @@ -125,17 +103,18 @@ video_mixer #(.LINE_LENGTH(480), .HALF_DEPTH(0)) video_mixer .VGA_B(VGA_B), .VGA_VS(VGA_VS), .VGA_HS(VGA_HS), - .scandoubler_disable(scandoubler_disable),//scandoubler_disable), - .scanlines(scandoubler_disable ? 2'b00 : {status[4:3] == 3, status[4:3] == 2}), - .hq2x(status[4:3]==1), + .scandoublerD(scandoublerD), + .scanlines(scandoublerD ? 2'b00 : status[4:3]), + .ypbpr(ypbpr), .ypbpr_full(1), .line_start(0), .mono(0) ); -mist_io #(.STRLEN(($size(CONF_STR)>>3))) mist_io -( - .clk_sys (clk_20 ), +mist_io #( + .STRLEN(($size(CONF_STR)>>3))) +mist_io( + .clk_sys (clk_20 ), .conf_str (CONF_STR ), .SPI_SCK (SPI_SCK ), .CONF_DATA0 (CONF_DATA0 ), @@ -144,26 +123,60 @@ mist_io #(.STRLEN(($size(CONF_STR)>>3))) mist_io .SPI_DI (SPI_DI ), .buttons (buttons ), .switches (switches ), - .scandoubler_disable(scandoubler_disable), + .scandoublerD (scandoublerD ), .ypbpr (ypbpr ), - .ps2_kbd_clk (ps2_kbd_clk ), - .ps2_kbd_data (ps2_kbd_data ), + .ps2_key (ps2_key ), .joystick_0 (joystick_0 ), .joystick_1 (joystick_1 ), .status (status ) ); -keyboard keyboard( - .clk(clk_20), - .reset(), - .ps2_kbd_clk(ps2_kbd_clk), - .ps2_kbd_data(ps2_kbd_data), - .joystick(kbjoy) - ); +dac #( + .msbi_g(15)) +dac( + .clk_i(clk_20), + .res_n_i(1), + .dac_i(audio), + .dac_o(AUDIO_L) +); + +wire m_up = btn_up | joystick_0[3] | joystick_1[3]; +wire m_down = btn_down | joystick_0[2] | joystick_1[2]; +wire m_left = btn_left | joystick_0[1] | joystick_1[1]; +wire m_right = btn_right | joystick_0[0] | joystick_1[0]; +wire m_fire = btn_fire1 | joystick_0[4] | joystick_1[4]; +wire m_bomb = btn_fire2 | joystick_0[5] | joystick_1[5]; +reg btn_one_player = 0; +reg btn_two_players = 0; +reg btn_left = 0; +reg btn_right = 0; +reg btn_down = 0; +reg btn_up = 0; +reg btn_fire1 = 0; +reg btn_fire2 = 0; +reg btn_fire3 = 0; +reg btn_coin = 0; +wire pressed = ps2_key[9]; +wire [7:0] code = ps2_key[7:0]; + +always @(posedge clk_20) begin + reg old_state; + old_state <= ps2_key[10]; + if(old_state != ps2_key[10]) begin + case(code) + 'h75: btn_up <= pressed; // up + 'h72: btn_down <= pressed; // down + 'h6B: btn_left <= pressed; // left + 'h74: btn_right <= pressed; // right + 'h76: btn_coin <= pressed; // ESC + 'h05: btn_one_player <= pressed; // F1 + 'h06: btn_two_players <= pressed; // F2 + 'h14: btn_fire3 <= pressed; // ctrl + 'h11: btn_fire2 <= pressed; // alt + 'h29: btn_fire1 <= pressed; // Space + endcase + end +end endmodule - - - - diff --git a/Arcade_MiST/Custom Hardware/Berzerk_MiST/rtl/build_id.v b/Arcade_MiST/Custom Hardware/Berzerk_MiST/rtl/build_id.v index 98c24125..08386795 100644 --- a/Arcade_MiST/Custom Hardware/Berzerk_MiST/rtl/build_id.v +++ b/Arcade_MiST/Custom Hardware/Berzerk_MiST/rtl/build_id.v @@ -1,2 +1,2 @@ -`define BUILD_DATE "180925" -`define BUILD_TIME "181542" +`define BUILD_DATE "190320" +`define BUILD_TIME "193746" diff --git a/Arcade_MiST/Custom Hardware/Berzerk_MiST/rtl/keyboard.v b/Arcade_MiST/Custom Hardware/Berzerk_MiST/rtl/keyboard.v deleted file mode 100644 index 89f7e34e..00000000 --- a/Arcade_MiST/Custom Hardware/Berzerk_MiST/rtl/keyboard.v +++ /dev/null @@ -1,82 +0,0 @@ - - -module keyboard -( - input clk, - input reset, - input ps2_kbd_clk, - input ps2_kbd_data, - - output reg[7:0] joystick -); - -reg [11:0] shift_reg = 12'hFFF; -wire[11:0] kdata = {ps2_kbd_data,shift_reg[11:1]}; -wire [7:0] kcode = kdata[9:2]; -reg release_btn = 0; - -reg [7:0] code; -reg input_strobe = 0; - -always @(negedge clk) begin - reg old_reset = 0; - - old_reset <= reset; - - if(~old_reset & reset)begin - joystick <= 0; - end - - if(input_strobe) begin - case(code) - 'h16: joystick[1] <= ~release_btn; // 1 - 'h1E: joystick[2] <= ~release_btn; // 2 - - 'h75: joystick[4] <= ~release_btn; // arrow up - 'h72: joystick[5] <= ~release_btn; // arrow down - 'h6B: joystick[6] <= ~release_btn; // arrow left - 'h74: joystick[7] <= ~release_btn; // arrow right - - 'h29: joystick[0] <= ~release_btn; // Space - 'h11: joystick[1] <= ~release_btn; // Left Alt - 'h0d: joystick[2] <= ~release_btn; // Tab - 'h76: joystick[3] <= ~release_btn; // Escape - endcase - end -end - -always @(posedge clk) begin - reg [3:0] prev_clk = 0; - reg old_reset = 0; - reg action = 0; - - old_reset <= reset; - input_strobe <= 0; - - if(~old_reset & reset)begin - prev_clk <= 0; - shift_reg <= 12'hFFF; - end else begin - prev_clk <= {ps2_kbd_clk,prev_clk[3:1]}; - if(prev_clk == 1) begin - if (kdata[11] & ^kdata[10:2] & ~kdata[1] & kdata[0]) begin - shift_reg <= 12'hFFF; - if (kcode == 8'he0) ; - // Extended key code follows - else if (kcode == 8'hf0) - // Release code follows - action <= 1; - else begin - // Cancel extended/release flags for next time - action <= 0; - release_btn <= action; - code <= kcode; - input_strobe <= 1; - end - end else begin - shift_reg <= kdata; - end - end - end -end -endmodule diff --git a/Arcade_MiST/Custom Hardware/Berzerk_MiST/rtl/line_doubler.vhd b/Arcade_MiST/Custom Hardware/Berzerk_MiST/rtl/line_doubler.vhd deleted file mode 100644 index 43a89672..00000000 --- a/Arcade_MiST/Custom Hardware/Berzerk_MiST/rtl/line_doubler.vhd +++ /dev/null @@ -1,104 +0,0 @@ ---------------------------------------------------------------------------------- --- Line doubler - Dar - Feb 2014 ---------------------------------------------------------------------------------- -library ieee; -use ieee.std_logic_1164.all,ieee.numeric_std.all; - -entity line_doubler is -port( - clock : in std_logic; - video_i : in std_logic_vector(3 downto 0); - hsync_i : in std_logic; - vsync_i : in std_logic; - video_o : out std_logic_vector(3 downto 0); - hsync_o : out std_logic; - vsync_o : out std_logic -); -end line_doubler; - -architecture struct of line_doubler is - -signal hsync_i_reg : std_logic; -signal vsync_i_reg : std_logic; -signal hcnt_i : integer range 0 to 1023; -signal vcnt_i : integer range 0 to 511; -signal hcnt_o : integer range 0 to 511; - -signal flip_flop : std_logic; -signal blank : std_logic; - -type ram_1024x8 is array(0 to 1023) of std_logic_vector(3 downto 0); -signal ram1 : ram_1024x8; -signal ram2 : ram_1024x8; -signal video : std_logic_vector(3 downto 0); - -begin - -process(clock) -begin - if rising_edge(clock) then - - hsync_i_reg <= hsync_i; - vsync_i_reg <= vsync_i; - - if (vsync_i = '0' and vsync_i_reg = '1') then - vcnt_i <= 0; - else - if (hsync_i = '0' and hsync_i_reg = '1') then - vcnt_i <= vcnt_i + 1; - end if; - end if; - - if (hsync_i = '0' and hsync_i_reg = '1') then - flip_flop <= not flip_flop; - hcnt_i <= 0; - else - hcnt_i <= hcnt_i + 1; - end if; - - if (hsync_i = '0' and hsync_i_reg = '1') or hcnt_o = 319 then - hcnt_o <= 0; - else - hcnt_o <= hcnt_o + 1; - end if; - --- if hcnt_o = 0 then hsync_o <= '0'; --- elsif hcnt_o = 4 then hsync_o <= '1'; --- end if; - - if hcnt_o = 319-2-4 then hsync_o <= '0'; - elsif hcnt_o = 319-2 then hsync_o <= '1'; - end if; - - if hcnt_o = 54 then blank <= '0'; - elsif hcnt_o = 308 then blank <= '1'; - end if; - - if vcnt_i = 0 then vsync_o <= '0'; - elsif vcnt_i = 4 then vsync_o <= '1'; - end if; - - end if; -end process; - -process(clock) -begin - if rising_edge(clock) then - if flip_flop = '0' then - ram1(hcnt_i/2) <= video_i; - video <= ram2(hcnt_o); - else - ram2(hcnt_i/2) <= video_i; - video <= ram1(hcnt_o); - end if; - end if; - - if blank = '0' then - video_o <= video; - else - video_o <= (others => '0'); - end if; - -end process; - -end architecture; \ No newline at end of file diff --git a/Arcade_MiST/Custom Hardware/Berzerk_MiST/rtl/mist_io.v b/Arcade_MiST/Custom Hardware/Berzerk_MiST/rtl/mist_io.v index ab9ef8ad..2f41221f 100644 --- a/Arcade_MiST/Custom Hardware/Berzerk_MiST/rtl/mist_io.v +++ b/Arcade_MiST/Custom Hardware/Berzerk_MiST/rtl/mist_io.v @@ -5,6 +5,7 @@ // http://code.google.com/p/mist-board/ // // Copyright (c) 2014 Till Harbaum +// Copyright (c) 2015-2017 Sorgelig // // This source file is free software: you can redistribute it and/or modify // it under the terms of the GNU General Public License as published @@ -47,13 +48,16 @@ module mist_io #(parameter STRLEN=0, parameter PS2DIV=100) output SPI_DO, input SPI_DI, - output reg [7:0] joystick_0, - output reg [7:0] joystick_1, + output reg [7:0] joystick_0, + output reg [7:0] joystick_1, +// output reg [31:0] joystick_2, +// output reg [31:0] joystick_3, +// output reg [31:0] joystick_4, output reg [15:0] joystick_analog_0, output reg [15:0] joystick_analog_1, output [1:0] buttons, output [1:0] switches, - output scandoubler_disable, + output scandoublerD, output ypbpr, output reg [31:0] status, @@ -61,13 +65,13 @@ module mist_io #(parameter STRLEN=0, parameter PS2DIV=100) // SD config input sd_conf, input sd_sdhc, - output img_mounted, // signaling that new image has been mounted + output [1:0] img_mounted, // signaling that new image has been mounted output reg [31:0] img_size, // size of image in bytes // SD block level access input [31:0] sd_lba, - input sd_rd, - input sd_wr, + input [1:0] sd_rd, + input [1:0] sd_wr, output reg sd_ack, output reg sd_ack_conf, @@ -83,186 +87,221 @@ module mist_io #(parameter STRLEN=0, parameter PS2DIV=100) output ps2_mouse_clk, output reg ps2_mouse_data, + // ps2 alternative interface. + + // [8] - extended, [9] - pressed, [10] - toggles with every press/release + output reg [10:0] ps2_key = 0, + + // [24] - toggles with every event + output reg [24:0] ps2_mouse = 0, + // ARM -> FPGA download - input ioctl_force_erase, + input ioctl_ce, output reg ioctl_download = 0, // signal indicating an active download - output reg ioctl_erasing = 0, // signal indicating an active erase output reg [7:0] ioctl_index, // menu index used to upload the file output reg ioctl_wr = 0, output reg [24:0] ioctl_addr, output reg [7:0] ioctl_dout ); -reg [7:0] b_data; -reg [6:0] sbuf; -reg [7:0] cmd; -reg [2:0] bit_cnt; // counts bits 0-7 0-7 ... -reg [7:0] byte_cnt; // counts bytes reg [7:0] but_sw; reg [2:0] stick_idx; -reg mount_strobe = 0; +reg [1:0] mount_strobe = 0; assign img_mounted = mount_strobe; assign buttons = but_sw[1:0]; assign switches = but_sw[3:2]; -assign scandoubler_disable = but_sw[4]; +assign scandoublerD = but_sw[4]; assign ypbpr = but_sw[5]; -wire [7:0] spi_dout = { sbuf, SPI_DI}; - // this variant of user_io is for 8 bit cores (type == a4) only wire [7:0] core_type = 8'ha4; // command byte read by the io controller -wire [7:0] sd_cmd = { 4'h5, sd_conf, sd_sdhc, sd_wr, sd_rd }; +wire drive_sel = sd_rd[1] | sd_wr[1]; +wire [7:0] sd_cmd = { 4'h6, sd_conf, sd_sdhc, sd_wr[drive_sel], sd_rd[drive_sel] }; + +reg [7:0] cmd; +reg [2:0] bit_cnt; // counts bits 0-7 0-7 ... +reg [9:0] byte_cnt; // counts bytes reg spi_do; assign SPI_DO = CONF_DATA0 ? 1'bZ : spi_do; -// drive MISO only when transmitting core id -always@(negedge SPI_SCK) begin - if(!CONF_DATA0) begin - // first byte returned is always core type, further bytes are - // command dependent - if(byte_cnt == 0) begin - spi_do <= core_type[~bit_cnt]; +reg [7:0] spi_data_out; - end else begin - case(cmd) - // reading config string - 8'h14: begin - // returning a byte from string - if(byte_cnt < STRLEN + 1) spi_do <= conf_str[{STRLEN - byte_cnt,~bit_cnt}]; - else spi_do <= 0; - end +// SPI transmitter +always@(negedge SPI_SCK) spi_do <= spi_data_out[~bit_cnt]; - // reading sd card status - 8'h16: begin - if(byte_cnt == 1) spi_do <= sd_cmd[~bit_cnt]; - else if((byte_cnt >= 2) && (byte_cnt < 6)) spi_do <= sd_lba[{5-byte_cnt, ~bit_cnt}]; - else spi_do <= 0; - end - - // reading sd card write data - 8'h18: - spi_do <= b_data[~bit_cnt]; - - default: - spi_do <= 0; - endcase - end - end -end - -reg b_wr2,b_wr3; -always @(negedge clk_sys) begin - b_wr3 <= b_wr2; - sd_buff_wr <= b_wr3; -end +reg [7:0] spi_data_in; +reg spi_data_ready = 0; // SPI receiver always@(posedge SPI_SCK or posedge CONF_DATA0) begin + reg [6:0] sbuf; + reg [31:0] sd_lba_r; + reg drive_sel_r; if(CONF_DATA0) begin - b_wr2 <= 0; bit_cnt <= 0; byte_cnt <= 0; - sd_ack <= 0; - sd_ack_conf <= 0; - end else begin - b_wr2 <= 0; - - sbuf <= spi_dout[6:0]; + spi_data_out <= core_type; + end + else + begin bit_cnt <= bit_cnt + 1'd1; - if(bit_cnt == 5) begin - if (byte_cnt == 0) sd_buff_addr <= 0; - if((byte_cnt != 0) & (sd_buff_addr != 511)) sd_buff_addr <= sd_buff_addr + 1'b1; - if((byte_cnt == 1) & ((cmd == 8'h17) | (cmd == 8'h19))) sd_buff_addr <= 0; - end + sbuf <= {sbuf[5:0], SPI_DI}; // finished reading command byte if(bit_cnt == 7) begin + if(!byte_cnt) cmd <= {sbuf, SPI_DI}; + + spi_data_in <= {sbuf, SPI_DI}; + spi_data_ready <= ~spi_data_ready; if(~&byte_cnt) byte_cnt <= byte_cnt + 8'd1; - if(byte_cnt == 0) begin - cmd <= spi_dout; - - if(spi_dout == 8'h19) begin - sd_ack_conf <= 1; - sd_buff_addr <= 0; - end - if((spi_dout == 8'h17) || (spi_dout == 8'h18)) begin - sd_ack <= 1; - sd_buff_addr <= 0; - end - if(spi_dout == 8'h18) b_data <= sd_buff_din; - - mount_strobe <= 0; - - end else begin - case(cmd) - // buttons and switches - 8'h01: but_sw <= spi_dout; - 8'h02: joystick_0 <= spi_dout; - 8'h03: joystick_1 <= spi_dout; + spi_data_out <= 0; + case({(!byte_cnt) ? {sbuf, SPI_DI} : cmd}) + // reading config string + 8'h14: if(byte_cnt < STRLEN) spi_data_out <= conf_str[(STRLEN - byte_cnt - 1)<<3 +:8]; - // store incoming ps2 mouse bytes - 8'h04: begin - ps2_mouse_fifo[ps2_mouse_wptr] <= spi_dout; - ps2_mouse_wptr <= ps2_mouse_wptr + 1'd1; - end + // reading sd card status + 8'h16: if(byte_cnt == 0) begin + spi_data_out <= sd_cmd; + sd_lba_r <= sd_lba; + drive_sel_r <= drive_sel; + end else if (byte_cnt == 1) begin + spi_data_out <= drive_sel_r; + end else if(byte_cnt < 6) spi_data_out <= sd_lba_r[(5-byte_cnt)<<3 +:8]; - // store incoming ps2 keyboard bytes - 8'h05: begin - ps2_kbd_fifo[ps2_kbd_wptr] <= spi_dout; - ps2_kbd_wptr <= ps2_kbd_wptr + 1'd1; - end - - 8'h15: status[7:0] <= spi_dout; - - // send SD config IO -> FPGA - // flag that download begins - // sd card knows data is config if sd_dout_strobe is asserted - // with sd_ack still being inactive (low) - 8'h19, - // send sector IO -> FPGA - // flag that download begins - 8'h17: begin - sd_buff_dout <= spi_dout; - b_wr2 <= 1; - end + // reading sd card write data + 8'h18: spi_data_out <= sd_buff_din; + endcase + end + end +end - 8'h18: b_data <= sd_buff_din; +reg [31:0] ps2_key_raw = 0; +wire pressed = (ps2_key_raw[15:8] != 8'hf0); +wire extended = (~pressed ? (ps2_key_raw[23:16] == 8'he0) : (ps2_key_raw[15:8] == 8'he0)); - // joystick analog - 8'h1a: begin - // first byte is joystick index - if(byte_cnt == 1) stick_idx <= spi_dout[2:0]; - else if(byte_cnt == 2) begin - // second byte is x axis - if(stick_idx == 0) joystick_analog_0[15:8] <= spi_dout; - else if(stick_idx == 1) joystick_analog_1[15:8] <= spi_dout; - end else if(byte_cnt == 3) begin - // third byte is y axis - if(stick_idx == 0) joystick_analog_0[7:0] <= spi_dout; - else if(stick_idx == 1) joystick_analog_1[7:0] <= spi_dout; - end - end +// transfer to clk_sys domain +always@(posedge clk_sys) begin + reg old_ss1, old_ss2; + reg old_ready1, old_ready2; + reg [2:0] b_wr; + reg got_ps2 = 0; - // notify image selection - 8'h1c: mount_strobe <= 1; + old_ss1 <= CONF_DATA0; + old_ss2 <= old_ss1; + old_ready1 <= spi_data_ready; + old_ready2 <= old_ready1; + + sd_buff_wr <= b_wr[0]; + if(b_wr[2] && (~&sd_buff_addr)) sd_buff_addr <= sd_buff_addr + 1'b1; + b_wr <= (b_wr<<1); - // send image info - 8'h1d: if(byte_cnt<5) img_size[(byte_cnt-1)<<3 +:8] <= spi_dout; - - // status, 32bit version - 8'h1e: if(byte_cnt<5) status[(byte_cnt-1)<<3 +:8] <= spi_dout; - default: ; - endcase + if(old_ss2) begin + got_ps2 <= 0; + sd_ack <= 0; + sd_ack_conf <= 0; + sd_buff_addr <= 0; + if(got_ps2) begin + if(cmd == 4) ps2_mouse[24] <= ~ps2_mouse[24]; + if(cmd == 5) begin + ps2_key <= {~ps2_key[10], pressed, extended, ps2_key_raw[7:0]}; + if(ps2_key_raw == 'hE012E07C) ps2_key[9:0] <= 'h37C; // prnscr pressed + if(ps2_key_raw == 'h7CE0F012) ps2_key[9:0] <= 'h17C; // prnscr released + if(ps2_key_raw == 'hF014F077) ps2_key[9:0] <= 'h377; // pause pressed end end end + else + if(old_ready2 ^ old_ready1) begin + + if(cmd == 8'h18 && ~&sd_buff_addr) sd_buff_addr <= sd_buff_addr + 1'b1; + + if(byte_cnt < 2) begin + + if (cmd == 8'h19) sd_ack_conf <= 1; + if((cmd == 8'h17) || (cmd == 8'h18)) sd_ack <= 1; + mount_strobe <= 0; + + if(cmd == 5) ps2_key_raw <= 0; + end else begin + + case(cmd) + // buttons and switches + 8'h01: but_sw <= spi_data_in; + 8'h02: joystick_0 <= spi_data_in; + 8'h03: joystick_1 <= spi_data_in; +// 8'h60: if (byte_cnt < 5) joystick_0[(byte_cnt-1)<<3 +:8] <= spi_data_in; +// 8'h61: if (byte_cnt < 5) joystick_1[(byte_cnt-1)<<3 +:8] <= spi_data_in; +// 8'h62: if (byte_cnt < 5) joystick_2[(byte_cnt-1)<<3 +:8] <= spi_data_in; +// 8'h63: if (byte_cnt < 5) joystick_3[(byte_cnt-1)<<3 +:8] <= spi_data_in; +// 8'h64: if (byte_cnt < 5) joystick_4[(byte_cnt-1)<<3 +:8] <= spi_data_in; + // store incoming ps2 mouse bytes + 8'h04: begin + got_ps2 <= 1; + case(byte_cnt) + 2: ps2_mouse[7:0] <= spi_data_in; + 3: ps2_mouse[15:8] <= spi_data_in; + 4: ps2_mouse[23:16] <= spi_data_in; + endcase + ps2_mouse_fifo[ps2_mouse_wptr] <= spi_data_in; + ps2_mouse_wptr <= ps2_mouse_wptr + 1'd1; + end + + // store incoming ps2 keyboard bytes + 8'h05: begin + got_ps2 <= 1; + ps2_key_raw[31:0] <= {ps2_key_raw[23:0], spi_data_in}; + ps2_kbd_fifo[ps2_kbd_wptr] <= spi_data_in; + ps2_kbd_wptr <= ps2_kbd_wptr + 1'd1; + end + + 8'h15: status[7:0] <= spi_data_in; + + // send SD config IO -> FPGA + // flag that download begins + // sd card knows data is config if sd_dout_strobe is asserted + // with sd_ack still being inactive (low) + 8'h19, + // send sector IO -> FPGA + // flag that download begins + 8'h17: begin + sd_buff_dout <= spi_data_in; + b_wr <= 1; + end + + // joystick analog + 8'h1a: begin + // first byte is joystick index + if(byte_cnt == 2) stick_idx <= spi_data_in[2:0]; + else if(byte_cnt == 3) begin + // second byte is x axis + if(stick_idx == 0) joystick_analog_0[15:8] <= spi_data_in; + else if(stick_idx == 1) joystick_analog_1[15:8] <= spi_data_in; + end else if(byte_cnt == 4) begin + // third byte is y axis + if(stick_idx == 0) joystick_analog_0[7:0] <= spi_data_in; + else if(stick_idx == 1) joystick_analog_1[7:0] <= spi_data_in; + end + end + + // notify image selection + 8'h1c: mount_strobe[spi_data_in[0]] <= 1; + + // send image info + 8'h1d: if(byte_cnt<6) img_size[(byte_cnt-2)<<3 +:8] <= spi_data_in; + + // status, 32bit version + 8'h1e: if(byte_cnt<6) status[(byte_cnt-2)<<3 +:8] <= spi_data_in; + default: ; + endcase + end + end end @@ -417,6 +456,8 @@ localparam UIO_FILE_TX = 8'h53; localparam UIO_FILE_TX_DAT = 8'h54; localparam UIO_FILE_INDEX = 8'h55; +reg rdownload = 0; + // data_io has its own SPI interface to the io controller always@(posedge SPI_SCK, posedge SPI_SS2) begin reg [6:0] sbuf; @@ -426,15 +467,10 @@ always@(posedge SPI_SCK, posedge SPI_SS2) begin if(SPI_SS2) cnt <= 0; else begin - rclk <= 0; - // don't shift in last bit. It is evaluated directly // when writing to ram if(cnt != 15) sbuf <= { sbuf[5:0], SPI_DI}; - // increase target address after write - if(rclk) addr <= addr + 1'd1; - // count 0-7 8-15 8-15 ... if(cnt < 15) cnt <= cnt + 1'd1; else cnt <= 8; @@ -446,18 +482,15 @@ always@(posedge SPI_SCK, posedge SPI_SS2) begin if((cmd == UIO_FILE_TX) && (cnt == 15)) begin // prepare if(SPI_DI) begin - case(ioctl_index) - 0: addr <= 'h080000; // BOOT ROM - 'h01: addr <= 'h000100; // ROM file - 'h41: addr <= 'h000100; // COM file - 'h81: addr <= 'h000000; // C00 file - 'hC1: addr <= 'h010000; // EDD file - default: addr <= 'h100000; // FDD file + case(ioctl_index[4:0]) + 1: addr <= 25'h200000; // TRD buffer at 2MB + 2: addr <= 25'h400000; // tape buffer at 4MB + default: addr <= 25'h150000; // boot rom endcase - ioctl_download <= 1; + rdownload <= 1; end else begin addr_w <= addr; - ioctl_download <= 0; + rdownload <= 0; end end @@ -465,7 +498,8 @@ always@(posedge SPI_SCK, posedge SPI_SS2) begin if((cmd == UIO_FILE_TX_DAT) && (cnt == 15)) begin addr_w <= addr; data_w <= {sbuf, SPI_DI}; - rclk <= 1; + addr <= addr + 1'd1; + rclk <= ~rclk; end // expose file (menu) index @@ -473,60 +507,24 @@ always@(posedge SPI_SCK, posedge SPI_SS2) begin end end -reg [24:0] erase_mask; -wire [24:0] next_erase = (ioctl_addr + 1'd1) & erase_mask; - +// transfer to ioctl_clk domain. +// ioctl_index is set before ioctl_download, so it's stable already always@(posedge clk_sys) begin reg rclkD, rclkD2; - reg old_force = 0; - reg [5:0] erase_clk_div; - reg [24:0] end_addr; - reg erase_trigger = 0; - rclkD <= rclk; - rclkD2 <= rclkD; - ioctl_wr <= 0; + if(ioctl_ce) begin + ioctl_download <= rdownload; - if(rclkD & ~rclkD2) begin - ioctl_dout <= data_w; - ioctl_addr <= addr_w; - ioctl_wr <= 1; - end + rclkD <= rclk; + rclkD2 <= rclkD; + ioctl_wr <= 0; - if(ioctl_download) begin - old_force <= 0; - ioctl_erasing <= 0; - erase_trigger <= (ioctl_index == 1); - end else begin - - old_force <= ioctl_force_erase; - - // start erasing - if(erase_trigger) begin - erase_trigger <= 0; - erase_mask <= 'hFFFF; - end_addr <= 'h0100; - erase_clk_div <= 1; - ioctl_erasing <= 1; - end else if((ioctl_force_erase & ~old_force)) begin - erase_trigger <= 0; - ioctl_addr <= 'h1FFFFFF; - erase_mask <= 'h1FFFFFF; - end_addr <= 'h0050000; - erase_clk_div <= 1; - ioctl_erasing <= 1; - end else if(ioctl_erasing) begin - erase_clk_div <= erase_clk_div + 1'd1; - if(!erase_clk_div) begin - if(next_erase == end_addr) ioctl_erasing <= 0; - else begin - ioctl_addr <= next_erase; - ioctl_dout <= 0; - ioctl_wr <= 1; - end - end + if(rclkD != rclkD2) begin + ioctl_dout <= data_w; + ioctl_addr <= addr_w; + ioctl_wr <= 1; end end end -endmodule \ No newline at end of file +endmodule \ No newline at end of file diff --git a/Arcade_MiST/Custom Hardware/Berzerk_MiST/rtl/osd.v b/Arcade_MiST/Custom Hardware/Berzerk_MiST/rtl/osd.v index c62c10af..b9181763 100644 --- a/Arcade_MiST/Custom Hardware/Berzerk_MiST/rtl/osd.v +++ b/Arcade_MiST/Custom Hardware/Berzerk_MiST/rtl/osd.v @@ -11,13 +11,15 @@ module osd ( input SPI_SS3, input SPI_DI, + input [1:0] rotate, //[0] - rotate [1] - left or right + // VGA signals coming from core input [5:0] R_in, input [5:0] G_in, input [5:0] B_in, input HSync, input VSync, - + // VGA signals going to video connector output [5:0] R_out, output [5:0] G_out, @@ -59,7 +61,7 @@ always@(posedge SPI_SCK, posedge SPI_SS3) begin if(cnt == 7) begin cmd <= {sbuf[6:0], SPI_DI}; - + // lower three command bits are line address bcnt <= {sbuf[1:0], SPI_DI, 8'h00}; @@ -91,7 +93,7 @@ reg [9:0] vs_low, vs_high; wire vs_pol = vs_high < vs_low; wire [9:0] dsp_height = vs_pol ? vs_low : vs_high; -wire doublescan = (dsp_height>350); +wire doublescan = (dsp_height>350); reg ce_pix; always @(negedge clk_sys) begin @@ -124,13 +126,13 @@ always @(posedge clk_sys) begin hsD2 <= hsD; // falling edge of HSync - if(!hsD && hsD2) begin + if(!hsD && hsD2) begin h_cnt <= 0; hs_high <= h_cnt; end // rising edge of HSync - else if(hsD && !hsD2) begin + else if(hsD && !hsD2) begin h_cnt <= 0; hs_low <= h_cnt; v_cnt <= v_cnt + 1'd1; @@ -142,13 +144,13 @@ always @(posedge clk_sys) begin vsD2 <= vsD; // falling edge of VSync - if(!vsD && vsD2) begin + if(!vsD && vsD2) begin v_cnt <= 0; vs_high <= v_cnt; end // rising edge of VSync - else if(vsD && !vsD2) begin + else if(vsD && !vsD2) begin v_cnt <= 0; vs_low <= v_cnt; end @@ -160,17 +162,30 @@ wire [9:0] h_osd_start = ((dsp_width - OSD_WIDTH)>> 1) + OSD_X_OFFSET; wire [9:0] h_osd_end = h_osd_start + OSD_WIDTH; wire [9:0] v_osd_start = ((dsp_height- (OSD_HEIGHT<> 1) + OSD_Y_OFFSET; wire [9:0] v_osd_end = v_osd_start + (OSD_HEIGHT<= h_osd_start) && (h_cnt < h_osd_end) && (VSync != vs_pol) && (v_cnt >= v_osd_start) && (v_cnt < v_osd_end); -reg [7:0] osd_byte; -always @(posedge clk_sys) if(ce_pix) osd_byte <= osd_buffer[{doublescan ? osd_vcnt[7:5] : osd_vcnt[6:4], osd_hcnt[7:0]}]; +reg [10:0] osd_buffer_addr; +wire [7:0] osd_byte = osd_buffer[osd_buffer_addr]; +reg osd_pixel; -wire osd_pixel = osd_byte[doublescan ? osd_vcnt[4:2] : osd_vcnt[3:1]]; +always @(posedge clk_sys) begin + if(ce_pix) begin + osd_buffer_addr <= rotate[0] ? {rotate[1] ? osd_hcnt_next2[7:5] : ~osd_hcnt_next2[7:5], + rotate[1] ? (doublescan ? ~osd_vcnt[7:0] : ~{osd_vcnt[6:0], 1'b0}) : + (doublescan ? osd_vcnt[7:0] : {osd_vcnt[6:0], 1'b0})} : + {doublescan ? osd_vcnt[7:5] : osd_vcnt[6:4], osd_hcnt_next2[7:0]}; + + osd_pixel <= rotate[0] ? osd_byte[rotate[1] ? osd_hcnt_next[4:2] : ~osd_hcnt_next[4:2]] : + osd_byte[doublescan ? osd_vcnt[4:2] : osd_vcnt[3:1]]; + end +end assign R_out = !osd_de ? R_in : {osd_pixel, osd_pixel, OSD_COLOR[2], R_in[5:3]}; assign G_out = !osd_de ? G_in : {osd_pixel, osd_pixel, OSD_COLOR[1], G_in[5:3]}; diff --git a/Arcade_MiST/Custom Hardware/Berzerk_MiST/rtl/scandoubler.v b/Arcade_MiST/Custom Hardware/Berzerk_MiST/rtl/scandoubler.v index 5a3ccd17..0213d20c 100644 --- a/Arcade_MiST/Custom Hardware/Berzerk_MiST/rtl/scandoubler.v +++ b/Arcade_MiST/Custom Hardware/Berzerk_MiST/rtl/scandoubler.v @@ -19,18 +19,6 @@ // TODO: Delay vsync one line -`define BITS_TO_FIT(N) ( \ - N <= 2 ? 0 : \ - N <= 4 ? 1 : \ - N <= 8 ? 2 : \ - N <= 16 ? 3 : \ - N <= 32 ? 4 : \ - N <= 64 ? 5 : \ - N <= 128 ? 6 : \ - N <= 256 ? 7 : \ - N <= 512 ? 8 : \ - N <=1024 ? 9 : 10 ) - module scandoubler #(parameter LENGTH, parameter HALF_DEPTH) ( // system interface @@ -118,6 +106,18 @@ always @(*) begin endcase end +`define BITS_TO_FIT(N) ( \ + N <= 2 ? 0 : \ + N <= 4 ? 1 : \ + N <= 8 ? 2 : \ + N <= 16 ? 3 : \ + N <= 32 ? 4 : \ + N <= 64 ? 5 : \ + N <= 128 ? 6 : \ + N <= 256 ? 7 : \ + N <= 512 ? 8 : \ + N <=1024 ? 9 : 10 ) + localparam AWIDTH = `BITS_TO_FIT(LENGTH); Hq2x #(.LENGTH(LENGTH), .HALF_DEPTH(HALF_DEPTH)) Hq2x ( diff --git a/Arcade_MiST/Custom Hardware/Berzerk_MiST/rtl/video_gen.vhd b/Arcade_MiST/Custom Hardware/Berzerk_MiST/rtl/video_gen.vhd index fde5830c..114170ed 100644 --- a/Arcade_MiST/Custom Hardware/Berzerk_MiST/rtl/video_gen.vhd +++ b/Arcade_MiST/Custom Hardware/Berzerk_MiST/rtl/video_gen.vhd @@ -14,17 +14,15 @@ port( hsync : out std_logic; vsync : out std_logic; csync : out std_logic; - blank : out std_logic; - + hblank : out std_logic; + vblank : out std_logic; hcnt_o : out std_logic_vector(8 downto 0); vcnt_o : out std_logic_vector(8 downto 0) ); end video_gen; architecture struct of video_gen is -signal hblank : std_logic; -signal vblank : std_logic; -signal vblank_r: std_logic; + signal hcnt : std_logic_vector(8 downto 0); signal vcnt : std_logic_vector(8 downto 0); @@ -38,7 +36,7 @@ hcnt_o <= hcnt; vcnt_o <= vcnt; hsync <= hsync0; -blank <= hblank or vblank_r; + -- Compteur horizontal -- 1C0..1FF-000..0FF : 64+256 = 320 pixels @@ -86,7 +84,7 @@ begin end if; if hcnt = std_logic_vector(to_unsigned(448+16,9)) then - vblank_r <= vblank; + -- vblank_r <= vblank; end if; diff --git a/Arcade_MiST/Custom Hardware/Berzerk_MiST/rtl/video_mixer.sv b/Arcade_MiST/Custom Hardware/Berzerk_MiST/rtl/video_mixer.sv index ec953e53..79d8ca03 100644 --- a/Arcade_MiST/Custom Hardware/Berzerk_MiST/rtl/video_mixer.sv +++ b/Arcade_MiST/Custom Hardware/Berzerk_MiST/rtl/video_mixer.sv @@ -20,10 +20,10 @@ module video_mixer #( - parameter LINE_LENGTH = 768, - parameter HALF_DEPTH = 0, + parameter LINE_LENGTH = 480, + parameter HALF_DEPTH = 1, - parameter OSD_COLOR = 3'd7, + parameter OSD_COLOR = 3'd4, parameter OSD_X_OFFSET = 10'd0, parameter OSD_Y_OFFSET = 10'd0 ) @@ -50,7 +50,7 @@ module video_mixer input [1:0] scanlines, // 0 = HVSync 31KHz, 1 = CSync 15KHz - input scandoubler_disable, + input scandoublerD, // High quality 2x scaling input hq2x, @@ -60,7 +60,7 @@ module video_mixer // 0 = 16-240 range. 1 = 0-255 range. (only for YPbPr color space) input ypbpr_full, - + input [1:0] rotate, //[0] - rotate [1] - left or right // color input [DWIDTH:0] R, input [DWIDTH:0] G, @@ -113,9 +113,9 @@ scandoubler #(.LENGTH(LINE_LENGTH), .HALF_DEPTH(HALF_DEPTH)) scandoubler .b_out(B_sd) ); -wire [DWIDTH:0] rt = (scandoubler_disable ? R : R_sd); -wire [DWIDTH:0] gt = (scandoubler_disable ? G : G_sd); -wire [DWIDTH:0] bt = (scandoubler_disable ? B : B_sd); +wire [DWIDTH:0] rt = (scandoublerD ? R : R_sd); +wire [DWIDTH:0] gt = (scandoublerD ? G : G_sd); +wire [DWIDTH:0] bt = (scandoublerD ? B : B_sd); generate if(HALF_DEPTH) begin @@ -129,8 +129,8 @@ generate end endgenerate -wire hs = (scandoubler_disable ? HSync : hs_sd); -wire vs = (scandoubler_disable ? VSync : vs_sd); +wire hs = (scandoublerD ? HSync : hs_sd); +wire vs = (scandoublerD ? VSync : vs_sd); reg scanline = 0; always @(posedge clk_sys) begin @@ -182,6 +182,7 @@ osd #(OSD_X_OFFSET, OSD_Y_OFFSET, OSD_COLOR) osd .B_in(b_out), .HSync(hs), .VSync(vs), + .rotate(rotate), .R_out(red), .G_out(green), @@ -236,7 +237,7 @@ wire [7:0] pr = (pr_8[17:8] < 16) ? 8'd16 : (pr_8[17:8] > 240) ? 8'd240 : pr_8[1 assign VGA_R = ypbpr ? (ypbpr_full ? yuv_full[pr-8'd16] : pr[7:2]) : red; assign VGA_G = ypbpr ? (ypbpr_full ? yuv_full[y -8'd16] : y[7:2]) : green; assign VGA_B = ypbpr ? (ypbpr_full ? yuv_full[pb-8'd16] : pb[7:2]) : blue; -assign VGA_VS = (scandoubler_disable | ypbpr) ? 1'b1 : ~vs_sd; -assign VGA_HS = scandoubler_disable ? ~(HSync ^ VSync) : ypbpr ? ~(hs_sd ^ vs_sd) : ~hs_sd; +assign VGA_VS = (scandoublerD | ypbpr) ? 1'b1 : ~vs_sd; +assign VGA_HS = scandoublerD ? ~(HSync ^ VSync) : ypbpr ? ~(hs_sd ^ vs_sd) : ~hs_sd; endmodule