diff --git a/Arcade_MiST/Namco Rally-X Hardware/RallyX_MiST/README.txt b/Arcade_MiST/Namco Rally-X Hardware/README.txt
similarity index 56%
rename from Arcade_MiST/Namco Rally-X Hardware/RallyX_MiST/README.txt
rename to Arcade_MiST/Namco Rally-X Hardware/README.txt
index ecd31ab0..a080f406 100644
--- a/Arcade_MiST/Namco Rally-X Hardware/RallyX_MiST/README.txt
+++ b/Arcade_MiST/Namco Rally-X Hardware/README.txt
@@ -3,8 +3,17 @@
-- Arcade: Rally-X port to MiST
-- 19 September 2019
-- From: https://github.com/MrX-8B/MiSTer-Arcade-RallyX
---
-
+-- Enhanced with Konami/SEGA games
+-- Time Pilot sound board by DarFpga
+-- TODO:
+-- - Starfield generator for Tactician
+--
+-- Usage:
+-- - Create ROM and ARC files from the MRA files using the MRA utility.
+-- Example: mra -A -z /path/to/mame/roms "Rally-X.mra"
+-- - Copy the ROM files to the root of the SD Card
+-- - Copy the RBF and ARC files to the same folder on the SD Card
+--
---------------------------------------------------------------------------------
-- FPGA New Rally-X for Spartan-3 Starter Board
------------------------------------------------
diff --git a/Arcade_MiST/Namco Rally-X Hardware/RallyX_MiST/RallyX.qpf b/Arcade_MiST/Namco Rally-X Hardware/RallyX.qpf
similarity index 100%
rename from Arcade_MiST/Namco Rally-X Hardware/RallyX_MiST/RallyX.qpf
rename to Arcade_MiST/Namco Rally-X Hardware/RallyX.qpf
diff --git a/Arcade_MiST/Namco Rally-X Hardware/RallyX_MiST/RallyX.qsf b/Arcade_MiST/Namco Rally-X Hardware/RallyX.qsf
similarity index 61%
rename from Arcade_MiST/Namco Rally-X Hardware/RallyX_MiST/RallyX.qsf
rename to Arcade_MiST/Namco Rally-X Hardware/RallyX.qsf
index 4f80f4aa..a7d5dff1 100644
--- a/Arcade_MiST/Namco Rally-X Hardware/RallyX_MiST/RallyX.qsf
+++ b/Arcade_MiST/Namco Rally-X Hardware/RallyX.qsf
@@ -40,7 +40,7 @@
# Project-Wide Assignments
# ========================
set_global_assignment -name ORIGINAL_QUARTUS_VERSION 16.1.2
-set_global_assignment -name LAST_QUARTUS_VERSION 13.1
+set_global_assignment -name LAST_QUARTUS_VERSION "13.1 SP4.26"
set_global_assignment -name PROJECT_CREATION_TIME_DATE "01:53:30 APRIL 20, 2017"
set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files
set_global_assignment -name NUM_PARALLEL_PROCESSORS ALL
@@ -79,6 +79,59 @@ set_location_assignment PIN_127 -to SPI_SS2
set_location_assignment PIN_91 -to SPI_SS3
set_location_assignment PIN_13 -to CONF_DATA0
set_location_assignment PLL_1 -to "pll:pll|altpll:altpll_component"
+set_location_assignment PIN_49 -to SDRAM_A[0]
+set_location_assignment PIN_44 -to SDRAM_A[1]
+set_location_assignment PIN_42 -to SDRAM_A[2]
+set_location_assignment PIN_39 -to SDRAM_A[3]
+set_location_assignment PIN_4 -to SDRAM_A[4]
+set_location_assignment PIN_6 -to SDRAM_A[5]
+set_location_assignment PIN_8 -to SDRAM_A[6]
+set_location_assignment PIN_10 -to SDRAM_A[7]
+set_location_assignment PIN_11 -to SDRAM_A[8]
+set_location_assignment PIN_28 -to SDRAM_A[9]
+set_location_assignment PIN_50 -to SDRAM_A[10]
+set_location_assignment PIN_30 -to SDRAM_A[11]
+set_location_assignment PIN_32 -to SDRAM_A[12]
+set_location_assignment PIN_83 -to SDRAM_DQ[0]
+set_location_assignment PIN_79 -to SDRAM_DQ[1]
+set_location_assignment PIN_77 -to SDRAM_DQ[2]
+set_location_assignment PIN_76 -to SDRAM_DQ[3]
+set_location_assignment PIN_72 -to SDRAM_DQ[4]
+set_location_assignment PIN_71 -to SDRAM_DQ[5]
+set_location_assignment PIN_69 -to SDRAM_DQ[6]
+set_location_assignment PIN_68 -to SDRAM_DQ[7]
+set_location_assignment PIN_86 -to SDRAM_DQ[8]
+set_location_assignment PIN_87 -to SDRAM_DQ[9]
+set_location_assignment PIN_98 -to SDRAM_DQ[10]
+set_location_assignment PIN_99 -to SDRAM_DQ[11]
+set_location_assignment PIN_100 -to SDRAM_DQ[12]
+set_location_assignment PIN_101 -to SDRAM_DQ[13]
+set_location_assignment PIN_103 -to SDRAM_DQ[14]
+set_location_assignment PIN_104 -to SDRAM_DQ[15]
+set_location_assignment PIN_58 -to SDRAM_BA[0]
+set_location_assignment PIN_51 -to SDRAM_BA[1]
+set_location_assignment PIN_85 -to SDRAM_DQMH
+set_location_assignment PIN_67 -to SDRAM_DQML
+set_location_assignment PIN_60 -to SDRAM_nRAS
+set_location_assignment PIN_64 -to SDRAM_nCAS
+set_location_assignment PIN_66 -to SDRAM_nWE
+set_location_assignment PIN_59 -to SDRAM_nCS
+set_location_assignment PIN_33 -to SDRAM_CKE
+set_location_assignment PIN_43 -to SDRAM_CLK
+
+set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to SDRAM_DQ[*]
+set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to SDRAM_A[*]
+set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to SDRAM_BA[0]
+set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to SDRAM_BA[1]
+set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to SDRAM_DQMH
+set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to SDRAM_DQML
+set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to SDRAM_nRAS
+set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to SDRAM_nCAS
+set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to SDRAM_nWE
+set_instance_assignment -name FAST_OUTPUT_REGISTER ON -to SDRAM_nCS
+set_instance_assignment -name FAST_OUTPUT_ENABLE_REGISTER ON -to SDRAM_DQ[*]
+set_instance_assignment -name FAST_INPUT_REGISTER ON -to SDRAM_DQ[*]
+set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to SDRAM_*
# Classic Timing Assignments
# ==========================
@@ -134,33 +187,39 @@ set_global_assignment -name OUTPUT_IO_TIMING_FAR_END_VMEAS "HALF SIGNAL SWING" -
# Incremental Compilation Assignments
# ===================================
- set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
- set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
- set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
+set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
+set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
+set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
# end DESIGN_PARTITION(Top)
# -------------------------
# end ENTITY(rallyX_mist)
# -----------------------
+set_global_assignment -name ENABLE_SIGNALTAP OFF
+set_global_assignment -name USE_SIGNALTAP_FILE output_files/timeplt.stp
+set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS ON
+set_global_assignment -name AUTO_RAM_TO_LCELL_CONVERSION ON
+set_global_assignment -name AUTO_RESOURCE_SHARING ON
+set_global_assignment -name ALLOW_ANY_RAM_SIZE_FOR_RECOGNITION ON
+set_global_assignment -name ALLOW_ANY_ROM_SIZE_FOR_RECOGNITION ON
set_global_assignment -name SYSTEMVERILOG_FILE rtl/rallyX_mist.sv
+set_global_assignment -name SYSTEMVERILOG_FILE rtl/sdram.sv
set_global_assignment -name VERILOG_FILE rtl/fpga_nrx.v
set_global_assignment -name VERILOG_FILE rtl/nrx_video.v
set_global_assignment -name VERILOG_FILE rtl/nrx_hvgen.v
set_global_assignment -name VERILOG_FILE rtl/nrx_sprite.v
set_global_assignment -name VERILOG_FILE rtl/nrx_sound.v
set_global_assignment -name VERILOG_FILE rtl/nrx_psg_voice.v
-set_global_assignment -name VERILOG_FILE rtl/nrx_namco.v
-set_global_assignment -name VERILOG_FILE rtl/rams.v
-set_global_assignment -name VHDL_FILE rtl/roms/nrx_wav_rom.vhd
-set_global_assignment -name VHDL_FILE rtl/roms/nrx_prg_rom.vhd
-set_global_assignment -name VHDL_FILE rtl/roms/nrx_dot_rom.vhd
-set_global_assignment -name VHDL_FILE rtl/roms/nrx_chr_rom.vhd
-set_global_assignment -name VHDL_FILE rtl/roms/nrx_pal_rom.vhd
-set_global_assignment -name VHDL_FILE rtl/roms/nrx_col_rom.vhd
-set_global_assignment -name VHDL_FILE rtl/roms/nrx_nam_rom.vhd
set_global_assignment -name VERILOG_FILE rtl/pll.v
set_global_assignment -name VHDL_FILE rtl/dpram.vhd
-set_global_assignment -name QIP_FILE ../../../common/mist/mist.qip
-set_global_assignment -name QIP_FILE ../../../common/CPU/T80/T80.qip
+set_global_assignment -name VHDL_FILE rtl/spram.vhd
+set_global_assignment -name VHDL_FILE rtl/time_pilot_sound_board.vhd
+set_global_assignment -name VHDL_FILE ../../common/Sound/ym2149/vol_table_array.vhd
+set_global_assignment -name VHDL_FILE ../../common/Sound/ym2149/YM2149.vhd
+set_global_assignment -name QIP_FILE ../../common/mist/mist.qip
+set_global_assignment -name QIP_FILE ../../common/CPU/T80/T80.qip
+set_global_assignment -name SIGNALTAP_FILE output_files/spr.stp
+set_global_assignment -name SIGNALTAP_FILE output_files/bg.stp
+set_global_assignment -name SIGNALTAP_FILE output_files/timeplt.stp
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
\ No newline at end of file
diff --git a/Arcade_MiST/Namco Rally-X Hardware/RallyX_MiST/RallyX.sdc b/Arcade_MiST/Namco Rally-X Hardware/RallyX.sdc
similarity index 83%
rename from Arcade_MiST/Namco Rally-X Hardware/RallyX_MiST/RallyX.sdc
rename to Arcade_MiST/Namco Rally-X Hardware/RallyX.sdc
index f91c127c..d4dd57ef 100644
--- a/Arcade_MiST/Namco Rally-X Hardware/RallyX_MiST/RallyX.sdc
+++ b/Arcade_MiST/Namco Rally-X Hardware/RallyX.sdc
@@ -53,6 +53,8 @@ set_time_format -unit ns -decimal_places 3
create_clock -name {SPI_SCK} -period 41.666 -waveform { 20.8 41.666 } [get_ports {SPI_SCK}]
+set sdram_clk "pll|altpll_component|auto_generated|pll1|clk[0]"
+
#**************************************************************
# Create Generated Clock
#**************************************************************
@@ -79,26 +81,33 @@ set_input_delay -add_delay -clock_fall -clock [get_clocks {SPI_SCK}] 1.000 [ge
set_input_delay -add_delay -clock_fall -clock [get_clocks {SPI_SCK}] 1.000 [get_ports {SPI_SS2}]
set_input_delay -add_delay -clock_fall -clock [get_clocks {SPI_SCK}] 1.000 [get_ports {SPI_SS3}]
+set_input_delay -clock [get_clocks $sdram_clk] -reference_pin [get_ports {SDRAM_CLK}] -max 6.6 [get_ports SDRAM_DQ[*]]
+set_input_delay -clock [get_clocks $sdram_clk] -reference_pin [get_ports {SDRAM_CLK}] -min 3.5 [get_ports SDRAM_DQ[*]]
+
#**************************************************************
# Set Output Delay
#**************************************************************
set_output_delay -add_delay -clock_fall -clock [get_clocks {SPI_SCK}] 1.000 [get_ports {SPI_DO}]
-set_output_delay -add_delay -clock_fall -clock [get_clocks {pll|altpll_component|auto_generated|pll1|clk[0]}] 1.000 [get_ports {AUDIO_L}]
-set_output_delay -add_delay -clock_fall -clock [get_clocks {pll|altpll_component|auto_generated|pll1|clk[0]}] 1.000 [get_ports {AUDIO_R}]
set_output_delay -add_delay -clock_fall -clock [get_clocks {pll|altpll_component|auto_generated|pll1|clk[0]}] 1.000 [get_ports {LED}]
set_output_delay -add_delay -clock_fall -clock [get_clocks {pll|altpll_component|auto_generated|pll1|clk[0]}] 1.000 [get_ports {VGA_*}]
+set_output_delay -clock [get_clocks $sdram_clk] -reference_pin [get_ports {SDRAM_CLK}] -max 1.5 [get_ports {SDRAM_D* SDRAM_A* SDRAM_BA* SDRAM_n* SDRAM_CKE}]
+set_output_delay -clock [get_clocks $sdram_clk] -reference_pin [get_ports {SDRAM_CLK}] -min -0.8 [get_ports {SDRAM_D* SDRAM_A* SDRAM_BA* SDRAM_n* SDRAM_CKE}]
+
#**************************************************************
# Set Clock Groups
#**************************************************************
set_clock_groups -asynchronous -group [get_clocks {SPI_SCK}] -group [get_clocks {pll|altpll_component|auto_generated|pll1|clk[*]}]
+set_clock_groups -asynchronous -group [get_clocks {pll|altpll_component|auto_generated|pll1|clk[0]}] -group [get_clocks {pll|altpll_component|auto_generated|pll1|clk[1]}]
#**************************************************************
# Set False Path
#**************************************************************
+set_false_path -to [get_ports {AUDIO_L}]
+set_false_path -to [get_ports {AUDIO_R}]
#**************************************************************
diff --git a/Arcade_MiST/Namco Rally-X Hardware/RallyX_MiST/rtl/dpram.vhd b/Arcade_MiST/Namco Rally-X Hardware/RallyX_MiST/rtl/dpram.vhd
deleted file mode 100644
index cda0f3cd..00000000
--- a/Arcade_MiST/Namco Rally-X Hardware/RallyX_MiST/rtl/dpram.vhd
+++ /dev/null
@@ -1,123 +0,0 @@
-LIBRARY ieee;
-USE ieee.std_logic_1164.all;
-
-LIBRARY altera_mf;
-USE altera_mf.all;
-
-ENTITY dpram IS
- GENERIC
- (
- init_file : string := "";
- numwords_a : natural := 0; -- not used any more
- widthad_a : natural;
- width_a : natural := 8;
- outdata_reg_a : string := "UNREGISTERED";
- outdata_reg_b : string := "UNREGISTERED"
- );
- PORT
- (
- address_a : IN STD_LOGIC_VECTOR (widthad_a-1 DOWNTO 0);
- address_b : IN STD_LOGIC_VECTOR (widthad_a-1 DOWNTO 0);
- clock_a : IN STD_LOGIC ;
- clock_b : IN STD_LOGIC ;
- data_a : IN STD_LOGIC_VECTOR (width_a-1 DOWNTO 0);
- data_b : IN STD_LOGIC_VECTOR (width_a-1 DOWNTO 0);
- wren_a : IN STD_LOGIC := '1';
- wren_b : IN STD_LOGIC := '1';
- q_a : OUT STD_LOGIC_VECTOR (width_a-1 DOWNTO 0);
- q_b : OUT STD_LOGIC_VECTOR (width_a-1 DOWNTO 0)
- );
-END dpram;
-
-
-ARCHITECTURE SYN OF dpram IS
-
- SIGNAL sub_wire0 : STD_LOGIC_VECTOR (width_a-1 DOWNTO 0);
- SIGNAL sub_wire1 : STD_LOGIC_VECTOR (width_a-1 DOWNTO 0);
-
- COMPONENT altsyncram
- GENERIC (
- address_reg_b : STRING;
- clock_enable_input_a : STRING;
- clock_enable_input_b : STRING;
- clock_enable_output_a : STRING;
- clock_enable_output_b : STRING;
- indata_reg_b : STRING;
- init_file : STRING;
- intended_device_family : STRING;
- lpm_type : STRING;
- numwords_a : NATURAL;
- numwords_b : NATURAL;
- operation_mode : STRING;
- outdata_aclr_a : STRING;
- outdata_aclr_b : STRING;
- outdata_reg_a : STRING;
- outdata_reg_b : STRING;
- power_up_uninitialized : STRING;
- widthad_a : NATURAL;
- widthad_b : NATURAL;
- width_a : NATURAL;
- width_b : NATURAL;
- width_byteena_a : NATURAL;
- width_byteena_b : NATURAL;
- wrcontrol_wraddress_reg_b : STRING
- );
- PORT (
- wren_a : IN STD_LOGIC ;
- clock0 : IN STD_LOGIC ;
- wren_b : IN STD_LOGIC ;
- clock1 : IN STD_LOGIC ;
- address_a : IN STD_LOGIC_VECTOR (widthad_a-1 DOWNTO 0);
- address_b : IN STD_LOGIC_VECTOR (widthad_a-1 DOWNTO 0);
- q_a : OUT STD_LOGIC_VECTOR (width_a-1 DOWNTO 0);
- q_b : OUT STD_LOGIC_VECTOR (width_a-1 DOWNTO 0);
- data_a : IN STD_LOGIC_VECTOR (width_a-1 DOWNTO 0);
- data_b : IN STD_LOGIC_VECTOR (width_a-1 DOWNTO 0)
- );
- END COMPONENT;
-
-BEGIN
- q_a <= sub_wire0(width_a-1 DOWNTO 0);
- q_b <= sub_wire1(width_a-1 DOWNTO 0);
-
- altsyncram_component : altsyncram
- GENERIC MAP (
- address_reg_b => "CLOCK1",
- clock_enable_input_a => "BYPASS",
- clock_enable_input_b => "BYPASS",
- clock_enable_output_a => "BYPASS",
- clock_enable_output_b => "BYPASS",
- indata_reg_b => "CLOCK1",
- init_file => init_file,
- intended_device_family => "Cyclone III",
- lpm_type => "altsyncram",
- numwords_a => 2**widthad_a,
- numwords_b => 2**widthad_a,
- operation_mode => "BIDIR_DUAL_PORT",
- outdata_aclr_a => "NONE",
- outdata_aclr_b => "NONE",
- outdata_reg_a => outdata_reg_a,
- outdata_reg_b => outdata_reg_b,
- power_up_uninitialized => "FALSE",
- widthad_a => widthad_a,
- widthad_b => widthad_a,
- width_a => width_a,
- width_b => width_a,
- width_byteena_a => 1,
- width_byteena_b => 1,
- wrcontrol_wraddress_reg_b => "CLOCK1"
- )
- PORT MAP (
- wren_a => wren_a,
- clock0 => clock_a,
- wren_b => wren_b,
- clock1 => clock_b,
- address_a => address_a,
- address_b => address_b,
- data_a => data_a,
- data_b => data_b,
- q_a => sub_wire0,
- q_b => sub_wire1
- );
-
-END SYN;
diff --git a/Arcade_MiST/Namco Rally-X Hardware/RallyX_MiST/rtl/fpga_nrx.v b/Arcade_MiST/Namco Rally-X Hardware/RallyX_MiST/rtl/fpga_nrx.v
deleted file mode 100644
index 3e65a0b5..00000000
--- a/Arcade_MiST/Namco Rally-X Hardware/RallyX_MiST/rtl/fpga_nrx.v
+++ /dev/null
@@ -1,197 +0,0 @@
-/**************************************************************
- FPGA New Rally-X (Main part)
-***************************************************************/
-module fpga_nrx
-(
- input RESET, // RESET
- input CLK24M, // Clock 24.576MHz
- output hsync,
- output vsync,
- output hblank,
- output vblank,
- output [2:0] r,
- output [2:0] g,
- output [1:0] b,
-
-
- output [7:0] SND, // Sound (unsigned PCM)
-
- input [7:0] DSW, // DipSW
- input [7:0] CTR1, // Controler (Negative logic)
- input [7:0] CTR2,
-
- output [1:0] LAMP
-);
-
-
-//--------------------------------------------------
-// Clock Generators
-//--------------------------------------------------
-reg [2:0] _CCLK;
-always @( posedge CLK24M ) _CCLK <= _CCLK+1;
-
-wire CLK = CLK24M; // 24MHz
-//wire CCLKx2 = _CCLK[1]; // CPU CLOCKx2 : 6.0MHz
-wire CCLK = _CCLK[2]; // CPU CLOCK : 3.0MHz
-
-
-//--------------------------------------------------
-// CPU
-//--------------------------------------------------
-// memory access signals
-wire rd, wr, me, ie, rf, m1;
-wire [15:0] ad;
-wire [7:0] odt, viddata;
-
-wire mx = rf & (~me);
-wire mr = mx & (~rd);
-wire mw = mx & (~wr);
-
-// interrupt signal/vector generator & other latches
-reg inte = 1'b0;
-reg intl = 1'b0;
-reg [7:0] intv = 8'h0;
-
-reg bang = 1'b0;
-
-reg lp0r = 1'b0;
-reg lp1r = 1'b0;
-assign LAMP = { lp1r, lp0r };
-
-wire vblk = (VP==224)&(HP<=8);
-
-wire lat_Wce = ( ad[15:4] == 12'hA18 ) & mw;
-
-wire bngw = ( lat_Wce & ( ad[3:0] == 4'h0 ) );
-wire iewr = ( lat_Wce & ( ad[3:0] == 4'h1 ) );
-//wire flip = ( lat_Wce & ( ad[3:0] == 4'h3 ) );
-wire lp0w = ( lat_Wce & ( ad[3:0] == 4'h4 ) );
-wire lp1w = ( lat_Wce & ( ad[3:0] == 4'h5 ) );
-wire iowr = ( (~wr) & (~ie) & m1 );
-
-always @( posedge CCLK ) begin
- if ( iowr ) intv <= odt;
- if ( vblk ) intl <= 1'b1;
- if ( iewr ) begin
- inte <= odt[0];
- intl <= 1'b0;
- end
- if ( bngw ) bang <= odt[0];
- if ( lp0w ) lp0r <= odt[0];
- if ( lp1w ) lp1r <= odt[0];
-end
-
-wire irq_n = ~( intl & inte );
-
-
-// address decoders
-wire rom_Rce = ( ( ad[15:14] == 2'b00 ) & mr ); // $0000-$3FFF(R)
-wire ram_Rce = ( ( ad[15:11] == 5'b1001_1 ) & mr ); // $9800-$9FFF(R)
-wire ram_Wce = ( ( ad[15:11] == 5'b1001_1 ) & mw ); // $9800-$9FFF(W)
-wire inp_Rce = ( ( ad[15:12] == 4'b1010 ) & mr ); // $A000-$AFFF(R)
-wire snd_Wce = ( ( ad[15:8] == 8'b1010_0001 ) & mw ); // $A100-$A1FF(W)
-wire vid_Rce;
-
-
-wire [7:0] romdata;
-nrx_prg_rom nrx_prg_rom (
- .clk(CCLK),
- .addr(ad[13:0]),
- .data(romdata)
- );
-
-// Work RAM (2KB)
-wire [7:0] ramdata;
-GSPRAM #(11,8) workram(
- .CL(CCLK),
- .AD(ad[10:0]),
- .WE(ram_Wce),
- .DI(odt),
- .DO(ramdata)
- );
-
-
-// Controler/DipSW input
-wire [7:0] in0data = CTR1;
-wire [7:0] in1data = CTR2;
-wire [7:0] in2data = DSW;
-wire [7:0] inpdata = ad[8] ? in2data : ad[7] ? in1data : in0data;
-
-
-// databus selector
-wire [7:0] romd = rom_Rce ? romdata : 8'h00;
-wire [7:0] ramd = ram_Rce ? ramdata : 8'h00;
-wire [7:0] vidd = vid_Rce ? viddata : 8'h00;
-wire [7:0] inpd = inp_Rce ? inpdata : 8'h00;
-wire [7:0] irqv = ( (~m1) & (~ie) ) ? intv : 8'h00;
-
-wire [7:0] idt = romd | ramd | irqv | vidd | inpd;
-
-
-T80s z80(
- .RESET_n(~RESET),
- .CLK(~CCLK),
- .WAIT_n(1'b1),
- .INT_n(irq_n),
- .NMI_n(1'b1),
- .BUSRQ_n(1'b1),
- .DI(idt),
- .M1_n(m1),
- .MREQ_n(me),
- .IORQ_n(ie),
- .RD_n(rd),
- .WR_n(wr),
- .RFSH_n(rf),
- .HALT_n(),
- .BUSAK_n(),
- .A(ad),
- .DO(odt)
- );
-
-//--------------------------------------------------
-// VIDEO
-//--------------------------------------------------
-wire [8:0] HP;
-wire [8:0] VP;
-wire PCLK;
-
-nrx_video video(
- .VCLKx4(CLK),
- .HPOS(HP+3),
- .VPOS(VP+1),
- .PCLK(PCLK),
- .POUT({b,g,r}),
- .CPUCLK(CCLK),
- .CPUADDR(ad),
- .CPUDI(odt),
- .CPUDO(viddata),
- .CPUME(mx),
- .CPUWE(mw),
- .CPUDT(vid_Rce)
- );
-
-nrx_hvgen hvgen(
- .HPOS(HP),
- .VPOS(VP),
- .PCLK(PCLK),
- .HBLK(hblank),
- .VBLK(vblank),
- .HSYN(hsync),
- .VSYN(vsync)
- );
-
-//--------------------------------------------------
-// SOUND
-//--------------------------------------------------
-
-nrx_sound sound(
- .CLK24M(CLK),
- .CCLK(CCLK),
- .SND(SND),
- .AD(ad),
- .DI(odt[3:0]),
- .WR(snd_Wce),
- .BANG(bang)
- );
-
-endmodule
diff --git a/Arcade_MiST/Namco Rally-X Hardware/RallyX_MiST/rtl/nrx_hvgen.v b/Arcade_MiST/Namco Rally-X Hardware/RallyX_MiST/rtl/nrx_hvgen.v
deleted file mode 100644
index 0318fa92..00000000
--- a/Arcade_MiST/Namco Rally-X Hardware/RallyX_MiST/rtl/nrx_hvgen.v
+++ /dev/null
@@ -1,36 +0,0 @@
-module nrx_hvgen
-(
- output [8:0] HPOS,
- output [8:0] VPOS,
- input PCLK,
- output reg HBLK = 1,
- output reg VBLK = 1,
- output reg HSYN = 1,
- output reg VSYN = 1
-);
-
-reg [8:0] hcnt = 0;
-reg [8:0] vcnt = 0;
-
-assign HPOS = hcnt;
-assign VPOS = vcnt;
-
-always @(posedge PCLK) begin
- case (hcnt)
- 287: begin HBLK <= 1; HSYN <= 0; hcnt <= hcnt+1; end
- 311: begin HSYN <= 1; hcnt <= hcnt+1; end
- 383: begin
- HBLK <= 0; HSYN <= 1; hcnt <= 0;
- case (vcnt)
- 223: begin VBLK <= 1; vcnt <= vcnt+1; end
- 226: begin VSYN <= 0; vcnt <= vcnt+1; end
- 233: begin VSYN <= 1; vcnt <= vcnt+1; end
- 242: begin VBLK <= 0; vcnt <= 0; end
- default: vcnt <= vcnt+1;
- endcase
- end
- default: hcnt <= hcnt+1;
- endcase
-end
-
-endmodule
\ No newline at end of file
diff --git a/Arcade_MiST/Namco Rally-X Hardware/RallyX_MiST/rtl/nrx_namco.v b/Arcade_MiST/Namco Rally-X Hardware/RallyX_MiST/rtl/nrx_namco.v
deleted file mode 100644
index 5a40777b..00000000
--- a/Arcade_MiST/Namco Rally-X Hardware/RallyX_MiST/rtl/nrx_namco.v
+++ /dev/null
@@ -1,35 +0,0 @@
-/**************************************************************
- FPGA New Rally-X (Sound Part)
-***************************************************************/
-
-module nrx_namco
-(
- input clk,
- input [7:0] a0,
- input [7:0] a1,
- input [7:0] a2,
- output reg [3:0] d0,
- output reg [3:0] d1,
- output reg [3:0] d2
-);
-
-reg [1:0] ph=0;
-
-reg [7:0] ad;
-wire [7:0] dt;
-nrx_nam_rom namrom(
- .clk(clk),
- .addr(ad),
- .data(dt)
- );
-
-always @(negedge clk) begin
- case (ph)
- 0: begin d2 <= dt[3:0]; ad <= a0; ph <= 1; end
- 1: begin d0 <= dt[3:0]; ad <= a1; ph <= 2; end
- 2: begin d1 <= dt[3:0]; ad <= a2; ph <= 0; end
- default:;
- endcase
-end
-
-endmodule
\ No newline at end of file
diff --git a/Arcade_MiST/Namco Rally-X Hardware/RallyX_MiST/rtl/nrx_sprite.v b/Arcade_MiST/Namco Rally-X Hardware/RallyX_MiST/rtl/nrx_sprite.v
deleted file mode 100644
index c84dfa33..00000000
--- a/Arcade_MiST/Namco Rally-X Hardware/RallyX_MiST/rtl/nrx_sprite.v
+++ /dev/null
@@ -1,154 +0,0 @@
-
-module NRX_SPRITE
-(
- input VCLKx4,
- input HBLK,
-
- input [8:0] HPOS,
- input [8:0] VPOS,
-
- output reg [10:0] SPRAADRS,
- input [15:0] SPRADATA,
-
- output [3:0] ARAMADRS,
- input [7:0] ARAMDATA,
-
- output [11:0] SPCHRADR,
- input [7:0] SPCHRDAT,
-
- output [7:0] DROMAD,
- input [7:0] DROMDT,
-
- output reg [8:0] SPCOL
-);
-
-reg [1:0] clkcnt;
-always @( posedge VCLKx4 ) clkcnt<=clkcnt+1;
-wire VCLKx2 = clkcnt[0];
-wire VCLK = clkcnt[1];
-
-wire SIDE = VPOS[0];
-
-
-reg [19:0] SPATR0;
-reg [36:0] SPATRS[0:31];
-reg [3:0] WWADR;
-reg bHit;
-
-assign ARAMADRS = SPRAADRS[3:0];
-
-
-reg [7:0] WRADR;
-reg [8:0] HPOSW;
-reg [8:0] SPWCL;
-
-wire [36:0] SPA = SPATRS[{~SIDE,WRADR[7:4]}];
-
-wire [3:0] SH = WRADR[3:0]+4'h4;
-wire [3:0] SV = SPA[35:32];
-
-wire [2:0] SPFY = { 3{SPA[1]} };
-wire [1:0] SPFX = { 1'b0, SPA[0] };
-wire [5:0] SPPL = SPA[29:24];
-
-assign SPCHRADR = { SPA[7:2], ( SV[3] ^ SPA[1] ), ( SH[3:2] ^ SPFX ), ( SV[2:0] ^ SPFY ) };
-wire [7:0] CHRO = SPCHRDAT;
-
-
-wire [8:0] YM = ( SPRADATA[15:8] + 8'h10 ) + VPOS[7:0];
-
-assign DROMAD = { 1'b0, (~SPA[19:17]), SPA[33:32], WRADR[3:2] };
-
-always @ ( posedge VCLKx2 ) begin
-
- // in H-BLANK
- if ( HBLK ) begin
-
- // Sprite V-hit check & list-up
- if ( SPRAADRS < 10'h20 ) begin
- if ( SPRAADRS[0] ) begin
- if ( bHit ) begin
- SPATRS[{SIDE,WWADR}] <= { 1'b1, SPATR0[3:0], SPRADATA, SPATR0[19:4] };
- WWADR <= WWADR+1;
- end
- end
- else begin
- if ( YM[7:4] == 4'b1111 ) begin
- bHit <= 1;
- SPATR0 <= { SPRADATA, YM[3:0] };
- end
- else bHit <= 0;
- end
- SPRAADRS <= ( SPRAADRS == 10'h1F ) ? 10'h34 : (SPRAADRS+1);
- end
- // Rader-dot V-hit check & list-up
- else begin
- if ( SPRAADRS < 10'h40 ) begin
- if ( YM[7:2] == 6'b111111 ) begin
- SPATRS[{SIDE,WWADR}] <= { 1'b0, 2'b00, YM[1:0], 8'h0, ARAMDATA, SPRADATA };
- WWADR <= WWADR+1;
- end
- SPRAADRS <= SPRAADRS+1;
- end
- else SPATRS[{SIDE,WWADR}] <= 0;
- end
-
- if ( SPA ) begin
- // Rend Sprite
- if ( SPA[36] ) begin
- HPOSW <= ( WRADR[3:0] ) ? (HPOSW+1) : { SPA[31], SPA[23:16] };
- case ( SH[1:0] ^ {2{SPFX[0]}} )
- 2'b00: SPWCL <= { 1'b0, SPPL, CHRO[7], CHRO[3] };
- 2'b01: SPWCL <= { 1'b0, SPPL, CHRO[6], CHRO[2] };
- 2'b10: SPWCL <= { 1'b0, SPPL, CHRO[5], CHRO[1] };
- 2'b11: SPWCL <= { 1'b0, SPPL, CHRO[4], CHRO[0] };
- endcase
- WRADR <= WRADR+1;
- end
- // Rend Rader-dot
- else begin
- HPOSW <= ( WRADR[3:0] ) ? (HPOSW+1) : ({ (~SPA[16]), SPA[7:0] });
- SPWCL <= ( DROMDT[1:0] != 2'b11 ) ? { 1'b1, 6'b000100, DROMDT[1:0] } : 0;
- WRADR <= WRADR+4;
- end
- end
- else SPWCL <= 0;
-
- end
-
- // in H-DISP
- else begin
- SPRAADRS <= 10'h14;
- WWADR <= 0;
- WRADR <= 0;
- SPWCL <= 0;
- end
-
-end
-
-
-reg [9:0] radr0=0,radr1=1;
-wire [8:0] SPCOLi;
-dpram #(
- .widthad_a(10),
- .width_a(9))
-linebuffer(
- .address_a({SIDE,HPOS}),
- .address_b({~SIDE,HPOSW}),
- .clock_a(VCLKx2),
- .clock_b(VCLKx2),
- .data_a(9'h0),
- .data_b(SPWCL),
- .wren_a(radr0==radr1),
- .wren_b((SPWCL[0]|SPWCL[1])),
- .q_a(SPCOLi),
- .q_b()
- );
-
-always @(posedge VCLK) radr0 <= {SIDE,HPOS};
-always @(negedge VCLK) begin
- if (radr0!=radr1) SPCOL <= SPCOLi;
- radr1 <= radr0;
-end
-
-endmodule
diff --git a/Arcade_MiST/Namco Rally-X Hardware/RallyX_MiST/rtl/nrx_video.v b/Arcade_MiST/Namco Rally-X Hardware/RallyX_MiST/rtl/nrx_video.v
deleted file mode 100644
index 16b51fc4..00000000
--- a/Arcade_MiST/Namco Rally-X Hardware/RallyX_MiST/rtl/nrx_video.v
+++ /dev/null
@@ -1,241 +0,0 @@
-/**************************************************************
- FPGA New Rally-X (Video Part)
-***************************************************************/
-module nrx_video
-(
- input VCLKx4, // 24.976MHz
-
- input [8:0] HPOS,
- input [8:0] VPOS,
- output PCLK,
- output reg [7:0] POUT,
-
- input CPUCLK,
- input [15:0] CPUADDR,
- input [7:0] CPUDI,
- output [7:0] CPUDO,
- input CPUME,
- input CPUWE,
- output CPUDT
-);
-
-//-----------------------------------------
-// Clock generators
-//-----------------------------------------
-reg VCLKx2;
-always @( posedge VCLKx4 ) begin
- VCLKx2 <= ~VCLKx2;
-end
-
-reg VCLK;
-always @( posedge VCLKx2 ) begin
- VCLK <= ~VCLK;
-end
-
-//-----------------------------------------
-// BG scroll registers
-//-----------------------------------------
-reg [7:0] BGHSCR;
-reg [7:0] BGVSCR;
-
-always @ ( posedge CPUCLK ) begin
- if ( ( CPUADDR == 16'hA130 ) & CPUME & CPUWE ) begin
- BGHSCR <= CPUDI-3;
- end
- if ( ( CPUADDR == 16'hA140 ) & CPUME & CPUWE ) begin
- BGVSCR <= CPUDI;
- end
-end
-
-
-//-----------------------------------------
-// HV
-//-----------------------------------------
-wire [8:0] BGHPOS = HPOS + { 1'b0, BGHSCR };
-wire [8:0] BGVPOS = VPOS + { 1'b0, BGVSCR };
-
-wire oHB = ( HPOS > 288 ) ? 1 : 0;
-wire oVB = ( VPOS > 224 ) ? 1 : 0;
-
-
-//----------------------------------------
-// VideoRAM Scanner
-//----------------------------------------
-wire BF = ( HPOS >= 224 );
-wire [8:0] HP = BF ? HPOS : BGHPOS;
-wire [8:0] VP = ( BF ? VPOS : BGVPOS ) + 9'h0F;
-
-wire [10:0] SPRAADRS;
-wire [3:0] ARAMADRS;
-
-reg [10:0] VRAMADRS;
-always @ ( HPOS ) begin
- VRAMADRS <= oHB ?
- SPRAADRS :
- BF ? { 1'b0, VP[7:3], 2'b00, HP[5:3] } : { 1'b1, VP[7:3], HP[7:3] };
-end
-
-wire [7:0] CHRC;
-wire [7:0] ATTR;
-wire [7:0] ARDT;
-
-wire [7:0] V0DO, V1DO;
-
-wire CEV0 = ( ( CPUADDR[15:12] == 4'b1000 ) & (~CPUADDR[11]) ) & CPUME;
-wire CEV1 = ( ( CPUADDR[15:12] == 4'b1000 ) & CPUADDR[11] ) & CPUME;
-wire CEAT = ( CPUADDR[15:4] == 12'b1010_0000_0000 ) & CPUME;
-
-wire [7:0] DTV0 = CEV0 ? V0DO : 8'h00;
-wire [7:0] DTV1 = CEV1 ? V1DO : 8'h00;
-
-assign CPUDO = DTV0 | DTV1;
-assign CPUDT = ( ~CPUWE ) & ( CEV0 | CEV1 );
-
-GDPRAM #(11,8) vram0( VCLKx4, VRAMADRS, CHRC, CPUCLK, CPUADDR[10:0], ( CPUWE & CEV0 ), CPUDI, V0DO );
-/*dpram #(
- .widthad_a(11),
- .width_a(8))
-vram0(
- .address_a(VRAMADRS),
- .address_b(CPUADDR[10:0]),
- .clock_a(VCLKx4),
- .clock_b(CPUCLK),
- .data_a(),
- .data_b(CPUDI),
- .wren_a(),
- .wren_b(( CPUWE & CEV0 )),
- .q_a(CHRC),
- .q_b(V0DO)
- );*/
-
-GDPRAM #(11,8) vram1( VCLKx4, VRAMADRS, ATTR, CPUCLK, CPUADDR[10:0], ( CPUWE & CEV1 ), CPUDI, V1DO );
-/*dpram #(
- .widthad_a(11),
- .width_a(8))
-vram1(
- .address_a(VRAMADRS),
- .address_b(CPUADDR[10:0]),
- .clock_a(VCLKx4),
- .clock_b(CPUCLK),
- .data_a(),
- .data_b(CPUDI),
- .wren_a(),
- .wren_b(( CPUWE & CEV1 )),
- .q_a(ATTR),
- .q_b(V1DO)
- ); */
-GDPRAM #(4,8) aram0( VCLKx4, ARAMADRS, ARDT, CPUCLK, CPUADDR[3:0], ( CPUWE & CEAT ), CPUDI );
-/*dpram #(
- .widthad_a(8),
- .width_a(4))
-aram0(
- .address_a(ARAMADRS),
- .address_b(CPUADDR[3:0]),
- .clock_a(VCLKx4),
- .clock_b(CPUCLK),
- .data_a(),
- .data_b(CPUDI),
- .wren_a(),
- .wren_b(( CPUWE & CEAT )),
- .q_a(ARDT),
- .q_b()
- ); */
-
-wire BGF = ATTR[5];
-
-
-//----------------------------------------
-// BG/Sprite chip data reader
-//----------------------------------------
-wire BGFX = ATTR[6];
-wire [2:0] BGFY = { ATTR[7], ATTR[7], ATTR[7] };
-
-wire [11:0] SPCHRADR;
-wire [11:0] CHRA = oHB ? SPCHRADR : { CHRC, ( HP[2] ^ BGFX ), ( VP[2:0] ^ BGFY ) };
-
-wire [7:0] CHRO;
-nrx_chr_rom chrrom(
- .clk(VCLKx4),
- .addr(CHRA),
- .data(CHRO)
-);
-
-//----------------------------------------
-// Rader-dot chip ROM
-//----------------------------------------
-wire [7:0] DROMAD;
-wire [7:0] DROMDT;
-nrx_dot_rom dotrom(
- .clk(VCLKx4),
- .addr(DROMAD),
- .data(DROMDT)
- );
-
-//----------------------------------------
-// BG/FG scanline generator
-//----------------------------------------
-wire [5:0] BGPL = ATTR[5:0];
-reg [7:0] BGCOL;
-
-always @ ( posedge VCLK ) begin
- case ( HP[1:0]^{2{BGFX}} )
- 2'b00: BGCOL <= { BGPL, CHRO[4], CHRO[0] };
- 2'b01: BGCOL <= { BGPL, CHRO[5], CHRO[1] };
- 2'b10: BGCOL <= { BGPL, CHRO[6], CHRO[2] };
- 2'b11: BGCOL <= { BGPL, CHRO[7], CHRO[3] };
- endcase
-end
-
-
-//----------------------------------------
-// Sprite Engine
-//----------------------------------------
-wire [8:0] SPCOL;
-NRX_SPRITE speng(
- .VCLKx4(VCLKx4),
- .HBLK(oHB),
- .HPOS(HPOS),
- .VPOS(VPOS),
- .SPRAADRS(SPRAADRS),
- .SPRADATA({ ATTR, CHRC }),
- .ARAMADRS(ARAMADRS),
- .ARAMDATA(ARDT),
- .SPCHRADR(SPCHRADR),
- .SPCHRDAT(CHRO),
- .DROMAD(DROMAD),
- .DROMDT(DROMDT),
- .SPCOL(SPCOL)
- );
-
-
-//----------------------------------------
-// Color mixer
-//----------------------------------------
-wire bBGOPAQUE = ( ( BF | BGF ) & (~SPCOL[8]) );
-wire bSPTRANSP = ( SPCOL[1:0] == 2'b00 );
-
-wire [7:0] OUTCOL = ( bBGOPAQUE | bSPTRANSP ) ? BGCOL : SPCOL[7:0];
-wire [3:0] CLUT;
-nrx_col_rom colrom(
- .clk(~VCLKx4),
- .addr(OUTCOL),
- .data(CLUT)
- );
-
-wire [4:0] PALA = SPCOL[8] ? SPCOL[4:0] : { 1'b0, CLUT };
-wire [7:0] PALO;
-
-nrx_pal_rom palrom(
- .clk(VCLKx4),
- .addr(PALA),
- .data(PALO)
- );
-
-//----------------------------------------
-// Color output
-//----------------------------------------
-always @ ( posedge PCLK ) POUT <= (oHB|oVB) ? 8'h0 : PALO;
-assign PCLK = VCLK;
-
-
-endmodule
diff --git a/Arcade_MiST/Namco Rally-X Hardware/RallyX_MiST/rtl/rallyX_mist.sv b/Arcade_MiST/Namco Rally-X Hardware/RallyX_MiST/rtl/rallyX_mist.sv
deleted file mode 100644
index 21f6a726..00000000
--- a/Arcade_MiST/Namco Rally-X Hardware/RallyX_MiST/rtl/rallyX_mist.sv
+++ /dev/null
@@ -1,174 +0,0 @@
-module rallyX_mist (
- output LED,
- output [5:0] VGA_R,
- output [5:0] VGA_G,
- output [5:0] VGA_B,
- output VGA_HS,
- output VGA_VS,
- output AUDIO_L,
- output AUDIO_R,
- input SPI_SCK,
- output SPI_DO,
- input SPI_DI,
- input SPI_SS2,
- input SPI_SS3,
- input CONF_DATA0,
- input CLOCK_27
-);
-
-`include "rtl\build_id.v"
-
-localparam CONF_STR = {
- "RallyX;;",
- "O8A,Difficulty,M1,M2,M3,M4,M5,M6,M7,M8;",
- "OBC,Bonus Life,M1,M2,M3,Nothing;",
- "OF,Service Mode,Off,On;",
- "O34,Scanlines,None,CRT 25%,CRT 50%,CRT 75%;",
- "O5,Blend ,Off,On;",
- "T6,Reset;",
- "V,v1.00.",`BUILD_DATE
-};
-
-assign LED = 1;
-assign AUDIO_R = AUDIO_L;
-
-wire clock_24, clock_12;
-pll pll(
- .inclk0(CLOCK_27),
- .c0(clock_24)//24.576MHz
- );
-
-wire [31:0] status;
-wire [1:0] buttons;
-wire [1:0] switches;
-wire [11:0] kbjoy;
-wire [7:0] joystick_0;
-wire [7:0] joystick_1;
-wire scandoublerD;
-wire ypbpr;
-wire [7:0] audio;
-wire hs, vs;
-wire hb, vb;
-wire blankn = ~(hb | vb);
-wire [2:0] r, g;
-wire [1:0] b;
-wire key_strobe;
-wire key_pressed;
-wire [7:0] key_code;
-
-
-wire [7:0] iDSW = ~{ 2'b00, status[10:8], status[12:11], status[15] };
-wire [7:0] iCTR1 = ~{ btn_coin, btn_one_player, m_up1, m_down1, m_right1, m_left1, m_fire1, 1'b0 };
-wire [7:0] iCTR2 = ~{ btn_coin, btn_two_players, m_up2, m_down2, m_right2, m_left2, m_fire2, 1'b0 };
-
-
-fpga_nrx fpga_nrx(
- .RESET(status[0] | status[6] | buttons[1]),
- .CLK24M(clock_24),
- .hsync(hs),
- .vsync(vs),
- .hblank(hb),
- .vblank(vb),
- .r(r),
- .g(g),
- .b(b),
- .SND(audio),
- .DSW(iDSW),
- .CTR1(iCTR1),
- .CTR2(iCTR2),
- .LAMP()
- );
-
-
-mist_video #(.COLOR_DEPTH(3), .SD_HCNT_WIDTH(10)) mist_video(
- .clk_sys ( clock_24 ),
- .SPI_SCK ( SPI_SCK ),
- .SPI_SS3 ( SPI_SS3 ),
- .SPI_DI ( SPI_DI ),
- .R ( blankn ? r : 0 ),
- .G ( blankn ? g : 0 ),
- .B ( blankn ? {b,1'b0} : 0 ),
- .HSync ( hs ),
- .VSync ( vs ),
- .VGA_R ( VGA_R ),
- .VGA_G ( VGA_G ),
- .VGA_B ( VGA_B ),
- .VGA_VS ( VGA_VS ),
- .VGA_HS ( VGA_HS ),
- .blend ( status[5] ),
- .scandoubler_disable( scandoublerD ),
- .scanlines ( status[4:3] ),
- .ypbpr ( ypbpr )
- );
-
-user_io #(.STRLEN(($size(CONF_STR)>>3)))user_io(
- .clk_sys (clock_24 ),
- .conf_str (CONF_STR ),
- .SPI_CLK (SPI_SCK ),
- .SPI_SS_IO (CONF_DATA0 ),
- .SPI_MISO (SPI_DO ),
- .SPI_MOSI (SPI_DI ),
- .buttons (buttons ),
- .switches (switches ),
- .scandoubler_disable (scandoublerD ),
- .ypbpr (ypbpr ),
- .key_strobe (key_strobe ),
- .key_pressed (key_pressed ),
- .key_code (key_code ),
- .joystick_0 (joystick_0 ),
- .joystick_1 (joystick_1 ),
- .status (status )
- );
-
-dac #(.C_bits(16))dac(
- .clk_i(clock_24),
- .res_n_i(1),
- .dac_i({audio,audio}),
- .dac_o(AUDIO_L)
- );
-
-wire m_up1 = btn_up | joystick_0[3];
-wire m_down1 = btn_down | joystick_0[2];
-wire m_left1 = btn_left | joystick_0[1];
-wire m_right1 = btn_right | joystick_0[0];
-wire m_fire1 = btn_fire1 | joystick_0[4];
-
-wire m_up2 = joystick_1[3];
-wire m_down2 = joystick_1[2];
-wire m_left2 = joystick_1[1];
-wire m_right2 = joystick_1[0];
-wire m_fire2 = joystick_1[4];
-
-
-reg btn_one_player = 0;
-reg btn_two_players = 0;
-reg btn_left = 0;
-reg btn_right = 0;
-reg btn_down = 0;
-reg btn_up = 0;
-reg btn_fire1 = 0;
-//reg btn_fire2 = 0;
-//reg btn_fire3 = 0;
-reg btn_coin = 0;
-
-always @(posedge clock_24) begin
- reg old_state;
- old_state <= key_strobe;
- if(old_state != key_strobe) begin
- case(key_code)
- 'h75: btn_up <= key_pressed; // up
- 'h72: btn_down <= key_pressed; // down
- 'h6B: btn_left <= key_pressed; // left
- 'h74: btn_right <= key_pressed; // right
- 'h76: btn_coin <= key_pressed; // ESC
- 'h05: btn_one_player <= key_pressed; // F1
- 'h06: btn_two_players <= key_pressed; // F2
- // 'h14: btn_fire3 <= key_pressed; // ctrl
- // 'h11: btn_fire2 <= key_pressed; // alt
- 'h29: btn_fire1 <= key_pressed; // Space
- endcase
- end
-end
-
-
-endmodule
diff --git a/Arcade_MiST/Namco Rally-X Hardware/RallyX_MiST/rtl/rams.v b/Arcade_MiST/Namco Rally-X Hardware/RallyX_MiST/rtl/rams.v
deleted file mode 100644
index 4d579578..00000000
--- a/Arcade_MiST/Namco Rally-X Hardware/RallyX_MiST/rtl/rams.v
+++ /dev/null
@@ -1,64 +0,0 @@
-
-
-
-module GSPRAM #(parameter AW,parameter DW)
-(
- input CL,
- input [(AW-1):0] AD,
- input WE,
- input [(DW-1):0] DI,
- output reg [(DW-1):0] DO
-);
-
-reg [(DW-1):0] core[0:((2**AW)-1)];
-
-always @(posedge CL) begin
- DO <= core[AD];
- if (WE) core[AD] <= DI;
-end
-
-endmodule
-
-
-module GDPRAM #(parameter AW,parameter DW)
-(
- input CL0,
- input [(AW-1):0] AD0,
- output reg [(DW-1):0] DO0,
-
- input CL1,
- input [(AW-1):0] AD1,
- input WE1,
- input [(DW-1):0] DI1,
- output reg [(DW-1):0] DO1
-);
-
-reg [(DW-1):0] core[0:((2**AW)-1)];
-
-always @(posedge CL0) DO0 <= core[AD0];
-always @(posedge CL1) begin DO1 <= core[AD1]; if (WE1) core[AD1] <= DI1; end
-
-endmodule
-
-/*
-module GLINEBUF #(parameter AW,parameter DW)
-(
- input CL0,
- input [(AW-1):0] AD0,
- input WE0,
- output reg [(DW-1):0] DO0,
-
- input CL1,
- input [(AW-1):0] AD1,
- input WE1,
- input [(DW-1):0] DI1
-);
-
-reg [(DW-1):0] core[0:((2**AW)-1)];
-
-always @(posedge CL0) begin DO0 <= core[AD0]; if (WE0) core[AD0] <= 0; end
-always @(posedge CL1) if (WE1) core[AD1] <= DI1;
-
-endmodule*/
-
-
diff --git a/Arcade_MiST/Namco Rally-X Hardware/RallyX_MiST/rtl/roms/nrx_chr_rom.vhd b/Arcade_MiST/Namco Rally-X Hardware/RallyX_MiST/rtl/roms/nrx_chr_rom.vhd
deleted file mode 100644
index ae0019f5..00000000
--- a/Arcade_MiST/Namco Rally-X Hardware/RallyX_MiST/rtl/roms/nrx_chr_rom.vhd
+++ /dev/null
@@ -1,278 +0,0 @@
-library ieee;
-use ieee.std_logic_1164.all,ieee.numeric_std.all;
-
-entity nrx_chr_rom is
-port (
- clk : in std_logic;
- addr : in std_logic_vector(11 downto 0);
- data : out std_logic_vector(7 downto 0)
-);
-end entity;
-
-architecture prom of nrx_chr_rom is
- type rom is array(0 to 4095) of std_logic_vector(7 downto 0);
- signal rom_data: rom := (
- X"CC",X"66",X"33",X"33",X"33",X"22",X"CC",X"00",X"11",X"22",X"66",X"66",X"66",X"33",X"11",X"00",
- X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"FF",X"00",X"00",X"11",X"00",X"00",X"00",X"00",X"33",X"00",
- X"EE",X"33",X"77",X"EE",X"CC",X"00",X"FF",X"00",X"33",X"66",X"00",X"11",X"33",X"77",X"77",X"00",
- X"FF",X"66",X"CC",X"EE",X"33",X"33",X"EE",X"00",X"33",X"00",X"00",X"11",X"00",X"66",X"33",X"00",
- X"EE",X"EE",X"66",X"66",X"FF",X"66",X"66",X"00",X"00",X"11",X"33",X"66",X"77",X"00",X"00",X"00",
- X"EE",X"00",X"EE",X"33",X"33",X"33",X"EE",X"00",X"77",X"66",X"77",X"00",X"00",X"66",X"33",X"00",
- X"EE",X"00",X"00",X"EE",X"33",X"33",X"EE",X"00",X"11",X"33",X"66",X"77",X"66",X"66",X"33",X"00",
- X"FF",X"33",X"66",X"CC",X"88",X"88",X"88",X"00",X"77",X"66",X"00",X"00",X"11",X"11",X"11",X"00",
- X"CC",X"22",X"22",X"CC",X"FF",X"33",X"EE",X"00",X"33",X"66",X"77",X"33",X"44",X"44",X"33",X"00",
- X"EE",X"33",X"33",X"FF",X"33",X"66",X"CC",X"00",X"33",X"66",X"66",X"33",X"00",X"00",X"33",X"00",
- X"CC",X"66",X"33",X"33",X"FF",X"33",X"33",X"00",X"11",X"33",X"66",X"66",X"77",X"66",X"66",X"00",
- X"EE",X"33",X"33",X"EE",X"33",X"33",X"EE",X"00",X"77",X"66",X"66",X"77",X"66",X"66",X"77",X"00",
- X"EE",X"33",X"00",X"00",X"00",X"33",X"EE",X"00",X"11",X"33",X"66",X"66",X"66",X"33",X"11",X"00",
- X"CC",X"66",X"33",X"33",X"33",X"66",X"CC",X"00",X"77",X"66",X"66",X"66",X"66",X"66",X"77",X"00",
- X"FF",X"00",X"00",X"EE",X"00",X"00",X"FF",X"00",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"00",
- X"FF",X"00",X"00",X"EE",X"00",X"00",X"00",X"00",X"77",X"66",X"66",X"77",X"66",X"66",X"66",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"CC",X"22",X"99",X"11",X"11",X"99",X"22",X"CC",X"33",X"44",X"99",X"AA",X"AA",X"99",X"44",X"33",
- X"0F",X"0F",X"00",X"00",X"00",X"00",X"00",X"00",X"03",X"03",X"03",X"03",X"03",X"03",X"03",X"03",
- X"07",X"07",X"00",X"0F",X"0F",X"0C",X"0F",X"0F",X"08",X"0C",X"0C",X"0C",X"0C",X"0C",X"0C",X"0C",
- X"01",X"09",X"09",X"09",X"09",X"09",X"09",X"09",X"0F",X"0F",X"01",X"0F",X"0F",X"01",X"0F",X"0F",
- X"0F",X"0F",X"09",X"09",X"09",X"09",X"09",X"09",X"0F",X"0F",X"09",X"09",X"09",X"09",X"09",X"09",
- X"0F",X"0F",X"08",X"08",X"08",X"08",X"0F",X"0F",X"00",X"09",X"09",X"09",X"09",X"09",X"09",X"08",
- X"03",X"07",X"06",X"06",X"06",X"06",X"07",X"03",X"0E",X"0E",X"00",X"00",X"00",X"00",X"0E",X"0E",
- X"00",X"08",X"08",X"08",X"08",X"08",X"08",X"00",X"0F",X"0F",X"01",X"01",X"01",X"01",X"0F",X"0F",
- X"EE",X"11",X"DD",X"55",X"FF",X"00",X"EE",X"00",X"33",X"44",X"55",X"55",X"55",X"44",X"33",X"00",
- X"CC",X"CC",X"88",X"88",X"00",X"00",X"00",X"00",X"11",X"11",X"11",X"11",X"11",X"00",X"33",X"33",
- X"66",X"66",X"22",X"44",X"00",X"00",X"00",X"00",X"33",X"33",X"11",X"22",X"00",X"00",X"00",X"00",
- X"66",X"66",X"FF",X"66",X"FF",X"66",X"66",X"00",X"33",X"33",X"77",X"33",X"77",X"33",X"33",X"00",
- X"88",X"EE",X"88",X"EE",X"BB",X"EE",X"88",X"00",X"00",X"33",X"66",X"33",X"00",X"33",X"00",X"00",
- X"11",X"22",X"44",X"88",X"33",X"55",X"77",X"00",X"77",X"55",X"66",X"00",X"11",X"22",X"44",X"00",
- X"00",X"88",X"88",X"33",X"AA",X"44",X"BB",X"00",X"33",X"44",X"55",X"33",X"66",X"44",X"33",X"00",
- X"88",X"88",X"88",X"00",X"00",X"00",X"00",X"00",X"11",X"11",X"00",X"11",X"00",X"00",X"00",X"00",
- X"CC",X"88",X"00",X"00",X"00",X"88",X"CC",X"00",X"00",X"11",X"33",X"33",X"33",X"11",X"00",X"00",
- X"88",X"CC",X"66",X"66",X"66",X"CC",X"88",X"00",X"11",X"00",X"00",X"00",X"00",X"00",X"11",X"00",
- X"88",X"AA",X"CC",X"88",X"CC",X"AA",X"88",X"00",X"00",X"22",X"11",X"00",X"11",X"22",X"00",X"00",
- X"00",X"88",X"88",X"EE",X"88",X"88",X"00",X"00",X"00",X"00",X"00",X"33",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"33",X"11",X"22",X"00",
- X"00",X"00",X"00",X"EE",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"33",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"88",X"88",X"00",X"00",X"00",X"00",X"00",X"00",X"11",X"11",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"CC",X"66",X"33",X"33",X"33",X"22",X"CC",X"00",X"11",X"22",X"66",X"66",X"66",X"33",X"11",X"00",
- X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"FF",X"00",X"00",X"11",X"00",X"00",X"00",X"00",X"33",X"00",
- X"EE",X"33",X"77",X"EE",X"CC",X"00",X"FF",X"00",X"33",X"66",X"00",X"11",X"33",X"77",X"77",X"00",
- X"FF",X"66",X"CC",X"EE",X"33",X"33",X"EE",X"00",X"33",X"00",X"00",X"11",X"00",X"66",X"33",X"00",
- X"EE",X"EE",X"66",X"66",X"FF",X"66",X"66",X"00",X"00",X"11",X"33",X"66",X"77",X"00",X"00",X"00",
- X"EE",X"00",X"EE",X"33",X"33",X"33",X"EE",X"00",X"77",X"66",X"77",X"00",X"00",X"66",X"33",X"00",
- X"EE",X"00",X"00",X"EE",X"33",X"33",X"EE",X"00",X"11",X"33",X"66",X"77",X"66",X"66",X"33",X"00",
- X"FF",X"33",X"66",X"CC",X"88",X"88",X"88",X"00",X"77",X"66",X"00",X"00",X"11",X"11",X"11",X"00",
- X"CC",X"22",X"22",X"CC",X"FF",X"33",X"EE",X"00",X"33",X"66",X"77",X"33",X"44",X"44",X"33",X"00",
- X"EE",X"33",X"33",X"FF",X"33",X"66",X"CC",X"00",X"33",X"66",X"66",X"33",X"00",X"00",X"33",X"00",
- X"00",X"88",X"88",X"00",X"88",X"88",X"00",X"00",X"00",X"11",X"11",X"00",X"11",X"11",X"00",X"00",
- X"00",X"88",X"88",X"00",X"88",X"88",X"00",X"00",X"00",X"11",X"11",X"00",X"11",X"00",X"11",X"00",
- X"66",X"CC",X"88",X"00",X"88",X"CC",X"66",X"00",X"00",X"00",X"11",X"33",X"11",X"00",X"00",X"00",
- X"00",X"FF",X"FF",X"00",X"FF",X"FF",X"00",X"00",X"00",X"77",X"77",X"00",X"77",X"77",X"00",X"00",
- X"00",X"88",X"CC",X"66",X"CC",X"88",X"00",X"00",X"33",X"11",X"00",X"00",X"00",X"11",X"33",X"00",
- X"EE",X"33",X"33",X"66",X"CC",X"00",X"CC",X"CC",X"33",X"66",X"66",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"CC",X"66",X"33",X"33",X"FF",X"33",X"33",X"00",X"11",X"33",X"66",X"66",X"77",X"66",X"66",X"00",
- X"EE",X"33",X"33",X"EE",X"33",X"33",X"EE",X"00",X"77",X"66",X"66",X"77",X"66",X"66",X"77",X"00",
- X"EE",X"33",X"00",X"00",X"00",X"33",X"EE",X"00",X"11",X"33",X"66",X"66",X"66",X"33",X"11",X"00",
- X"CC",X"66",X"33",X"33",X"33",X"66",X"CC",X"00",X"77",X"66",X"66",X"66",X"66",X"66",X"77",X"00",
- X"FF",X"00",X"00",X"EE",X"00",X"00",X"FF",X"00",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"00",
- X"FF",X"00",X"00",X"EE",X"00",X"00",X"00",X"00",X"77",X"66",X"66",X"77",X"66",X"66",X"66",X"00",
- X"FF",X"00",X"00",X"77",X"33",X"33",X"FF",X"00",X"11",X"33",X"66",X"66",X"66",X"33",X"11",X"00",
- X"33",X"33",X"33",X"FF",X"33",X"33",X"33",X"00",X"66",X"66",X"66",X"77",X"66",X"66",X"66",X"00",
- X"FF",X"CC",X"CC",X"CC",X"CC",X"CC",X"FF",X"00",X"33",X"00",X"00",X"00",X"00",X"00",X"33",X"00",
- X"33",X"33",X"33",X"33",X"33",X"33",X"EE",X"00",X"00",X"00",X"00",X"00",X"00",X"66",X"33",X"00",
- X"33",X"66",X"CC",X"88",X"CC",X"EE",X"77",X"00",X"66",X"66",X"66",X"77",X"77",X"66",X"66",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"FF",X"00",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"00",
- X"33",X"77",X"FF",X"FF",X"BB",X"33",X"33",X"00",X"66",X"77",X"77",X"77",X"66",X"66",X"66",X"00",
- X"33",X"33",X"BB",X"FF",X"FF",X"77",X"33",X"00",X"66",X"77",X"77",X"77",X"66",X"66",X"66",X"00",
- X"EE",X"33",X"33",X"33",X"33",X"33",X"EE",X"00",X"33",X"66",X"66",X"66",X"66",X"66",X"33",X"00",
- X"EE",X"33",X"33",X"33",X"EE",X"00",X"00",X"00",X"77",X"66",X"66",X"66",X"77",X"66",X"66",X"00",
- X"EE",X"33",X"33",X"33",X"FF",X"66",X"DD",X"00",X"33",X"66",X"66",X"66",X"66",X"66",X"33",X"00",
- X"EE",X"33",X"33",X"77",X"CC",X"EE",X"77",X"00",X"77",X"66",X"66",X"66",X"77",X"66",X"66",X"00",
- X"CC",X"66",X"00",X"EE",X"33",X"33",X"EE",X"00",X"33",X"66",X"66",X"33",X"00",X"66",X"33",X"00",
- X"FF",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"00",X"33",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"33",X"33",X"33",X"33",X"33",X"33",X"EE",X"00",X"66",X"66",X"66",X"66",X"66",X"66",X"33",X"00",
- X"33",X"33",X"33",X"77",X"EE",X"CC",X"88",X"00",X"66",X"66",X"66",X"77",X"33",X"11",X"00",X"00",
- X"33",X"33",X"BB",X"FF",X"FF",X"77",X"33",X"00",X"66",X"66",X"66",X"77",X"77",X"77",X"66",X"00",
- X"33",X"77",X"EE",X"CC",X"EE",X"77",X"33",X"00",X"66",X"77",X"33",X"11",X"33",X"77",X"66",X"00",
- X"33",X"33",X"33",X"EE",X"CC",X"CC",X"CC",X"00",X"33",X"33",X"33",X"11",X"00",X"00",X"00",X"00",
- X"FF",X"77",X"EE",X"CC",X"88",X"00",X"FF",X"00",X"77",X"00",X"00",X"11",X"33",X"77",X"77",X"00",
- X"FF",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"FF",X"88",X"88",X"88",X"88",X"88",X"88",X"88",
- X"0F",X"0F",X"3F",X"BF",X"DB",X"FF",X"F6",X"FF",X"0F",X"0F",X"0F",X"0F",X"1F",X"1F",X"0F",X"3F",
- X"0F",X"EF",X"7F",X"96",X"F3",X"FF",X"F9",X"FF",X"0F",X"4F",X"FF",X"F3",X"FF",X"F7",X"FF",X"FF",
- X"0F",X"0F",X"0F",X"0F",X"87",X"8F",X"0F",X"4F",X"0F",X"0F",X"CF",X"87",X"FC",X"FF",X"BF",X"EF",
- X"FA",X"FB",X"FD",X"FF",X"B6",X"DB",X"EF",X"F6",X"7F",X"7E",X"3D",X"5F",X"7D",X"7D",X"3F",X"7E",
- X"F9",X"F7",X"FE",X"7F",X"FF",X"F9",X"EF",X"F7",X"F7",X"F7",X"9F",X"EF",X"FE",X"F5",X"7F",X"FF",
- X"EB",X"EB",X"CF",X"2F",X"EB",X"E7",X"EF",X"6F",X"FD",X"F3",X"FC",X"FF",X"F5",X"FB",X"EF",X"FB",
- X"7E",X"FF",X"FB",X"FD",X"7B",X"1F",X"0F",X"0F",X"3F",X"2F",X"1F",X"1F",X"0F",X"0F",X"0F",X"0F",
- X"F7",X"FF",X"FF",X"FF",X"FF",X"F9",X"EF",X"0F",X"CC",X"FF",X"FD",X"FD",X"17",X"E9",X"6F",X"0F",
- X"0F",X"CF",X"8F",X"8F",X"0F",X"0F",X"0F",X"0F",X"F7",X"FF",X"FA",X"F7",X"7F",X"0F",X"0F",X"0F",
- X"FF",X"5F",X"0F",X"8F",X"C3",X"F3",X"9F",X"3F",X"FF",X"FF",X"FF",X"CF",X"CF",X"CF",X"CF",X"FF",
- X"FF",X"EF",X"CF",X"CF",X"8F",X"FF",X"8F",X"8F",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
- X"FF",X"FF",X"3F",X"1F",X"1F",X"1F",X"1F",X"3F",X"FF",X"3F",X"2F",X"2F",X"2F",X"79",X"F8",X"78",
- X"7F",X"FF",X"FF",X"DF",X"1F",X"3F",X"3C",X"FC",X"FF",X"FF",X"FF",X"FF",X"EF",X"CF",X"CF",X"EF",
- X"0F",X"0F",X"DF",X"6F",X"2F",X"0F",X"3F",X"3F",X"FF",X"BF",X"1F",X"0F",X"1F",X"9F",X"EF",X"C7",
- X"5F",X"9F",X"1F",X"3F",X"FF",X"FF",X"FF",X"FF",X"78",X"F8",X"1E",X"1F",X"1F",X"3F",X"FF",X"FF",
- X"3C",X"3F",X"1F",X"1F",X"2F",X"6F",X"FF",X"FF",X"FF",X"EF",X"CF",X"CF",X"CF",X"EF",X"FF",X"FF",
- X"3F",X"7F",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"E7",X"97",X"0F",X"0F",X"0F",X"1F",X"3F",X"FF",
- X"FF",X"7F",X"7F",X"9F",X"9F",X"1F",X"3F",X"FF",X"FF",X"CF",X"CF",X"3C",X"3C",X"1F",X"EF",X"FF",
- X"EE",X"7F",X"1D",X"9F",X"97",X"9F",X"3F",X"7F",X"EC",X"CF",X"8F",X"3E",X"38",X"3E",X"8F",X"CF",
- X"DD",X"7F",X"3F",X"9F",X"95",X"9F",X"3F",X"7F",X"FF",X"CF",X"8F",X"3E",X"34",X"3E",X"8F",X"CF",
- X"1D",X"1F",X"C7",X"C3",X"C7",X"1F",X"3F",X"FF",X"CD",X"CF",X"17",X"9E",X"9B",X"CF",X"EF",X"FF",
- X"EF",X"3F",X"1F",X"C7",X"C3",X"C7",X"1F",X"3F",X"33",X"EF",X"CF",X"9F",X"9E",X"9F",X"CF",X"EB",
- X"8B",X"7F",X"3F",X"9F",X"97",X"9F",X"3F",X"6F",X"6E",X"CF",X"8F",X"3E",X"3C",X"3E",X"8F",X"4F",
- X"7F",X"3F",X"9F",X"97",X"9F",X"3F",X"7F",X"FF",X"E6",X"8F",X"3E",X"3C",X"3E",X"8F",X"CF",X"FF",
- X"3F",X"1F",X"C7",X"C3",X"C7",X"1F",X"3E",X"FF",X"EF",X"CF",X"9F",X"9E",X"9F",X"CF",X"AF",X"FF",
- X"FF",X"7F",X"3F",X"9F",X"97",X"9F",X"3F",X"7F",X"FF",X"CF",X"8F",X"3E",X"3C",X"3E",X"8F",X"CF",
- X"7F",X"3F",X"9F",X"97",X"9F",X"3F",X"5D",X"FF",X"CF",X"8F",X"3E",X"3C",X"3E",X"8F",X"0B",X"FF",
- X"FF",X"FE",X"FE",X"FF",X"EF",X"FE",X"FC",X"ED",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
- X"FF",X"FF",X"F7",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FA",X"F3",X"FF",X"FF",X"F7",X"F7",X"F7",
- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"77",X"F7",X"FF",X"DF",X"CF",X"F8",X"BC",X"E8",X"BC",X"3C",
- X"E9",X"DF",X"F8",X"F8",X"F8",X"78",X"78",X"78",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
- X"FF",X"FE",X"FE",X"FE",X"EF",X"D6",X"FF",X"FF",X"F3",X"B3",X"F1",X"B1",X"10",X"91",X"91",X"11",
- X"77",X"11",X"7F",X"77",X"31",X"75",X"FA",X"FF",X"78",X"E0",X"E3",X"E8",X"E0",X"E0",X"C0",X"EC",
- X"68",X"D2",X"F2",X"F6",X"FE",X"FE",X"FE",X"FF",X"FE",X"EF",X"FF",X"FC",X"FF",X"FF",X"FF",X"FF",
- X"FF",X"FF",X"F7",X"FB",X"FF",X"FE",X"FF",X"FF",X"31",X"90",X"B1",X"FC",X"77",X"77",X"77",X"FF",
- X"FF",X"FF",X"FF",X"FF",X"FF",X"F3",X"FF",X"FF",X"EC",X"EC",X"FF",X"FF",X"F2",X"F0",X"FF",X"79",
- X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",
- X"F0",X"F0",X"F0",X"F0",X"F0",X"F0",X"F0",X"F0",X"F0",X"F0",X"F0",X"F0",X"F0",X"F0",X"F0",X"F0",
- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"F0",X"F0",X"F0",X"F0",X"F0",X"F0",X"F0",X"F0",X"30",X"70",X"F0",X"F0",X"F0",X"F0",X"F0",X"F0",
- X"F0",X"F0",X"F0",X"F0",X"F0",X"F0",X"F0",X"F0",X"10",X"10",X"00",X"00",X"00",X"00",X"10",X"10",
- X"F0",X"F0",X"F0",X"F0",X"30",X"00",X"00",X"00",X"F0",X"F0",X"F0",X"F0",X"C0",X"00",X"00",X"00",
- X"F0",X"F0",X"F0",X"78",X"1E",X"78",X"F0",X"F0",X"F0",X"78",X"1E",X"0F",X"0F",X"0F",X"1E",X"78",
- X"F0",X"F0",X"E1",X"E1",X"F0",X"F0",X"F0",X"F0",X"F0",X"F0",X"F0",X"F0",X"F0",X"F0",X"F0",X"F0",
- X"F0",X"F0",X"F0",X"F0",X"F0",X"F0",X"F0",X"F0",X"78",X"78",X"3C",X"3C",X"F0",X"F0",X"F0",X"F0",
- X"F0",X"FC",X"F6",X"F0",X"FC",X"F6",X"F6",X"FC",X"F0",X"F3",X"F6",X"F6",X"F3",X"F0",X"F6",X"F3",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"FF",X"FF",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"F3",X"F7",X"EF",X"CF",X"CF",X"CF",X"CF",X"CF",
- X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"CF",X"CF",X"CF",X"CF",X"CF",X"CF",X"CF",X"CF",
- X"FF",X"FF",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"FF",X"FF",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",
- X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"CF",X"CF",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",
- X"F0",X"60",X"40",X"F0",X"70",X"70",X"C0",X"B3",X"F0",X"E0",X"F0",X"C0",X"83",X"C1",X"E0",X"D0",
- X"F0",X"F0",X"30",X"DC",X"9C",X"0E",X"0F",X"0F",X"F0",X"F0",X"C0",X"B3",X"33",X"67",X"67",X"67",
- X"F0",X"F0",X"F0",X"F0",X"D0",X"70",X"38",X"38",X"F0",X"F0",X"90",X"F0",X"B0",X"C0",X"41",X"60",
- X"67",X"67",X"67",X"67",X"47",X"47",X"00",X"0D",X"F0",X"F0",X"F0",X"C0",X"83",X"83",X"81",X"C1",
- X"0F",X"0C",X"1F",X"2F",X"2F",X"4F",X"4F",X"0F",X"09",X"0D",X"0D",X"0D",X"0D",X"09",X"0D",X"0E",
- X"70",X"F0",X"70",X"38",X"38",X"1C",X"18",X"1C",X"60",X"70",X"88",X"0F",X"0F",X"0B",X"0D",X"0D",
- X"0D",X"0D",X"0D",X"0D",X"07",X"83",X"C0",X"F0",X"83",X"83",X"C0",X"E0",X"F0",X"90",X"90",X"F0",
- X"0F",X"0F",X"0F",X"0E",X"0F",X"0E",X"10",X"F0",X"0E",X"08",X"0B",X"0B",X"0F",X"0E",X"10",X"F0",
- X"18",X"70",X"70",X"F0",X"F0",X"50",X"70",X"F0",X"0D",X"0F",X"00",X"70",X"60",X"C1",X"E0",X"F0",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"F0",X"E0",X"D0",X"D0",X"D0",X"D0",X"D0",X"60",X"F0",X"E0",X"C0",X"E0",X"E0",X"E0",X"E0",X"C0",
- X"F0",X"E0",X"50",X"50",X"D0",X"D0",X"D0",X"60",X"F0",X"C0",X"B0",X"B0",X"E0",X"D0",X"B0",X"80",
- X"F0",X"E0",X"50",X"50",X"D0",X"50",X"50",X"E0",X"F0",X"C0",X"B0",X"F0",X"E0",X"F0",X"B0",X"C0",
- X"F0",X"E0",X"D0",X"D0",X"D0",X"50",X"D0",X"E0",X"F0",X"A0",X"A0",X"A0",X"A0",X"80",X"E0",X"E0",
- X"F0",X"60",X"D0",X"D0",X"50",X"50",X"50",X"E0",X"F0",X"80",X"B0",X"80",X"F0",X"F0",X"B0",X"C0",
- X"F0",X"E0",X"50",X"D0",X"D0",X"50",X"50",X"E0",X"F0",X"C0",X"B0",X"B0",X"80",X"B0",X"B0",X"C0",
- X"F0",X"60",X"50",X"D0",X"D0",X"D0",X"D0",X"E0",X"F0",X"80",X"F0",X"E0",X"E0",X"D0",X"D0",X"D0",
- X"F0",X"E0",X"50",X"50",X"D0",X"50",X"50",X"E0",X"F0",X"C0",X"B0",X"B0",X"C0",X"B0",X"B0",X"C0",
- X"F0",X"E0",X"50",X"50",X"50",X"50",X"50",X"E0",X"F0",X"C0",X"B0",X"B0",X"C0",X"F0",X"B0",X"C0",
- X"00",X"10",X"B0",X"F0",X"B0",X"10",X"10",X"30",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"F0",X"30",X"D0",X"D0",X"D0",X"D0",X"D0",X"30",X"F0",X"70",X"A0",X"A0",X"A0",X"A0",X"A0",X"70",
- X"F0",X"F0",X"F0",X"F0",X"F0",X"F0",X"F0",X"F0",X"F0",X"90",X"60",X"60",X"60",X"60",X"60",X"90",
- X"F0",X"90",X"60",X"E0",X"D0",X"B0",X"00",X"F0",X"F0",X"F0",X"F0",X"50",X"B0",X"50",X"F0",X"F0",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"10",X"B0",X"F0",X"B0",X"10",X"10",X"30",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"80",X"D0",X"F0",X"D0",X"80",X"80",X"C0",
- X"B0",X"F0",X"90",X"00",X"00",X"00",X"00",X"00",X"10",X"10",X"10",X"00",X"00",X"00",X"00",X"00",
- X"80",X"80",X"80",X"00",X"00",X"00",X"00",X"00",X"D0",X"F0",X"90",X"00",X"00",X"00",X"00",X"00",
- X"F0",X"F0",X"E1",X"69",X"0F",X"0F",X"0F",X"0F",X"F0",X"F0",X"96",X"87",X"C3",X"C3",X"E1",X"E1",
- X"F0",X"C3",X"87",X"0F",X"0F",X"0F",X"0F",X"0F",X"B4",X"3C",X"3C",X"1E",X"0F",X"0F",X"0F",X"0F",
- X"F0",X"F0",X"96",X"1E",X"1E",X"3C",X"3C",X"3C",X"78",X"78",X"78",X"2D",X"0F",X"0F",X"0F",X"0F",
- X"8F",X"5F",X"5F",X"9F",X"5F",X"5F",X"9F",X"0F",X"F3",X"E3",X"E3",X"3F",X"A7",X"E3",X"F3",X"E1",
- X"8F",X"8F",X"CF",X"AF",X"9F",X"8F",X"8F",X"0F",X"CF",X"2F",X"2F",X"EF",X"2F",X"2F",X"2F",X"0F",
- X"F8",X"7C",X"78",X"FC",X"7C",X"5E",X"DE",X"1E",X"9F",X"AF",X"AF",X"AF",X"AF",X"AF",X"9F",X"0F",
- X"0F",X"0F",X"0F",X"1E",X"78",X"F0",X"F0",X"F0",X"E1",X"C3",X"C3",X"87",X"87",X"1E",X"78",X"F0",
- X"0F",X"0F",X"0F",X"69",X"78",X"F0",X"F0",X"F0",X"0F",X"0F",X"0F",X"0F",X"0F",X"87",X"96",X"D2",
- X"0F",X"0F",X"F0",X"F0",X"F0",X"F0",X"F0",X"F0",X"0F",X"0F",X"1E",X"1E",X"1E",X"96",X"D2",X"D2",
- X"F0",X"00",X"FF",X"FF",X"FF",X"FF",X"CC",X"BB",X"F0",X"E0",X"D1",X"B3",X"B3",X"B3",X"91",X"FF",
- X"F7",X"FF",X"77",X"BB",X"BB",X"BB",X"33",X"CC",X"F0",X"70",X"00",X"77",X"77",X"FF",X"33",X"DD",
- X"F0",X"70",X"B8",X"DC",X"DC",X"DC",X"DC",X"30",X"F8",X"EC",X"DD",X"DD",X"FF",X"FF",X"FF",X"FF",
- X"77",X"77",X"55",X"BB",X"33",X"BB",X"CC",X"66",X"FF",X"FF",X"FF",X"FF",X"44",X"D9",X"D1",X"C0",
- X"33",X"BB",X"BB",X"22",X"AA",X"DD",X"FF",X"FF",X"CC",X"FF",X"FF",X"EE",X"DD",X"DD",X"BB",X"77",
- X"CC",X"EE",X"EE",X"EE",X"FF",X"10",X"F0",X"F0",X"FF",X"FF",X"FF",X"33",X"DD",X"DD",X"EE",X"EE",
- X"DD",X"DD",X"EE",X"FF",X"EE",X"EE",X"00",X"F0",X"B3",X"B3",X"B3",X"77",X"77",X"91",X"E0",X"F0",
- X"EE",X"99",X"77",X"FF",X"FF",X"FF",X"FF",X"00",X"FF",X"FF",X"77",X"99",X"EE",X"DD",X"DD",X"30",
- X"70",X"B8",X"B8",X"DC",X"DC",X"30",X"F0",X"F0",X"CC",X"FF",X"FF",X"FF",X"FF",X"BB",X"88",X"70",
- X"0F",X"69",X"69",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",
- X"00",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"00",X"00",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"00",
- X"00",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"00",X"00",X"87",X"87",X"87",X"87",X"87",X"87",X"00",
- X"00",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"00",X"00",X"C3",X"C3",X"C3",X"C3",X"C3",X"C3",X"00",
- X"00",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"00",X"00",X"E3",X"E3",X"E3",X"E3",X"E3",X"E3",X"00",
- X"00",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"00",X"00",X"F3",X"F3",X"F3",X"F3",X"F3",X"F3",X"00",
- X"00",X"8F",X"8F",X"8F",X"8F",X"8F",X"8F",X"00",X"00",X"F3",X"F3",X"F3",X"F3",X"F3",X"F3",X"00",
- X"00",X"CF",X"CF",X"CF",X"CF",X"CF",X"CF",X"00",X"00",X"F3",X"F3",X"F3",X"F3",X"F3",X"F3",X"00",
- X"00",X"EF",X"EF",X"EF",X"EF",X"EF",X"EF",X"00",X"00",X"F3",X"F3",X"F3",X"F3",X"F3",X"F3",X"00",
- X"00",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"00",X"00",X"F3",X"F3",X"F3",X"F3",X"F3",X"F3",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"30",X"30",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"02",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"08",
- X"EE",X"00",X"CC",X"00",X"00",X"00",X"00",X"08",X"77",X"66",X"77",X"66",X"66",X"00",X"00",X"00",
- X"66",X"66",X"66",X"66",X"CC",X"00",X"00",X"00",X"66",X"66",X"66",X"66",X"33",X"00",X"00",X"02",
- X"EE",X"00",X"CC",X"00",X"EE",X"00",X"00",X"02",X"77",X"66",X"77",X"66",X"77",X"00",X"80",X"80",
- X"00",X"00",X"00",X"00",X"EE",X"00",X"00",X"08",X"66",X"66",X"66",X"66",X"77",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"02",
- X"00",X"00",X"00",X"00",X"00",X"00",X"60",X"60",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"08",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"CC",X"66",X"33",X"33",X"FF",X"33",X"33",X"00",X"11",X"33",X"66",X"66",X"66",X"33",X"11",X"00",
- X"FF",X"00",X"00",X"77",X"33",X"33",X"FF",X"00",X"11",X"33",X"66",X"66",X"77",X"66",X"66",X"00",
- X"33",X"33",X"33",X"77",X"EE",X"CC",X"88",X"00",X"33",X"66",X"66",X"66",X"66",X"66",X"33",X"00",
- X"EE",X"33",X"33",X"33",X"33",X"33",X"EE",X"00",X"66",X"66",X"66",X"77",X"33",X"11",X"00",X"00",
- X"FF",X"00",X"00",X"EE",X"00",X"00",X"FF",X"00",X"66",X"77",X"77",X"77",X"66",X"66",X"66",X"00",
- X"33",X"77",X"FF",X"FF",X"BB",X"33",X"33",X"00",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"00",
- X"EE",X"33",X"33",X"77",X"CC",X"EE",X"77",X"00",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"00",
- X"FF",X"00",X"00",X"EE",X"00",X"00",X"FF",X"00",X"77",X"66",X"66",X"66",X"77",X"66",X"66",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"CC",X"CC",X"CC",X"00",X"00",X"00",X"00",X"00",X"33",X"33",X"33",X"00",X"00",X"00",
- X"01",X"03",X"8B",X"8F",X"8B",X"03",X"03",X"12",X"08",X"0C",X"1D",X"1F",X"1D",X"0C",X"0C",X"84",
- X"00",X"EE",X"EE",X"EE",X"EE",X"EE",X"00",X"00",X"00",X"77",X"77",X"77",X"77",X"77",X"00",X"00",
- X"16",X"16",X"16",X"1E",X"17",X"27",X"22",X"22",X"86",X"86",X"86",X"87",X"8E",X"4E",X"44",X"44",
- X"00",X"00",X"08",X"08",X"33",X"7F",X"77",X"66",X"00",X"00",X"00",X"00",X"00",X"00",X"77",X"77",
- X"33",X"77",X"77",X"66",X"00",X"01",X"03",X"12",X"00",X"07",X"07",X"0F",X"0F",X"0F",X"0E",X"86",
- X"00",X"00",X"00",X"88",X"88",X"88",X"00",X"00",X"FF",X"EF",X"EF",X"01",X"00",X"11",X"11",X"00",
- X"16",X"3C",X"78",X"3C",X"CF",X"23",X"44",X"44",X"C2",X"86",X"0C",X"3B",X"3B",X"77",X"77",X"77",
- X"00",X"00",X"00",X"0C",X"0E",X"0E",X"0E",X"08",X"00",X"00",X"00",X"33",X"FF",X"FF",X"EE",X"01",
- X"00",X"00",X"00",X"88",X"88",X"8B",X"16",X"3C",X"77",X"FF",X"EE",X"02",X"03",X"0F",X"0F",X"87",
- X"77",X"FF",X"EE",X"00",X"00",X"00",X"00",X"00",X"03",X"23",X"DD",X"11",X"66",X"00",X"00",X"00",
- X"F0",X"E1",X"4B",X"0E",X"1F",X"77",X"77",X"77",X"86",X"0C",X"00",X"00",X"CC",X"CC",X"CC",X"00",
- X"00",X"00",X"CC",X"CC",X"CC",X"08",X"0E",X"0F",X"00",X"33",X"33",X"33",X"00",X"03",X"EF",X"13",
- X"00",X"EE",X"EE",X"EE",X"08",X"0F",X"0F",X"F0",X"00",X"00",X"11",X"11",X"11",X"00",X"0F",X"87",
- X"0F",X"0E",X"08",X"CC",X"CC",X"CC",X"00",X"00",X"13",X"EF",X"03",X"00",X"33",X"33",X"33",X"00",
- X"F0",X"0F",X"0F",X"08",X"EE",X"EE",X"EE",X"00",X"87",X"0F",X"00",X"11",X"11",X"11",X"00",X"00");
-begin
-process(clk)
-begin
- if rising_edge(clk) then
- data <= rom_data(to_integer(unsigned(addr)));
- end if;
-end process;
-end architecture;
diff --git a/Arcade_MiST/Namco Rally-X Hardware/RallyX_MiST/rtl/roms/nrx_col_rom.vhd b/Arcade_MiST/Namco Rally-X Hardware/RallyX_MiST/rtl/roms/nrx_col_rom.vhd
deleted file mode 100644
index 81ccc13d..00000000
--- a/Arcade_MiST/Namco Rally-X Hardware/RallyX_MiST/rtl/roms/nrx_col_rom.vhd
+++ /dev/null
@@ -1,38 +0,0 @@
-library ieee;
-use ieee.std_logic_1164.all,ieee.numeric_std.all;
-
-entity nrx_col_rom is
-port (
- clk : in std_logic;
- addr : in std_logic_vector(7 downto 0);
- data : out std_logic_vector(7 downto 0)
-);
-end entity;
-
-architecture prom of nrx_col_rom is
- type rom is array(0 to 255) of std_logic_vector(7 downto 0);
- signal rom_data: rom := (
- X"00",X"00",X"00",X"00",X"00",X"09",X"0E",X"01",X"00",X"02",X"05",X"01",X"00",X"0C",X"08",X"00",
- X"00",X"03",X"08",X"02",X"00",X"03",X"08",X"0E",X"00",X"02",X"08",X"00",X"08",X"02",X"02",X"02",
- X"08",X"0F",X"0F",X"0F",X"08",X"0B",X"09",X"0F",X"08",X"0B",X"0F",X"02",X"00",X"02",X"03",X"07",
- X"00",X"07",X"03",X"0A",X"00",X"0A",X"08",X"06",X"00",X"06",X"08",X"00",X"00",X"04",X"08",X"06",
- X"00",X"0C",X"08",X"06",X"00",X"07",X"03",X"0A",X"00",X"0E",X"02",X"07",X"00",X"05",X"03",X"07",
- X"00",X"03",X"0A",X"07",X"00",X"0B",X"08",X"06",X"00",X"03",X"08",X"02",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"08",X"00",X"00",X"0F",X"08",X"00",X"00",X"02",X"00",X"0D",X"03",X"04",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"0F",X"0F",X"0F",X"00",X"00",X"00",X"00",
- X"00",X"03",X"00",X"00",X"00",X"00",X"03",X"03",X"00",X"00",X"00",X"03",X"00",X"0E",X"02",X"0A",
- X"00",X"09",X"00",X"00",X"00",X"00",X"09",X"09",X"00",X"00",X"09",X"00",X"00",X"02",X"00",X"00",
- X"00",X"00",X"02",X"02",X"00",X"00",X"00",X"02",X"00",X"00",X"00",X"05",X"00",X"02",X"02",X"02",
- X"05",X"00",X"00",X"00",X"05",X"00",X"00",X"02",X"05",X"00",X"00",X"03",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00");
-begin
-process(clk)
-begin
- if rising_edge(clk) then
- data <= rom_data(to_integer(unsigned(addr)));
- end if;
-end process;
-end architecture;
diff --git a/Arcade_MiST/Namco Rally-X Hardware/RallyX_MiST/rtl/roms/nrx_dot_rom.vhd b/Arcade_MiST/Namco Rally-X Hardware/RallyX_MiST/rtl/roms/nrx_dot_rom.vhd
deleted file mode 100644
index fba36e1f..00000000
--- a/Arcade_MiST/Namco Rally-X Hardware/RallyX_MiST/rtl/roms/nrx_dot_rom.vhd
+++ /dev/null
@@ -1,38 +0,0 @@
-library ieee;
-use ieee.std_logic_1164.all,ieee.numeric_std.all;
-
-entity nrx_dot_rom is
-port (
- clk : in std_logic;
- addr : in std_logic_vector(7 downto 0);
- data : out std_logic_vector(7 downto 0)
-);
-end entity;
-
-architecture prom of nrx_dot_rom is
- type rom is array(0 to 255) of std_logic_vector(7 downto 0);
- signal rom_data: rom := (
- X"02",X"02",X"03",X"03",X"02",X"02",X"03",X"03",X"03",X"03",X"03",X"03",X"03",X"03",X"03",X"03",
- X"01",X"01",X"03",X"03",X"01",X"01",X"03",X"03",X"03",X"03",X"03",X"03",X"03",X"03",X"03",X"03",
- X"03",X"03",X"03",X"03",X"03",X"03",X"03",X"03",X"03",X"03",X"03",X"03",X"03",X"03",X"03",X"03",
- X"00",X"00",X"03",X"03",X"00",X"00",X"03",X"03",X"03",X"03",X"03",X"03",X"03",X"03",X"03",X"03",
- X"02",X"02",X"02",X"02",X"02",X"02",X"02",X"02",X"02",X"02",X"02",X"02",X"02",X"02",X"02",X"02",
- X"01",X"01",X"01",X"01",X"01",X"01",X"01",X"01",X"01",X"01",X"01",X"01",X"01",X"01",X"01",X"01",
- X"03",X"03",X"03",X"03",X"03",X"03",X"03",X"03",X"03",X"03",X"03",X"03",X"03",X"03",X"03",X"03",
- X"02",X"02",X"02",X"02",X"02",X"01",X"01",X"02",X"02",X"01",X"01",X"02",X"02",X"02",X"02",X"02",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00");
-begin
-process(clk)
-begin
- if rising_edge(clk) then
- data <= rom_data(to_integer(unsigned(addr)));
- end if;
-end process;
-end architecture;
diff --git a/Arcade_MiST/Namco Rally-X Hardware/RallyX_MiST/rtl/roms/nrx_nam_rom.vhd b/Arcade_MiST/Namco Rally-X Hardware/RallyX_MiST/rtl/roms/nrx_nam_rom.vhd
deleted file mode 100644
index 26fbda4f..00000000
--- a/Arcade_MiST/Namco Rally-X Hardware/RallyX_MiST/rtl/roms/nrx_nam_rom.vhd
+++ /dev/null
@@ -1,38 +0,0 @@
-library ieee;
-use ieee.std_logic_1164.all,ieee.numeric_std.all;
-
-entity nrx_nam_rom is
-port (
- clk : in std_logic;
- addr : in std_logic_vector(7 downto 0);
- data : out std_logic_vector(7 downto 0)
-);
-end entity;
-
-architecture prom of nrx_nam_rom is
- type rom is array(0 to 255) of std_logic_vector(7 downto 0);
- signal rom_data: rom := (
- X"08",X"08",X"08",X"08",X"08",X"0F",X"0F",X"08",X"08",X"00",X"00",X"00",X"08",X"08",X"08",X"08",
- X"0F",X"0F",X"0F",X"08",X"00",X"00",X"08",X"08",X"08",X"08",X"0F",X"0F",X"08",X"08",X"00",X"00",
- X"08",X"08",X"08",X"00",X"08",X"0F",X"0F",X"0F",X"08",X"08",X"08",X"00",X"08",X"08",X"08",X"00",
- X"00",X"00",X"00",X"08",X"00",X"00",X"08",X"08",X"08",X"08",X"00",X"00",X"00",X"08",X"00",X"00",
- X"08",X"08",X"0F",X"08",X"08",X"08",X"0F",X"08",X"08",X"00",X"00",X"00",X"08",X"0F",X"08",X"08",
- X"0F",X"08",X"08",X"00",X"00",X"00",X"08",X"0F",X"08",X"08",X"08",X"0F",X"08",X"00",X"00",X"00",
- X"08",X"08",X"08",X"00",X"08",X"08",X"0F",X"0F",X"00",X"00",X"08",X"08",X"08",X"0F",X"0F",X"0F",
- X"00",X"08",X"08",X"00",X"0F",X"0F",X"08",X"08",X"08",X"08",X"0F",X"08",X"00",X"00",X"00",X"08",
- X"0F",X"00",X"0F",X"00",X"0F",X"00",X"0F",X"00",X"0F",X"00",X"0F",X"00",X"0F",X"00",X"0F",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"01",X"02",X"03",X"04",X"05",X"06",X"07",X"08",X"09",X"0A",X"0B",X"0C",X"0D",X"0E",X"0F",X"0F",
- X"0E",X"0D",X"0C",X"0B",X"0A",X"09",X"08",X"07",X"06",X"05",X"04",X"03",X"02",X"01",X"00",X"00",
- X"01",X"01",X"02",X"02",X"03",X"03",X"04",X"04",X"05",X"05",X"06",X"06",X"07",X"07",X"08",X"08",
- X"09",X"09",X"0A",X"0A",X"0B",X"0B",X"0C",X"0C",X"0D",X"0D",X"0E",X"0E",X"0F",X"0F",X"00",X"00",
- X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00");
-begin
-process(clk)
-begin
- if rising_edge(clk) then
- data <= rom_data(to_integer(unsigned(addr)));
- end if;
-end process;
-end architecture;
diff --git a/Arcade_MiST/Namco Rally-X Hardware/RallyX_MiST/rtl/roms/nrx_nchr_rom.vhd b/Arcade_MiST/Namco Rally-X Hardware/RallyX_MiST/rtl/roms/nrx_nchr_rom.vhd
deleted file mode 100644
index ba763af8..00000000
--- a/Arcade_MiST/Namco Rally-X Hardware/RallyX_MiST/rtl/roms/nrx_nchr_rom.vhd
+++ /dev/null
@@ -1,278 +0,0 @@
-library ieee;
-use ieee.std_logic_1164.all,ieee.numeric_std.all;
-
-entity nrx_nchr_rom is
-port (
- clk : in std_logic;
- addr : in std_logic_vector(11 downto 0);
- data : out std_logic_vector(7 downto 0)
-);
-end entity;
-
-architecture prom of nrx_nchr_rom is
- type rom is array(0 to 4095) of std_logic_vector(7 downto 0);
- signal rom_data: rom := (
- X"CC",X"66",X"33",X"33",X"33",X"22",X"CC",X"00",X"11",X"22",X"66",X"66",X"66",X"33",X"11",X"00",
- X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"FF",X"00",X"00",X"11",X"00",X"00",X"00",X"00",X"33",X"00",
- X"EE",X"33",X"77",X"EE",X"CC",X"00",X"FF",X"00",X"33",X"66",X"00",X"11",X"33",X"77",X"77",X"00",
- X"FF",X"66",X"CC",X"EE",X"33",X"33",X"EE",X"00",X"33",X"00",X"00",X"11",X"00",X"66",X"33",X"00",
- X"EE",X"EE",X"66",X"66",X"FF",X"66",X"66",X"00",X"00",X"11",X"33",X"66",X"77",X"00",X"00",X"00",
- X"EE",X"00",X"EE",X"33",X"33",X"33",X"EE",X"00",X"77",X"66",X"77",X"00",X"00",X"66",X"33",X"00",
- X"EE",X"00",X"00",X"EE",X"33",X"33",X"EE",X"00",X"11",X"33",X"66",X"77",X"66",X"66",X"33",X"00",
- X"FF",X"33",X"66",X"CC",X"88",X"88",X"88",X"00",X"77",X"66",X"00",X"00",X"11",X"11",X"11",X"00",
- X"CC",X"22",X"22",X"CC",X"FF",X"33",X"EE",X"00",X"33",X"66",X"77",X"33",X"44",X"44",X"33",X"00",
- X"EE",X"33",X"33",X"FF",X"33",X"66",X"CC",X"00",X"33",X"66",X"66",X"33",X"00",X"00",X"33",X"00",
- X"CC",X"66",X"33",X"33",X"FF",X"33",X"33",X"00",X"11",X"33",X"66",X"66",X"77",X"66",X"66",X"00",
- X"EE",X"33",X"33",X"EE",X"33",X"33",X"EE",X"00",X"77",X"66",X"66",X"77",X"66",X"66",X"77",X"00",
- X"EE",X"33",X"00",X"00",X"00",X"33",X"EE",X"00",X"11",X"33",X"66",X"66",X"66",X"33",X"11",X"00",
- X"CC",X"66",X"33",X"33",X"33",X"66",X"CC",X"00",X"77",X"66",X"66",X"66",X"66",X"66",X"77",X"00",
- X"FF",X"00",X"00",X"EE",X"00",X"00",X"FF",X"00",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"00",
- X"FF",X"00",X"00",X"EE",X"00",X"00",X"00",X"00",X"77",X"66",X"66",X"77",X"66",X"66",X"66",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"CC",X"22",X"99",X"11",X"11",X"99",X"22",X"CC",X"33",X"44",X"99",X"AA",X"AA",X"99",X"44",X"33",
- X"0F",X"0F",X"00",X"00",X"00",X"00",X"00",X"00",X"03",X"03",X"03",X"03",X"03",X"03",X"03",X"03",
- X"07",X"07",X"00",X"0F",X"0F",X"0C",X"0F",X"0F",X"08",X"0C",X"0C",X"0C",X"0C",X"0C",X"0C",X"0C",
- X"01",X"09",X"09",X"09",X"09",X"09",X"09",X"09",X"0F",X"0F",X"01",X"0F",X"0F",X"01",X"0F",X"0F",
- X"0F",X"0F",X"09",X"09",X"09",X"09",X"09",X"09",X"0F",X"0F",X"09",X"09",X"09",X"09",X"09",X"09",
- X"0F",X"0F",X"08",X"08",X"08",X"08",X"0F",X"0F",X"00",X"09",X"09",X"09",X"09",X"09",X"09",X"08",
- X"03",X"07",X"06",X"06",X"06",X"06",X"07",X"03",X"0E",X"0E",X"00",X"00",X"00",X"00",X"0E",X"0E",
- X"00",X"08",X"08",X"08",X"08",X"08",X"08",X"00",X"0F",X"0F",X"01",X"01",X"01",X"01",X"0F",X"0F",
- X"EE",X"11",X"DD",X"55",X"FF",X"00",X"EE",X"00",X"33",X"44",X"55",X"55",X"55",X"44",X"33",X"00",
- X"CC",X"CC",X"88",X"88",X"00",X"00",X"00",X"00",X"11",X"11",X"11",X"11",X"11",X"00",X"33",X"33",
- X"66",X"66",X"22",X"44",X"00",X"00",X"00",X"00",X"33",X"33",X"11",X"22",X"00",X"00",X"00",X"00",
- X"66",X"66",X"FF",X"66",X"FF",X"66",X"66",X"00",X"33",X"33",X"77",X"33",X"77",X"33",X"33",X"00",
- X"88",X"EE",X"88",X"EE",X"BB",X"EE",X"88",X"00",X"00",X"33",X"66",X"33",X"00",X"33",X"00",X"00",
- X"11",X"22",X"44",X"88",X"33",X"55",X"77",X"00",X"77",X"55",X"66",X"00",X"11",X"22",X"44",X"00",
- X"00",X"88",X"88",X"33",X"AA",X"44",X"BB",X"00",X"33",X"44",X"55",X"33",X"66",X"44",X"33",X"00",
- X"88",X"88",X"88",X"00",X"00",X"00",X"00",X"00",X"11",X"11",X"00",X"11",X"00",X"00",X"00",X"00",
- X"CC",X"88",X"00",X"00",X"00",X"88",X"CC",X"00",X"00",X"11",X"33",X"33",X"33",X"11",X"00",X"00",
- X"88",X"CC",X"66",X"66",X"66",X"CC",X"88",X"00",X"11",X"00",X"00",X"00",X"00",X"00",X"11",X"00",
- X"88",X"AA",X"CC",X"88",X"CC",X"AA",X"88",X"00",X"00",X"22",X"11",X"00",X"11",X"22",X"00",X"00",
- X"00",X"88",X"88",X"EE",X"88",X"88",X"00",X"00",X"00",X"00",X"00",X"33",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"33",X"11",X"22",X"00",
- X"00",X"00",X"00",X"EE",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"33",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"88",X"88",X"00",X"00",X"00",X"00",X"00",X"00",X"11",X"11",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"CC",X"66",X"33",X"33",X"33",X"22",X"CC",X"00",X"11",X"22",X"66",X"66",X"66",X"33",X"11",X"00",
- X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"FF",X"00",X"00",X"11",X"00",X"00",X"00",X"00",X"33",X"00",
- X"EE",X"33",X"77",X"EE",X"CC",X"00",X"FF",X"00",X"33",X"66",X"00",X"11",X"33",X"77",X"77",X"00",
- X"FF",X"66",X"CC",X"EE",X"33",X"33",X"EE",X"00",X"33",X"00",X"00",X"11",X"00",X"66",X"33",X"00",
- X"EE",X"EE",X"66",X"66",X"FF",X"66",X"66",X"00",X"00",X"11",X"33",X"66",X"77",X"00",X"00",X"00",
- X"EE",X"00",X"EE",X"33",X"33",X"33",X"EE",X"00",X"77",X"66",X"77",X"00",X"00",X"66",X"33",X"00",
- X"EE",X"00",X"00",X"EE",X"33",X"33",X"EE",X"00",X"11",X"33",X"66",X"77",X"66",X"66",X"33",X"00",
- X"FF",X"33",X"66",X"CC",X"88",X"88",X"88",X"00",X"77",X"66",X"00",X"00",X"11",X"11",X"11",X"00",
- X"CC",X"22",X"22",X"CC",X"FF",X"33",X"EE",X"00",X"33",X"66",X"77",X"33",X"44",X"44",X"33",X"00",
- X"EE",X"33",X"33",X"FF",X"33",X"66",X"CC",X"00",X"33",X"66",X"66",X"33",X"00",X"00",X"33",X"00",
- X"00",X"88",X"88",X"00",X"88",X"88",X"00",X"00",X"00",X"11",X"11",X"00",X"11",X"11",X"00",X"00",
- X"00",X"88",X"88",X"00",X"88",X"88",X"00",X"00",X"00",X"11",X"11",X"00",X"11",X"00",X"11",X"00",
- X"66",X"CC",X"88",X"00",X"88",X"CC",X"66",X"00",X"00",X"00",X"11",X"33",X"11",X"00",X"00",X"00",
- X"00",X"FF",X"FF",X"00",X"FF",X"FF",X"00",X"00",X"00",X"77",X"77",X"00",X"77",X"77",X"00",X"00",
- X"00",X"88",X"CC",X"66",X"CC",X"88",X"00",X"00",X"33",X"11",X"00",X"00",X"00",X"11",X"33",X"00",
- X"EE",X"33",X"33",X"66",X"CC",X"00",X"CC",X"CC",X"33",X"66",X"66",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"CC",X"66",X"33",X"33",X"FF",X"33",X"33",X"00",X"11",X"33",X"66",X"66",X"77",X"66",X"66",X"00",
- X"EE",X"33",X"33",X"EE",X"33",X"33",X"EE",X"00",X"77",X"66",X"66",X"77",X"66",X"66",X"77",X"00",
- X"EE",X"33",X"00",X"00",X"00",X"33",X"EE",X"00",X"11",X"33",X"66",X"66",X"66",X"33",X"11",X"00",
- X"CC",X"66",X"33",X"33",X"33",X"66",X"CC",X"00",X"77",X"66",X"66",X"66",X"66",X"66",X"77",X"00",
- X"FF",X"00",X"00",X"EE",X"00",X"00",X"FF",X"00",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"00",
- X"FF",X"00",X"00",X"EE",X"00",X"00",X"00",X"00",X"77",X"66",X"66",X"77",X"66",X"66",X"66",X"00",
- X"FF",X"00",X"00",X"77",X"33",X"33",X"FF",X"00",X"11",X"33",X"66",X"66",X"66",X"33",X"11",X"00",
- X"33",X"33",X"33",X"FF",X"33",X"33",X"33",X"00",X"66",X"66",X"66",X"77",X"66",X"66",X"66",X"00",
- X"FF",X"CC",X"CC",X"CC",X"CC",X"CC",X"FF",X"00",X"33",X"00",X"00",X"00",X"00",X"00",X"33",X"00",
- X"33",X"33",X"33",X"33",X"33",X"33",X"EE",X"00",X"00",X"00",X"00",X"00",X"00",X"66",X"33",X"00",
- X"33",X"66",X"CC",X"88",X"CC",X"EE",X"77",X"00",X"66",X"66",X"66",X"77",X"77",X"66",X"66",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"FF",X"00",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"00",
- X"33",X"77",X"FF",X"FF",X"BB",X"33",X"33",X"00",X"66",X"77",X"77",X"77",X"66",X"66",X"66",X"00",
- X"33",X"33",X"BB",X"FF",X"FF",X"77",X"33",X"00",X"66",X"77",X"77",X"77",X"66",X"66",X"66",X"00",
- X"EE",X"33",X"33",X"33",X"33",X"33",X"EE",X"00",X"33",X"66",X"66",X"66",X"66",X"66",X"33",X"00",
- X"EE",X"33",X"33",X"33",X"EE",X"00",X"00",X"00",X"77",X"66",X"66",X"66",X"77",X"66",X"66",X"00",
- X"EE",X"33",X"33",X"33",X"FF",X"66",X"DD",X"00",X"33",X"66",X"66",X"66",X"66",X"66",X"33",X"00",
- X"EE",X"33",X"33",X"77",X"CC",X"EE",X"77",X"00",X"77",X"66",X"66",X"66",X"77",X"66",X"66",X"00",
- X"CC",X"66",X"00",X"EE",X"33",X"33",X"EE",X"00",X"33",X"66",X"66",X"33",X"00",X"66",X"33",X"00",
- X"FF",X"CC",X"CC",X"CC",X"CC",X"CC",X"CC",X"00",X"33",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"33",X"33",X"33",X"33",X"33",X"33",X"EE",X"00",X"66",X"66",X"66",X"66",X"66",X"66",X"33",X"00",
- X"33",X"33",X"33",X"77",X"EE",X"CC",X"88",X"00",X"66",X"66",X"66",X"77",X"33",X"11",X"00",X"00",
- X"33",X"33",X"BB",X"FF",X"FF",X"77",X"33",X"00",X"66",X"66",X"66",X"77",X"77",X"77",X"66",X"00",
- X"33",X"77",X"EE",X"CC",X"EE",X"77",X"33",X"00",X"66",X"77",X"33",X"11",X"33",X"77",X"66",X"00",
- X"33",X"33",X"33",X"EE",X"CC",X"CC",X"CC",X"00",X"33",X"33",X"33",X"11",X"00",X"00",X"00",X"00",
- X"FF",X"77",X"EE",X"CC",X"88",X"00",X"FF",X"00",X"77",X"00",X"00",X"11",X"33",X"77",X"77",X"00",
- X"FF",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"FF",X"88",X"88",X"88",X"88",X"88",X"88",X"88",
- X"0F",X"0F",X"3F",X"BF",X"DB",X"FF",X"F6",X"FF",X"0F",X"0F",X"0F",X"0F",X"1F",X"1F",X"0F",X"3F",
- X"0F",X"EF",X"7F",X"96",X"F3",X"FF",X"F9",X"FF",X"0F",X"4F",X"FF",X"F3",X"FF",X"F7",X"FF",X"FF",
- X"0F",X"0F",X"0F",X"0F",X"87",X"8F",X"0F",X"4F",X"0F",X"0F",X"CF",X"87",X"FC",X"FF",X"BF",X"EF",
- X"FA",X"FB",X"FD",X"FF",X"B6",X"DB",X"EF",X"F6",X"7F",X"7E",X"3D",X"5F",X"7D",X"7D",X"3F",X"7E",
- X"F9",X"F7",X"FE",X"7F",X"FF",X"F9",X"EF",X"F7",X"F7",X"F7",X"9F",X"EF",X"FE",X"F5",X"7F",X"FF",
- X"EB",X"EB",X"CF",X"2F",X"EB",X"E7",X"EF",X"6F",X"FD",X"F3",X"FC",X"FF",X"F5",X"FB",X"EF",X"FB",
- X"7E",X"FF",X"FB",X"FD",X"7B",X"1F",X"0F",X"0F",X"3F",X"2F",X"1F",X"1F",X"0F",X"0F",X"0F",X"0F",
- X"F7",X"FF",X"FF",X"FF",X"FF",X"F9",X"EF",X"0F",X"CC",X"FF",X"FD",X"FD",X"17",X"E9",X"6F",X"0F",
- X"0F",X"CF",X"8F",X"8F",X"0F",X"0F",X"0F",X"0F",X"F7",X"FF",X"FA",X"F7",X"7F",X"0F",X"0F",X"0F",
- X"FF",X"5F",X"0F",X"8F",X"C3",X"F3",X"9F",X"3F",X"FF",X"FF",X"FF",X"CF",X"CF",X"CF",X"CF",X"FF",
- X"FF",X"EF",X"CF",X"CF",X"8F",X"FF",X"8F",X"8F",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
- X"FF",X"FF",X"3F",X"1F",X"1F",X"1F",X"1F",X"3F",X"FF",X"3F",X"2F",X"2F",X"2F",X"79",X"F8",X"78",
- X"7F",X"FF",X"FF",X"DF",X"1F",X"3F",X"3C",X"FC",X"FF",X"FF",X"FF",X"FF",X"EF",X"CF",X"CF",X"EF",
- X"0F",X"0F",X"DF",X"6F",X"2F",X"0F",X"3F",X"3F",X"FF",X"BF",X"1F",X"0F",X"1F",X"9F",X"EF",X"C7",
- X"5F",X"9F",X"1F",X"3F",X"FF",X"FF",X"FF",X"FF",X"78",X"F8",X"1E",X"1F",X"1F",X"3F",X"FF",X"FF",
- X"3C",X"3F",X"1F",X"1F",X"2F",X"6F",X"FF",X"FF",X"FF",X"EF",X"CF",X"CF",X"CF",X"EF",X"FF",X"FF",
- X"3F",X"7F",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"E7",X"97",X"0F",X"0F",X"0F",X"1F",X"3F",X"FF",
- X"FF",X"7F",X"7F",X"9F",X"9F",X"1F",X"3F",X"FF",X"FF",X"CF",X"CF",X"3C",X"3C",X"1F",X"EF",X"FF",
- X"EE",X"7F",X"1D",X"9F",X"97",X"9F",X"3F",X"7F",X"EC",X"CF",X"8F",X"3E",X"38",X"3E",X"8F",X"CF",
- X"DD",X"7F",X"3F",X"9F",X"95",X"9F",X"3F",X"7F",X"FF",X"CF",X"8F",X"3E",X"34",X"3E",X"8F",X"CF",
- X"1D",X"1F",X"C7",X"C3",X"C7",X"1F",X"3F",X"FF",X"CD",X"CF",X"17",X"9E",X"9B",X"CF",X"EF",X"FF",
- X"EF",X"3F",X"1F",X"C7",X"C3",X"C7",X"1F",X"3F",X"33",X"EF",X"CF",X"9F",X"9E",X"9F",X"CF",X"EB",
- X"8B",X"7F",X"3F",X"9F",X"97",X"9F",X"3F",X"6F",X"6E",X"CF",X"8F",X"3E",X"3C",X"3E",X"8F",X"4F",
- X"7F",X"3F",X"9F",X"97",X"9F",X"3F",X"7F",X"FF",X"E6",X"8F",X"3E",X"3C",X"3E",X"8F",X"CF",X"FF",
- X"3F",X"1F",X"C7",X"C3",X"C7",X"1F",X"3E",X"FF",X"EF",X"CF",X"9F",X"9E",X"9F",X"CF",X"AF",X"FF",
- X"FF",X"7F",X"3F",X"9F",X"97",X"9F",X"3F",X"7F",X"FF",X"CF",X"8F",X"3E",X"3C",X"3E",X"8F",X"CF",
- X"7F",X"3F",X"9F",X"97",X"9F",X"3F",X"5D",X"FF",X"CF",X"8F",X"3E",X"3C",X"3E",X"8F",X"0B",X"FF",
- X"FF",X"FE",X"FE",X"FF",X"EF",X"FE",X"FC",X"ED",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
- X"FF",X"FF",X"F7",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FA",X"F3",X"FF",X"FF",X"F7",X"F7",X"F7",
- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"77",X"F7",X"FF",X"DF",X"CF",X"F8",X"BC",X"E8",X"BC",X"3C",
- X"E9",X"DF",X"F8",X"F8",X"F8",X"78",X"78",X"78",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
- X"FF",X"FE",X"FE",X"FE",X"EF",X"D6",X"FF",X"FF",X"F3",X"B3",X"F1",X"B1",X"10",X"91",X"91",X"11",
- X"77",X"11",X"7F",X"77",X"31",X"75",X"FA",X"FF",X"78",X"E0",X"E3",X"E8",X"E0",X"E0",X"C0",X"EC",
- X"68",X"D2",X"F2",X"F6",X"FE",X"FE",X"FE",X"FF",X"FE",X"EF",X"FF",X"FC",X"FF",X"FF",X"FF",X"FF",
- X"FF",X"FF",X"F7",X"FB",X"FF",X"FE",X"FF",X"FF",X"31",X"90",X"B1",X"FC",X"77",X"77",X"77",X"FF",
- X"FF",X"FF",X"FF",X"FF",X"FF",X"F3",X"FF",X"FF",X"EC",X"EC",X"FF",X"FF",X"F2",X"F0",X"FF",X"79",
- X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",
- X"F0",X"F0",X"F0",X"F0",X"F0",X"F0",X"F0",X"F0",X"F0",X"F0",X"F0",X"F0",X"F0",X"F0",X"F0",X"F0",
- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"F0",X"F0",X"F0",X"F0",X"F0",X"F0",X"F0",X"F0",X"30",X"70",X"F0",X"F0",X"F0",X"F0",X"F0",X"F0",
- X"F0",X"F0",X"F0",X"F0",X"F0",X"F0",X"F0",X"F0",X"10",X"10",X"00",X"00",X"00",X"00",X"10",X"10",
- X"F0",X"F0",X"F0",X"F0",X"30",X"00",X"00",X"00",X"F0",X"F0",X"F0",X"F0",X"C0",X"00",X"00",X"00",
- X"F0",X"F0",X"F0",X"78",X"1E",X"78",X"F0",X"F0",X"F0",X"78",X"1E",X"0F",X"0F",X"0F",X"1E",X"78",
- X"F0",X"F0",X"E1",X"E1",X"F0",X"F0",X"F0",X"F0",X"F0",X"F0",X"F0",X"F0",X"F0",X"F0",X"F0",X"F0",
- X"F0",X"F0",X"F0",X"F0",X"F0",X"F0",X"F0",X"F0",X"78",X"78",X"3C",X"3C",X"F0",X"F0",X"F0",X"F0",
- X"F0",X"FC",X"F6",X"F0",X"FC",X"F6",X"F6",X"FC",X"F0",X"F3",X"F6",X"F6",X"F3",X"F0",X"F6",X"F3",
- X"F0",X"F0",X"F0",X"F0",X"F0",X"F2",X"FE",X"F0",X"F0",X"F6",X"F6",X"F6",X"F6",X"F6",X"F7",X"F0",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"FF",X"FF",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"F3",X"F7",X"EF",X"CF",X"CF",X"CF",X"CF",X"CF",
- X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"CF",X"CF",X"CF",X"CF",X"CF",X"CF",X"CF",X"CF",
- X"FF",X"FF",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"FF",X"FF",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",
- X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"CF",X"CF",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",
- X"F0",X"60",X"40",X"F0",X"70",X"70",X"C0",X"B3",X"F0",X"E0",X"F0",X"C0",X"83",X"C1",X"E0",X"D0",
- X"F0",X"F0",X"30",X"DC",X"9C",X"0E",X"0F",X"0F",X"F0",X"F0",X"C0",X"B3",X"33",X"67",X"67",X"67",
- X"F0",X"F0",X"F0",X"F0",X"D0",X"70",X"38",X"38",X"F0",X"F0",X"90",X"F0",X"B0",X"C0",X"41",X"60",
- X"67",X"67",X"67",X"67",X"47",X"47",X"00",X"0D",X"F0",X"F0",X"F0",X"C0",X"83",X"83",X"81",X"C1",
- X"0F",X"0C",X"1F",X"2F",X"2F",X"4F",X"4F",X"0F",X"09",X"0D",X"0D",X"0D",X"0D",X"09",X"0D",X"0E",
- X"70",X"F0",X"70",X"38",X"38",X"1C",X"18",X"1C",X"60",X"70",X"88",X"0F",X"0F",X"0B",X"0D",X"0D",
- X"0D",X"0D",X"0D",X"0D",X"07",X"83",X"C0",X"F0",X"83",X"83",X"C0",X"E0",X"F0",X"90",X"90",X"F0",
- X"0F",X"0F",X"0F",X"0E",X"0F",X"0E",X"10",X"F0",X"0E",X"08",X"0B",X"0B",X"0F",X"0E",X"10",X"F0",
- X"18",X"70",X"70",X"F0",X"F0",X"50",X"70",X"F0",X"0D",X"0F",X"00",X"70",X"60",X"C1",X"E0",X"F0",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"F0",X"E0",X"D0",X"D0",X"D0",X"D0",X"D0",X"60",X"F0",X"E0",X"C0",X"E0",X"E0",X"E0",X"E0",X"C0",
- X"F0",X"E0",X"50",X"50",X"D0",X"D0",X"D0",X"60",X"F0",X"C0",X"B0",X"B0",X"E0",X"D0",X"B0",X"80",
- X"F0",X"E0",X"50",X"50",X"D0",X"50",X"50",X"E0",X"F0",X"C0",X"B0",X"F0",X"E0",X"F0",X"B0",X"C0",
- X"F0",X"E0",X"D0",X"D0",X"D0",X"50",X"D0",X"E0",X"F0",X"A0",X"A0",X"A0",X"A0",X"80",X"E0",X"E0",
- X"F0",X"60",X"D0",X"D0",X"50",X"50",X"50",X"E0",X"F0",X"80",X"B0",X"80",X"F0",X"F0",X"B0",X"C0",
- X"F0",X"E0",X"50",X"D0",X"D0",X"50",X"50",X"E0",X"F0",X"C0",X"B0",X"B0",X"80",X"B0",X"B0",X"C0",
- X"F0",X"60",X"50",X"D0",X"D0",X"D0",X"D0",X"E0",X"F0",X"80",X"F0",X"E0",X"E0",X"D0",X"D0",X"D0",
- X"F0",X"E0",X"50",X"50",X"D0",X"50",X"50",X"E0",X"F0",X"C0",X"B0",X"B0",X"C0",X"B0",X"B0",X"C0",
- X"F0",X"E0",X"50",X"50",X"50",X"50",X"50",X"E0",X"F0",X"C0",X"B0",X"B0",X"C0",X"F0",X"B0",X"C0",
- X"00",X"10",X"B0",X"F0",X"B0",X"10",X"10",X"30",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"F0",X"30",X"D0",X"D0",X"D0",X"D0",X"D0",X"30",X"F0",X"70",X"A0",X"A0",X"A0",X"A0",X"A0",X"70",
- X"F0",X"F0",X"F0",X"F0",X"F0",X"F0",X"F0",X"F0",X"F0",X"90",X"60",X"60",X"60",X"60",X"60",X"90",
- X"F0",X"90",X"60",X"E0",X"D0",X"B0",X"00",X"F0",X"F0",X"F0",X"F0",X"50",X"B0",X"50",X"F0",X"F0",
- X"F0",X"00",X"D0",X"90",X"E0",X"60",X"90",X"F0",X"F0",X"F0",X"F0",X"50",X"B0",X"50",X"F0",X"F0",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"10",X"B0",X"F0",X"B0",X"10",X"10",X"30",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"80",X"D0",X"F0",X"D0",X"80",X"80",X"C0",
- X"B0",X"F0",X"90",X"00",X"00",X"00",X"00",X"00",X"10",X"10",X"10",X"00",X"00",X"00",X"00",X"00",
- X"80",X"80",X"80",X"00",X"00",X"00",X"00",X"00",X"D0",X"F0",X"90",X"00",X"00",X"00",X"00",X"00",
- X"F0",X"F0",X"E1",X"69",X"0F",X"0F",X"0F",X"0F",X"F0",X"F0",X"96",X"87",X"C3",X"C3",X"E1",X"E1",
- X"F0",X"C3",X"87",X"0F",X"0F",X"0F",X"0F",X"0F",X"B4",X"3C",X"3C",X"1E",X"0F",X"0F",X"0F",X"0F",
- X"F0",X"F0",X"96",X"1E",X"1E",X"3C",X"3C",X"3C",X"78",X"78",X"78",X"2D",X"0F",X"0F",X"0F",X"0F",
- X"8F",X"5F",X"5F",X"9F",X"5F",X"5F",X"9F",X"0F",X"F3",X"E3",X"E3",X"3F",X"A7",X"E3",X"F3",X"E1",
- X"8F",X"8F",X"CF",X"AF",X"9F",X"8F",X"8F",X"0F",X"CF",X"2F",X"2F",X"EF",X"2F",X"2F",X"2F",X"0F",
- X"F8",X"7C",X"78",X"FC",X"7C",X"5E",X"DE",X"1E",X"9F",X"AF",X"AF",X"AF",X"AF",X"AF",X"9F",X"0F",
- X"0F",X"0F",X"0F",X"1E",X"78",X"F0",X"F0",X"F0",X"E1",X"C3",X"C3",X"87",X"87",X"1E",X"78",X"F0",
- X"0F",X"0F",X"0F",X"69",X"78",X"F0",X"F0",X"F0",X"0F",X"0F",X"0F",X"0F",X"0F",X"87",X"96",X"D2",
- X"0F",X"0F",X"F0",X"F0",X"F0",X"F0",X"F0",X"F0",X"0F",X"0F",X"1E",X"1E",X"1E",X"96",X"D2",X"D2",
- X"F0",X"00",X"FF",X"FF",X"FF",X"FF",X"CC",X"BB",X"F0",X"E0",X"D1",X"B3",X"B3",X"B3",X"91",X"FF",
- X"F7",X"FF",X"77",X"BB",X"BB",X"BB",X"33",X"CC",X"F0",X"70",X"00",X"77",X"77",X"FF",X"33",X"DD",
- X"F0",X"70",X"B8",X"DC",X"DC",X"DC",X"DC",X"30",X"F8",X"EC",X"DD",X"DD",X"FF",X"FF",X"FF",X"FF",
- X"77",X"77",X"55",X"BB",X"33",X"BB",X"CC",X"66",X"FF",X"FF",X"FF",X"FF",X"44",X"D9",X"D1",X"C0",
- X"33",X"BB",X"BB",X"22",X"AA",X"DD",X"FF",X"FF",X"CC",X"FF",X"FF",X"EE",X"DD",X"DD",X"BB",X"77",
- X"CC",X"EE",X"EE",X"EE",X"FF",X"10",X"F0",X"F0",X"FF",X"FF",X"FF",X"33",X"DD",X"DD",X"EE",X"EE",
- X"DD",X"DD",X"EE",X"FF",X"EE",X"EE",X"00",X"F0",X"B3",X"B3",X"B3",X"77",X"77",X"91",X"E0",X"F0",
- X"EE",X"99",X"77",X"FF",X"FF",X"FF",X"FF",X"00",X"FF",X"FF",X"77",X"99",X"EE",X"DD",X"DD",X"30",
- X"70",X"B8",X"B8",X"DC",X"DC",X"30",X"F0",X"F0",X"CC",X"FF",X"FF",X"FF",X"FF",X"BB",X"88",X"70",
- X"0F",X"69",X"69",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",
- X"00",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"00",X"00",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"00",
- X"00",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"00",X"00",X"87",X"87",X"87",X"87",X"87",X"87",X"00",
- X"00",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"00",X"00",X"C3",X"C3",X"C3",X"C3",X"C3",X"C3",X"00",
- X"00",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"00",X"00",X"E3",X"E3",X"E3",X"E3",X"E3",X"E3",X"00",
- X"00",X"0F",X"0F",X"0F",X"0F",X"0F",X"0F",X"00",X"00",X"F3",X"F3",X"F3",X"F3",X"F3",X"F3",X"00",
- X"00",X"8F",X"8F",X"8F",X"8F",X"8F",X"8F",X"00",X"00",X"F3",X"F3",X"F3",X"F3",X"F3",X"F3",X"00",
- X"00",X"CF",X"CF",X"CF",X"CF",X"CF",X"CF",X"00",X"00",X"F3",X"F3",X"F3",X"F3",X"F3",X"F3",X"00",
- X"00",X"EF",X"EF",X"EF",X"EF",X"EF",X"EF",X"00",X"00",X"F3",X"F3",X"F3",X"F3",X"F3",X"F3",X"00",
- X"00",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"00",X"00",X"F3",X"F3",X"F3",X"F3",X"F3",X"F3",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"30",X"30",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"02",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"08",
- X"EE",X"00",X"CC",X"00",X"00",X"00",X"00",X"08",X"77",X"66",X"77",X"66",X"66",X"00",X"00",X"00",
- X"66",X"66",X"66",X"66",X"CC",X"00",X"00",X"00",X"66",X"66",X"66",X"66",X"33",X"00",X"00",X"02",
- X"EE",X"00",X"CC",X"00",X"EE",X"00",X"00",X"02",X"77",X"66",X"77",X"66",X"77",X"00",X"80",X"80",
- X"00",X"00",X"00",X"00",X"EE",X"00",X"00",X"08",X"66",X"66",X"66",X"66",X"77",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"02",
- X"00",X"00",X"00",X"00",X"00",X"00",X"60",X"60",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"08",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"CC",X"66",X"33",X"33",X"FF",X"33",X"33",X"00",X"11",X"33",X"66",X"66",X"66",X"33",X"11",X"00",
- X"FF",X"00",X"00",X"77",X"33",X"33",X"FF",X"00",X"11",X"33",X"66",X"66",X"77",X"66",X"66",X"00",
- X"33",X"33",X"33",X"77",X"EE",X"CC",X"88",X"00",X"33",X"66",X"66",X"66",X"66",X"66",X"33",X"00",
- X"EE",X"33",X"33",X"33",X"33",X"33",X"EE",X"00",X"66",X"66",X"66",X"77",X"33",X"11",X"00",X"00",
- X"FF",X"00",X"00",X"EE",X"00",X"00",X"FF",X"00",X"66",X"77",X"77",X"77",X"66",X"66",X"66",X"00",
- X"33",X"77",X"FF",X"FF",X"BB",X"33",X"33",X"00",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"00",
- X"EE",X"33",X"33",X"77",X"CC",X"EE",X"77",X"00",X"33",X"33",X"33",X"33",X"33",X"33",X"33",X"00",
- X"FF",X"00",X"00",X"EE",X"00",X"00",X"FF",X"00",X"77",X"66",X"66",X"66",X"77",X"66",X"66",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"88",X"88",X"88",X"00",X"00",X"00",X"08",X"00",X"33",X"33",X"33",X"00",X"00",X"01",X"03",
- X"03",X"8B",X"CF",X"8B",X"07",X"07",X"0F",X"1E",X"08",X"3B",X"7F",X"3B",X"0C",X"0C",X"0F",X"0F",
- X"08",X"00",X"EE",X"EE",X"EE",X"EE",X"EE",X"00",X"03",X"00",X"EE",X"EE",X"FF",X"EE",X"EE",X"00",
- X"3C",X"3C",X"3C",X"3C",X"2F",X"6F",X"6F",X"66",X"87",X"86",X"86",X"86",X"9F",X"CE",X"CE",X"CC",
- X"0C",X"0C",X"3B",X"7F",X"77",X"66",X"08",X"0C",X"00",X"00",X"00",X"00",X"01",X"00",X"66",X"FF",
- X"11",X"33",X"11",X"00",X"0F",X"0F",X"0F",X"16",X"CD",X"8B",X"8B",X"07",X"0F",X"0F",X"4B",X"E1",
- X"0C",X"0E",X"06",X"88",X"CC",X"EE",X"CC",X"88",X"EE",X"FF",X"45",X"01",X"00",X"11",X"11",X"00",
- X"1E",X"3C",X"3E",X"7E",X"DD",X"DD",X"BB",X"33",X"E1",X"C3",X"86",X"8E",X"BF",X"9D",X"33",X"11",
- X"CC",X"CC",X"8B",X"8F",X"0F",X"0E",X"19",X"77",X"00",X"33",X"77",X"FF",X"77",X"11",X"03",X"77",
- X"00",X"07",X"8F",X"8F",X"07",X"1E",X"3C",X"F8",X"11",X"33",X"3B",X"0C",X"0F",X"87",X"C3",X"87",
- X"77",X"22",X"00",X"00",X"00",X"00",X"00",X"00",X"FF",X"CC",X"33",X"77",X"66",X"00",X"00",X"00",
- X"F0",X"ED",X"8F",X"0F",X"2E",X"33",X"77",X"33",X"87",X"0F",X"0F",X"07",X"88",X"CC",X"88",X"00",
- X"00",X"00",X"EE",X"EE",X"EE",X"44",X"0F",X"0F",X"77",X"77",X"77",X"11",X"07",X"EF",X"FF",X"07",
- X"CC",X"CC",X"CD",X"01",X"0F",X"0F",X"F0",X"F0",X"00",X"00",X"08",X"0C",X"0C",X"0F",X"0F",X"87",
- X"0F",X"44",X"EE",X"EE",X"EE",X"00",X"00",X"00",X"FF",X"EF",X"07",X"11",X"77",X"77",X"77",X"00",
- X"F0",X"0F",X"0F",X"01",X"CD",X"CC",X"CC",X"00",X"0F",X"0F",X"0C",X"0C",X"08",X"00",X"00",X"00");
-begin
-process(clk)
-begin
- if rising_edge(clk) then
- data <= rom_data(to_integer(unsigned(addr)));
- end if;
-end process;
-end architecture;
diff --git a/Arcade_MiST/Namco Rally-X Hardware/RallyX_MiST/rtl/roms/nrx_nprg_rom.vhd b/Arcade_MiST/Namco Rally-X Hardware/RallyX_MiST/rtl/roms/nrx_nprg_rom.vhd
deleted file mode 100644
index 38f1aefd..00000000
--- a/Arcade_MiST/Namco Rally-X Hardware/RallyX_MiST/rtl/roms/nrx_nprg_rom.vhd
+++ /dev/null
@@ -1,1046 +0,0 @@
-library ieee;
-use ieee.std_logic_1164.all,ieee.numeric_std.all;
-
-entity nrx_nprg_rom is
-port (
- clk : in std_logic;
- addr : in std_logic_vector(13 downto 0);
- data : out std_logic_vector(7 downto 0)
-);
-end entity;
-
-architecture prom of nrx_nprg_rom is
- type rom is array(0 to 16383) of std_logic_vector(7 downto 0);
- signal rom_data: rom := (
- X"C3",X"00",X"38",X"31",X"00",X"84",X"18",X"32",X"C3",X"80",X"25",X"00",X"00",X"00",X"00",X"00",
- X"D6",X"03",X"D0",X"DD",X"36",X"CA",X"64",X"3C",X"C8",X"DD",X"36",X"AA",X"64",X"DD",X"36",X"88",
- X"0F",X"AF",X"C9",X"98",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"C3",X"F0",X"01",X"00",X"00",X"00",X"00",X"00",X"18",X"C6",X"ED",X"46",X"FB",X"3E",X"F7",X"D3",
- X"00",X"21",X"00",X"80",X"11",X"01",X"80",X"01",X"00",X"08",X"36",X"00",X"ED",X"B0",X"E5",X"0E",
- X"08",X"36",X"60",X"ED",X"B0",X"0E",X"18",X"36",X"00",X"ED",X"B0",X"EB",X"E1",X"01",X"E0",X"07",
- X"ED",X"B0",X"C3",X"F7",X"04",X"00",X"C3",X"00",X"00",X"32",X"80",X"A0",X"FD",X"26",X"01",X"2A",
- X"69",X"80",X"ED",X"4B",X"50",X"80",X"3A",X"6B",X"80",X"A7",X"28",X"27",X"3A",X"48",X"80",X"CB",
- X"47",X"C2",X"CE",X"00",X"ED",X"5B",X"4C",X"80",X"19",X"22",X"4C",X"80",X"7A",X"94",X"21",X"73",
- X"80",X"CD",X"3E",X"0F",X"81",X"32",X"50",X"80",X"FD",X"7C",X"A7",X"28",X"31",X"2A",X"5A",X"80",
- X"FD",X"26",X"00",X"3A",X"48",X"80",X"CB",X"4F",X"C2",X"CE",X"00",X"ED",X"5B",X"4E",X"80",X"19",
- X"22",X"4E",X"80",X"7A",X"94",X"21",X"75",X"80",X"CD",X"3E",X"0F",X"ED",X"44",X"80",X"32",X"51",
- X"80",X"FD",X"7C",X"A7",X"28",X"08",X"FD",X"26",X"00",X"2A",X"5A",X"80",X"18",X"B6",X"DD",X"21",
- X"68",X"80",X"3A",X"4E",X"82",X"3C",X"47",X"FD",X"2E",X"01",X"CD",X"E0",X"00",X"C3",X"78",X"01",
- X"DD",X"7E",X"00",X"A7",X"28",X"0D",X"DD",X"7E",X"15",X"A7",X"C2",X"85",X"01",X"DD",X"34",X"00",
- X"C3",X"5A",X"01",X"DD",X"66",X"02",X"DD",X"6E",X"01",X"DD",X"7E",X"03",X"DD",X"E5",X"DD",X"23",
- X"4F",X"A7",X"20",X"04",X"DD",X"23",X"DD",X"23",X"DD",X"56",X"04",X"DD",X"5E",X"03",X"19",X"DD",
- X"75",X"03",X"DD",X"74",X"04",X"7C",X"C6",X"18",X"6F",X"3D",X"FA",X"51",X"01",X"D6",X"2F",X"6F",
- X"FA",X"2D",X"01",X"79",X"A1",X"20",X"2D",X"DD",X"35",X"07",X"DD",X"75",X"04",X"7C",X"92",X"CB",
- X"7F",X"28",X"03",X"DD",X"34",X"0A",X"DD",X"86",X"0B",X"DD",X"77",X"0B",X"30",X"03",X"DD",X"34",
- X"0A",X"DD",X"E1",X"FD",X"2D",X"20",X"13",X"DD",X"7E",X"03",X"2F",X"2A",X"5A",X"80",X"C3",X"FC",
- X"00",X"A1",X"20",X"D3",X"DD",X"34",X"07",X"C3",X"2A",X"01",X"DD",X"7E",X"08",X"87",X"87",X"87",
- X"DD",X"56",X"0A",X"CB",X"3A",X"1F",X"CB",X"3A",X"1F",X"CB",X"3A",X"1F",X"DD",X"77",X"11",X"7A",
- X"E6",X"07",X"F6",X"98",X"DD",X"77",X"12",X"C9",X"11",X"20",X"00",X"DD",X"19",X"FD",X"2E",X"00",
- X"05",X"C2",X"DA",X"00",X"C9",X"DD",X"7E",X"0F",X"21",X"3A",X"25",X"BE",X"23",X"20",X"FC",X"DD",
- X"7E",X"00",X"E6",X"03",X"20",X"04",X"7E",X"DD",X"77",X"0F",X"DD",X"35",X"00",X"C2",X"5A",X"01",
- X"DD",X"36",X"15",X"00",X"C5",X"DD",X"46",X"0C",X"DD",X"4E",X"0E",X"C5",X"CD",X"31",X"10",X"C1",
- X"7E",X"D6",X"BD",X"28",X"14",X"FE",X"09",X"30",X"33",X"FE",X"03",X"38",X"06",X"79",X"C6",X"08",
- X"4F",X"18",X"E8",X"78",X"D6",X"08",X"47",X"18",X"E2",X"06",X"03",X"54",X"5D",X"CB",X"DC",X"0E",
- X"03",X"E5",X"D5",X"1A",X"D6",X"BD",X"FE",X"09",X"30",X"05",X"3E",X"81",X"12",X"36",X"15",X"CD",
- X"0F",X"10",X"0D",X"20",X"EE",X"D1",X"E1",X"CD",X"1E",X"10",X"10",X"E3",X"C1",X"C3",X"5A",X"01",
- X"E5",X"D5",X"C5",X"F5",X"DD",X"E5",X"FD",X"E5",X"AF",X"32",X"81",X"A1",X"32",X"80",X"A0",X"CD",
- X"B3",X"16",X"CD",X"3B",X"17",X"3A",X"20",X"80",X"A7",X"28",X"05",X"FE",X"02",X"C2",X"C3",X"03",
- X"3A",X"4D",X"80",X"32",X"30",X"A1",X"3A",X"4F",X"80",X"ED",X"44",X"32",X"40",X"A1",X"DD",X"21",
- X"14",X"88",X"21",X"15",X"80",X"FD",X"21",X"02",X"80",X"06",X"06",X"FD",X"E5",X"FD",X"5E",X"00",
- X"FD",X"56",X"01",X"7A",X"B3",X"28",X"6E",X"D5",X"FD",X"E1",X"D5",X"CD",X"A0",X"04",X"FD",X"7E",
- X"00",X"0F",X"30",X"07",X"DD",X"CB",X"01",X"FE",X"C3",X"4F",X"02",X"DD",X"CB",X"01",X"BE",X"FD",
- X"7E",X"01",X"77",X"FD",X"7E",X"03",X"DD",X"77",X"00",X"FD",X"7E",X"04",X"2B",X"77",X"23",X"FD",
- X"7E",X"05",X"E6",X"7F",X"57",X"DD",X"7E",X"01",X"E6",X"80",X"B2",X"DD",X"77",X"01",X"78",X"FD",
- X"E1",X"FE",X"06",X"28",X"30",X"ED",X"5B",X"52",X"80",X"3A",X"55",X"80",X"0F",X"E6",X"01",X"ED",
- X"44",X"FD",X"86",X"FF",X"92",X"FE",X"0B",X"30",X"2E",X"57",X"3A",X"54",X"80",X"0F",X"E6",X"01",
- X"ED",X"44",X"FD",X"86",X"FD",X"93",X"FE",X"0B",X"30",X"1D",X"FE",X"0A",X"CC",X"C7",X"02",X"7A",
- X"FE",X"0A",X"CC",X"D8",X"02",X"FD",X"E1",X"FD",X"23",X"FD",X"23",X"DD",X"23",X"DD",X"23",X"23",
- X"23",X"05",X"C2",X"2B",X"02",X"18",X"34",X"FD",X"E1",X"AF",X"FD",X"77",X"00",X"FD",X"77",X"01",
- X"2B",X"3E",X"EC",X"77",X"23",X"18",X"E0",X"3A",X"54",X"80",X"A7",X"28",X"04",X"3D",X"C8",X"18",
- X"16",X"FD",X"CB",X"FA",X"7E",X"C0",X"18",X"0F",X"3A",X"55",X"80",X"A7",X"28",X"04",X"3D",X"C8",
- X"18",X"05",X"FD",X"CB",X"FC",X"7E",X"C8",X"F1",X"C3",X"B7",X"02",X"CD",X"69",X"00",X"CD",X"64",
- X"0F",X"CD",X"CC",X"1E",X"DD",X"21",X"68",X"80",X"06",X"09",X"21",X"94",X"82",X"11",X"34",X"80",
- X"3A",X"6F",X"82",X"E6",X"0F",X"20",X"04",X"36",X"0E",X"18",X"06",X"E6",X"07",X"20",X"02",X"36",
- X"08",X"3A",X"A8",X"81",X"A7",X"20",X"39",X"DD",X"7E",X"08",X"87",X"C6",X"E0",X"CB",X"86",X"38",
- X"02",X"CB",X"C6",X"12",X"DD",X"7E",X"0A",X"D6",X"64",X"28",X"07",X"C6",X"64",X"87",X"ED",X"44",
- X"C6",X"9D",X"CB",X"DA",X"12",X"78",X"23",X"CB",X"9A",X"13",X"01",X"20",X"00",X"DD",X"09",X"47",
- X"10",X"CF",X"21",X"94",X"82",X"11",X"04",X"A0",X"01",X"09",X"00",X"ED",X"B0",X"C3",X"6D",X"03",
- X"DD",X"7E",X"08",X"87",X"ED",X"44",X"C6",X"41",X"CB",X"C6",X"12",X"DD",X"7E",X"0A",X"D6",X"64",
- X"28",X"05",X"C6",X"64",X"87",X"C6",X"5F",X"CB",X"DA",X"12",X"C3",X"35",X"03",X"06",X"05",X"3A",
- X"B3",X"82",X"A7",X"20",X"4E",X"DD",X"21",X"68",X"80",X"FD",X"21",X"04",X"80",X"21",X"4C",X"82",
- X"7E",X"35",X"FE",X"32",X"30",X"3D",X"A7",X"20",X"3A",X"77",X"FD",X"66",X"01",X"FD",X"6E",X"00",
- X"7D",X"B4",X"28",X"29",X"7E",X"CB",X"47",X"20",X"24",X"DD",X"7E",X"0C",X"23",X"96",X"30",X"02",
- X"ED",X"44",X"FE",X"0B",X"30",X"17",X"DD",X"7E",X"0E",X"23",X"23",X"96",X"30",X"02",X"ED",X"44",
- X"FE",X"0B",X"30",X"09",X"3E",X"01",X"32",X"81",X"A1",X"FB",X"C3",X"C1",X"18",X"FD",X"23",X"FD",
- X"23",X"10",X"C7",X"3A",X"4B",X"82",X"3C",X"32",X"4B",X"82",X"CD",X"24",X"0F",X"3A",X"6F",X"82",
- X"3C",X"32",X"6F",X"82",X"E6",X"1F",X"CC",X"8E",X"1F",X"FE",X"0E",X"CC",X"9C",X"1F",X"C6",X"08",
- X"E6",X"0F",X"CC",X"D7",X"04",X"3A",X"4D",X"82",X"3C",X"32",X"4D",X"82",X"E6",X"3F",X"CC",X"82",
- X"04",X"E6",X"07",X"CC",X"72",X"04",X"3A",X"69",X"82",X"3C",X"32",X"69",X"82",X"2A",X"9A",X"89",
- X"3A",X"8C",X"82",X"A7",X"20",X"03",X"3A",X"88",X"82",X"3D",X"32",X"8C",X"82",X"20",X"2F",X"34",
- X"7E",X"21",X"8A",X"82",X"36",X"04",X"FE",X"28",X"38",X"17",X"36",X"03",X"28",X"10",X"FE",X"50",
- X"38",X"0F",X"36",X"02",X"28",X"08",X"FE",X"C8",X"38",X"07",X"36",X"01",X"20",X"03",X"CD",X"1F",
- X"1B",X"3A",X"69",X"82",X"47",X"3A",X"6E",X"82",X"B8",X"30",X"03",X"32",X"69",X"82",X"00",X"21",
- X"F4",X"89",X"3A",X"21",X"80",X"A7",X"20",X"03",X"77",X"23",X"77",X"CD",X"80",X"25",X"3A",X"24",
- X"80",X"FE",X"09",X"3E",X"00",X"30",X"01",X"3C",X"32",X"86",X"A1",X"3A",X"00",X"A1",X"E6",X"01",
- X"CA",X"00",X"38",X"3E",X"01",X"32",X"81",X"A1",X"FD",X"E1",X"DD",X"E1",X"F1",X"C1",X"D1",X"E1",
- X"FB",X"C9",X"2A",X"2D",X"80",X"ED",X"5B",X"69",X"80",X"19",X"CB",X"2C",X"CB",X"1D",X"22",X"69",
- X"80",X"C9",X"47",X"3A",X"92",X"82",X"A7",X"78",X"C8",X"2A",X"27",X"80",X"11",X"E0",X"FF",X"19",
- X"7C",X"A7",X"20",X"07",X"3A",X"2B",X"80",X"BD",X"38",X"01",X"6F",X"22",X"27",X"80",X"78",X"C9",
- X"3A",X"A8",X"81",X"A7",X"C8",X"E5",X"FD",X"56",X"00",X"FD",X"5E",X"01",X"21",X"14",X"01",X"A7",
- X"ED",X"52",X"FD",X"7E",X"03",X"ED",X"44",X"D6",X"10",X"57",X"FD",X"7E",X"04",X"EE",X"03",X"FD",
- X"5E",X"05",X"FD",X"21",X"88",X"81",X"FD",X"74",X"00",X"FD",X"75",X"01",X"FD",X"72",X"03",X"FD",
- X"77",X"04",X"FD",X"73",X"05",X"E1",X"C9",X"3A",X"20",X"80",X"06",X"04",X"2A",X"98",X"89",X"FE",
- X"02",X"C0",X"7E",X"FE",X"66",X"3E",X"67",X"28",X"02",X"3E",X"66",X"77",X"23",X"10",X"FC",X"C9",
- X"06",X"04",X"2A",X"98",X"89",X"18",X"EB",X"21",X"2F",X"22",X"11",X"40",X"80",X"0E",X"66",X"CD",
- X"AF",X"1E",X"CD",X"8A",X"1D",X"3A",X"00",X"A1",X"47",X"21",X"AA",X"82",X"E6",X"C0",X"28",X"0E",
- X"34",X"CB",X"7F",X"28",X"09",X"23",X"34",X"CB",X"77",X"20",X"03",X"34",X"2B",X"34",X"78",X"0F",
- X"0F",X"0F",X"E6",X"01",X"C6",X"02",X"3C",X"32",X"0E",X"80",X"21",X"45",X"23",X"3E",X"15",X"11",
- X"C5",X"24",X"22",X"D2",X"82",X"32",X"D8",X"82",X"21",X"60",X"80",X"0E",X"70",X"EB",X"CD",X"AF",
- X"1E",X"3A",X"0E",X"80",X"3D",X"87",X"87",X"87",X"4F",X"78",X"E6",X"06",X"B1",X"21",X"85",X"24",
- X"85",X"6F",X"30",X"01",X"24",X"5E",X"23",X"56",X"ED",X"53",X"B3",X"81",X"11",X"1F",X"00",X"19",
- X"5E",X"23",X"56",X"ED",X"53",X"B9",X"81",X"3A",X"80",X"A0",X"F6",X"FE",X"2F",X"32",X"A9",X"81",
- X"CD",X"B3",X"16",X"3A",X"A9",X"81",X"32",X"83",X"A1",X"32",X"A8",X"81",X"CD",X"8A",X"1D",X"3A",
- X"24",X"80",X"A7",X"C2",X"5A",X"07",X"32",X"21",X"80",X"3C",X"32",X"81",X"A1",X"32",X"20",X"80",
- X"3E",X"F7",X"D3",X"00",X"3E",X"49",X"CD",X"E5",X"20",X"21",X"A0",X"85",X"06",X"07",X"36",X"81",
- X"23",X"10",X"FB",X"21",X"A0",X"85",X"11",X"C0",X"85",X"01",X"60",X"01",X"ED",X"B0",X"21",X"A0",
- X"8D",X"06",X"07",X"36",X"56",X"23",X"10",X"FB",X"21",X"A0",X"8D",X"11",X"C0",X"8D",X"01",X"C0",
- X"00",X"ED",X"B0",X"06",X"07",X"36",X"55",X"23",X"10",X"FB",X"21",X"60",X"8E",X"01",X"60",X"00",
- X"ED",X"B0",X"06",X"07",X"36",X"45",X"23",X"10",X"FB",X"21",X"C0",X"8E",X"01",X"40",X"00",X"ED",
- X"B0",X"21",X"40",X"8C",X"11",X"41",X"8C",X"01",X"7F",X"00",X"36",X"4A",X"ED",X"B0",X"21",X"40",
- X"8F",X"11",X"41",X"8F",X"01",X"BF",X"00",X"36",X"47",X"ED",X"B0",X"FB",X"21",X"C8",X"22",X"11",
- X"88",X"84",X"CD",X"19",X"07",X"11",X"CA",X"84",X"CD",X"19",X"07",X"DD",X"21",X"14",X"80",X"FD",
- X"21",X"14",X"88",X"DD",X"36",X"00",X"F3",X"DD",X"36",X"01",X"F4",X"FD",X"36",X"00",X"44",X"FD",
- X"36",X"01",X"01",X"3A",X"A8",X"81",X"A7",X"CC",X"DC",X"06",X"11",X"27",X"85",X"CD",X"19",X"07",
- X"DD",X"36",X"02",X"F3",X"DD",X"36",X"03",X"F4",X"FD",X"36",X"02",X"56",X"FD",X"36",X"03",X"02",
- X"3A",X"A8",X"81",X"A7",X"CC",X"E9",X"06",X"11",X"67",X"85",X"CD",X"19",X"07",X"DD",X"21",X"A4",
- X"85",X"DD",X"36",X"00",X"87",X"DD",X"36",X"1F",X"88",X"DD",X"36",X"20",X"89",X"11",X"A7",X"85",
- X"CD",X"19",X"07",X"DD",X"36",X"3F",X"8A",X"DD",X"36",X"40",X"87",X"DD",X"36",X"5F",X"88",X"DD",
- X"36",X"60",X"89",X"11",X"E7",X"85",X"CD",X"19",X"07",X"DD",X"21",X"23",X"86",X"DD",X"36",X"00",
- X"8B",X"DD",X"36",X"01",X"87",X"DD",X"36",X"20",X"88",X"DD",X"36",X"21",X"89",X"11",X"27",X"86",
- X"CD",X"19",X"07",X"E5",X"3E",X"94",X"21",X"63",X"86",X"CD",X"2C",X"07",X"E1",X"11",X"87",X"86",
- X"CD",X"19",X"07",X"E5",X"21",X"C3",X"86",X"3E",X"BD",X"CD",X"2C",X"07",X"E1",X"11",X"E7",X"86",
- X"CD",X"19",X"07",X"11",X"6B",X"87",X"CD",X"19",X"07",X"3E",X"01",X"32",X"4B",X"82",X"3A",X"4B",
- X"82",X"E6",X"3F",X"20",X"F9",X"3E",X"01",X"32",X"0F",X"80",X"32",X"B0",X"81",X"21",X"3F",X"E0",
- X"22",X"F2",X"82",X"21",X"AB",X"81",X"36",X"03",X"AF",X"C3",X"5E",X"08",X"DD",X"36",X"00",X"F0",
- X"DD",X"36",X"01",X"1E",X"FD",X"36",X"00",X"AE",X"C9",X"DD",X"36",X"02",X"F0",X"DD",X"36",X"03",
- X"1E",X"FD",X"36",X"02",X"9C",X"C9",X"DD",X"36",X"00",X"F0",X"DD",X"36",X"01",X"58",X"FD",X"36",
- X"00",X"64",X"C9",X"06",X"00",X"FE",X"0A",X"38",X"05",X"04",X"D6",X"0A",X"18",X"F7",X"4F",X"78",
- X"A7",X"28",X"02",X"12",X"13",X"79",X"12",X"13",X"C9",X"76",X"76",X"76",X"7E",X"23",X"FE",X"20",
- X"28",X"05",X"12",X"13",X"C3",X"19",X"07",X"76",X"3D",X"20",X"FC",X"C9",X"0E",X"03",X"11",X"1D",
- X"00",X"06",X"03",X"77",X"3C",X"23",X"10",X"FB",X"19",X"0D",X"20",X"F5",X"C9",X"21",X"4B",X"82",
- X"36",X"88",X"7E",X"A7",X"20",X"FC",X"21",X"14",X"80",X"11",X"02",X"80",X"06",X"0C",X"AF",X"0E",
- X"EC",X"71",X"23",X"12",X"13",X"10",X"FA",X"C3",X"73",X"05",X"31",X"00",X"84",X"3E",X"02",X"32",
- X"21",X"80",X"3D",X"32",X"20",X"80",X"32",X"81",X"A1",X"FB",X"32",X"B0",X"81",X"32",X"B1",X"81",
- X"21",X"0E",X"80",X"7E",X"23",X"77",X"23",X"77",X"3E",X"66",X"CD",X"E5",X"20",X"21",X"93",X"21",
- X"11",X"66",X"85",X"CD",X"3D",X"1E",X"E5",X"2A",X"B3",X"81",X"7E",X"E1",X"FE",X"49",X"28",X"67",
- X"3A",X"0E",X"80",X"FE",X"03",X"28",X"20",X"11",X"E3",X"85",X"CD",X"3D",X"1E",X"2A",X"B3",X"81",
- X"01",X"07",X"00",X"09",X"11",X"F1",X"85",X"0E",X"01",X"CD",X"3F",X"1E",X"2A",X"B3",X"81",X"0E",
- X"04",X"CD",X"3F",X"1E",X"C3",X"F7",X"07",X"11",X"C2",X"85",X"21",X"F9",X"21",X"CD",X"3D",X"1E",
- X"2A",X"B3",X"81",X"01",X"07",X"00",X"09",X"11",X"D1",X"85",X"0E",X"01",X"CD",X"3F",X"1E",X"2A",
- X"B3",X"81",X"0E",X"04",X"CD",X"3F",X"1E",X"11",X"02",X"86",X"21",X"14",X"22",X"CD",X"3D",X"1E",
- X"2A",X"B9",X"81",X"01",X"06",X"00",X"09",X"11",X"10",X"86",X"0E",X"02",X"CD",X"3F",X"1E",X"2A",
- X"B9",X"81",X"0E",X"04",X"CD",X"3F",X"1E",X"21",X"BD",X"21",X"11",X"6A",X"86",X"CD",X"3D",X"1E",
- X"3A",X"AA",X"82",X"A7",X"C4",X"35",X"21",X"11",X"25",X"87",X"21",X"D1",X"21",X"CD",X"29",X"1D",
- X"11",X"65",X"87",X"21",X"E5",X"21",X"CD",X"29",X"1D",X"3A",X"4B",X"82",X"E6",X"1F",X"CC",X"44",
- X"1E",X"E6",X"0F",X"CC",X"4E",X"1E",X"3A",X"00",X"A0",X"E6",X"40",X"28",X"18",X"3A",X"80",X"A0",
- X"E6",X"40",X"20",X"E5",X"3A",X"24",X"80",X"D6",X"02",X"38",X"DE",X"32",X"24",X"80",X"21",X"AB",
- X"81",X"36",X"03",X"18",X"09",X"21",X"24",X"80",X"35",X"21",X"AB",X"81",X"36",X"01",X"AF",X"E5",
- X"21",X"14",X"80",X"11",X"15",X"80",X"01",X"0B",X"00",X"36",X"EC",X"ED",X"B0",X"E1",X"32",X"81",
- X"A1",X"32",X"80",X"A0",X"3E",X"F7",X"D3",X"00",X"FB",X"3E",X"80",X"32",X"F5",X"89",X"CD",X"33",
- X"19",X"CD",X"4E",X"1E",X"21",X"3F",X"22",X"11",X"80",X"80",X"0E",X"66",X"CD",X"AF",X"1E",X"0E",
- X"72",X"21",X"37",X"22",X"CD",X"BA",X"0C",X"CD",X"AF",X"1E",X"3A",X"AB",X"81",X"47",X"0E",X"66",
- X"FE",X"01",X"21",X"5F",X"22",X"28",X"03",X"21",X"47",X"22",X"CD",X"BA",X"0C",X"CD",X"AF",X"1E",
- X"0E",X"72",X"21",X"37",X"22",X"10",X"03",X"21",X"5F",X"22",X"CD",X"BA",X"0C",X"CD",X"AF",X"1E",
- X"21",X"5F",X"22",X"CD",X"AF",X"1E",X"AF",X"32",X"B2",X"81",X"3E",X"01",X"32",X"B7",X"81",X"2A",
- X"8A",X"89",X"34",X"AF",X"2A",X"8C",X"89",X"77",X"32",X"92",X"82",X"CD",X"1F",X"1B",X"CD",X"43",
- X"13",X"CD",X"79",X"13",X"3E",X"03",X"32",X"81",X"A1",X"FB",X"32",X"20",X"80",X"32",X"4B",X"82",
- X"3A",X"4B",X"82",X"E6",X"3F",X"20",X"F9",X"AF",X"32",X"92",X"82",X"32",X"B4",X"82",X"21",X"90",
- X"82",X"77",X"23",X"77",X"2A",X"9C",X"89",X"77",X"2A",X"9A",X"89",X"77",X"2A",X"8E",X"89",X"77",
- X"23",X"36",X"3C",X"21",X"8A",X"82",X"36",X"04",X"CD",X"8A",X"1D",X"CD",X"1F",X"1B",X"21",X"00",
- X"00",X"11",X"00",X"98",X"0E",X"38",X"06",X"20",X"CD",X"B3",X"14",X"12",X"13",X"24",X"10",X"F8",
- X"32",X"80",X"A0",X"60",X"2C",X"0D",X"20",X"EE",X"2A",X"92",X"89",X"06",X"09",X"3E",X"01",X"5E",
- X"23",X"56",X"23",X"12",X"10",X"F9",X"3E",X"04",X"12",X"5E",X"23",X"56",X"3E",X"02",X"12",X"3A",
- X"51",X"82",X"A7",X"28",X"0D",X"47",X"3E",X"03",X"2A",X"96",X"89",X"5E",X"23",X"56",X"23",X"12",
- X"10",X"F9",X"21",X"4F",X"22",X"11",X"20",X"81",X"0E",X"6B",X"CD",X"AF",X"1E",X"11",X"40",X"81",
- X"0E",X"66",X"06",X"02",X"21",X"5F",X"22",X"CD",X"AF",X"1E",X"10",X"F8",X"06",X"0E",X"0E",X"63",
- X"21",X"67",X"22",X"CD",X"AF",X"1E",X"10",X"F8",X"3A",X"B7",X"81",X"A7",X"C4",X"0F",X"0B",X"11",
- X"80",X"83",X"0E",X"66",X"21",X"57",X"22",X"3A",X"83",X"83",X"A7",X"28",X"06",X"3A",X"21",X"80",
- X"A7",X"28",X"1D",X"CD",X"AF",X"1E",X"2A",X"8A",X"89",X"7E",X"3D",X"21",X"83",X"83",X"06",X"00",
- X"FE",X"0A",X"38",X"05",X"04",X"D6",X"0A",X"18",X"F7",X"77",X"78",X"2B",X"A7",X"28",X"01",X"77",
- X"3A",X"A8",X"81",X"32",X"83",X"A1",X"CD",X"6F",X"14",X"CD",X"7C",X"1F",X"AF",X"32",X"D0",X"82",
- X"21",X"14",X"80",X"06",X"0C",X"36",X"EC",X"23",X"10",X"FB",X"2A",X"8A",X"89",X"7E",X"E6",X"03",
- X"C2",X"92",X"0A",X"21",X"F5",X"89",X"36",X"40",X"3E",X"49",X"CD",X"E5",X"20",X"21",X"40",X"8C",
- X"11",X"41",X"8C",X"01",X"DF",X"00",X"36",X"4A",X"ED",X"B0",X"3A",X"A8",X"81",X"32",X"83",X"A1",
- X"21",X"7F",X"22",X"11",X"E4",X"84",X"CD",X"19",X"07",X"11",X"4B",X"85",X"CD",X"19",X"07",X"E5",
- X"2A",X"8A",X"89",X"7E",X"0F",X"0F",X"E6",X"3F",X"CD",X"03",X"07",X"3E",X"01",X"32",X"20",X"80",
- X"3E",X"94",X"21",X"AA",X"85",X"CD",X"2C",X"07",X"21",X"AA",X"8D",X"36",X"55",X"2C",X"36",X"55",
- X"2C",X"36",X"55",X"11",X"1E",X"00",X"19",X"36",X"55",X"2C",X"36",X"55",X"2C",X"36",X"55",X"19",
- X"36",X"55",X"2C",X"36",X"55",X"2C",X"36",X"55",X"E1",X"11",X"CE",X"85",X"CD",X"19",X"07",X"3A",
- X"51",X"82",X"CD",X"03",X"07",X"DD",X"21",X"14",X"80",X"FD",X"21",X"14",X"88",X"DD",X"36",X"00",
- X"F3",X"DD",X"36",X"01",X"BA",X"FD",X"36",X"00",X"8C",X"FD",X"36",X"01",X"02",X"3A",X"A8",X"81",
- X"A7",X"CC",X"F6",X"06",X"11",X"4E",X"86",X"CD",X"19",X"07",X"3A",X"4E",X"82",X"CD",X"03",X"07",
- X"11",X"A4",X"86",X"CD",X"19",X"07",X"11",X"E3",X"86",X"CD",X"19",X"07",X"3E",X"03",X"32",X"20",
- X"80",X"32",X"81",X"A1",X"FB",X"06",X"40",X"76",X"76",X"10",X"FD",X"21",X"F5",X"89",X"CB",X"46",
- X"28",X"FC",X"CD",X"6C",X"0C",X"21",X"0A",X"2D",X"22",X"52",X"80",X"3E",X"03",X"32",X"20",X"80",
- X"32",X"81",X"A1",X"FB",X"AF",X"32",X"40",X"A1",X"32",X"30",X"A1",X"CD",X"E3",X"12",X"21",X"F5",
- X"89",X"CB",X"46",X"28",X"FC",X"06",X"05",X"11",X"20",X"00",X"0E",X"0D",X"2A",X"27",X"80",X"22",
- X"2D",X"80",X"2A",X"25",X"80",X"AF",X"DD",X"19",X"DD",X"36",X"00",X"88",X"DD",X"77",X"03",X"DD",
- X"77",X"04",X"DD",X"77",X"05",X"DD",X"77",X"06",X"DD",X"77",X"07",X"DD",X"77",X"13",X"DD",X"77",
- X"15",X"DD",X"71",X"08",X"0C",X"0C",X"DD",X"36",X"0A",X"34",X"DD",X"36",X"0F",X"F0",X"DD",X"36",
- X"10",X"02",X"DD",X"75",X"01",X"DD",X"74",X"02",X"10",X"CC",X"3A",X"4E",X"82",X"D7",X"00",X"20",
- X"05",X"DD",X"36",X"EA",X"64",X"3C",X"3D",X"20",X"5A",X"DD",X"36",X"0A",X"64",X"18",X"58",X"D5",
- X"AF",X"32",X"B7",X"81",X"11",X"40",X"83",X"06",X"02",X"0E",X"63",X"21",X"5F",X"22",X"CD",X"AF",
- X"1E",X"10",X"F8",X"D1",X"DD",X"21",X"44",X"83",X"2A",X"88",X"89",X"4E",X"0C",X"18",X"17",X"DD",
- X"21",X"44",X"83",X"11",X"40",X"83",X"06",X"02",X"0E",X"63",X"21",X"5F",X"22",X"CD",X"AF",X"1E",
- X"10",X"F8",X"2A",X"88",X"89",X"4E",X"0D",X"C8",X"3E",X"B0",X"DD",X"77",X"00",X"3C",X"DD",X"77",
- X"01",X"3C",X"DD",X"77",X"20",X"3C",X"DD",X"77",X"21",X"DD",X"7D",X"3C",X"3C",X"E6",X"F7",X"DD",
- X"6F",X"18",X"E3",X"DD",X"36",X"08",X"0B",X"AF",X"0E",X"0F",X"06",X"03",X"CD",X"2B",X"21",X"DD",
- X"19",X"DD",X"36",X"00",X"88",X"DD",X"77",X"03",X"DD",X"77",X"04",X"DD",X"77",X"05",X"DD",X"77",
- X"06",X"DD",X"77",X"07",X"DD",X"71",X"08",X"0C",X"0C",X"DD",X"77",X"13",X"DD",X"77",X"15",X"DD",
- X"36",X"0A",X"64",X"DD",X"36",X"0F",X"F2",X"DD",X"36",X"10",X"02",X"DD",X"75",X"01",X"DD",X"74",
- X"02",X"10",X"CC",X"DD",X"36",X"08",X"0D",X"3A",X"4E",X"82",X"D6",X"06",X"38",X"0E",X"47",X"04",
- X"DD",X"21",X"08",X"81",X"DD",X"19",X"DD",X"36",X"0A",X"01",X"10",X"F8",X"21",X"02",X"80",X"06",
- X"0C",X"11",X"14",X"88",X"AF",X"77",X"12",X"23",X"13",X"10",X"FA",X"21",X"73",X"80",X"22",X"02",
- X"80",X"3E",X"03",X"32",X"20",X"80",X"32",X"81",X"A1",X"32",X"4B",X"82",X"3A",X"4B",X"82",X"E6",
- X"3F",X"20",X"F9",X"21",X"95",X"82",X"06",X"08",X"3E",X"0C",X"77",X"23",X"10",X"FC",X"3E",X"08",
- X"06",X"03",X"77",X"23",X"10",X"FC",X"AF",X"32",X"8C",X"82",X"2A",X"9A",X"89",X"7E",X"FE",X"64",
- X"38",X"02",X"36",X"64",X"3A",X"21",X"80",X"32",X"20",X"80",X"3E",X"5A",X"32",X"4C",X"82",X"3E",
- X"01",X"32",X"81",X"A1",X"21",X"F4",X"89",X"36",X"40",X"23",X"36",X"10",X"2A",X"9C",X"89",X"36",
- X"01",X"CD",X"2F",X"0B",X"CD",X"64",X"20",X"CD",X"69",X"00",X"18",X"39",X"31",X"00",X"84",X"3A",
- X"50",X"80",X"C6",X"07",X"FE",X"0F",X"D4",X"BF",X"11",X"3A",X"51",X"80",X"C6",X"07",X"FE",X"0F",
- X"D4",X"07",X"12",X"3A",X"4B",X"82",X"FE",X"04",X"D4",X"DF",X"1D",X"3A",X"22",X"80",X"A7",X"CA",
- X"52",X"10",X"3D",X"CA",X"D6",X"0C",X"3D",X"CA",X"52",X"10",X"3D",X"CA",X"74",X"17",X"3D",X"CA",
- X"52",X"10",X"CD",X"BD",X"15",X"AF",X"32",X"22",X"80",X"C3",X"2C",X"0C",X"DD",X"21",X"68",X"80",
- X"AF",X"32",X"23",X"80",X"21",X"48",X"80",X"06",X"18",X"77",X"23",X"10",X"FC",X"32",X"81",X"A1",
- X"32",X"50",X"82",X"DD",X"77",X"00",X"DD",X"77",X"01",X"DD",X"77",X"02",X"DD",X"77",X"03",X"DD",
- X"77",X"04",X"DD",X"77",X"05",X"DD",X"77",X"06",X"DD",X"77",X"07",X"DD",X"36",X"08",X"0F",X"DD",
- X"36",X"0A",X"32",X"DD",X"77",X"0B",X"DD",X"36",X"0C",X"70",X"DD",X"36",X"0E",X"74",X"DD",X"36",
- X"0F",X"F0",X"DD",X"36",X"10",X"01",X"DD",X"77",X"13",X"C9",X"3A",X"21",X"80",X"A7",X"C0",X"D5",
- X"13",X"1A",X"A7",X"D1",X"C8",X"C5",X"01",X"08",X"00",X"09",X"EB",X"0E",X"20",X"09",X"EB",X"C1",
- X"E3",X"23",X"23",X"23",X"E3",X"C9",X"DD",X"21",X"88",X"80",X"FD",X"21",X"68",X"80",X"3A",X"4E",
- X"82",X"47",X"A7",X"CA",X"43",X"0E",X"DD",X"7E",X"00",X"A7",X"C2",X"3A",X"0E",X"AF",X"32",X"B5",
- X"81",X"DD",X"7E",X"13",X"DD",X"35",X"13",X"A7",X"C2",X"01",X"0E",X"DD",X"77",X"13",X"FD",X"7E",
- X"08",X"DD",X"96",X"08",X"57",X"30",X"02",X"ED",X"44",X"5F",X"DD",X"7E",X"0A",X"FD",X"96",X"0A",
- X"67",X"30",X"02",X"ED",X"44",X"6F",X"7D",X"FE",X"07",X"30",X"33",X"7B",X"FE",X"07",X"30",X"2E",
- X"7D",X"B3",X"CC",X"4A",X"0E",X"D9",X"DD",X"46",X"0C",X"DD",X"4E",X"0E",X"CD",X"31",X"10",X"7E",
- X"D9",X"FE",X"BD",X"38",X"10",X"FE",X"C6",X"30",X"0C",X"DD",X"36",X"00",X"88",X"DD",X"36",X"15",
- X"01",X"DD",X"36",X"13",X"82",X"0E",X"01",X"78",X"E6",X"03",X"28",X"0C",X"18",X"3E",X"78",X"32",
- X"B5",X"81",X"CB",X"47",X"28",X"36",X"0E",X"03",X"FD",X"7E",X"03",X"A7",X"20",X"18",X"FD",X"CB",
- X"02",X"7E",X"28",X"03",X"79",X"18",X"02",X"AF",X"91",X"84",X"67",X"CB",X"7C",X"6C",X"28",X"1C",
- X"7D",X"ED",X"44",X"6F",X"18",X"16",X"FD",X"CB",X"02",X"7E",X"28",X"04",X"AF",X"91",X"18",X"01",
- X"79",X"82",X"57",X"CB",X"7A",X"5A",X"28",X"04",X"7A",X"ED",X"44",X"5F",X"DD",X"7E",X"05",X"C6",
- X"03",X"FE",X"07",X"D2",X"01",X"0E",X"DD",X"7E",X"07",X"C6",X"03",X"FE",X"07",X"D2",X"01",X"0E",
- X"7D",X"BB",X"30",X"05",X"4C",X"3E",X"FF",X"18",X"03",X"4A",X"54",X"AF",X"F5",X"3A",X"B5",X"81",
- X"A7",X"2A",X"25",X"80",X"28",X"03",X"2A",X"29",X"80",X"F1",X"CB",X"7A",X"C4",X"2B",X"21",X"DD",
- X"36",X"14",X"02",X"5F",X"DD",X"BE",X"03",X"20",X"0D",X"DD",X"7E",X"02",X"AC",X"CB",X"7F",X"7B",
- X"20",X"09",X"DD",X"36",X"14",X"00",X"CD",X"63",X"0E",X"30",X"56",X"2F",X"F5",X"79",X"AC",X"CB",
- X"7F",X"C4",X"2B",X"21",X"F1",X"DD",X"36",X"14",X"02",X"DD",X"BE",X"03",X"20",X"0E",X"DD",X"36",
- X"14",X"00",X"5F",X"DD",X"7E",X"02",X"AC",X"CB",X"7F",X"20",X"06",X"7B",X"CD",X"63",X"0E",X"30",
- X"30",X"2A",X"25",X"80",X"3A",X"B5",X"81",X"A7",X"28",X"03",X"2A",X"29",X"80",X"DD",X"7E",X"02",
- X"AC",X"CB",X"7F",X"C4",X"2B",X"21",X"DD",X"7E",X"03",X"CD",X"63",X"0E",X"30",X"13",X"DD",X"36",
- X"14",X"02",X"2F",X"CD",X"63",X"0E",X"30",X"09",X"CD",X"2B",X"21",X"CD",X"63",X"0E",X"30",X"01",
- X"2F",X"DD",X"77",X"03",X"DD",X"74",X"02",X"DD",X"75",X"01",X"11",X"20",X"00",X"DD",X"19",X"05",
- X"C2",X"E6",X"0C",X"21",X"22",X"80",X"34",X"C3",X"2C",X"0C",X"FD",X"7E",X"0C",X"DD",X"96",X"0C",
- X"57",X"30",X"02",X"ED",X"44",X"5F",X"FD",X"7E",X"0E",X"DD",X"96",X"0E",X"67",X"30",X"02",X"ED",
- X"44",X"6F",X"C9",X"C5",X"4F",X"E5",X"EB",X"DD",X"66",X"08",X"DD",X"6E",X"0A",X"06",X"00",X"1E",
- X"00",X"79",X"A7",X"20",X"40",X"DD",X"7E",X"05",X"C6",X"18",X"FE",X"2B",X"30",X"1C",X"FE",X"06",
- X"38",X"15",X"FE",X"1E",X"30",X"04",X"FE",X"13",X"30",X"11",X"3A",X"5B",X"80",X"A7",X"CA",X"0C",
- X"0F",X"3C",X"CA",X"0C",X"0F",X"18",X"04",X"25",X"18",X"01",X"24",X"CB",X"7A",X"20",X"0B",X"DD",
- X"7E",X"07",X"1D",X"A7",X"FA",X"EE",X"0E",X"2D",X"18",X"44",X"DD",X"7E",X"07",X"1C",X"3D",X"F2",
- X"EE",X"0E",X"2C",X"18",X"39",X"DD",X"7E",X"07",X"C6",X"18",X"FE",X"2B",X"30",X"1A",X"FE",X"06",
- X"38",X"13",X"FE",X"1E",X"30",X"04",X"FE",X"13",X"30",X"0F",X"3A",X"5B",X"80",X"A7",X"28",X"3C",
- X"3C",X"28",X"39",X"18",X"04",X"2C",X"18",X"01",X"2D",X"DD",X"7E",X"05",X"CB",X"7A",X"20",X"08",
- X"04",X"A7",X"FA",X"EE",X"0E",X"24",X"18",X"06",X"05",X"3D",X"F2",X"EE",X"0E",X"25",X"EB",X"CD",
- X"E0",X"14",X"08",X"7A",X"80",X"57",X"7B",X"85",X"5F",X"CD",X"E0",X"14",X"38",X"09",X"7A",X"80",
- X"57",X"7B",X"85",X"5F",X"CD",X"E0",X"14",X"08",X"E1",X"79",X"C1",X"C9",X"E1",X"79",X"C1",X"37",
- X"C9",X"2B",X"7D",X"F6",X"E0",X"3C",X"C0",X"21",X"00",X"9F",X"C9",X"23",X"7D",X"E6",X"1F",X"C0",
- X"21",X"00",X"9F",X"C9",X"E5",X"D5",X"F5",X"2A",X"00",X"80",X"29",X"30",X"01",X"2C",X"7D",X"E6",
- X"49",X"20",X"04",X"11",X"80",X"40",X"19",X"22",X"00",X"80",X"F1",X"D1",X"E1",X"C9",X"57",X"1E",
- X"09",X"23",X"CB",X"7F",X"28",X"03",X"2B",X"34",X"23",X"86",X"77",X"30",X"03",X"2B",X"34",X"23",
- X"7D",X"C6",X"20",X"30",X"01",X"24",X"6F",X"7A",X"1D",X"20",X"E7",X"C9",X"E5",X"21",X"F4",X"89",
- X"CB",X"EE",X"E1",X"C9",X"E5",X"D5",X"C5",X"2A",X"9E",X"89",X"7E",X"21",X"90",X"82",X"0F",X"0F",
- X"CB",X"16",X"7E",X"E6",X"0F",X"FE",X"0C",X"23",X"20",X"2C",X"7E",X"A7",X"20",X"28",X"ED",X"5B",
- X"8A",X"89",X"1A",X"E6",X"03",X"28",X"1F",X"3A",X"21",X"80",X"A7",X"28",X"19",X"ED",X"5B",X"8E",
- X"89",X"13",X"1A",X"A7",X"28",X"10",X"06",X"02",X"3D",X"28",X"0B",X"FE",X"0A",X"CC",X"5C",X"0F",
- X"10",X"F6",X"12",X"34",X"34",X"34",X"7E",X"A7",X"28",X"61",X"DD",X"21",X"68",X"80",X"DD",X"7E",
- X"0F",X"06",X"08",X"0E",X"F0",X"FE",X"F0",X"28",X"14",X"0E",X"18",X"FE",X"F2",X"28",X"0E",X"0E",
- X"08",X"06",X"20",X"FE",X"FC",X"28",X"06",X"06",X"F0",X"FE",X"FD",X"20",X"3E",X"DD",X"7E",X"0C",
- X"90",X"47",X"DD",X"7E",X"0E",X"81",X"4F",X"CD",X"31",X"10",X"54",X"5D",X"CB",X"DC",X"CB",X"7E",
- X"28",X"29",X"1A",X"FE",X"81",X"20",X"24",X"CB",X"BE",X"06",X"03",X"3E",X"BD",X"0E",X"03",X"E5",
- X"D5",X"12",X"3C",X"36",X"45",X"CD",X"0F",X"10",X"0D",X"20",X"F6",X"D1",X"E1",X"CD",X"1E",X"10",
- X"10",X"EB",X"21",X"91",X"82",X"35",X"21",X"F4",X"89",X"CB",X"E6",X"C1",X"D1",X"E1",X"C9",X"F5",
- X"7B",X"F6",X"E0",X"3C",X"20",X"04",X"7B",X"D6",X"20",X"5F",X"1C",X"6B",X"F1",X"C9",X"C5",X"F5",
- X"01",X"20",X"00",X"09",X"7C",X"E6",X"03",X"F6",X"8C",X"67",X"E6",X"F7",X"57",X"5D",X"F1",X"C1",
- X"C9",X"3A",X"4D",X"80",X"80",X"C6",X"03",X"0F",X"0F",X"0F",X"E6",X"1F",X"47",X"3A",X"4F",X"80",
- X"ED",X"44",X"91",X"D6",X"08",X"26",X"21",X"17",X"CB",X"14",X"17",X"CB",X"14",X"E6",X"E0",X"B0",
- X"6F",X"C9",X"11",X"00",X"00",X"DD",X"21",X"68",X"80",X"DD",X"7E",X"13",X"DD",X"35",X"13",X"A7",
- X"C2",X"58",X"11",X"DD",X"77",X"13",X"21",X"F4",X"89",X"CB",X"F6",X"2A",X"27",X"80",X"ED",X"4B",
- X"9E",X"89",X"0A",X"47",X"F3",X"22",X"2D",X"80",X"AF",X"32",X"68",X"80",X"32",X"48",X"80",X"2A",
- X"69",X"80",X"3A",X"20",X"80",X"A7",X"CC",X"A2",X"1D",X"CD",X"8A",X"11",X"30",X"79",X"3A",X"6B",
- X"80",X"2A",X"69",X"80",X"CD",X"63",X"0E",X"30",X"2F",X"2F",X"57",X"3A",X"4B",X"80",X"A7",X"3E",
- X"00",X"32",X"4B",X"80",X"7A",X"28",X"0B",X"2A",X"49",X"80",X"CD",X"63",X"0E",X"30",X"19",X"2A",
- X"69",X"80",X"A7",X"CC",X"2B",X"21",X"CD",X"63",X"0E",X"30",X"0D",X"CD",X"2B",X"21",X"CD",X"63",
- X"0E",X"30",X"05",X"A7",X"CC",X"2B",X"21",X"2F",X"32",X"6B",X"80",X"22",X"69",X"80",X"EB",X"2A",
- X"2D",X"80",X"F5",X"7A",X"AC",X"CB",X"7F",X"C4",X"2B",X"21",X"F1",X"22",X"2D",X"80",X"FB",X"21",
- X"80",X"00",X"A7",X"CA",X"2A",X"11",X"CB",X"68",X"CA",X"62",X"11",X"CB",X"60",X"CA",X"6C",X"11",
- X"3A",X"6F",X"80",X"A7",X"CA",X"4B",X"11",X"FE",X"13",X"F2",X"4E",X"11",X"FE",X"EE",X"FA",X"46",
- X"11",X"CB",X"7F",X"20",X"49",X"18",X"3F",X"08",X"38",X"04",X"08",X"C3",X"C8",X"10",X"08",X"C5",
- X"DD",X"46",X"03",X"B8",X"C1",X"CA",X"C8",X"10",X"08",X"3E",X"01",X"32",X"4B",X"80",X"08",X"ED",
- X"5B",X"69",X"80",X"ED",X"53",X"49",X"80",X"C3",X"C8",X"10",X"CB",X"58",X"28",X"48",X"CB",X"50",
- X"28",X"4E",X"3A",X"6D",X"80",X"A7",X"28",X"13",X"FE",X"13",X"F2",X"4E",X"11",X"FE",X"EE",X"FA",
- X"46",X"11",X"CB",X"7F",X"20",X"08",X"21",X"80",X"FF",X"18",X"03",X"21",X"00",X"00",X"22",X"5A",
- X"80",X"3A",X"20",X"80",X"A7",X"CC",X"D9",X"1D",X"3A",X"22",X"80",X"3C",X"32",X"22",X"80",X"C3",
- X"2C",X"0C",X"3A",X"6F",X"80",X"FE",X"03",X"FA",X"4E",X"11",X"18",X"DF",X"3A",X"6F",X"80",X"FE",
- X"FE",X"F2",X"46",X"11",X"18",X"D5",X"3A",X"6D",X"80",X"FE",X"03",X"FA",X"4E",X"11",X"18",X"CB",
- X"3A",X"6D",X"80",X"FE",X"FE",X"F2",X"46",X"11",X"18",X"C1",X"78",X"CB",X"6F",X"28",X"0E",X"CB",
- X"67",X"28",X"17",X"CB",X"5F",X"28",X"20",X"CB",X"57",X"28",X"20",X"37",X"C9",X"3E",X"00",X"CB",
- X"7C",X"CA",X"63",X"0E",X"CD",X"2B",X"21",X"C3",X"63",X"0E",X"3E",X"00",X"CB",X"7C",X"C2",X"63",
- X"0E",X"CD",X"2B",X"21",X"C3",X"63",X"0E",X"3E",X"FF",X"18",X"E4",X"3E",X"FF",X"18",X"ED",X"F3",
- X"CB",X"7F",X"28",X"22",X"3A",X"50",X"80",X"C6",X"08",X"32",X"50",X"80",X"3A",X"54",X"80",X"3C",
- X"32",X"54",X"80",X"FE",X"03",X"C2",X"7E",X"12",X"AF",X"32",X"54",X"80",X"3A",X"52",X"80",X"3C",
- X"32",X"52",X"80",X"C3",X"7E",X"12",X"3A",X"50",X"80",X"D6",X"08",X"32",X"50",X"80",X"3A",X"54",
- X"80",X"3D",X"32",X"54",X"80",X"F2",X"A8",X"12",X"3E",X"02",X"32",X"54",X"80",X"3A",X"52",X"80",
- X"3D",X"32",X"52",X"80",X"C3",X"A8",X"12",X"F3",X"CB",X"7F",X"28",X"22",X"3A",X"51",X"80",X"C6",
- X"08",X"32",X"51",X"80",X"3A",X"55",X"80",X"3C",X"32",X"55",X"80",X"FE",X"03",X"C2",X"4F",X"12",
- X"AF",X"32",X"55",X"80",X"3A",X"53",X"80",X"3C",X"32",X"53",X"80",X"C3",X"4F",X"12",X"3A",X"51",
- X"80",X"D6",X"08",X"32",X"51",X"80",X"3A",X"55",X"80",X"3D",X"32",X"55",X"80",X"F2",X"73",X"12",
- X"3E",X"02",X"32",X"55",X"80",X"3A",X"53",X"80",X"3D",X"32",X"53",X"80",X"C3",X"73",X"12",X"CD",
- X"D9",X"12",X"ED",X"5B",X"54",X"80",X"14",X"7A",X"FE",X"03",X"20",X"03",X"24",X"16",X"00",X"7C",
- X"C6",X"0A",X"32",X"59",X"80",X"2A",X"56",X"80",X"01",X"E0",X"FF",X"09",X"CB",X"D4",X"22",X"56",
- X"80",X"18",X"07",X"CD",X"D9",X"12",X"ED",X"5B",X"54",X"80",X"FB",X"C3",X"14",X"13",X"CD",X"D9",
- X"12",X"ED",X"5B",X"54",X"80",X"1C",X"7B",X"FE",X"03",X"20",X"03",X"1E",X"00",X"2C",X"7D",X"C6",
- X"0A",X"32",X"58",X"80",X"2A",X"56",X"80",X"7D",X"E6",X"1F",X"20",X"06",X"7D",X"F6",X"1F",X"6F",
- X"18",X"01",X"2B",X"22",X"56",X"80",X"18",X"07",X"CD",X"D9",X"12",X"ED",X"5B",X"54",X"80",X"FB",
- X"06",X"20",X"48",X"2A",X"58",X"80",X"CD",X"0C",X"15",X"14",X"05",X"C8",X"E5",X"2A",X"56",X"80",
- X"78",X"06",X"00",X"09",X"47",X"7C",X"E6",X"03",X"F6",X"84",X"67",X"22",X"56",X"80",X"E1",X"7A",
- X"FE",X"03",X"20",X"E2",X"16",X"00",X"24",X"18",X"DD",X"CD",X"85",X"16",X"2A",X"52",X"80",X"22",
- X"58",X"80",X"C9",X"CD",X"D9",X"12",X"ED",X"5B",X"54",X"80",X"06",X"20",X"CD",X"14",X"13",X"32",
- X"80",X"A0",X"2A",X"56",X"80",X"78",X"01",X"20",X"00",X"09",X"47",X"CB",X"D4",X"CB",X"9C",X"22",
- X"56",X"80",X"7A",X"05",X"C8",X"FE",X"03",X"20",X"E3",X"3A",X"59",X"80",X"3C",X"32",X"59",X"80",
- X"16",X"00",X"18",X"D8",X"C5",X"D5",X"06",X"20",X"2A",X"58",X"80",X"CD",X"0C",X"15",X"1C",X"E5",
- X"2A",X"56",X"80",X"23",X"7D",X"E6",X"1F",X"20",X"05",X"2B",X"7D",X"E6",X"E0",X"6F",X"22",X"56",
- X"80",X"E1",X"05",X"28",X"0A",X"7B",X"FE",X"03",X"20",X"E1",X"1E",X"00",X"2C",X"18",X"DC",X"D1",
- X"C1",X"14",X"C9",X"2A",X"96",X"89",X"11",X"20",X"00",X"19",X"ED",X"5B",X"94",X"89",X"3E",X"FF",
- X"06",X"18",X"77",X"12",X"13",X"23",X"10",X"FA",X"3A",X"51",X"82",X"A7",X"C8",X"47",X"DD",X"2A",
- X"96",X"89",X"0E",X"00",X"CD",X"24",X"0F",X"3A",X"00",X"80",X"E6",X"1E",X"C6",X"E0",X"6F",X"3A",
- X"8F",X"82",X"67",X"5E",X"23",X"56",X"EB",X"18",X"37",X"00",X"DD",X"2A",X"96",X"89",X"11",X"20",
- X"00",X"DD",X"19",X"0E",X"01",X"06",X"0A",X"DD",X"2A",X"92",X"89",X"3A",X"20",X"80",X"A7",X"20",
- X"05",X"3E",X"34",X"32",X"8F",X"82",X"79",X"A7",X"28",X"CA",X"CD",X"24",X"0F",X"3A",X"01",X"80",
- X"E6",X"3F",X"67",X"3A",X"00",X"80",X"E6",X"1F",X"6F",X"5C",X"55",X"CD",X"E0",X"14",X"38",X"E6",
- X"C5",X"0E",X"0A",X"ED",X"5B",X"94",X"89",X"06",X"04",X"CD",X"1B",X"14",X"C1",X"38",X"D7",X"3A",
- X"51",X"82",X"A7",X"28",X"14",X"C5",X"4F",X"E5",X"2A",X"96",X"89",X"11",X"20",X"00",X"19",X"EB",
- X"E1",X"06",X"03",X"CD",X"1B",X"14",X"C1",X"38",X"BD",X"C5",X"11",X"17",X"14",X"01",X"02",X"05",
- X"CD",X"1B",X"14",X"C1",X"38",X"B0",X"DD",X"74",X"21",X"DD",X"75",X"20",X"7D",X"87",X"87",X"87",
- X"CB",X"3C",X"1F",X"CB",X"3C",X"1F",X"CB",X"3C",X"1F",X"6F",X"7C",X"E6",X"07",X"F6",X"98",X"67",
- X"DD",X"74",X"01",X"DD",X"75",X"00",X"DD",X"23",X"DD",X"23",X"10",X"8A",X"3A",X"20",X"80",X"A7",
- X"C0",X"3E",X"28",X"32",X"8F",X"82",X"C9",X"0F",X"00",X"0F",X"32",X"1A",X"13",X"FE",X"FF",X"28",
- X"16",X"95",X"F2",X"27",X"14",X"ED",X"44",X"B8",X"30",X"0D",X"1A",X"FE",X"FF",X"28",X"08",X"94",
- X"F2",X"35",X"14",X"ED",X"44",X"B8",X"D8",X"13",X"0D",X"20",X"E0",X"AF",X"C9",X"0E",X"80",X"E5",
- X"7B",X"0F",X"0F",X"C6",X"04",X"E6",X"07",X"6F",X"7A",X"26",X"40",X"17",X"17",X"17",X"CB",X"14",
- X"E6",X"E0",X"B5",X"24",X"C6",X"80",X"30",X"01",X"24",X"6F",X"71",X"CB",X"DC",X"7E",X"E6",X"3F",
- X"CB",X"4B",X"28",X"02",X"F6",X"40",X"CB",X"4A",X"28",X"02",X"F6",X"80",X"77",X"E1",X"C9",X"2A",
- X"94",X"89",X"AF",X"32",X"B4",X"82",X"0E",X"C6",X"06",X"0A",X"5E",X"7B",X"23",X"56",X"23",X"A2",
- X"3C",X"28",X"03",X"CD",X"3F",X"14",X"10",X"F2",X"2B",X"56",X"2B",X"5E",X"7B",X"A2",X"3C",X"C8",
- X"7B",X"0F",X"0F",X"C6",X"04",X"E6",X"07",X"6F",X"7A",X"26",X"40",X"17",X"17",X"17",X"CB",X"14",
- X"E6",X"E0",X"B5",X"24",X"C6",X"80",X"30",X"01",X"24",X"6F",X"22",X"B5",X"82",X"3E",X"01",X"32",
- X"B4",X"82",X"C9",X"E5",X"D5",X"C5",X"AF",X"EB",X"CD",X"E0",X"14",X"30",X"1F",X"15",X"1D",X"21",
- X"31",X"25",X"0E",X"03",X"06",X"03",X"CD",X"E0",X"14",X"30",X"01",X"B6",X"23",X"14",X"10",X"F6",
- X"15",X"15",X"15",X"1C",X"0D",X"20",X"ED",X"A7",X"20",X"02",X"F6",X"87",X"C1",X"D1",X"E1",X"C9",
- X"D5",X"C5",X"4F",X"7A",X"FE",X"20",X"30",X"1F",X"E6",X"07",X"47",X"04",X"7B",X"FE",X"38",X"30",
- X"16",X"7A",X"87",X"87",X"87",X"87",X"CB",X"13",X"87",X"CB",X"13",X"3A",X"8F",X"82",X"57",X"1A",
- X"87",X"10",X"FD",X"79",X"C1",X"D1",X"C9",X"79",X"C1",X"D1",X"37",X"C9",X"C5",X"D5",X"E5",X"7C",
- X"FE",X"38",X"D2",X"A3",X"15",X"7D",X"FE",X"20",X"D2",X"A3",X"15",X"87",X"87",X"87",X"CB",X"3C",
- X"1F",X"CB",X"3C",X"1F",X"CB",X"3C",X"1F",X"6F",X"7C",X"E6",X"07",X"F6",X"98",X"67",X"4E",X"79",
- X"E6",X"F8",X"CA",X"74",X"15",X"7A",X"87",X"82",X"83",X"87",X"87",X"21",X"05",X"25",X"85",X"30",
- X"01",X"24",X"6F",X"1E",X"00",X"46",X"23",X"7E",X"A1",X"28",X"02",X"CB",X"D3",X"23",X"7E",X"A1",
- X"28",X"02",X"CB",X"CB",X"23",X"7E",X"A1",X"28",X"02",X"CB",X"C3",X"7B",X"21",X"29",X"25",X"85",
- X"30",X"01",X"24",X"6F",X"4E",X"2A",X"56",X"80",X"71",X"CB",X"DC",X"3A",X"B1",X"82",X"B0",X"77",
- X"E1",X"D1",X"C1",X"C9",X"AF",X"47",X"67",X"69",X"29",X"29",X"29",X"09",X"4A",X"09",X"09",X"09",
- X"4B",X"09",X"01",X"52",X"25",X"09",X"ED",X"5B",X"56",X"80",X"7E",X"E6",X"C0",X"F6",X"15",X"47",
- X"7E",X"CB",X"6F",X"28",X"01",X"04",X"E6",X"1F",X"CB",X"FF",X"12",X"EB",X"CB",X"DC",X"70",X"E1",
- X"D1",X"C1",X"C9",X"3A",X"D6",X"82",X"82",X"82",X"82",X"83",X"ED",X"5B",X"56",X"80",X"12",X"06",
- X"51",X"3A",X"D6",X"82",X"FE",X"5F",X"38",X"E3",X"D6",X"09",X"04",X"18",X"F7",X"3A",X"4E",X"82",
- X"A7",X"C8",X"21",X"F8",X"01",X"F3",X"ED",X"5B",X"54",X"80",X"3A",X"50",X"80",X"06",X"00",X"4F",
- X"CB",X"7F",X"28",X"01",X"05",X"09",X"01",X"F8",X"FF",X"1C",X"1D",X"28",X"03",X"09",X"18",X"FA",
- X"22",X"48",X"82",X"3A",X"51",X"80",X"C6",X"14",X"ED",X"44",X"14",X"15",X"28",X"03",X"91",X"18",
- X"FA",X"32",X"4A",X"82",X"3A",X"4E",X"82",X"47",X"DD",X"21",X"93",X"80",X"2A",X"52",X"80",X"DD",
- X"7E",X"FD",X"95",X"FE",X"0B",X"30",X"0A",X"4F",X"DD",X"7E",X"FF",X"94",X"FE",X"0B",X"57",X"38",
- X"09",X"11",X"20",X"00",X"DD",X"19",X"10",X"E4",X"FB",X"C9",X"DD",X"E5",X"E1",X"FD",X"21",X"02",
- X"80",X"1E",X"06",X"FD",X"7E",X"00",X"BD",X"20",X"06",X"FD",X"7E",X"01",X"BC",X"28",X"E2",X"FD",
- X"23",X"FD",X"23",X"1D",X"20",X"ED",X"1E",X"06",X"FD",X"2B",X"FD",X"2B",X"FD",X"7E",X"00",X"FD",
- X"B6",X"01",X"28",X"10",X"1D",X"20",X"F1",X"11",X"F5",X"FF",X"DD",X"19",X"CD",X"85",X"18",X"11",
- X"2B",X"00",X"18",X"C0",X"FD",X"75",X"00",X"FD",X"74",X"01",X"7A",X"87",X"82",X"87",X"87",X"87",
- X"57",X"3A",X"4A",X"82",X"92",X"DD",X"77",X"03",X"79",X"87",X"81",X"87",X"87",X"87",X"5F",X"16",
- X"00",X"2A",X"48",X"82",X"19",X"DD",X"74",X"00",X"DD",X"75",X"01",X"AF",X"DD",X"77",X"FC",X"DD",
- X"77",X"FA",X"C3",X"11",X"16",X"E5",X"F5",X"3A",X"4D",X"80",X"6F",X"3A",X"4F",X"80",X"ED",X"44",
- X"67",X"3A",X"50",X"80",X"85",X"E6",X"F8",X"D6",X"10",X"0F",X"0F",X"0F",X"6F",X"3A",X"51",X"80",
- X"84",X"26",X"21",X"07",X"CB",X"14",X"07",X"CB",X"14",X"E6",X"E0",X"B5",X"6F",X"22",X"56",X"80",
- X"F1",X"E1",X"C9",X"00",X"E5",X"D5",X"C5",X"F5",X"3A",X"AA",X"82",X"A7",X"20",X"07",X"21",X"24",
- X"80",X"36",X"FF",X"18",X"3C",X"21",X"AC",X"82",X"3A",X"00",X"A0",X"47",X"1F",X"CB",X"16",X"23",
- X"CB",X"10",X"CB",X"16",X"23",X"3A",X"80",X"A0",X"17",X"CB",X"16",X"7E",X"E6",X"0F",X"FE",X"0C",
- X"CC",X"06",X"17",X"2B",X"7E",X"E6",X"0F",X"FE",X"0C",X"CC",X"06",X"17",X"2B",X"7E",X"E6",X"0F",
- X"06",X"01",X"FE",X"0C",X"20",X"0B",X"3A",X"F6",X"89",X"CB",X"F7",X"32",X"F6",X"89",X"CD",X"18",
- X"17",X"F1",X"C1",X"D1",X"E1",X"C9",X"EB",X"21",X"A8",X"82",X"34",X"23",X"34",X"CB",X"46",X"23",
- X"28",X"01",X"23",X"46",X"EB",X"78",X"A7",X"C8",X"EB",X"21",X"24",X"80",X"34",X"20",X"01",X"35",
- X"10",X"FA",X"EB",X"3A",X"21",X"80",X"A7",X"28",X"09",X"3A",X"20",X"80",X"FE",X"01",X"CC",X"35",
- X"21",X"C9",X"21",X"F4",X"89",X"77",X"23",X"77",X"C3",X"5A",X"07",X"3A",X"A8",X"82",X"A7",X"C8",
- X"E5",X"D5",X"C5",X"21",X"AF",X"82",X"11",X"87",X"A1",X"7E",X"23",X"A7",X"20",X"0B",X"AF",X"77",
- X"3C",X"12",X"2B",X"36",X"01",X"C1",X"D1",X"E1",X"C9",X"34",X"7E",X"FE",X"10",X"20",X"0E",X"2B",
- X"36",X"00",X"21",X"A8",X"82",X"35",X"21",X"F6",X"89",X"36",X"80",X"18",X"E8",X"D6",X"08",X"20",
- X"E4",X"12",X"18",X"E1",X"DD",X"21",X"68",X"80",X"DD",X"6E",X"11",X"DD",X"66",X"12",X"DD",X"7E",
- X"05",X"C6",X"0C",X"FE",X"19",X"38",X"08",X"CB",X"7F",X"20",X"03",X"23",X"18",X"01",X"2B",X"DD",
- X"7E",X"07",X"11",X"20",X"00",X"C6",X"0C",X"FE",X"19",X"38",X"0A",X"CB",X"7F",X"28",X"03",X"19",
- X"18",X"03",X"A7",X"ED",X"52",X"EB",X"2A",X"92",X"89",X"06",X"0A",X"7B",X"BE",X"23",X"20",X"02",
- X"7A",X"BE",X"23",X"CA",X"A1",X"19",X"10",X"F3",X"3A",X"4C",X"82",X"A7",X"C2",X"61",X"18",X"1A",
- X"FE",X"03",X"CA",X"AA",X"1B",X"DD",X"21",X"88",X"80",X"FD",X"21",X"A8",X"80",X"06",X"07",X"C5",
- X"FD",X"E5",X"DD",X"7E",X"13",X"A7",X"28",X"05",X"DD",X"35",X"13",X"18",X"2C",X"DD",X"7E",X"08",
- X"FD",X"96",X"08",X"6F",X"DD",X"7E",X"05",X"FD",X"96",X"05",X"CD",X"B1",X"18",X"20",X"13",X"DD",
- X"7E",X"0A",X"FD",X"96",X"0A",X"6F",X"FD",X"7E",X"07",X"DD",X"96",X"07",X"CD",X"B1",X"18",X"CC",
- X"68",X"18",X"11",X"20",X"00",X"FD",X"19",X"10",X"C9",X"11",X"20",X"00",X"FD",X"E1",X"C1",X"DD",
- X"19",X"FD",X"19",X"10",X"BA",X"06",X"08",X"DD",X"21",X"88",X"80",X"DD",X"7E",X"13",X"A7",X"20",
- X"37",X"DD",X"66",X"12",X"DD",X"6E",X"11",X"DD",X"7E",X"05",X"C6",X"0C",X"FE",X"19",X"38",X"0C",
- X"CB",X"7F",X"20",X"05",X"CD",X"1B",X"0F",X"18",X"03",X"CD",X"11",X"0F",X"DD",X"7E",X"07",X"11",
- X"20",X"00",X"C6",X"0C",X"FE",X"19",X"38",X"0A",X"CB",X"7F",X"28",X"03",X"19",X"18",X"03",X"A7",
- X"ED",X"52",X"7E",X"FE",X"03",X"CC",X"85",X"18",X"11",X"20",X"00",X"DD",X"19",X"05",X"C2",X"1B",
- X"18",X"21",X"22",X"80",X"34",X"C3",X"2C",X"0C",X"DD",X"E5",X"FD",X"E5",X"DD",X"E1",X"CD",X"85",
- X"18",X"DD",X"E1",X"FD",X"7E",X"02",X"DD",X"AE",X"02",X"CB",X"7F",X"DD",X"7E",X"02",X"28",X"02",
- X"ED",X"44",X"DD",X"77",X"02",X"E5",X"DD",X"36",X"00",X"E0",X"DD",X"36",X"13",X"32",X"21",X"00",
- X"01",X"DD",X"CB",X"02",X"7E",X"20",X"02",X"26",X"FF",X"DD",X"74",X"02",X"DD",X"75",X"01",X"06",
- X"08",X"FD",X"E5",X"FD",X"2E",X"00",X"CD",X"F3",X"00",X"10",X"FB",X"FD",X"E1",X"06",X"01",X"E1",
- X"C9",X"2D",X"2D",X"C6",X"23",X"CB",X"7F",X"20",X"05",X"2C",X"D6",X"18",X"18",X"F7",X"7D",X"A7",
- X"C9",X"3E",X"03",X"32",X"20",X"80",X"3E",X"EC",X"32",X"14",X"80",X"21",X"F4",X"89",X"36",X"80",
- X"23",X"36",X"08",X"CD",X"60",X"19",X"AF",X"32",X"B4",X"82",X"3A",X"21",X"80",X"A7",X"CA",X"3D",
- X"07",X"2A",X"88",X"89",X"35",X"CA",X"CD",X"1B",X"21",X"AA",X"81",X"7E",X"47",X"23",X"A6",X"CA",
- X"1C",X"19",X"78",X"FE",X"02",X"28",X"0B",X"CD",X"33",X"19",X"3E",X"01",X"32",X"B7",X"81",X"C3",
- X"1C",X"19",X"CD",X"46",X"19",X"3E",X"01",X"32",X"B7",X"81",X"21",X"0E",X"80",X"3A",X"B2",X"81",
- X"CB",X"47",X"C2",X"1C",X"19",X"7E",X"23",X"23",X"BE",X"CA",X"BF",X"08",X"2A",X"8A",X"89",X"7E",
- X"E6",X"03",X"CA",X"BF",X"08",X"AF",X"32",X"4B",X"82",X"3A",X"4B",X"82",X"FE",X"78",X"20",X"F9",
- X"C3",X"E7",X"08",X"2B",X"36",X"02",X"2B",X"7E",X"2B",X"77",X"21",X"63",X"21",X"11",X"88",X"89",
- X"01",X"18",X"00",X"ED",X"B0",X"C9",X"2B",X"36",X"01",X"2B",X"46",X"2B",X"AF",X"77",X"21",X"7B",
- X"21",X"11",X"88",X"89",X"78",X"01",X"16",X"00",X"A7",X"28",X"02",X"03",X"03",X"ED",X"B0",X"C9",
- X"3E",X"20",X"90",X"90",X"6F",X"26",X"80",X"36",X"EC",X"DD",X"7E",X"0C",X"D6",X"08",X"47",X"DD",
- X"7E",X"0E",X"C6",X"08",X"4F",X"CD",X"31",X"10",X"54",X"5D",X"CB",X"DC",X"3E",X"B4",X"0E",X"03",
- X"E5",X"D5",X"06",X"03",X"12",X"36",X"46",X"CD",X"0F",X"10",X"3C",X"10",X"F7",X"D1",X"E1",X"CD",
- X"1E",X"10",X"0D",X"20",X"EB",X"2A",X"98",X"89",X"06",X"04",X"3E",X"66",X"77",X"23",X"10",X"FC",
- X"C9",X"E5",X"3E",X"01",X"32",X"B3",X"82",X"AF",X"12",X"05",X"21",X"F4",X"89",X"0E",X"04",X"28",
- X"06",X"05",X"CC",X"62",X"1E",X"18",X"09",X"0E",X"08",X"3A",X"23",X"80",X"3C",X"32",X"23",X"80",
- X"7E",X"B1",X"77",X"E1",X"AF",X"2B",X"77",X"2B",X"77",X"11",X"20",X"00",X"19",X"3D",X"5E",X"77",
- X"23",X"56",X"77",X"CD",X"3D",X"14",X"CD",X"6F",X"14",X"DD",X"7E",X"05",X"C6",X"0C",X"FE",X"19",
- X"38",X"08",X"D6",X"18",X"FE",X"1E",X"38",X"02",X"C6",X"30",X"D6",X"0C",X"ED",X"44",X"DD",X"86",
- X"0C",X"47",X"DD",X"7E",X"07",X"C6",X"0C",X"FE",X"19",X"38",X"08",X"D6",X"18",X"FE",X"1E",X"38",
- X"02",X"C6",X"30",X"D6",X"0C",X"ED",X"44",X"DD",X"86",X"0E",X"4F",X"C5",X"CD",X"31",X"10",X"36",
- X"81",X"C1",X"E5",X"C5",X"78",X"D6",X"08",X"47",X"C5",X"CD",X"31",X"10",X"36",X"81",X"C1",X"79",
- X"D6",X"08",X"4F",X"C5",X"CD",X"31",X"10",X"36",X"81",X"C1",X"79",X"C1",X"4F",X"CD",X"31",X"10",
- X"36",X"81",X"E1",X"7D",X"E6",X"1F",X"11",X"20",X"00",X"20",X"01",X"19",X"2B",X"3A",X"50",X"82",
- X"3C",X"32",X"50",X"82",X"FE",X"0A",X"20",X"02",X"3E",X"01",X"C6",X"9F",X"77",X"CD",X"8D",X"1A",
- X"CD",X"9C",X"1B",X"36",X"AA",X"CD",X"8D",X"1A",X"3A",X"50",X"82",X"FE",X"0A",X"20",X"08",X"CD",
- X"9C",X"1B",X"36",X"AB",X"CD",X"8D",X"1A",X"3A",X"23",X"80",X"E6",X"01",X"28",X"28",X"E5",X"CD",
- X"9C",X"1B",X"7E",X"FE",X"81",X"20",X"08",X"36",X"AC",X"CD",X"8D",X"1A",X"E1",X"18",X"17",X"E1",
- X"11",X"20",X"00",X"19",X"7C",X"E6",X"07",X"F6",X"84",X"67",X"E5",X"18",X"E5",X"CB",X"DC",X"CB",
- X"F6",X"CB",X"BE",X"CB",X"9C",X"C9",X"2A",X"8C",X"89",X"34",X"7E",X"FE",X"0A",X"20",X"05",X"3E",
- X"03",X"32",X"20",X"80",X"3A",X"50",X"82",X"47",X"3A",X"23",X"80",X"E6",X"01",X"28",X"09",X"4F",
- X"78",X"CB",X"20",X"0D",X"28",X"02",X"80",X"47",X"0E",X"0A",X"C5",X"CD",X"64",X"20",X"CD",X"0F",
- X"21",X"C1",X"0D",X"20",X"F5",X"10",X"F1",X"CD",X"64",X"20",X"3A",X"23",X"80",X"CB",X"4F",X"C4",
- X"6B",X"1E",X"CD",X"1F",X"1B",X"2A",X"8C",X"89",X"AF",X"32",X"B3",X"82",X"7E",X"FE",X"0A",X"C2",
- X"C5",X"17",X"3A",X"21",X"80",X"A7",X"CA",X"3D",X"07",X"CD",X"95",X"19",X"21",X"F4",X"89",X"7E",
- X"E6",X"3F",X"77",X"23",X"36",X"28",X"3E",X"EC",X"32",X"14",X"80",X"3E",X"03",X"32",X"20",X"80",
- X"32",X"4B",X"82",X"3A",X"4B",X"82",X"E6",X"3F",X"20",X"F9",X"CB",X"46",X"28",X"FC",X"CD",X"A9",
- X"1F",X"3E",X"E0",X"32",X"4B",X"82",X"3A",X"4B",X"82",X"A7",X"20",X"FA",X"C3",X"BF",X"08",X"3A",
- X"92",X"82",X"A7",X"C0",X"2A",X"8A",X"89",X"7E",X"3D",X"0F",X"0F",X"E6",X"03",X"47",X"04",X"F6",
- X"30",X"32",X"8F",X"82",X"D6",X"23",X"32",X"B1",X"82",X"3E",X"53",X"C6",X"09",X"10",X"FC",X"32",
- X"D6",X"82",X"3A",X"D8",X"82",X"57",X"7E",X"BA",X"38",X"04",X"D6",X"04",X"18",X"F9",X"D6",X"02",
- X"87",X"87",X"87",X"6F",X"26",X"00",X"ED",X"5B",X"D2",X"82",X"19",X"7E",X"32",X"4E",X"82",X"23",
- X"7E",X"32",X"6E",X"82",X"23",X"7E",X"32",X"88",X"82",X"23",X"7E",X"32",X"51",X"82",X"23",X"3A",
- X"8A",X"82",X"47",X"ED",X"5B",X"8C",X"89",X"1A",X"05",X"28",X"11",X"23",X"05",X"28",X"0D",X"FE",
- X"08",X"30",X"09",X"23",X"05",X"28",X"05",X"FE",X"05",X"30",X"01",X"23",X"5E",X"16",X"00",X"21",
- X"DD",X"23",X"19",X"11",X"25",X"80",X"01",X"08",X"00",X"ED",X"B0",X"C9",X"23",X"47",X"7D",X"E6",
- X"1F",X"78",X"C0",X"2B",X"7D",X"E6",X"E0",X"6F",X"78",X"C9",X"3E",X"03",X"32",X"20",X"80",X"3E",
- X"EC",X"32",X"14",X"80",X"21",X"F4",X"89",X"36",X"80",X"23",X"36",X"08",X"CD",X"60",X"19",X"3A",
- X"21",X"80",X"A7",X"CA",X"3D",X"07",X"2A",X"88",X"89",X"35",X"C2",X"E8",X"18",X"3E",X"A0",X"32",
- X"4B",X"82",X"3A",X"4B",X"82",X"A7",X"20",X"FA",X"AF",X"32",X"F4",X"89",X"DD",X"7E",X"0C",X"D6",
- X"08",X"47",X"DD",X"7E",X"0E",X"C6",X"08",X"4F",X"CD",X"31",X"10",X"54",X"5D",X"06",X"03",X"3E",
- X"81",X"E5",X"D5",X"0E",X"03",X"12",X"CD",X"0F",X"10",X"0D",X"20",X"F9",X"D1",X"E1",X"CD",X"1E",
- X"10",X"10",X"EE",X"DD",X"21",X"14",X"80",X"FD",X"21",X"14",X"88",X"3A",X"A8",X"81",X"A7",X"20",
- X"22",X"DD",X"36",X"00",X"E0",X"DD",X"36",X"01",X"68",X"FD",X"36",X"00",X"74",X"FD",X"36",X"01",
- X"66",X"DD",X"36",X"02",X"E4",X"DD",X"36",X"03",X"78",X"FD",X"36",X"02",X"74",X"FD",X"36",X"03",
- X"66",X"18",X"20",X"DD",X"36",X"00",X"E3",X"DD",X"36",X"01",X"AC",X"DD",X"36",X"02",X"E7",X"DD",
- X"36",X"03",X"9C",X"FD",X"36",X"00",X"7C",X"FD",X"36",X"01",X"66",X"FD",X"36",X"02",X"7C",X"FD",
- X"36",X"03",X"66",X"3E",X"01",X"32",X"4B",X"82",X"3A",X"4B",X"82",X"E6",X"3F",X"20",X"F9",X"3A",
- X"D0",X"82",X"A7",X"CA",X"EC",X"1C",X"3E",X"75",X"CD",X"E5",X"20",X"21",X"3D",X"1D",X"11",X"07",
- X"85",X"CD",X"29",X"1D",X"11",X"86",X"85",X"CD",X"29",X"1D",X"11",X"C9",X"85",X"CD",X"29",X"1D",
- X"11",X"86",X"86",X"CD",X"29",X"1D",X"11",X"C8",X"86",X"CD",X"29",X"1D",X"3E",X"83",X"32",X"2F",
- X"86",X"21",X"F5",X"89",X"CB",X"D6",X"3E",X"01",X"32",X"4B",X"82",X"3A",X"4B",X"82",X"E6",X"0F",
- X"20",X"F9",X"CB",X"46",X"20",X"46",X"11",X"60",X"88",X"1A",X"EE",X"1F",X"06",X"08",X"12",X"13",
- X"10",X"FC",X"E5",X"11",X"2F",X"86",X"1A",X"11",X"28",X"86",X"FE",X"40",X"20",X"1D",X"21",X"64",
- X"80",X"01",X"04",X"00",X"ED",X"B0",X"0E",X"04",X"21",X"60",X"80",X"ED",X"B0",X"3E",X"22",X"12",
- X"1B",X"1A",X"FE",X"40",X"20",X"FA",X"3E",X"22",X"12",X"18",X"08",X"06",X"09",X"3E",X"40",X"12",
- X"13",X"10",X"FC",X"CD",X"F0",X"04",X"CD",X"09",X"1D",X"E1",X"18",X"AA",X"CD",X"95",X"19",X"CD",
- X"1A",X"1D",X"21",X"60",X"88",X"06",X"08",X"36",X"70",X"23",X"10",X"FB",X"21",X"AA",X"81",X"7E",
- X"23",X"A6",X"77",X"C2",X"E8",X"18",X"C3",X"3D",X"07",X"2A",X"98",X"89",X"01",X"1C",X"00",X"09",
- X"06",X"08",X"7E",X"EE",X"15",X"77",X"23",X"10",X"FC",X"C9",X"2A",X"98",X"89",X"01",X"1C",X"00",
- X"09",X"06",X"08",X"36",X"72",X"23",X"10",X"FB",X"C9",X"F5",X"C5",X"D5",X"7E",X"CB",X"DA",X"23",
- X"46",X"12",X"13",X"10",X"FC",X"4E",X"D1",X"23",X"ED",X"B0",X"C1",X"F1",X"C9",X"75",X"0D",X"59",
- X"4F",X"55",X"40",X"44",X"49",X"44",X"40",X"49",X"54",X"40",X"21",X"21",X"74",X"0E",X"54",X"48",
- X"45",X"40",X"48",X"49",X"47",X"48",X"40",X"53",X"43",X"4F",X"52",X"45",X"74",X"0B",X"4F",X"46",
- X"40",X"54",X"48",X"45",X"40",X"44",X"41",X"59",X"2E",X"76",X"10",X"47",X"4F",X"40",X"46",X"4F",
- X"52",X"40",X"54",X"48",X"45",X"40",X"57",X"4F",X"52",X"4C",X"44",X"76",X"0D",X"52",X"45",X"43",
- X"4F",X"52",X"44",X"40",X"4E",X"4F",X"57",X"40",X"21",X"21",X"E5",X"C5",X"21",X"34",X"88",X"06",
- X"0C",X"0E",X"00",X"71",X"23",X"10",X"FC",X"C1",X"E1",X"C9",X"E5",X"21",X"91",X"82",X"36",X"03",
- X"E1",X"C9",X"D9",X"06",X"FF",X"FD",X"21",X"88",X"80",X"3A",X"4E",X"82",X"47",X"DD",X"7E",X"08",
- X"FD",X"96",X"08",X"30",X"02",X"ED",X"44",X"57",X"FD",X"7E",X"0A",X"DD",X"96",X"0A",X"30",X"02",
- X"ED",X"44",X"B2",X"FE",X"04",X"DC",X"9A",X"1D",X"11",X"20",X"00",X"FD",X"19",X"10",X"DE",X"3E",
- X"34",X"32",X"8F",X"82",X"3E",X"FF",X"D9",X"47",X"C9",X"3E",X"30",X"32",X"8F",X"82",X"C9",X"DD",
- X"21",X"68",X"80",X"06",X"09",X"21",X"3A",X"25",X"DD",X"7E",X"15",X"A7",X"20",X"43",X"DD",X"4E",
- X"02",X"79",X"DD",X"B6",X"01",X"28",X"3A",X"DD",X"7E",X"03",X"A7",X"28",X"08",X"CB",X"79",X"28",
- X"0E",X"0E",X"FD",X"18",X"0C",X"CB",X"79",X"28",X"03",X"0E",X"F2",X"11",X"0E",X"F0",X"11",X"0E",
- X"FC",X"DD",X"7E",X"0F",X"B9",X"28",X"1A",X"79",X"BE",X"23",X"20",X"FC",X"DD",X"7E",X"0F",X"0E",
- X"00",X"0C",X"BE",X"23",X"20",X"FB",X"79",X"FE",X"06",X"30",X"02",X"2B",X"2B",X"7E",X"DD",X"77",
- X"0F",X"11",X"20",X"00",X"DD",X"19",X"10",X"AD",X"AF",X"32",X"4B",X"82",X"C9",X"4E",X"23",X"06",
- X"00",X"ED",X"B0",X"C9",X"3A",X"24",X"80",X"A7",X"28",X"04",X"06",X"01",X"18",X"02",X"06",X"00",
- X"21",X"84",X"A1",X"70",X"23",X"3A",X"24",X"80",X"FE",X"01",X"20",X"02",X"06",X"00",X"70",X"3E",
- X"01",X"C9",X"3A",X"23",X"80",X"C6",X"02",X"32",X"23",X"80",X"C9",X"CB",X"8F",X"32",X"23",X"80",
- X"2A",X"8C",X"89",X"7E",X"FE",X"0A",X"C8",X"3A",X"20",X"80",X"A7",X"C8",X"F5",X"3E",X"03",X"32",
- X"20",X"80",X"DD",X"E5",X"DD",X"2A",X"8E",X"89",X"DD",X"6E",X"00",X"DD",X"66",X"01",X"E5",X"CD",
- X"A9",X"1F",X"E1",X"2C",X"DD",X"75",X"00",X"DD",X"74",X"01",X"CD",X"13",X"1F",X"DD",X"E1",X"2A",
- X"9A",X"89",X"AF",X"77",X"32",X"92",X"82",X"32",X"8A",X"82",X"F1",X"32",X"20",X"80",X"C9",X"D5",
- X"C5",X"01",X"08",X"00",X"ED",X"B0",X"C1",X"D1",X"E5",X"D5",X"EB",X"CB",X"DC",X"16",X"08",X"71",
- X"23",X"15",X"20",X"FB",X"D1",X"21",X"20",X"00",X"19",X"EB",X"E1",X"C9",X"00",X"3A",X"21",X"80",
- X"A7",X"C8",X"2A",X"9C",X"89",X"7E",X"A7",X"C8",X"3A",X"69",X"82",X"47",X"3A",X"6E",X"82",X"B8",
- X"C0",X"AF",X"32",X"69",X"82",X"DD",X"2A",X"8E",X"89",X"DD",X"7E",X"01",X"21",X"6F",X"22",X"FE",
- X"0A",X"30",X"03",X"21",X"77",X"22",X"11",X"40",X"89",X"01",X"08",X"00",X"ED",X"B0",X"DD",X"7E",
- X"00",X"A7",X"20",X"0F",X"DD",X"7E",X"01",X"FE",X"0A",X"20",X"08",X"3A",X"F4",X"89",X"F6",X"20",
- X"32",X"F4",X"89",X"DD",X"2A",X"8E",X"89",X"DD",X"66",X"01",X"DD",X"6E",X"00",X"7C",X"B5",X"C8",
- X"2D",X"CB",X"7D",X"28",X"03",X"2E",X"09",X"25",X"DD",X"75",X"00",X"DD",X"74",X"01",X"7C",X"DD",
- X"5E",X"01",X"21",X"44",X"81",X"16",X"36",X"0E",X"C7",X"3E",X"05",X"93",X"FE",X"CF",X"38",X"02",
- X"3E",X"CF",X"77",X"2C",X"06",X"07",X"7A",X"BB",X"30",X"01",X"5A",X"D6",X"08",X"57",X"7B",X"92",
- X"CB",X"7F",X"28",X"01",X"AF",X"81",X"77",X"7D",X"3C",X"E6",X"F7",X"6F",X"10",X"E8",X"DD",X"7E",
- X"01",X"A7",X"C0",X"DD",X"7E",X"00",X"FE",X"01",X"28",X"07",X"A7",X"C0",X"3C",X"32",X"92",X"82",
- X"C9",X"2A",X"9A",X"89",X"36",X"C7",X"21",X"8C",X"82",X"36",X"01",X"C9",X"DD",X"2A",X"8E",X"89",
- X"21",X"6F",X"22",X"11",X"40",X"89",X"01",X"08",X"00",X"ED",X"B0",X"C3",X"2F",X"1F",X"47",X"3A",
- X"B4",X"82",X"FE",X"00",X"78",X"C8",X"2A",X"B5",X"82",X"36",X"80",X"C9",X"47",X"3A",X"B4",X"82",
- X"A7",X"78",X"C8",X"2A",X"B5",X"82",X"36",X"C6",X"C9",X"DD",X"2A",X"8E",X"89",X"3A",X"20",X"80",
- X"A7",X"C8",X"06",X"03",X"DD",X"7E",X"00",X"DD",X"B6",X"01",X"C8",X"3A",X"F4",X"89",X"E6",X"03",
- X"F6",X"02",X"32",X"F4",X"89",X"AF",X"32",X"69",X"82",X"E5",X"2A",X"8A",X"89",X"7E",X"E1",X"FE",
- X"0D",X"38",X"2A",X"06",X"05",X"DD",X"7E",X"00",X"DD",X"B6",X"01",X"C8",X"C5",X"CD",X"13",X"1F",
- X"CD",X"0F",X"21",X"CD",X"64",X"20",X"CD",X"13",X"1F",X"CD",X"0F",X"21",X"CD",X"64",X"20",X"76",
- X"C1",X"10",X"E2",X"3A",X"69",X"82",X"FE",X"05",X"38",X"F9",X"C3",X"A9",X"1F",X"FE",X"09",X"38",
- X"24",X"DD",X"7E",X"00",X"DD",X"B6",X"01",X"C8",X"C5",X"CD",X"13",X"1F",X"CD",X"13",X"1F",X"CD",
- X"13",X"1F",X"CD",X"0F",X"21",X"CD",X"64",X"20",X"76",X"CD",X"0F",X"21",X"CD",X"64",X"20",X"C1",
- X"10",X"DF",X"C3",X"F3",X"1F",X"FE",X"05",X"38",X"1D",X"06",X"05",X"DD",X"7E",X"00",X"DD",X"B6",
- X"01",X"C8",X"C5",X"CD",X"13",X"1F",X"CD",X"13",X"1F",X"CD",X"0F",X"21",X"CD",X"64",X"20",X"76",
- X"C1",X"10",X"E8",X"C3",X"F3",X"1F",X"DD",X"7E",X"00",X"DD",X"B6",X"01",X"C8",X"C5",X"CD",X"13",
- X"1F",X"CD",X"13",X"1F",X"CD",X"13",X"1F",X"CD",X"0F",X"21",X"CD",X"64",X"20",X"76",X"C1",X"10",
- X"E5",X"C3",X"F3",X"1F",X"3A",X"20",X"80",X"A7",X"C8",X"3A",X"D0",X"82",X"11",X"60",X"80",X"2A",
- X"90",X"89",X"01",X"08",X"00",X"FE",X"01",X"20",X"04",X"ED",X"B0",X"18",X"12",X"1A",X"FE",X"40",
- X"28",X"03",X"BE",X"20",X"0A",X"23",X"13",X"0D",X"20",X"F3",X"3E",X"01",X"32",X"D0",X"82",X"3A",
- X"B2",X"81",X"4F",X"3A",X"AA",X"81",X"A1",X"20",X"29",X"2A",X"90",X"89",X"ED",X"5B",X"B3",X"81",
- X"06",X"08",X"1A",X"BE",X"20",X"1C",X"23",X"13",X"10",X"F8",X"3A",X"AA",X"81",X"B1",X"32",X"B2",
- X"81",X"2A",X"88",X"89",X"34",X"DD",X"E5",X"CD",X"2F",X"0B",X"DD",X"E1",X"21",X"F4",X"89",X"CB",
- X"C6",X"C9",X"3A",X"AA",X"81",X"87",X"87",X"A1",X"C0",X"ED",X"5B",X"B9",X"81",X"2A",X"90",X"89",
- X"06",X"08",X"1A",X"BE",X"C0",X"23",X"13",X"10",X"F9",X"3A",X"AA",X"81",X"87",X"87",X"B1",X"32",
- X"B2",X"81",X"C3",X"B1",X"20",X"21",X"00",X"84",X"11",X"01",X"84",X"01",X"FF",X"03",X"36",X"40",
- X"ED",X"B0",X"21",X"00",X"8C",X"11",X"01",X"8C",X"01",X"FF",X"03",X"77",X"ED",X"B0",X"AF",X"32",
- X"40",X"A1",X"32",X"30",X"A1",X"21",X"4C",X"80",X"06",X"04",X"77",X"23",X"10",X"FC",X"C9",X"2A",
- X"90",X"89",X"3A",X"20",X"80",X"A7",X"C8",X"23",X"23",X"7E",X"3C",X"E6",X"0F",X"77",X"FE",X"0A",
- X"D8",X"D6",X"0A",X"77",X"CB",X"DD",X"2D",X"CB",X"9D",X"18",X"EE",X"F5",X"7C",X"2F",X"67",X"7D",
- X"2F",X"6F",X"23",X"F1",X"C9",X"E5",X"D5",X"C5",X"21",X"C7",X"21",X"11",X"6A",X"86",X"CD",X"3D",
- X"1E",X"3A",X"24",X"80",X"21",X"72",X"86",X"06",X"00",X"FE",X"63",X"38",X"02",X"3E",X"63",X"FE",
- X"0A",X"38",X"05",X"04",X"D6",X"0A",X"18",X"F7",X"77",X"78",X"A7",X"28",X"02",X"2B",X"77",X"C1",
- X"D1",X"E1",X"C9",X"0F",X"80",X"B0",X"81",X"71",X"82",X"6A",X"82",X"A0",X"80",X"C8",X"81",X"E8",
- X"81",X"08",X"82",X"84",X"88",X"89",X"82",X"8B",X"82",X"00",X"A0",X"10",X"80",X"B1",X"81",X"72",
- X"82",X"6C",X"82",X"E0",X"80",X"08",X"89",X"28",X"89",X"48",X"89",X"C4",X"88",X"8D",X"82",X"8E",
- X"82",X"80",X"A0",X"11",X"50",X"55",X"53",X"48",X"40",X"53",X"54",X"41",X"52",X"54",X"40",X"42",
- X"55",X"54",X"54",X"4F",X"4E",X"17",X"42",X"4F",X"4E",X"55",X"53",X"40",X"43",X"41",X"52",X"40",
- X"46",X"4F",X"52",X"40",X"40",X"40",X"40",X"40",X"40",X"40",X"50",X"54",X"53",X"09",X"46",X"52",
- X"45",X"45",X"40",X"50",X"4C",X"41",X"59",X"09",X"43",X"52",X"45",X"44",X"49",X"54",X"40",X"40",
- X"40",X"73",X"12",X"18",X"40",X"19",X"1A",X"1B",X"1C",X"1D",X"1E",X"1F",X"40",X"31",X"39",X"38",
- X"30",X"40",X"31",X"53",X"54",X"73",X"12",X"18",X"40",X"19",X"1A",X"1B",X"1C",X"1D",X"1E",X"1F",
- X"40",X"31",X"39",X"38",X"31",X"40",X"32",X"4E",X"44",X"18",X"31",X"53",X"54",X"40",X"42",X"4F",
- X"4E",X"55",X"53",X"40",X"46",X"4F",X"52",X"40",X"40",X"40",X"40",X"40",X"40",X"40",X"40",X"50",
- X"54",X"53",X"40",X"40",X"18",X"32",X"4E",X"44",X"40",X"42",X"4F",X"4E",X"55",X"53",X"40",X"46",
- X"4F",X"52",X"40",X"40",X"40",X"40",X"40",X"40",X"40",X"40",X"50",X"54",X"53",X"40",X"40",X"43",
- X"4F",X"52",X"45",X"48",X"49",X"2D",X"53",X"40",X"40",X"30",X"30",X"40",X"40",X"40",X"40",X"40",
- X"40",X"40",X"40",X"31",X"55",X"50",X"40",X"40",X"40",X"40",X"40",X"32",X"55",X"50",X"40",X"D4",
- X"D5",X"D6",X"D7",X"D0",X"D1",X"D2",X"D3",X"44",X"40",X"40",X"40",X"52",X"4F",X"55",X"4E",X"40",
- X"40",X"40",X"40",X"40",X"40",X"40",X"40",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"29",
- X"29",X"29",X"2A",X"68",X"29",X"29",X"29",X"30",X"30",X"30",X"31",X"6F",X"30",X"30",X"30",X"43",
- X"48",X"41",X"4C",X"4C",X"45",X"4E",X"47",X"49",X"4E",X"47",X"40",X"53",X"54",X"41",X"47",X"45",
- X"20",X"4E",X"4F",X"2E",X"20",X"3D",X"40",X"20",X"3D",X"40",X"20",X"52",X"45",X"44",X"40",X"43",
- X"41",X"52",X"53",X"40",X"44",X"4F",X"4E",X"27",X"54",X"40",X"4D",X"4F",X"56",X"45",X"20",X"55",
- X"4E",X"54",X"49",X"4C",X"40",X"46",X"55",X"45",X"4C",X"40",X"52",X"55",X"4E",X"53",X"40",X"4F",
- X"55",X"54",X"2E",X"20",X"20",X"20",X"20",X"20",X"4E",X"45",X"57",X"40",X"52",X"41",X"4C",X"4C",
- X"59",X"2D",X"58",X"20",X"40",X"43",X"41",X"53",X"54",X"40",X"40",X"40",X"20",X"4D",X"59",X"40",
- X"43",X"41",X"52",X"20",X"52",X"45",X"44",X"40",X"43",X"41",X"52",X"20",X"43",X"48",X"45",X"43",
- X"4B",X"40",X"50",X"4F",X"49",X"4E",X"54",X"20",X"53",X"50",X"45",X"43",X"49",X"41",X"4C",X"40",
- X"43",X"48",X"45",X"43",X"4B",X"40",X"50",X"4F",X"49",X"4E",X"54",X"20",X"4C",X"55",X"43",X"4B",
- X"59",X"40",X"43",X"48",X"45",X"43",X"4B",X"40",X"50",X"4F",X"49",X"4E",X"54",X"20",X"52",X"4F",
- X"43",X"4B",X"40",X"28",X"40",X"44",X"41",X"4E",X"47",X"45",X"52",X"40",X"21",X"40",X"29",X"20",
- X"53",X"4D",X"4F",X"4B",X"45",X"40",X"53",X"43",X"52",X"45",X"45",X"4E",X"20",X"19",X"1A",X"1B",
- X"1C",X"1D",X"1E",X"1F",X"20",X"01",X"09",X"64",X"00",X"A0",X"08",X"08",X"00",X"02",X"09",X"64",
- X"02",X"A0",X"18",X"18",X"10",X"07",X"07",X"50",X"05",X"A0",X"80",X"80",X"80",X"03",X"08",X"5A",
- X"02",X"A0",X"20",X"20",X"10",X"03",X"08",X"5A",X"03",X"A0",X"30",X"30",X"28",X"04",X"07",X"50",
- X"03",X"A0",X"38",X"38",X"30",X"07",X"07",X"50",X"07",X"A0",X"88",X"88",X"88",X"04",X"07",X"50",
- X"05",X"A0",X"40",X"40",X"38",X"05",X"06",X"46",X"05",X"A0",X"50",X"50",X"48",X"05",X"06",X"46",
- X"06",X"A0",X"58",X"58",X"48",X"07",X"06",X"46",X"0A",X"A0",X"90",X"90",X"90",X"06",X"06",X"46",
- X"06",X"A0",X"48",X"48",X"60",X"06",X"06",X"46",X"07",X"A0",X"70",X"70",X"68",X"06",X"06",X"46",
- X"07",X"A0",X"70",X"70",X"70",X"07",X"06",X"46",X"0A",X"A0",X"90",X"90",X"90",X"06",X"05",X"3C",
- X"08",X"A0",X"70",X"70",X"70",X"06",X"05",X"3C",X"08",X"A0",X"78",X"78",X"78",X"07",X"05",X"3C",
- X"08",X"A0",X"78",X"78",X"78",X"07",X"05",X"3C",X"0C",X"A0",X"98",X"98",X"98",X"20",X"02",X"20",
- X"02",X"50",X"02",X"C0",X"00",X"40",X"02",X"20",X"02",X"70",X"02",X"C0",X"00",X"60",X"02",X"40",
- X"02",X"90",X"02",X"C0",X"00",X"70",X"02",X"40",X"02",X"A0",X"02",X"C0",X"00",X"80",X"02",X"40",
- X"02",X"B0",X"02",X"C0",X"00",X"80",X"02",X"50",X"02",X"B0",X"02",X"C0",X"00",X"90",X"02",X"50",
- X"02",X"C0",X"02",X"C0",X"00",X"A0",X"02",X"50",X"02",X"D0",X"02",X"C0",X"00",X"B0",X"02",X"50",
- X"02",X"E0",X"02",X"C0",X"00",X"B0",X"02",X"60",X"02",X"E0",X"02",X"C0",X"00",X"C0",X"02",X"60",
- X"02",X"F0",X"02",X"C0",X"00",X"D0",X"02",X"60",X"02",X"F0",X"02",X"C0",X"00",X"A0",X"02",X"60",
- X"02",X"D0",X"02",X"C0",X"00",X"C0",X"02",X"70",X"02",X"F0",X"02",X"C0",X"00",X"D0",X"02",X"70",
- X"02",X"F0",X"02",X"C0",X"00",X"E0",X"02",X"70",X"02",X"F0",X"02",X"C0",X"00",X"00",X"00",X"A0",
- X"02",X"00",X"00",X"C0",X"00",X"00",X"00",X"B0",X"02",X"00",X"00",X"C0",X"00",X"00",X"00",X"C0",
- X"02",X"00",X"00",X"C0",X"00",X"00",X"00",X"D0",X"02",X"00",X"00",X"C0",X"00",X"00",X"03",X"20",
- X"02",X"00",X"03",X"C0",X"00",X"E5",X"24",X"E5",X"24",X"E5",X"24",X"E5",X"24",X"E5",X"24",X"C5",
- X"24",X"C5",X"24",X"CD",X"24",X"E5",X"24",X"C5",X"24",X"C5",X"24",X"C5",X"24",X"E5",X"24",X"C5",
- X"24",X"D5",X"24",X"DD",X"24",X"E5",X"24",X"E5",X"24",X"E5",X"24",X"E5",X"24",X"E5",X"24",X"CD",
- X"24",X"DD",X"24",X"FD",X"24",X"E5",X"24",X"ED",X"24",X"F5",X"24",X"FD",X"24",X"E5",X"24",X"E5",
- X"24",X"E5",X"24",X"E5",X"24",X"00",X"00",X"00",X"30",X"40",X"40",X"40",X"02",X"00",X"00",X"00",
- X"30",X"40",X"40",X"40",X"03",X"00",X"00",X"00",X"30",X"40",X"40",X"40",X"04",X"00",X"00",X"00",
- X"30",X"40",X"40",X"40",X"06",X"49",X"4E",X"47",X"40",X"4E",X"4F",X"54",X"48",X"00",X"00",X"00",
- X"30",X"40",X"40",X"40",X"08",X"00",X"00",X"00",X"30",X"40",X"40",X"01",X"00",X"00",X"00",X"00",
- X"30",X"40",X"40",X"01",X"02",X"40",X"80",X"08",X"40",X"40",X"FF",X"FF",X"40",X"00",X"04",X"20",
- X"40",X"40",X"FF",X"08",X"FF",X"40",X"FF",X"FF",X"FF",X"00",X"FF",X"20",X"FF",X"C0",X"01",X"08",
- X"10",X"80",X"FF",X"FF",X"10",X"80",X"02",X"20",X"10",X"90",X"91",X"92",X"93",X"90",X"91",X"92",
- X"80",X"80",X"40",X"04",X"08",X"00",X"20",X"01",X"10",X"02",X"F0",X"F4",X"F8",X"FC",X"FA",X"F6",
- X"F2",X"F7",X"FB",X"FD",X"F9",X"F5",X"F0",X"F4",X"F8",X"FC",X"FA",X"F6",X"F2",X"F7",X"FB",X"FD",
- X"F9",X"F5",X"E1",X"61",X"61",X"61",X"61",X"61",X"61",X"61",X"61",X"21",X"21",X"21",X"21",X"67",
- X"21",X"68",X"69",X"21",X"21",X"21",X"21",X"6A",X"67",X"21",X"68",X"69",X"21",X"54",X"55",X"56",
- X"57",X"58",X"59",X"5A",X"5B",X"5C",X"21",X"21",X"21",X"6B",X"67",X"21",X"68",X"69",X"21",X"06",
- X"3A",X"AE",X"8B",X"A7",X"CC",X"17",X"2B",X"CD",X"31",X"2B",X"21",X"F5",X"89",X"CB",X"46",X"28",
- X"07",X"21",X"AF",X"8B",X"36",X"00",X"18",X"02",X"CB",X"C6",X"21",X"F6",X"89",X"CB",X"7E",X"28",
- X"04",X"21",X"14",X"8A",X"34",X"CB",X"76",X"28",X"04",X"21",X"13",X"8A",X"34",X"21",X"28",X"8A",
- X"06",X"18",X"34",X"23",X"10",X"FC",X"3A",X"F5",X"89",X"CB",X"67",X"CA",X"5C",X"26",X"2A",X"8A",
- X"89",X"7E",X"E6",X"03",X"28",X"45",X"21",X"54",X"2B",X"3A",X"AF",X"8B",X"CD",X"F8",X"2A",X"7E",
- X"FE",X"FF",X"20",X"07",X"21",X"AF",X"8B",X"36",X"00",X"18",X"EB",X"21",X"A8",X"8B",X"77",X"3A",
- X"08",X"8A",X"A7",X"20",X"14",X"3C",X"32",X"08",X"8A",X"CD",X"D5",X"2A",X"36",X"00",X"23",X"36",
- X"00",X"CD",X"DF",X"2A",X"36",X"01",X"23",X"36",X"01",X"CD",X"15",X"2A",X"CD",X"52",X"2A",X"21",
- X"A8",X"8B",X"34",X"CD",X"28",X"2A",X"CD",X"52",X"2A",X"18",X"40",X"3A",X"AF",X"8B",X"CB",X"4F",
- X"20",X"04",X"3E",X"17",X"18",X"02",X"3E",X"0E",X"21",X"A8",X"8B",X"77",X"3A",X"08",X"8A",X"A7",
- X"20",X"18",X"3C",X"32",X"08",X"8A",X"CD",X"D5",X"2A",X"36",X"00",X"21",X"55",X"8A",X"36",X"00",
- X"CD",X"DF",X"2A",X"36",X"01",X"21",X"35",X"8A",X"36",X"01",X"CD",X"28",X"2A",X"CD",X"52",X"2A",
- X"21",X"A8",X"8B",X"36",X"0D",X"CD",X"15",X"2A",X"CD",X"52",X"2A",X"3A",X"A9",X"8B",X"A7",X"28",
- X"12",X"AF",X"32",X"A9",X"8B",X"32",X"08",X"8A",X"21",X"AF",X"8B",X"34",X"AF",X"32",X"08",X"8A",
- X"C3",X"AC",X"26",X"3A",X"F4",X"89",X"CB",X"77",X"28",X"42",X"21",X"A8",X"8B",X"36",X"02",X"CD",
- X"02",X"2A",X"21",X"69",X"80",X"FE",X"20",X"28",X"04",X"3E",X"00",X"18",X"02",X"3E",X"02",X"F5",
- X"CD",X"D5",X"2A",X"F1",X"77",X"CD",X"52",X"2A",X"3A",X"6A",X"80",X"CB",X"7F",X"20",X"0E",X"3A",
- X"6B",X"80",X"A7",X"28",X"04",X"3E",X"00",X"18",X"10",X"3E",X"01",X"18",X"0C",X"3A",X"6B",X"80",
- X"A7",X"28",X"04",X"3E",X"02",X"18",X"02",X"3E",X"03",X"32",X"97",X"8B",X"3A",X"F4",X"89",X"CB",
- X"67",X"28",X"36",X"21",X"A8",X"8B",X"36",X"05",X"3A",X"0B",X"8A",X"A7",X"20",X"0E",X"3C",X"32",
- X"0B",X"8A",X"CD",X"D5",X"2A",X"36",X"00",X"CD",X"DF",X"2A",X"36",X"01",X"CD",X"02",X"2A",X"CD",
- X"52",X"2A",X"3E",X"0F",X"32",X"94",X"8B",X"3A",X"A9",X"8B",X"A7",X"28",X"0C",X"AF",X"32",X"A9",
- X"8B",X"32",X"0B",X"8A",X"21",X"F4",X"89",X"CB",X"A6",X"21",X"F4",X"89",X"CB",X"56",X"28",X"16",
- X"CB",X"96",X"3E",X"01",X"32",X"09",X"8A",X"21",X"A8",X"8B",X"36",X"03",X"CD",X"D5",X"2A",X"36",
- X"00",X"CD",X"DF",X"2A",X"36",X"01",X"3A",X"09",X"8A",X"A7",X"28",X"18",X"21",X"A8",X"8B",X"36",
- X"03",X"CD",X"02",X"2A",X"CD",X"52",X"2A",X"3A",X"A9",X"8B",X"A7",X"28",X"07",X"AF",X"32",X"A9",
- X"8B",X"32",X"09",X"8A",X"3A",X"F4",X"89",X"CB",X"5F",X"28",X"31",X"21",X"A8",X"8B",X"36",X"04",
- X"3A",X"0A",X"8A",X"A7",X"20",X"0E",X"3C",X"32",X"0A",X"8A",X"CD",X"D5",X"2A",X"36",X"00",X"CD",
- X"DF",X"2A",X"36",X"01",X"CD",X"02",X"2A",X"CD",X"52",X"2A",X"3A",X"A9",X"8B",X"A7",X"28",X"0C",
- X"AF",X"32",X"A9",X"8B",X"32",X"0A",X"8A",X"21",X"F4",X"89",X"CB",X"9E",X"3A",X"F4",X"89",X"CB",
- X"6F",X"28",X"36",X"21",X"A8",X"8B",X"36",X"06",X"3A",X"0C",X"8A",X"A7",X"20",X"0E",X"3C",X"32",
- X"0C",X"8A",X"CD",X"D5",X"2A",X"36",X"00",X"CD",X"DF",X"2A",X"36",X"01",X"CD",X"02",X"2A",X"CD",
- X"52",X"2A",X"3E",X"0C",X"32",X"94",X"8B",X"3A",X"A9",X"8B",X"A7",X"28",X"0C",X"AF",X"32",X"A9",
- X"8B",X"32",X"0C",X"8A",X"21",X"F4",X"89",X"CB",X"AE",X"3A",X"F4",X"89",X"CB",X"47",X"28",X"36",
- X"21",X"A8",X"8B",X"36",X"07",X"3A",X"0D",X"8A",X"A7",X"20",X"0E",X"3C",X"32",X"0D",X"8A",X"CD",
- X"D5",X"2A",X"36",X"00",X"CD",X"DF",X"2A",X"36",X"01",X"CD",X"28",X"2A",X"CD",X"52",X"2A",X"3E",
- X"0C",X"32",X"94",X"8B",X"3A",X"A9",X"8B",X"A7",X"28",X"0C",X"AF",X"32",X"A9",X"8B",X"32",X"0D",
- X"8A",X"21",X"F4",X"89",X"CB",X"86",X"3A",X"F5",X"89",X"CB",X"6F",X"28",X"40",X"21",X"A8",X"8B",
- X"36",X"08",X"3A",X"0E",X"8A",X"A7",X"20",X"07",X"3C",X"32",X"0E",X"8A",X"CD",X"3B",X"2A",X"CD",
- X"02",X"2A",X"CD",X"52",X"2A",X"21",X"A8",X"8B",X"36",X"09",X"CD",X"15",X"2A",X"CD",X"52",X"2A",
- X"21",X"A8",X"8B",X"36",X"0A",X"CD",X"28",X"2A",X"CD",X"52",X"2A",X"3A",X"A9",X"8B",X"A7",X"28",
- X"0C",X"AF",X"32",X"A9",X"8B",X"32",X"0E",X"8A",X"21",X"F5",X"89",X"CB",X"AE",X"3A",X"F4",X"89",
- X"CB",X"4F",X"28",X"39",X"21",X"A8",X"8B",X"36",X"0B",X"3A",X"0F",X"8A",X"A7",X"20",X"11",X"3C",
- X"32",X"0F",X"8A",X"32",X"AD",X"8B",X"CD",X"D5",X"2A",X"36",X"00",X"CD",X"DF",X"2A",X"36",X"01",
- X"21",X"AC",X"8B",X"36",X"00",X"CD",X"02",X"2A",X"CD",X"52",X"2A",X"21",X"F4",X"89",X"CB",X"8E",
- X"3A",X"A9",X"8B",X"A7",X"28",X"07",X"AF",X"32",X"0F",X"8A",X"32",X"A9",X"8B",X"3A",X"AD",X"8B",
- X"A7",X"28",X"10",X"21",X"AC",X"8B",X"34",X"3E",X"06",X"BE",X"30",X"07",X"AF",X"32",X"AD",X"8B",
- X"32",X"0F",X"8A",X"3A",X"F5",X"89",X"CB",X"77",X"28",X"31",X"21",X"A8",X"8B",X"36",X"0F",X"3A",
- X"10",X"8A",X"A7",X"20",X"08",X"3E",X"02",X"32",X"10",X"8A",X"CD",X"3B",X"2A",X"CD",X"02",X"2A",
- X"CD",X"52",X"2A",X"3A",X"A9",X"8B",X"A7",X"28",X"12",X"AF",X"32",X"A9",X"8B",X"CD",X"3B",X"2A",
- X"21",X"10",X"8A",X"35",X"20",X"05",X"21",X"F5",X"89",X"CB",X"B6",X"3A",X"F4",X"89",X"CB",X"7F",
- X"28",X"0F",X"21",X"80",X"A1",X"3E",X"FF",X"77",X"3D",X"20",X"FC",X"77",X"21",X"F4",X"89",X"CB",
- X"BE",X"3A",X"F5",X"89",X"CB",X"7F",X"28",X"40",X"21",X"A8",X"8B",X"36",X"12",X"3A",X"11",X"8A",
- X"A7",X"20",X"07",X"3C",X"32",X"11",X"8A",X"CD",X"3B",X"2A",X"CD",X"02",X"2A",X"CD",X"52",X"2A",
- X"21",X"A8",X"8B",X"36",X"13",X"CD",X"15",X"2A",X"CD",X"52",X"2A",X"21",X"A8",X"8B",X"36",X"14",
- X"CD",X"28",X"2A",X"CD",X"52",X"2A",X"3A",X"A9",X"8B",X"A7",X"28",X"0C",X"AF",X"32",X"A9",X"8B",
- X"32",X"11",X"8A",X"21",X"F5",X"89",X"CB",X"BE",X"3A",X"F5",X"89",X"CB",X"57",X"CA",X"46",X"29",
- X"21",X"A8",X"8B",X"36",X"15",X"3A",X"12",X"8A",X"A7",X"20",X"07",X"3C",X"32",X"12",X"8A",X"CD",
- X"3B",X"2A",X"CD",X"02",X"2A",X"CD",X"52",X"2A",X"21",X"A8",X"8B",X"36",X"16",X"CD",X"15",X"2A",
- X"CD",X"52",X"2A",X"3A",X"A9",X"8B",X"A7",X"CA",X"46",X"29",X"AF",X"32",X"A9",X"8B",X"32",X"12",
- X"8A",X"21",X"F5",X"89",X"CB",X"96",X"AF",X"32",X"F6",X"89",X"3A",X"14",X"8A",X"A7",X"28",X"36",
- X"21",X"A8",X"8B",X"36",X"00",X"3A",X"16",X"8A",X"A7",X"20",X"0E",X"3C",X"32",X"16",X"8A",X"CD",
- X"D5",X"2A",X"36",X"00",X"CD",X"DF",X"2A",X"36",X"01",X"CD",X"02",X"2A",X"CD",X"52",X"2A",X"21",
- X"29",X"8A",X"35",X"3A",X"A9",X"8B",X"A7",X"28",X"43",X"AF",X"32",X"A9",X"8B",X"32",X"16",X"8A",
- X"21",X"14",X"8A",X"35",X"18",X"36",X"3A",X"13",X"8A",X"A7",X"28",X"30",X"21",X"A8",X"8B",X"36",
- X"01",X"3A",X"15",X"8A",X"A7",X"20",X"0E",X"3C",X"32",X"15",X"8A",X"CD",X"D5",X"2A",X"36",X"00",
- X"CD",X"DF",X"2A",X"36",X"01",X"CD",X"02",X"2A",X"CD",X"52",X"2A",X"3A",X"A9",X"8B",X"A7",X"28",
- X"0B",X"AF",X"32",X"A9",X"8B",X"32",X"15",X"8A",X"21",X"13",X"8A",X"35",X"21",X"88",X"8B",X"11",
- X"11",X"A1",X"01",X"04",X"00",X"ED",X"B0",X"3A",X"94",X"8B",X"32",X"15",X"A1",X"3A",X"97",X"8B",
- X"32",X"05",X"A1",X"21",X"8C",X"8B",X"11",X"16",X"A1",X"01",X"04",X"00",X"ED",X"B0",X"3A",X"95",
- X"8B",X"32",X"1A",X"A1",X"3A",X"98",X"8B",X"32",X"0A",X"A1",X"21",X"90",X"8B",X"11",X"1B",X"A1",
- X"01",X"04",X"00",X"ED",X"B0",X"3A",X"96",X"8B",X"32",X"1F",X"A1",X"3A",X"99",X"8B",X"32",X"0F",
- X"A1",X"C9",X"21",X"97",X"8B",X"22",X"9E",X"8B",X"21",X"88",X"8B",X"22",X"9A",X"8B",X"21",X"94",
- X"8B",X"22",X"9C",X"8B",X"C9",X"21",X"98",X"8B",X"22",X"9E",X"8B",X"21",X"8C",X"8B",X"22",X"9A",
- X"8B",X"21",X"95",X"8B",X"22",X"9C",X"8B",X"C9",X"21",X"99",X"8B",X"22",X"9E",X"8B",X"21",X"90",
- X"8B",X"22",X"9A",X"8B",X"21",X"96",X"8B",X"22",X"9C",X"8B",X"C9",X"CD",X"D5",X"2A",X"36",X"00",
- X"23",X"36",X"00",X"23",X"36",X"00",X"CD",X"DF",X"2A",X"36",X"01",X"23",X"36",X"01",X"23",X"36",
- X"01",X"C9",X"21",X"F5",X"89",X"CB",X"86",X"3A",X"A8",X"8B",X"21",X"58",X"2B",X"CD",X"F4",X"2A",
- X"5E",X"23",X"56",X"CD",X"D5",X"2A",X"7E",X"EB",X"CD",X"F8",X"2A",X"7E",X"FE",X"FF",X"CA",X"E9",
- X"2A",X"E6",X"0F",X"EB",X"21",X"FD",X"2A",X"CD",X"F4",X"2A",X"4E",X"23",X"46",X"EB",X"7E",X"E6",
- X"F0",X"CB",X"3F",X"CB",X"3F",X"CB",X"3F",X"CB",X"3F",X"A7",X"28",X"05",X"CB",X"38",X"CB",X"19",
- X"3D",X"20",X"F9",X"ED",X"5B",X"9A",X"8B",X"79",X"12",X"0F",X"0F",X"0F",X"0F",X"13",X"12",X"78",
- X"13",X"12",X"0F",X"0F",X"0F",X"0F",X"13",X"12",X"EB",X"CD",X"DF",X"2A",X"4E",X"EB",X"3E",X"08",
- X"ED",X"5B",X"9C",X"8B",X"12",X"E5",X"21",X"68",X"8A",X"3A",X"A8",X"8B",X"CD",X"F8",X"2A",X"7E",
- X"ED",X"5B",X"9E",X"8B",X"12",X"E1",X"79",X"23",X"BE",X"D8",X"CD",X"D5",X"2A",X"34",X"34",X"CD",
- X"DF",X"2A",X"36",X"00",X"C9",X"21",X"48",X"8A",X"3A",X"A8",X"8B",X"CD",X"F8",X"2A",X"C9",X"21",
- X"28",X"8A",X"3A",X"A8",X"8B",X"CD",X"F8",X"2A",X"C9",X"2A",X"9C",X"8B",X"36",X"00",X"3E",X"01",
- X"32",X"A9",X"8B",X"C9",X"87",X"30",X"01",X"24",X"85",X"6F",X"D0",X"24",X"C9",X"50",X"81",X"00",
- X"89",X"26",X"91",X"C8",X"99",X"EC",X"A2",X"9D",X"AC",X"E0",X"B6",X"C0",X"C1",X"45",X"CD",X"7A",
- X"D9",X"69",X"E6",X"1C",X"F4",X"00",X"00",X"21",X"3C",X"2B",X"11",X"68",X"8A",X"01",X"18",X"00",
- X"ED",X"B0",X"3E",X"01",X"32",X"AE",X"8B",X"21",X"08",X"8A",X"06",X"18",X"36",X"00",X"23",X"10",
- X"FB",X"AF",X"32",X"94",X"8B",X"32",X"95",X"8B",X"32",X"96",X"8B",X"C9",X"06",X"07",X"00",X"07",
- X"07",X"04",X"07",X"07",X"07",X"07",X"07",X"07",X"00",X"07",X"07",X"04",X"07",X"07",X"06",X"05",
- X"07",X"04",X"07",X"07",X"10",X"0D",X"0D",X"FF",X"05",X"2D",X"FC",X"2C",X"6F",X"2C",X"8E",X"2C",
- X"72",X"2C",X"9D",X"2C",X"A6",X"2C",X"BD",X"2C",X"D6",X"2C",X"D8",X"2C",X"DA",X"2C",X"EB",X"2C",
- X"00",X"00",X"88",X"2B",X"09",X"2C",X"0E",X"2D",X"45",X"2D",X"42",X"2E",X"D4",X"2E",X"06",X"2F",
- X"26",X"2F",X"4B",X"2F",X"BB",X"2F",X"39",X"2C",X"93",X"08",X"0C",X"04",X"93",X"08",X"0C",X"04",
- X"83",X"08",X"0C",X"04",X"83",X"08",X"0C",X"04",X"93",X"08",X"0C",X"04",X"93",X"08",X"0C",X"04",
- X"83",X"08",X"0C",X"04",X"83",X"08",X"0C",X"04",X"93",X"08",X"0C",X"04",X"93",X"08",X"0C",X"04",
- X"83",X"08",X"0C",X"04",X"83",X"08",X"0C",X"04",X"93",X"08",X"0C",X"04",X"93",X"08",X"0C",X"04",
- X"83",X"08",X"0C",X"04",X"83",X"08",X"0C",X"04",X"A8",X"08",X"0C",X"04",X"A8",X"08",X"0C",X"04",
- X"98",X"08",X"0C",X"04",X"98",X"08",X"0C",X"04",X"A8",X"08",X"0C",X"04",X"A8",X"08",X"0C",X"04",
- X"98",X"08",X"0C",X"04",X"98",X"08",X"0C",X"04",X"93",X"08",X"0C",X"04",X"93",X"08",X"0C",X"04",
- X"83",X"08",X"0C",X"04",X"83",X"08",X"0C",X"04",X"93",X"08",X"0C",X"04",X"93",X"08",X"0C",X"04",
- X"83",X"08",X"0C",X"04",X"83",X"08",X"0C",X"04",X"FF",X"7A",X"18",X"77",X"0C",X"7A",X"0C",X"60",
- X"0C",X"77",X"0C",X"7A",X"0C",X"60",X"0C",X"77",X"0C",X"75",X"0C",X"73",X"0C",X"70",X"0C",X"73",
- X"30",X"70",X"0C",X"73",X"0C",X"75",X"0C",X"73",X"0C",X"77",X"0C",X"75",X"0C",X"73",X"0C",X"75",
- X"0C",X"77",X"0C",X"73",X"18",X"70",X"0C",X"8A",X"30",X"73",X"0C",X"71",X"0C",X"8A",X"0C",X"73",
- X"0C",X"72",X"0C",X"8A",X"0C",X"88",X"0C",X"8A",X"0C",X"88",X"0C",X"86",X"0C",X"83",X"0C",X"88",
- X"0C",X"87",X"0C",X"83",X"24",X"88",X"0C",X"86",X"0C",X"83",X"0C",X"81",X"0C",X"83",X"0C",X"86",
- X"0C",X"88",X"0C",X"89",X"0C",X"8A",X"0C",X"72",X"0C",X"8A",X"0C",X"88",X"0C",X"87",X"30",X"A1",
- X"04",X"A6",X"78",X"02",X"7B",X"02",X"61",X"02",X"63",X"02",X"66",X"02",X"68",X"02",X"6B",X"02",
- X"78",X"02",X"7B",X"02",X"61",X"02",X"63",X"02",X"66",X"02",X"68",X"02",X"6B",X"02",X"7B",X"02",
- X"61",X"02",X"63",X"02",X"66",X"02",X"68",X"02",X"6B",X"02",X"51",X"02",X"FF",X"96",X"01",X"97",
- X"01",X"98",X"01",X"99",X"01",X"FF",X"89",X"0C",X"0C",X"03",X"89",X"0C",X"0C",X"03",X"89",X"0C",
- X"0C",X"03",X"89",X"0C",X"0C",X"03",X"89",X"0C",X"0C",X"03",X"89",X"0C",X"FF",X"68",X"04",X"6A",
- X"04",X"68",X"04",X"6A",X"04",X"68",X"04",X"6A",X"04",X"68",X"04",X"6A",X"04",X"68",X"04",X"6A",
- X"04",X"68",X"04",X"6A",X"04",X"FF",X"0C",X"08",X"0C",X"08",X"76",X"0C",X"7A",X"0C",X"61",X"0C",
- X"78",X"0C",X"7B",X"0C",X"7A",X"0C",X"66",X"12",X"0C",X"10",X"FF",X"63",X"01",X"65",X"05",X"67",
- X"05",X"68",X"05",X"6A",X"05",X"50",X"05",X"52",X"05",X"53",X"05",X"FF",X"79",X"04",X"61",X"04",
- X"7B",X"04",X"62",X"04",X"FF",X"64",X"04",X"61",X"04",X"63",X"04",X"7B",X"04",X"FF",X"93",X"0C",
- X"AA",X"06",X"91",X"06",X"93",X"06",X"96",X"06",X"98",X"06",X"9A",X"06",X"83",X"06",X"81",X"06",
- X"83",X"06",X"0C",X"06",X"86",X"0C",X"83",X"06",X"81",X"06",X"93",X"0C",X"AA",X"06",X"91",X"06",
- X"93",X"06",X"96",X"06",X"98",X"06",X"9A",X"06",X"83",X"06",X"81",X"06",X"99",X"06",X"9A",X"06",
- X"97",X"0C",X"0C",X"0C",X"FF",X"93",X"08",X"0C",X"04",X"93",X"08",X"0C",X"04",X"83",X"08",X"0C",
- X"04",X"83",X"08",X"0C",X"04",X"93",X"08",X"0C",X"04",X"93",X"08",X"0C",X"04",X"83",X"08",X"0C",
- X"04",X"83",X"08",X"0C",X"04",X"93",X"08",X"0C",X"04",X"93",X"08",X"0C",X"04",X"83",X"08",X"0C",
- X"04",X"83",X"08",X"0C",X"04",X"93",X"08",X"0C",X"04",X"93",X"08",X"0C",X"04",X"83",X"08",X"0C",
- X"04",X"83",X"08",X"0C",X"04",X"93",X"08",X"0C",X"04",X"93",X"08",X"0C",X"04",X"83",X"08",X"0C",
- X"04",X"83",X"08",X"0C",X"04",X"93",X"08",X"0C",X"04",X"93",X"08",X"0C",X"04",X"83",X"08",X"0C",
- X"04",X"83",X"08",X"0C",X"04",X"AA",X"08",X"0C",X"04",X"AA",X"08",X"0C",X"04",X"9A",X"08",X"0C",
- X"04",X"9A",X"08",X"0C",X"04",X"9A",X"08",X"0C",X"04",X"AA",X"08",X"0C",X"04",X"90",X"08",X"0C",
- X"04",X"92",X"08",X"0C",X"04",X"93",X"08",X"0C",X"04",X"93",X"08",X"0C",X"04",X"83",X"08",X"0C",
- X"04",X"83",X"08",X"0C",X"04",X"93",X"08",X"0C",X"04",X"93",X"08",X"0C",X"04",X"83",X"08",X"0C",
- X"04",X"83",X"08",X"0C",X"04",X"93",X"08",X"0C",X"04",X"93",X"08",X"0C",X"04",X"83",X"08",X"0C",
- X"04",X"83",X"08",X"0C",X"04",X"93",X"08",X"0C",X"04",X"93",X"08",X"0C",X"04",X"83",X"08",X"0C",
- X"04",X"83",X"08",X"0C",X"04",X"93",X"08",X"0C",X"04",X"93",X"08",X"0C",X"04",X"83",X"08",X"0C",
- X"04",X"83",X"08",X"0C",X"04",X"93",X"08",X"0C",X"04",X"93",X"08",X"0C",X"04",X"83",X"08",X"0C",
- X"04",X"83",X"08",X"0C",X"04",X"A8",X"09",X"0C",X"04",X"98",X"08",X"0C",X"04",X"AA",X"09",X"0C",
- X"04",X"9A",X"08",X"0C",X"04",X"93",X"08",X"0C",X"04",X"83",X"08",X"0C",X"04",X"83",X"0C",X"0C",
- X"0C",X"FF",X"73",X"0A",X"0C",X"02",X"73",X"0C",X"70",X"0C",X"8A",X"0C",X"73",X"0C",X"0C",X"0C",
- X"76",X"18",X"73",X"0A",X"0C",X"02",X"73",X"0C",X"70",X"0C",X"8A",X"0C",X"73",X"0C",X"0C",X"0C",
- X"70",X"18",X"73",X"0A",X"0C",X"02",X"73",X"0C",X"70",X"0C",X"8A",X"0C",X"73",X"0C",X"76",X"0C",
- X"78",X"0C",X"79",X"0C",X"7A",X"0C",X"79",X"06",X"7A",X"06",X"79",X"06",X"7A",X"06",X"79",X"06",
- X"7A",X"04",X"0C",X"02",X"7A",X"14",X"0C",X"04",X"7A",X"06",X"78",X"06",X"77",X"06",X"75",X"06",
- X"73",X"0A",X"0C",X"02",X"73",X"0C",X"70",X"0C",X"8A",X"0C",X"73",X"0C",X"0C",X"0C",X"76",X"18",
- X"73",X"0A",X"0C",X"02",X"73",X"0C",X"70",X"0C",X"8A",X"0C",X"73",X"0C",X"0C",X"0C",X"70",X"18",
- X"73",X"0A",X"0C",X"02",X"73",X"0C",X"70",X"0C",X"8A",X"0C",X"73",X"0C",X"76",X"0C",X"78",X"0C",
- X"79",X"0C",X"63",X"0C",X"7A",X"06",X"78",X"06",X"76",X"0C",X"73",X"0C",X"71",X"0C",X"72",X"0C",
- X"73",X"0C",X"0C",X"0C",X"78",X"0C",X"7A",X"04",X"61",X"0C",X"65",X"10",X"61",X"04",X"7A",X"10",
- X"78",X"0C",X"7A",X"04",X"61",X"0C",X"64",X"10",X"61",X"04",X"7A",X"10",X"78",X"0C",X"7A",X"04",
- X"61",X"0C",X"65",X"10",X"61",X"04",X"65",X"0C",X"66",X"04",X"68",X"0C",X"66",X"04",X"64",X"0C",
- X"61",X"04",X"64",X"0C",X"61",X"04",X"78",X"1C",X"75",X"10",X"71",X"14",X"78",X"1C",X"74",X"10",
- X"71",X"14",X"78",X"1C",X"75",X"10",X"71",X"10",X"78",X"04",X"74",X"0C",X"71",X"04",X"8B",X"0C",
- X"88",X"04",X"70",X"0C",X"71",X"04",X"91",X"10",X"81",X"10",X"91",X"10",X"81",X"10",X"91",X"10",
- X"81",X"10",X"91",X"10",X"81",X"10",X"91",X"10",X"81",X"10",X"91",X"10",X"81",X"10",X"A6",X"0C",
- X"96",X"04",X"A8",X"0C",X"98",X"04",X"91",X"0C",X"81",X"04",X"FF",X"88",X"10",X"85",X"0C",X"88",
- X"04",X"71",X"10",X"88",X"0C",X"85",X"04",X"86",X"0A",X"0C",X"02",X"86",X"03",X"0C",X"01",X"86",
- X"0C",X"85",X"04",X"86",X"10",X"0C",X"10",X"86",X"10",X"83",X"0C",X"86",X"04",X"70",X"10",X"8A",
- X"0C",X"88",X"04",X"85",X"0A",X"0C",X"02",X"85",X"03",X"0C",X"01",X"85",X"0C",X"84",X"04",X"85",
- X"10",X"0C",X"10",X"81",X"10",X"85",X"0C",X"88",X"04",X"71",X"10",X"88",X"0C",X"85",X"04",X"86",
- X"0A",X"0C",X"02",X"86",X"03",X"0C",X"01",X"86",X"0C",X"88",X"04",X"8A",X"10",X"0C",X"10",X"8A",
- X"0A",X"0C",X"02",X"8A",X"04",X"73",X"0C",X"71",X"04",X"70",X"0C",X"71",X"04",X"88",X"0C",X"85",
- X"04",X"88",X"0C",X"86",X"04",X"85",X"0C",X"83",X"04",X"81",X"10",X"81",X"10",X"88",X"10",X"81",
- X"10",X"88",X"10",X"80",X"10",X"88",X"10",X"80",X"10",X"88",X"10",X"80",X"10",X"86",X"10",X"83",
- X"10",X"80",X"10",X"81",X"10",X"85",X"0C",X"86",X"04",X"88",X"10",X"98",X"10",X"81",X"10",X"88",
- X"10",X"81",X"10",X"88",X"10",X"86",X"10",X"71",X"10",X"86",X"10",X"71",X"10",X"86",X"10",X"8A",
- X"10",X"88",X"10",X"81",X"10",X"83",X"10",X"98",X"10",X"81",X"10",X"FF",X"00",X"00",X"00",X"00",
- X"00",X"01",X"FE",X"00",X"77",X"D8",X"1E",X"FE",X"77",X"D8",X"9E",X"00",X"00",X"00",X"80",X"EE",
- X"7E",X"F8",X"1E",X"EE",X"00",X"01",X"DE",X"00",X"0F",X"D7",X"DE",X"FE",X"20",X"57",X"00",X"00",
- X"2F",X"57",X"77",X"FD",X"28",X"57",X"70",X"05",X"2B",X"50",X"74",X"05",X"2B",X"57",X"75",X"F5",
- X"68",X"57",X"75",X"F5",X"6B",X"D0",X"04",X"05",X"08",X"17",X"67",X"3D",X"6B",X"F7",X"67",X"3D",
- X"60",X"07",X"67",X"3D",X"7F",X"F7",X"00",X"01",X"03",X"00",X"00",X"3B",X"7B",X"7F",X"3F",X"3B",
- X"78",X"07",X"3F",X"03",X"7B",X"77",X"03",X"39",X"03",X"70",X"33",X"39",X"BF",X"7F",X"33",X"3D",
- X"80",X"00",X"30",X"01",X"BF",X"7F",X"3F",X"3D",X"BF",X"7F",X"3F",X"3D",X"80",X"00",X"00",X"01",
- X"BB",X"7B",X"3B",X"3D",X"BB",X"7B",X"3B",X"3D",X"BB",X"60",X"03",X"31",X"BB",X"6B",X"3B",X"35",
- X"80",X"03",X"38",X"35",X"B7",X"7B",X"03",X"04",X"37",X"7B",X"3B",X"3E",X"00",X"1B",X"3B",X"3E",
- X"3D",X"C0",X"00",X"00",X"3D",X"C0",X"00",X"00",X"00",X"76",X"EF",X"36",X"37",X"76",X"EF",X"36",
- X"37",X"70",X"0F",X"36",X"37",X"76",X"E0",X"30",X"30",X"06",X"0B",X"36",X"37",X"DE",X"EB",X"36",
- X"37",X"DE",X"EB",X"36",X"00",X"00",X"08",X"06",X"DD",X"BE",X"EB",X"36",X"DD",X"BE",X"EB",X"36",
- X"C0",X"00",X"03",X"36",X"DD",X"AA",X"AB",X"36",X"DD",X"AA",X"AB",X"00",X"0C",X"2A",X"A8",X"3E",
- X"61",X"AA",X"AB",X"3E",X"6F",X"AA",X"AB",X"06",X"6F",X"AA",X"AB",X"36",X"00",X"00",X"00",X"30",
- X"0B",X"05",X"17",X"05",X"17",X"05",X"15",X"09",X"15",X"09",X"01",X"0E",X"01",X"0E",X"05",X"0F",
- X"18",X"11",X"18",X"11",X"06",X"14",X"14",X"16",X"11",X"1B",X"0B",X"20",X"01",X"23",X"1C",X"2B",
- X"FF",X"F8",X"00",X"00",X"80",X"0A",X"AF",X"DE",X"BD",X"EA",X"AF",X"DE",X"A0",X"2A",X"A0",X"02",
- X"AD",X"AA",X"AE",X"DA",X"A8",X"AA",X"AE",X"DA",X"A8",X"A8",X"00",X"00",X"AA",X"AA",X"DB",X"FA",
- X"AA",X"AA",X"DA",X"02",X"8A",X"82",X"DA",X"FA",X"AA",X"AA",X"DA",X"82",X"A8",X"A8",X"00",X"3A",
- X"A8",X"AA",X"DA",X"82",X"AD",X"AA",X"DA",X"FA",X"A0",X"2A",X"DA",X"02",X"BD",X"EA",X"DB",X"FA",
- X"80",X"08",X"00",X"00",X"FD",X"FA",X"DB",X"7A",X"00",X"02",X"DB",X"7A",X"AD",X"EE",X"C0",X"02",
- X"AD",X"EE",X"FB",X"DA",X"AD",X"EE",X"FB",X"DA",X"AD",X"EE",X"FB",X"DA",X"20",X"00",X"03",X"DA",
- X"2E",X"F7",X"E0",X"00",X"2E",X"C1",X"00",X"00",X"20",X"DD",X"7B",X"BE",X"2E",X"DD",X"7B",X"BE",
- X"2E",X"DC",X"7B",X"BE",X"00",X"00",X"71",X"B0",X"2E",X"7C",X"75",X"B6",X"2E",X"7C",X"75",X"B6",
- X"28",X"1C",X"00",X"06",X"08",X"1C",X"75",X"B6",X"29",X"9C",X"75",X"B6",X"29",X"9C",X"71",X"B0",
- X"28",X"00",X"7B",X"BE",X"2F",X"EC",X"7B",X"BE",X"00",X"0C",X"78",X"00",X"6D",X"AC",X"7B",X"FE",
- X"6D",X"A0",X"03",X"00",X"00",X"0E",X"DB",X"76",X"6D",X"AE",X"18",X"06",X"6D",X"AE",X"FB",X"FE",
- X"00",X"20",X"00",X"00",X"6D",X"AE",X"EF",X"BB",X"6D",X"AE",X"EF",X"BB",X"00",X"00",X"03",X"BB",
- X"EF",X"6A",X"A8",X"00",X"EF",X"2A",X"AB",X"BE",X"EF",X"AA",X"A8",X"00",X"01",X"AA",X"AB",X"F6",
- X"6D",X"AA",X"AA",X"06",X"6C",X"00",X"02",X"F6",X"6D",X"BE",X"FA",X"F6",X"00",X"00",X"00",X"00",
- X"18",X"03",X"16",X"0B",X"1F",X"0B",X"14",X"10",X"14",X"10",X"01",X"18",X"01",X"18",X"16",X"20",
- X"16",X"20",X"1F",X"20",X"0C",X"24",X"1A",X"28",X"03",X"29",X"17",X"30",X"07",X"35",X"07",X"35",
- X"00",X"00",X"0E",X"00",X"3F",X"7A",X"AE",X"EE",X"20",X"7A",X"A0",X"E0",X"20",X"7A",X"AE",X"EE",
- X"20",X"02",X"AE",X"0E",X"3F",X"DA",X"AF",X"BE",X"0F",X"D8",X"0F",X"BE",X"2F",X"DE",X"E0",X"00",
- X"20",X"00",X"EF",X"B2",X"2D",X"DE",X"EF",X"B2",X"2D",X"DE",X"00",X"32",X"01",X"DE",X"AF",X"B2",
- X"7D",X"DE",X"AF",X"B2",X"7D",X"C0",X"AF",X"B0",X"7D",X"DE",X"AC",X"02",X"7D",X"DE",X"2D",X"F2",
- X"00",X"1E",X"AD",X"F2",X"7D",X"DE",X"AD",X"F2",X"7D",X"DE",X"AD",X"F2",X"7D",X"C0",X"00",X"00",
- X"7D",X"F6",X"0F",X"6C",X"60",X"37",X"FF",X"6C",X"67",X"34",X"01",X"6D",X"07",X"05",X"9D",X"6D",
- X"60",X"34",X"01",X"61",X"7D",X"F5",X"9D",X"7D",X"7D",X"F4",X"01",X"7D",X"7D",X"F7",X"9F",X"01",
- X"00",X"07",X"9F",X"7D",X"00",X"07",X"9F",X"7D",X"6D",X"B0",X"00",X"00",X"6D",X"B0",X"00",X"00",
- X"6D",X"B7",X"DE",X"FE",X"0D",X"87",X"DE",X"FE",X"7D",X"EF",X"DE",X"1E",X"7D",X"EF",X"06",X"DE",
- X"00",X"00",X"76",X"C6",X"7D",X"EF",X"70",X"F6",X"7D",X"EF",X"06",X"F0",X"0D",X"8F",X"76",X"FE",
- X"6D",X"B8",X"76",X"FE",X"6D",X"83",X"00",X"00",X"6D",X"B7",X"7B",X"DE",X"60",X"37",X"7B",X"DE",
- X"7D",X"80",X"1B",X"DE",X"7D",X"AE",X"D8",X"00",X"00",X"2E",X"DB",X"FE",X"7F",X"A0",X"00",X"00",
- X"7F",X"AA",X"AB",X"BE",X"70",X"2A",X"AA",X"20",X"77",X"AA",X"AA",X"AA",X"07",X"AA",X"AA",X"AA",
- X"7F",X"AA",X"AA",X"AA",X"70",X"00",X"02",X"82",X"77",X"BF",X"BA",X"FA",X"00",X"00",X"00",X"00",
- X"1B",X"02",X"08",X"03",X"08",X"03",X"0C",X"08",X"00",X"0A",X"1E",X"0D",X"11",X"0E",X"11",X"0E",
- X"06",X"13",X"1E",X"14",X"0C",X"21",X"0C",X"21",X"14",X"25",X"14",X"25",X"1C",X"2D",X"07",X"2E",
- X"00",X"00",X"00",X"00",X"7F",X"78",X"1D",X"FE",X"1F",X"78",X"1D",X"FE",X"4F",X"78",X"1C",X"00",
- X"67",X"7A",X"5E",X"F4",X"70",X"1A",X"5E",X"F4",X"7B",X"DA",X"5E",X"F4",X"7B",X"82",X"40",X"74",
- X"7B",X"BA",X"5F",X"74",X"7B",X"9A",X"5F",X"74",X"03",X"DA",X"5F",X"74",X"77",X"D8",X"1F",X"04",
- X"70",X"1E",X"7F",X"B4",X"7D",X"DE",X"7F",X"B4",X"7D",X"D0",X"0F",X"B4",X"7D",X"D0",X"0F",X"B0",
- X"7D",X"D3",X"CF",X"BC",X"00",X"03",X"C0",X"00",X"00",X"03",X"C0",X"5E",X"DD",X"F3",X"CD",X"1E",
- X"DD",X"F0",X"0D",X"DE",X"DD",X"F0",X"08",X"42",X"00",X"1E",X"7B",X"7A",X"DD",X"DE",X"63",X"00",
- X"DD",X"DE",X"6F",X"DA",X"DD",X"DE",X"6E",X"1A",X"C0",X"00",X"6E",X"FA",X"DD",X"D6",X"6E",X"FA",
- X"DD",X"D6",X"6E",X"FA",X"0D",X"D6",X"6E",X"FA",X"60",X"00",X"00",X"F0",X"60",X"0E",X"6E",X"F6",
- X"6F",X"EE",X"6E",X"F0",X"20",X"2E",X"6E",X"F7",X"27",X"2E",X"6C",X"37",X"20",X"2E",X"6D",X"87",
- X"2F",X"20",X"6D",X"BF",X"01",X"2E",X"01",X"BF",X"2D",X"2E",X"6C",X"00",X"2D",X"2E",X"6D",X"BE",
- X"25",X"2E",X"6D",X"B8",X"35",X"2E",X"60",X"3A",X"35",X"2E",X"6D",X"BA",X"71",X"2E",X"6D",X"80",
- X"7D",X"2E",X"6F",X"BE",X"7D",X"2E",X"6F",X"A2",X"40",X"00",X"00",X"0A",X"55",X"2A",X"AB",X"6A",
- X"55",X"2A",X"AB",X"6A",X"15",X"2A",X"AB",X"62",X"75",X"2A",X"AB",X"6A",X"05",X"2A",X"AB",X"6A",
- X"7D",X"20",X"03",X"6A",X"7D",X"2F",X"FB",X"60",X"01",X"20",X"00",X"7E",X"00",X"00",X"00",X"00",
- X"1F",X"04",X"1F",X"04",X"1F",X"0F",X"18",X"11",X"18",X"11",X"06",X"14",X"10",X"16",X"10",X"16",
- X"0B",X"1E",X"0F",X"21",X"00",X"22",X"08",X"23",X"08",X"23",X"17",X"26",X"17",X"36",X"05",X"37",
- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
- X"FF",X"FF",X"FF",X"FF",X"00",X"01",X"FF",X"FF",X"FF",X"F7",X"FF",X"FF",X"FF",X"F7",X"07",X"FF",
- X"FF",X"F7",X"77",X"FF",X"FF",X"F7",X"77",X"FF",X"FF",X"F0",X"77",X"FF",X"FF",X"F7",X"77",X"FF",
- X"FF",X"F7",X"77",X"FF",X"FF",X"F0",X"07",X"FF",X"FF",X"F7",X"7F",X"FF",X"FF",X"F7",X"7F",X"FF",
- X"FF",X"F7",X"7F",X"FF",X"FF",X"F7",X"00",X"3F",X"FF",X"00",X"00",X"3F",X"FF",X"7F",X"3F",X"3F",
- X"F8",X"7F",X"FF",X"3F",X"FB",X"7F",X"07",X"3F",X"83",X"7F",X"37",X"3F",X"BF",X"7F",X"33",X"3F",
- X"80",X"00",X"30",X"01",X"FF",X"FF",X"3F",X"FD",X"FF",X"FF",X"3F",X"FD",X"FF",X"00",X"03",X"81",
- X"FF",X"7F",X"FB",X"BD",X"FF",X"7F",X"FB",X"BD",X"FF",X"60",X"7B",X"BD",X"FF",X"6F",X"7B",X"BD",
- X"87",X"0F",X"78",X"3D",X"B7",X"FF",X"7F",X"BC",X"37",X"FF",X"7F",X"BE",X"70",X"1F",X"7F",X"FE",
- X"7F",X"C0",X"00",X"06",X"7F",X"FF",X"00",X"30",X"7F",X"FF",X"FF",X"B6",X"7F",X"FF",X"FF",X"B6",
- X"7F",X"FF",X"FF",X"B6",X"7F",X"FF",X"FF",X"B0",X"7F",X"FF",X"FF",X"BF",X"7F",X"FF",X"FF",X"BF",
- X"7F",X"FF",X"FF",X"BF",X"00",X"00",X"FF",X"87",X"FD",X"FE",X"FF",X"F7",X"FD",X"FE",X"FF",X"F7",
- X"FD",X"80",X"0F",X"F7",X"FD",X"BE",X"EF",X"F7",X"FD",X"BE",X"EF",X"F0",X"FD",X"BF",X"EF",X"FE",
- X"E1",X"BF",X"EF",X"FE",X"EF",X"BF",X"EF",X"86",X"EF",X"BF",X"EF",X"B6",X"E0",X"3F",X"E0",X"30",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"04",X"00",X"1F",X"00",X"0C",X"08",X"05",X"0A",X"1C",X"0B",X"09",X"10",X"0C",X"15",X"18",X"18",
- X"08",X"1B",X"1C",X"1F",X"10",X"20",X"05",X"26",X"0C",X"28",X"16",X"29",X"1F",X"2D",X"01",X"33",
- X"17",X"00",X"01",X"01",X"11",X"05",X"07",X"09",X"0A",X"09",X"19",X"0B",X"17",X"13",X"1F",X"14",
- X"09",X"17",X"15",X"1D",X"01",X"1E",X"05",X"24",X"06",X"26",X"1C",X"2C",X"03",X"33",X"19",X"34",
- X"19",X"00",X"01",X"01",X"04",X"03",X"17",X"0A",X"1F",X"10",X"11",X"14",X"0E",X"16",X"03",X"18",
- X"12",X"1B",X"1B",X"1F",X"06",X"20",X"1B",X"24",X"12",X"29",X"18",X"2D",X"06",X"31",X"1B",X"35",
- X"03",X"00",X"1A",X"03",X"0A",X"0A",X"00",X"0C",X"10",X"0F",X"0D",X"11",X"12",X"12",X"0F",X"14",
- X"1F",X"1B",X"06",X"1C",X"0C",X"1C",X"00",X"25",X"13",X"26",X"04",X"28",X"1D",X"31",X"02",X"33",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"31",X"4C",X"38",X"C9",X"E5",X"D5",X"C5",X"F5",X"DD",X"E5",X"FD",X"E5",X"AF",X"32",X"81",X"A1",
- X"32",X"80",X"A0",X"3C",X"32",X"81",X"A1",X"CD",X"52",X"3A",X"CD",X"E1",X"3A",X"CD",X"4A",X"3B",
- X"CD",X"6B",X"3B",X"CD",X"F6",X"3B",X"CD",X"0C",X"3C",X"CD",X"38",X"3C",X"CF",X"CD",X"DD",X"3D",
- X"3A",X"00",X"A0",X"21",X"80",X"A0",X"B6",X"2F",X"0F",X"E6",X"01",X"21",X"05",X"80",X"AE",X"32",
- X"83",X"A1",X"FD",X"E1",X"DD",X"E1",X"F1",X"C1",X"D1",X"E1",X"FB",X"C9",X"11",X"39",X"67",X"39",
- X"B1",X"39",X"00",X"9C",X"0F",X"04",X"B1",X"39",X"00",X"9C",X"F0",X"04",X"B1",X"39",X"00",X"98",
- X"0F",X"04",X"B1",X"39",X"00",X"98",X"F0",X"04",X"B1",X"39",X"00",X"88",X"0F",X"04",X"B1",X"39",
- X"00",X"88",X"F0",X"04",X"B1",X"39",X"00",X"80",X"0F",X"04",X"B1",X"39",X"00",X"80",X"F0",X"04",
- X"B1",X"39",X"00",X"8C",X"0F",X"04",X"B1",X"39",X"00",X"8C",X"F0",X"04",X"46",X"3A",X"B1",X"39",
- X"00",X"84",X"0F",X"04",X"B1",X"39",X"00",X"84",X"F0",X"04",X"32",X"3A",X"9E",X"38",X"31",X"00",
- X"84",X"21",X"00",X"80",X"11",X"01",X"80",X"01",X"FF",X"03",X"36",X"00",X"ED",X"B0",X"21",X"00",
- X"88",X"11",X"01",X"88",X"01",X"FF",X"03",X"36",X"00",X"ED",X"B0",X"CD",X"1E",X"39",X"3E",X"01",
- X"32",X"84",X"A1",X"32",X"85",X"A1",X"21",X"A0",X"02",X"22",X"69",X"80",X"ED",X"5E",X"3E",X"3F",
- X"ED",X"47",X"3E",X"FE",X"D3",X"00",X"3E",X"01",X"32",X"81",X"A1",X"32",X"82",X"A1",X"FB",X"3A",
- X"00",X"A1",X"CB",X"47",X"28",X"F9",X"F3",X"AF",X"32",X"81",X"A1",X"32",X"82",X"A1",X"CD",X"1D",
- X"3E",X"01",X"00",X"00",X"3E",X"02",X"32",X"80",X"A0",X"3D",X"20",X"FA",X"0D",X"20",X"F5",X"10",
- X"F3",X"32",X"80",X"A0",X"3A",X"00",X"A1",X"CB",X"47",X"28",X"F6",X"CD",X"59",X"39",X"C3",X"03",
- X"00",X"21",X"00",X"84",X"11",X"01",X"84",X"01",X"FF",X"03",X"36",X"40",X"ED",X"B0",X"21",X"00",
- X"8C",X"11",X"01",X"8C",X"01",X"FF",X"03",X"36",X"66",X"ED",X"B0",X"21",X"40",X"80",X"01",X"08",
- X"1C",X"3E",X"40",X"57",X"3E",X"20",X"91",X"5F",X"7A",X"51",X"77",X"23",X"15",X"20",X"FB",X"19",
- X"10",X"F7",X"21",X"40",X"88",X"01",X"08",X"1C",X"3E",X"66",X"57",X"3E",X"20",X"91",X"5F",X"7A",
- X"51",X"77",X"23",X"15",X"20",X"FB",X"19",X"10",X"F7",X"21",X"00",X"A0",X"01",X"00",X"02",X"71",
- X"2C",X"20",X"FC",X"24",X"10",X"F9",X"C9",X"11",X"F9",X"3F",X"21",X"00",X"00",X"01",X"00",X"10",
- X"32",X"80",X"A0",X"79",X"86",X"4F",X"2C",X"20",X"FA",X"24",X"10",X"F4",X"1A",X"B9",X"20",X"0F",
- X"13",X"7B",X"FE",X"FD",X"38",X"E7",X"3E",X"4F",X"32",X"8B",X"84",X"3E",X"4B",X"18",X"03",X"7B",
- X"D6",X"F8",X"32",X"8C",X"84",X"06",X"4F",X"21",X"86",X"84",X"36",X"4D",X"2D",X"70",X"2D",X"36",
- X"52",X"FE",X"4B",X"C8",X"32",X"80",X"A0",X"3A",X"00",X"A1",X"CB",X"47",X"28",X"F6",X"C3",X"00",
- X"00",X"16",X"0F",X"E1",X"C1",X"5A",X"32",X"80",X"A0",X"7B",X"0F",X"0F",X"0F",X"0F",X"83",X"80",
- X"A1",X"77",X"7B",X"87",X"87",X"83",X"3C",X"5F",X"2C",X"20",X"EE",X"24",X"10",X"E8",X"3B",X"3B",
- X"3B",X"3B",X"E1",X"C1",X"5A",X"32",X"80",X"A0",X"7B",X"0F",X"0F",X"0F",X"0F",X"83",X"80",X"AE",
- X"A1",X"20",X"17",X"7B",X"87",X"87",X"83",X"3C",X"5F",X"2C",X"20",X"EC",X"24",X"10",X"E6",X"3B",
- X"3B",X"3B",X"3B",X"15",X"F2",X"B3",X"39",X"E1",X"C1",X"C9",X"7C",X"0F",X"E6",X"0E",X"FE",X"0C",
- X"38",X"02",X"D6",X"04",X"CB",X"41",X"20",X"01",X"3C",X"FE",X"04",X"30",X"0F",X"FE",X"02",X"38",
- X"0B",X"21",X"00",X"9C",X"11",X"00",X"84",X"01",X"00",X"04",X"ED",X"B0",X"CB",X"3F",X"32",X"CB",
- X"84",X"3E",X"4C",X"30",X"02",X"3E",X"48",X"32",X"CC",X"84",X"06",X"0A",X"21",X"C6",X"84",X"C3",
- X"9A",X"39",X"21",X"00",X"9C",X"11",X"00",X"84",X"01",X"00",X"04",X"ED",X"B0",X"3E",X"4F",X"32",
- X"CB",X"84",X"3E",X"4B",X"18",X"E1",X"21",X"00",X"84",X"11",X"00",X"9C",X"01",X"00",X"04",X"ED",
- X"B0",X"C9",X"3A",X"00",X"A1",X"07",X"07",X"07",X"E6",X"06",X"5F",X"16",X"00",X"21",X"AB",X"3A",
- X"19",X"5E",X"23",X"56",X"ED",X"53",X"00",X"80",X"3A",X"00",X"A1",X"0F",X"0F",X"E6",X"0E",X"5F",
- X"16",X"00",X"21",X"B3",X"3A",X"19",X"5E",X"23",X"56",X"ED",X"53",X"02",X"80",X"7B",X"3D",X"3D",
- X"87",X"5F",X"16",X"00",X"21",X"C3",X"3A",X"19",X"5E",X"23",X"56",X"3A",X"00",X"A1",X"0F",X"E6",
- X"03",X"6F",X"26",X"00",X"19",X"7E",X"32",X"04",X"80",X"11",X"0C",X"00",X"19",X"7E",X"32",X"07",
- X"80",X"3A",X"80",X"A0",X"2F",X"E6",X"01",X"32",X"05",X"80",X"C9",X"00",X"00",X"02",X"01",X"01",
- X"02",X"01",X"01",X"03",X"41",X"04",X"41",X"01",X"42",X"02",X"42",X"03",X"42",X"01",X"43",X"02",
- X"43",X"03",X"43",X"C9",X"3A",X"CD",X"3A",X"D1",X"3A",X"FF",X"10",X"20",X"30",X"FF",X"20",X"20",
- X"20",X"FF",X"20",X"40",X"60",X"FF",X"FF",X"FF",X"FF",X"FF",X"08",X"10",X"12",X"FF",X"FF",X"FF",
- X"FF",X"3A",X"00",X"80",X"A7",X"20",X"1D",X"21",X"F3",X"3A",X"11",X"04",X"85",X"01",X"11",X"00",
- X"ED",X"B0",X"C9",X"46",X"52",X"45",X"45",X"40",X"50",X"4C",X"41",X"59",X"40",X"40",X"40",X"40",
- X"40",X"40",X"40",X"40",X"21",X"3E",X"3B",X"11",X"05",X"85",X"01",X"05",X"00",X"ED",X"B0",X"21",
- X"43",X"3B",X"11",X"0D",X"85",X"01",X"07",X"00",X"ED",X"B0",X"3A",X"00",X"80",X"FE",X"01",X"32",
- X"04",X"85",X"21",X"40",X"40",X"28",X"02",X"2E",X"53",X"22",X"0A",X"85",X"3A",X"01",X"80",X"FE",
- X"01",X"32",X"0C",X"85",X"3E",X"40",X"28",X"02",X"3E",X"53",X"32",X"14",X"85",X"C9",X"40",X"43",
- X"4F",X"49",X"4E",X"40",X"43",X"52",X"45",X"44",X"49",X"54",X"21",X"67",X"3B",X"11",X"45",X"85",
- X"01",X"04",X"00",X"ED",X"B0",X"3A",X"02",X"80",X"FE",X"01",X"32",X"44",X"85",X"3E",X"40",X"28",
- X"02",X"3E",X"53",X"32",X"49",X"85",X"C9",X"40",X"43",X"41",X"52",X"21",X"EA",X"3B",X"11",X"84",
- X"85",X"01",X"05",X"00",X"ED",X"B0",X"3A",X"04",X"80",X"3C",X"20",X"11",X"21",X"EF",X"3B",X"11",
- X"8B",X"85",X"01",X"07",X"00",X"ED",X"B0",X"EB",X"36",X"40",X"C3",X"E1",X"3B",X"21",X"8B",X"85",
- X"36",X"40",X"2C",X"3A",X"04",X"80",X"0F",X"0F",X"0F",X"0F",X"E6",X"0F",X"20",X"02",X"3E",X"40",
- X"77",X"2C",X"3A",X"04",X"80",X"E6",X"0F",X"77",X"2C",X"36",X"00",X"2C",X"36",X"00",X"2C",X"36",
- X"00",X"2C",X"36",X"40",X"3A",X"07",X"80",X"3C",X"CA",X"E1",X"3B",X"0F",X"0F",X"0F",X"0F",X"E6",
- X"0F",X"20",X"02",X"3E",X"40",X"2C",X"36",X"2C",X"2C",X"36",X"40",X"2C",X"77",X"3A",X"07",X"80",
- X"E6",X"0F",X"2C",X"77",X"2C",X"36",X"00",X"2C",X"36",X"00",X"2C",X"36",X"00",X"2C",X"36",X"00",
- X"C9",X"3E",X"0A",X"2C",X"36",X"40",X"3D",X"20",X"FA",X"C9",X"42",X"4F",X"4E",X"55",X"53",X"4E",
- X"4F",X"54",X"48",X"49",X"4E",X"47",X"21",X"08",X"3C",X"11",X"C4",X"85",X"01",X"04",X"00",X"ED",
- X"B0",X"3A",X"03",X"80",X"32",X"CC",X"85",X"C9",X"52",X"41",X"4E",X"4B",X"3A",X"05",X"80",X"A7",
- X"20",X"0C",X"21",X"31",X"3C",X"11",X"04",X"86",X"01",X"07",X"00",X"ED",X"B0",X"C9",X"21",X"2A",
- X"3C",X"11",X"04",X"86",X"01",X"07",X"00",X"ED",X"B0",X"C9",X"54",X"41",X"42",X"4C",X"45",X"40",
- X"40",X"55",X"50",X"52",X"49",X"47",X"48",X"54",X"3A",X"00",X"A0",X"01",X"03",X"00",X"21",X"13",
- X"80",X"11",X"14",X"80",X"ED",X"B8",X"23",X"77",X"23",X"B6",X"2F",X"23",X"A6",X"23",X"A6",X"23",
- X"77",X"CD",X"A9",X"3C",X"CD",X"BA",X"3C",X"CD",X"CB",X"3C",X"CD",X"DC",X"3C",X"CD",X"ED",X"3C",
- X"CD",X"FE",X"3C",X"CD",X"0F",X"3D",X"CD",X"25",X"3D",X"3A",X"80",X"A0",X"01",X"03",X"00",X"21",
- X"1B",X"80",X"11",X"1C",X"80",X"ED",X"B8",X"23",X"77",X"23",X"B6",X"2F",X"23",X"A6",X"23",X"A6",
- X"23",X"77",X"2B",X"7E",X"2B",X"B6",X"2F",X"2B",X"A6",X"2B",X"A6",X"2B",X"77",X"CD",X"36",X"3D",
- X"CD",X"47",X"3D",X"CD",X"58",X"3D",X"CD",X"69",X"3D",X"CD",X"7C",X"3D",X"CD",X"8A",X"3D",X"CD",
- X"9B",X"3D",X"CD",X"AC",X"3D",X"CD",X"BD",X"3D",X"C9",X"3A",X"15",X"80",X"CB",X"7F",X"C8",X"21",
- X"F6",X"89",X"CB",X"FE",X"3E",X"37",X"CD",X"C9",X"3D",X"C9",X"3A",X"15",X"80",X"CB",X"77",X"C8",
- X"21",X"F5",X"89",X"CB",X"FE",X"3E",X"36",X"CD",X"C9",X"3D",X"C9",X"3A",X"15",X"80",X"CB",X"6F",
- X"C8",X"21",X"F4",X"89",X"CB",X"EE",X"3E",X"35",X"CD",X"C9",X"3D",X"C9",X"3A",X"15",X"80",X"CB",
- X"67",X"C8",X"21",X"F4",X"89",X"CB",X"CE",X"3E",X"34",X"CD",X"C9",X"3D",X"C9",X"3A",X"15",X"80",
- X"CB",X"5F",X"C8",X"21",X"F4",X"89",X"CB",X"DE",X"3E",X"33",X"CD",X"C9",X"3D",X"C9",X"3A",X"15",
- X"80",X"CB",X"57",X"C8",X"21",X"F4",X"89",X"CB",X"D6",X"3E",X"32",X"CD",X"C9",X"3D",X"C9",X"3A",
- X"15",X"80",X"CB",X"4F",X"C8",X"21",X"F4",X"89",X"CB",X"76",X"28",X"03",X"CB",X"E6",X"C9",X"21",
- X"F4",X"89",X"CB",X"C6",X"C9",X"3A",X"15",X"80",X"CB",X"47",X"C8",X"21",X"F6",X"89",X"CB",X"F6",
- X"3E",X"30",X"CD",X"C9",X"3D",X"C9",X"3A",X"1D",X"80",X"CB",X"7F",X"C8",X"21",X"F6",X"89",X"CB",
- X"FE",X"3E",X"46",X"CD",X"C9",X"3D",X"C9",X"3A",X"1D",X"80",X"CB",X"77",X"C8",X"21",X"F5",X"89",
- X"CB",X"F6",X"3E",X"45",X"CD",X"C9",X"3D",X"C9",X"3A",X"1D",X"80",X"CB",X"6F",X"C8",X"21",X"F5",
- X"89",X"CB",X"EE",X"3E",X"44",X"CD",X"C9",X"3D",X"C9",X"3A",X"1D",X"80",X"CB",X"67",X"C8",X"21",
- X"F5",X"89",X"CB",X"E6",X"CB",X"9E",X"3E",X"43",X"CD",X"C9",X"3D",X"C9",X"3A",X"18",X"80",X"CB",
- X"67",X"C8",X"21",X"F5",X"89",X"CB",X"DE",X"CB",X"A6",X"C9",X"3A",X"1D",X"80",X"CB",X"5F",X"C8",
- X"21",X"F4",X"89",X"CB",X"FE",X"3E",X"42",X"CD",X"C9",X"3D",X"C9",X"3A",X"1D",X"80",X"CB",X"57",
- X"C8",X"21",X"F5",X"89",X"CB",X"D6",X"3E",X"41",X"CD",X"C9",X"3D",X"C9",X"3A",X"1D",X"80",X"CB",
- X"4F",X"C8",X"21",X"F4",X"89",X"CB",X"F6",X"3E",X"39",X"CD",X"C9",X"3D",X"C9",X"3A",X"18",X"80",
- X"CB",X"4F",X"C8",X"21",X"F4",X"89",X"CB",X"B6",X"C9",X"21",X"00",X"A0",X"CB",X"4E",X"C0",X"21",
- X"21",X"80",X"11",X"20",X"80",X"01",X"0F",X"00",X"ED",X"B0",X"2B",X"77",X"C9",X"21",X"20",X"80",
- X"11",X"0D",X"3E",X"06",X"10",X"1A",X"FE",X"FF",X"28",X"02",X"BE",X"C0",X"23",X"13",X"10",X"F5",
- X"21",X"FC",X"3D",X"11",X"84",X"86",X"01",X"11",X"00",X"ED",X"B0",X"C9",X"18",X"40",X"4E",X"41",
- X"4D",X"43",X"4F",X"40",X"4C",X"54",X"44",X"2E",X"40",X"31",X"39",X"38",X"30",X"35",X"35",X"34",
- X"34",X"34",X"34",X"34",X"34",X"34",X"33",X"32",X"32",X"32",X"32",X"32",X"32",X"3E",X"03",X"32",
- X"30",X"A1",X"21",X"00",X"84",X"11",X"01",X"84",X"01",X"FF",X"03",X"36",X"5B",X"ED",X"B0",X"21",
- X"40",X"80",X"01",X"08",X"1C",X"3E",X"5B",X"CD",X"6F",X"3E",X"21",X"00",X"8C",X"01",X"00",X"04",
- X"CD",X"5F",X"3E",X"23",X"0B",X"79",X"B0",X"20",X"F7",X"21",X"40",X"88",X"01",X"08",X"1C",X"3E",
- X"20",X"91",X"5F",X"51",X"CD",X"5F",X"3E",X"23",X"15",X"20",X"F9",X"19",X"10",X"F5",X"C9",X"CB",
- X"45",X"CB",X"F6",X"28",X"02",X"CB",X"B6",X"CB",X"6D",X"CB",X"BE",X"C8",X"CB",X"FE",X"C9",X"57",
- X"3E",X"20",X"91",X"5F",X"7A",X"51",X"77",X"23",X"15",X"20",X"FB",X"19",X"10",X"F7",X"C9",X"FE",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"75",X"C3",X"C8",X"AE",X"52",X"04",X"38");
-begin
-process(clk)
-begin
- if rising_edge(clk) then
- data <= rom_data(to_integer(unsigned(addr)));
- end if;
-end process;
-end architecture;
diff --git a/Arcade_MiST/Namco Rally-X Hardware/RallyX_MiST/rtl/roms/nrx_pal_rom.vhd b/Arcade_MiST/Namco Rally-X Hardware/RallyX_MiST/rtl/roms/nrx_pal_rom.vhd
deleted file mode 100644
index 6a7f7951..00000000
--- a/Arcade_MiST/Namco Rally-X Hardware/RallyX_MiST/rtl/roms/nrx_pal_rom.vhd
+++ /dev/null
@@ -1,24 +0,0 @@
-library ieee;
-use ieee.std_logic_1164.all,ieee.numeric_std.all;
-
-entity nrx_pal_rom is
-port (
- clk : in std_logic;
- addr : in std_logic_vector(4 downto 0);
- data : out std_logic_vector(7 downto 0)
-);
-end entity;
-
-architecture prom of nrx_pal_rom is
- type rom is array(0 to 31) of std_logic_vector(7 downto 0);
- signal rom_data: rom := (
- X"00",X"00",X"06",X"3F",X"5A",X"F1",X"15",X"18",X"66",X"D1",X"2A",X"03",X"A4",X"91",X"BF",X"F6",
- X"00",X"07",X"F6",X"00",X"00",X"07",X"F6",X"00",X"00",X"07",X"F6",X"00",X"00",X"07",X"F6",X"F6");
-begin
-process(clk)
-begin
- if rising_edge(clk) then
- data <= rom_data(to_integer(unsigned(addr)));
- end if;
-end process;
-end architecture;
diff --git a/Arcade_MiST/Namco Rally-X Hardware/RallyX_MiST/rtl/roms/nrx_prg_rom.vhd b/Arcade_MiST/Namco Rally-X Hardware/RallyX_MiST/rtl/roms/nrx_prg_rom.vhd
deleted file mode 100644
index 325dab87..00000000
--- a/Arcade_MiST/Namco Rally-X Hardware/RallyX_MiST/rtl/roms/nrx_prg_rom.vhd
+++ /dev/null
@@ -1,1046 +0,0 @@
-library ieee;
-use ieee.std_logic_1164.all,ieee.numeric_std.all;
-
-entity nrx_prg_rom is
-port (
- clk : in std_logic;
- addr : in std_logic_vector(13 downto 0);
- data : out std_logic_vector(7 downto 0)
-);
-end entity;
-
-architecture prom of nrx_prg_rom is
- type rom is array(0 to 16383) of std_logic_vector(7 downto 0);
- signal rom_data: rom := (
- X"C3",X"00",X"38",X"31",X"00",X"84",X"18",X"32",X"C3",X"00",X"24",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"C3",X"F0",X"01",X"00",X"00",X"00",X"00",X"00",X"18",X"C6",X"ED",X"46",X"FB",X"3E",X"F7",X"D3",
- X"00",X"21",X"00",X"80",X"11",X"01",X"80",X"01",X"00",X"08",X"36",X"00",X"ED",X"B0",X"E5",X"0E",
- X"08",X"36",X"60",X"ED",X"B0",X"0E",X"18",X"36",X"00",X"ED",X"B0",X"EB",X"E1",X"01",X"E0",X"07",
- X"ED",X"B0",X"C3",X"E7",X"04",X"00",X"C3",X"00",X"00",X"32",X"80",X"A0",X"FD",X"26",X"01",X"2A",
- X"69",X"80",X"ED",X"4B",X"50",X"80",X"3A",X"6B",X"80",X"A7",X"28",X"27",X"3A",X"48",X"80",X"CB",
- X"47",X"C2",X"CE",X"00",X"ED",X"5B",X"4C",X"80",X"19",X"22",X"4C",X"80",X"7A",X"94",X"21",X"73",
- X"80",X"CD",X"8C",X"0D",X"81",X"32",X"50",X"80",X"FD",X"7C",X"A7",X"28",X"31",X"2A",X"5A",X"80",
- X"FD",X"26",X"00",X"3A",X"48",X"80",X"CB",X"4F",X"C2",X"CE",X"00",X"ED",X"5B",X"4E",X"80",X"19",
- X"22",X"4E",X"80",X"7A",X"94",X"21",X"75",X"80",X"CD",X"8C",X"0D",X"ED",X"44",X"80",X"32",X"51",
- X"80",X"FD",X"7C",X"A7",X"28",X"08",X"FD",X"26",X"00",X"2A",X"5A",X"80",X"18",X"B6",X"DD",X"21",
- X"68",X"80",X"3A",X"4E",X"82",X"3C",X"47",X"FD",X"2E",X"01",X"CD",X"E0",X"00",X"C3",X"78",X"01",
- X"DD",X"7E",X"00",X"A7",X"28",X"0D",X"DD",X"7E",X"15",X"A7",X"C2",X"85",X"01",X"DD",X"34",X"00",
- X"C3",X"5A",X"01",X"DD",X"66",X"02",X"DD",X"6E",X"01",X"DD",X"7E",X"03",X"DD",X"E5",X"DD",X"23",
- X"4F",X"A7",X"20",X"04",X"DD",X"23",X"DD",X"23",X"DD",X"56",X"04",X"DD",X"5E",X"03",X"19",X"DD",
- X"75",X"03",X"DD",X"74",X"04",X"7C",X"C6",X"18",X"6F",X"3D",X"FA",X"51",X"01",X"D6",X"2F",X"6F",
- X"FA",X"2D",X"01",X"79",X"A1",X"20",X"2D",X"DD",X"35",X"07",X"DD",X"75",X"04",X"7C",X"92",X"CB",
- X"7F",X"28",X"03",X"DD",X"34",X"0A",X"DD",X"86",X"0B",X"DD",X"77",X"0B",X"30",X"03",X"DD",X"34",
- X"0A",X"DD",X"E1",X"FD",X"2D",X"20",X"13",X"DD",X"7E",X"03",X"2F",X"2A",X"5A",X"80",X"C3",X"FC",
- X"00",X"A1",X"20",X"D3",X"DD",X"34",X"07",X"C3",X"2A",X"01",X"DD",X"7E",X"08",X"87",X"87",X"87",
- X"DD",X"56",X"0A",X"CB",X"3A",X"1F",X"CB",X"3A",X"1F",X"CB",X"3A",X"1F",X"DD",X"77",X"11",X"7A",
- X"E6",X"07",X"F6",X"98",X"DD",X"77",X"12",X"C9",X"11",X"20",X"00",X"DD",X"19",X"FD",X"2E",X"00",
- X"05",X"C2",X"DA",X"00",X"C9",X"DD",X"7E",X"0F",X"21",X"70",X"22",X"BE",X"23",X"20",X"FC",X"DD",
- X"7E",X"00",X"E6",X"03",X"20",X"04",X"7E",X"DD",X"77",X"0F",X"DD",X"35",X"00",X"C2",X"5A",X"01",
- X"DD",X"36",X"15",X"00",X"C5",X"DD",X"46",X"0C",X"DD",X"4E",X"0E",X"C5",X"CD",X"7F",X"0E",X"C1",
- X"7E",X"D6",X"BD",X"28",X"14",X"FE",X"09",X"30",X"33",X"FE",X"03",X"38",X"06",X"79",X"C6",X"08",
- X"4F",X"18",X"E8",X"78",X"D6",X"08",X"47",X"18",X"E2",X"06",X"03",X"54",X"5D",X"CB",X"DC",X"0E",
- X"03",X"E5",X"D5",X"1A",X"D6",X"BD",X"FE",X"09",X"30",X"05",X"3E",X"81",X"12",X"36",X"15",X"CD",
- X"5D",X"0E",X"0D",X"20",X"EE",X"D1",X"E1",X"CD",X"6C",X"0E",X"10",X"E3",X"C1",X"C3",X"5A",X"01",
- X"E5",X"D5",X"C5",X"F5",X"DD",X"E5",X"FD",X"E5",X"AF",X"32",X"81",X"A1",X"32",X"80",X"A0",X"CD",
- X"C5",X"14",X"CD",X"4D",X"15",X"3A",X"20",X"80",X"A7",X"28",X"05",X"FE",X"02",X"C2",X"BD",X"03",
- X"3A",X"4D",X"80",X"32",X"30",X"A1",X"3A",X"4F",X"80",X"ED",X"44",X"32",X"40",X"A1",X"DD",X"21",
- X"14",X"88",X"21",X"15",X"80",X"FD",X"21",X"02",X"80",X"06",X"06",X"FD",X"E5",X"FD",X"5E",X"00",
- X"FD",X"56",X"01",X"7A",X"B3",X"28",X"6E",X"D5",X"FD",X"E1",X"D5",X"CD",X"90",X"04",X"FD",X"7E",
- X"00",X"0F",X"30",X"07",X"DD",X"CB",X"01",X"FE",X"C3",X"4F",X"02",X"DD",X"CB",X"01",X"BE",X"FD",
- X"7E",X"01",X"77",X"FD",X"7E",X"03",X"DD",X"77",X"00",X"FD",X"7E",X"04",X"2B",X"77",X"23",X"FD",
- X"7E",X"05",X"E6",X"7F",X"57",X"DD",X"7E",X"01",X"E6",X"80",X"B2",X"DD",X"77",X"01",X"78",X"FD",
- X"E1",X"FE",X"06",X"28",X"30",X"ED",X"5B",X"52",X"80",X"3A",X"55",X"80",X"0F",X"E6",X"01",X"ED",
- X"44",X"FD",X"86",X"FF",X"92",X"FE",X"0B",X"30",X"2E",X"57",X"3A",X"54",X"80",X"0F",X"E6",X"01",
- X"ED",X"44",X"FD",X"86",X"FD",X"93",X"FE",X"0B",X"30",X"1D",X"FE",X"0A",X"CC",X"C7",X"02",X"7A",
- X"FE",X"0A",X"CC",X"D8",X"02",X"FD",X"E1",X"FD",X"23",X"FD",X"23",X"DD",X"23",X"DD",X"23",X"23",
- X"23",X"05",X"C2",X"2B",X"02",X"18",X"34",X"FD",X"E1",X"AF",X"FD",X"77",X"00",X"FD",X"77",X"01",
- X"2B",X"3E",X"EC",X"77",X"23",X"18",X"E0",X"3A",X"54",X"80",X"A7",X"28",X"04",X"3D",X"C8",X"18",
- X"16",X"FD",X"CB",X"FA",X"7E",X"C0",X"18",X"0F",X"3A",X"55",X"80",X"A7",X"28",X"04",X"3D",X"C8",
- X"18",X"05",X"FD",X"CB",X"FC",X"7E",X"C8",X"F1",X"C3",X"B7",X"02",X"CD",X"69",X"00",X"CD",X"B2",
- X"0D",X"CD",X"6B",X"1C",X"DD",X"21",X"68",X"80",X"06",X"09",X"21",X"94",X"82",X"11",X"34",X"80",
- X"3A",X"6F",X"82",X"E6",X"0F",X"20",X"04",X"36",X"0E",X"18",X"06",X"E6",X"07",X"20",X"02",X"36",
- X"08",X"3A",X"A8",X"81",X"A7",X"20",X"39",X"DD",X"7E",X"08",X"87",X"C6",X"E0",X"CB",X"86",X"38",
- X"02",X"CB",X"C6",X"12",X"DD",X"7E",X"0A",X"D6",X"64",X"28",X"07",X"C6",X"64",X"87",X"ED",X"44",
- X"C6",X"9D",X"CB",X"DA",X"12",X"78",X"23",X"CB",X"9A",X"13",X"01",X"20",X"00",X"DD",X"09",X"47",
- X"10",X"CF",X"21",X"94",X"82",X"11",X"04",X"A0",X"01",X"09",X"00",X"ED",X"B0",X"C3",X"6D",X"03",
- X"DD",X"7E",X"08",X"87",X"ED",X"44",X"C6",X"41",X"CB",X"C6",X"12",X"DD",X"7E",X"0A",X"D6",X"64",
- X"28",X"05",X"C6",X"64",X"87",X"C6",X"5F",X"CB",X"DA",X"12",X"C3",X"35",X"03",X"06",X"05",X"DD",
- X"21",X"68",X"80",X"FD",X"21",X"04",X"80",X"21",X"4C",X"82",X"7E",X"35",X"FE",X"32",X"30",X"3D",
- X"A7",X"20",X"3A",X"77",X"FD",X"66",X"01",X"FD",X"6E",X"00",X"7D",X"B4",X"28",X"29",X"7E",X"CB",
- X"47",X"20",X"24",X"DD",X"7E",X"0C",X"23",X"96",X"30",X"02",X"ED",X"44",X"FE",X"0B",X"30",X"17",
- X"DD",X"7E",X"0E",X"23",X"23",X"96",X"30",X"02",X"ED",X"44",X"FE",X"0B",X"30",X"09",X"FB",X"3E",
- X"01",X"32",X"81",X"A1",X"C3",X"D3",X"16",X"FD",X"23",X"FD",X"23",X"10",X"C7",X"3A",X"4B",X"82",
- X"3C",X"32",X"4B",X"82",X"CD",X"72",X"0D",X"3A",X"6F",X"82",X"3C",X"32",X"6F",X"82",X"C6",X"08",
- X"E6",X"0F",X"CC",X"C7",X"04",X"3A",X"4D",X"82",X"3C",X"32",X"4D",X"82",X"E6",X"3F",X"CC",X"72",
- X"04",X"E6",X"07",X"CC",X"62",X"04",X"3A",X"69",X"82",X"3C",X"32",X"69",X"82",X"2A",X"9A",X"89",
- X"3A",X"8C",X"82",X"A7",X"20",X"03",X"3A",X"88",X"82",X"3D",X"32",X"8C",X"82",X"20",X"2F",X"34",
- X"7E",X"21",X"8A",X"82",X"36",X"04",X"FE",X"28",X"38",X"17",X"36",X"03",X"28",X"10",X"FE",X"50",
- X"38",X"0F",X"36",X"02",X"28",X"08",X"FE",X"C8",X"38",X"07",X"36",X"01",X"20",X"03",X"CD",X"0B",
- X"19",X"3A",X"69",X"82",X"47",X"3A",X"6E",X"82",X"B8",X"30",X"03",X"32",X"69",X"82",X"00",X"21",
- X"F4",X"89",X"3A",X"21",X"80",X"A7",X"20",X"03",X"77",X"23",X"77",X"CD",X"00",X"24",X"3A",X"24",
- X"80",X"FE",X"09",X"3E",X"00",X"30",X"01",X"3C",X"32",X"86",X"A1",X"3A",X"00",X"A1",X"E6",X"01",
- X"CA",X"00",X"38",X"3E",X"01",X"32",X"81",X"A1",X"FD",X"E1",X"DD",X"E1",X"F1",X"C1",X"D1",X"E1",
- X"FB",X"C9",X"2A",X"2D",X"80",X"ED",X"5B",X"69",X"80",X"19",X"CB",X"2C",X"CB",X"1D",X"22",X"69",
- X"80",X"C9",X"47",X"3A",X"92",X"82",X"A7",X"78",X"C8",X"2A",X"27",X"80",X"11",X"E0",X"FF",X"19",
- X"7C",X"A7",X"20",X"07",X"3A",X"2B",X"80",X"BD",X"38",X"01",X"6F",X"22",X"27",X"80",X"78",X"C9",
- X"3A",X"A8",X"81",X"A7",X"C8",X"E5",X"FD",X"56",X"00",X"FD",X"5E",X"01",X"21",X"14",X"01",X"A7",
- X"ED",X"52",X"FD",X"7E",X"03",X"ED",X"44",X"D6",X"10",X"57",X"FD",X"7E",X"04",X"EE",X"03",X"FD",
- X"5E",X"05",X"FD",X"21",X"88",X"81",X"FD",X"74",X"00",X"FD",X"75",X"01",X"FD",X"72",X"03",X"FD",
- X"77",X"04",X"FD",X"73",X"05",X"E1",X"C9",X"3A",X"20",X"80",X"06",X"04",X"2A",X"98",X"89",X"FE",
- X"02",X"C0",X"7E",X"FE",X"66",X"3E",X"67",X"28",X"02",X"3E",X"66",X"77",X"23",X"10",X"FC",X"C9",
- X"06",X"04",X"2A",X"98",X"89",X"18",X"EB",X"21",X"8B",X"1F",X"11",X"40",X"80",X"0E",X"66",X"CD",
- X"4E",X"1C",X"CD",X"76",X"1B",X"3A",X"00",X"A1",X"47",X"21",X"AA",X"82",X"E6",X"C0",X"28",X"0E",
- X"34",X"CB",X"7F",X"28",X"09",X"23",X"34",X"CB",X"77",X"20",X"03",X"34",X"2B",X"34",X"78",X"0F",
- X"0F",X"0F",X"E6",X"07",X"FE",X"02",X"38",X"21",X"FE",X"05",X"30",X"0E",X"3D",X"32",X"0E",X"80",
- X"21",X"D3",X"20",X"3E",X"0B",X"11",X"0B",X"22",X"18",X"1C",X"D6",X"04",X"32",X"0E",X"80",X"21",
- X"1B",X"21",X"3E",X"08",X"11",X"03",X"22",X"18",X"0D",X"C6",X"02",X"32",X"0E",X"80",X"21",X"5B",
- X"20",X"3E",X"11",X"11",X"13",X"22",X"22",X"D2",X"82",X"32",X"D8",X"82",X"21",X"60",X"80",X"0E",
- X"70",X"EB",X"CD",X"4E",X"1C",X"3A",X"0E",X"80",X"87",X"87",X"87",X"4F",X"78",X"E6",X"06",X"B1",
- X"21",X"E3",X"21",X"85",X"6F",X"30",X"01",X"24",X"5E",X"23",X"56",X"ED",X"53",X"B3",X"81",X"3A",
- X"80",X"A0",X"F6",X"FE",X"2F",X"32",X"A9",X"81",X"CD",X"C5",X"14",X"3A",X"A9",X"81",X"32",X"83",
- X"A1",X"32",X"A8",X"81",X"CD",X"76",X"1B",X"3A",X"24",X"80",X"A7",X"C2",X"AB",X"06",X"32",X"21",
- X"80",X"3C",X"32",X"81",X"A1",X"32",X"20",X"80",X"3E",X"F7",X"D3",X"00",X"3E",X"49",X"CD",X"CB",
- X"1D",X"21",X"40",X"8C",X"06",X"90",X"36",X"4A",X"23",X"10",X"FB",X"21",X"00",X"8F",X"11",X"01",
- X"8F",X"01",X"FF",X"00",X"36",X"47",X"ED",X"B0",X"21",X"F3",X"1F",X"FB",X"CD",X"1B",X"1E",X"CD",
- X"1B",X"1E",X"CD",X"1B",X"1E",X"CD",X"1B",X"1E",X"CD",X"1B",X"1E",X"CD",X"1B",X"1E",X"3E",X"01",
- X"32",X"0F",X"80",X"32",X"20",X"80",X"32",X"4B",X"82",X"32",X"81",X"A1",X"ED",X"5F",X"E6",X"1F",
- X"4F",X"D6",X"0A",X"30",X"FB",X"0C",X"21",X"A8",X"8E",X"06",X"07",X"36",X"44",X"23",X"10",X"FB",
- X"21",X"C8",X"8E",X"36",X"44",X"23",X"36",X"44",X"DD",X"21",X"A8",X"86",X"DD",X"36",X"01",X"87",
- X"DD",X"36",X"20",X"88",X"DD",X"36",X"21",X"89",X"3E",X"81",X"DD",X"77",X"00",X"DD",X"77",X"02",
- X"DD",X"77",X"05",X"DD",X"77",X"06",X"DD",X"36",X"08",X"50",X"DD",X"36",X"09",X"54",X"DD",X"36",
- X"0A",X"53",X"16",X"00",X"1E",X"A0",X"3E",X"81",X"DD",X"77",X"03",X"DD",X"77",X"04",X"DD",X"77",
- X"05",X"3A",X"4B",X"82",X"E6",X"3F",X"28",X"EE",X"E6",X"1F",X"20",X"F5",X"3A",X"4B",X"82",X"3C",
- X"32",X"4B",X"82",X"0D",X"3E",X"81",X"20",X"03",X"3E",X"8A",X"57",X"DD",X"77",X"00",X"CB",X"4A",
- X"28",X"04",X"DD",X"36",X"05",X"AC",X"DD",X"36",X"04",X"AA",X"DD",X"73",X"03",X"7B",X"1C",X"FE",
- X"A9",X"20",X"CE",X"DD",X"36",X"03",X"A0",X"DD",X"36",X"05",X"AB",X"DD",X"36",X"06",X"AC",X"3E",
- X"01",X"32",X"4B",X"82",X"3A",X"4B",X"82",X"E6",X"1F",X"20",X"F9",X"3E",X"01",X"32",X"B0",X"81",
- X"21",X"3F",X"E0",X"22",X"F2",X"82",X"21",X"AB",X"81",X"36",X"03",X"C3",X"4C",X"07",X"21",X"4B",
- X"82",X"36",X"88",X"7E",X"A7",X"20",X"FC",X"21",X"14",X"80",X"11",X"02",X"80",X"06",X"0C",X"AF",
- X"0E",X"EC",X"71",X"23",X"12",X"13",X"10",X"FA",X"C3",X"7B",X"05",X"31",X"00",X"84",X"3E",X"02",
- X"32",X"21",X"80",X"3D",X"32",X"20",X"80",X"32",X"81",X"A1",X"FB",X"32",X"B0",X"81",X"32",X"B1",
- X"81",X"21",X"0E",X"80",X"7E",X"23",X"77",X"23",X"77",X"3E",X"66",X"CD",X"CB",X"1D",X"21",X"30",
- X"1F",X"11",X"66",X"85",X"CD",X"29",X"1C",X"E5",X"2A",X"B3",X"81",X"7E",X"E1",X"FE",X"49",X"28",
- X"1D",X"11",X"E3",X"85",X"CD",X"29",X"1C",X"2A",X"B3",X"81",X"01",X"07",X"00",X"09",X"11",X"F1",
- X"85",X"0E",X"01",X"CD",X"2B",X"1C",X"2A",X"B3",X"81",X"0E",X"04",X"CD",X"2B",X"1C",X"21",X"5A",
- X"1F",X"11",X"6A",X"86",X"CD",X"29",X"1C",X"3A",X"AA",X"82",X"A7",X"C4",X"D2",X"1E",X"11",X"28",
- X"87",X"21",X"6E",X"1F",X"CD",X"15",X"1B",X"3A",X"4B",X"82",X"E6",X"1F",X"CC",X"30",X"1C",X"E6",
- X"0F",X"CC",X"3A",X"1C",X"3A",X"00",X"A0",X"E6",X"40",X"28",X"18",X"3A",X"80",X"A0",X"E6",X"40",
- X"20",X"E5",X"3A",X"24",X"80",X"D6",X"02",X"38",X"DE",X"32",X"24",X"80",X"21",X"AB",X"81",X"36",
- X"03",X"18",X"09",X"21",X"24",X"80",X"35",X"21",X"AB",X"81",X"36",X"01",X"AF",X"E5",X"21",X"14",
- X"80",X"11",X"15",X"80",X"01",X"0B",X"00",X"36",X"EC",X"ED",X"B0",X"E1",X"32",X"81",X"A1",X"32",
- X"80",X"A0",X"3E",X"F7",X"D3",X"00",X"FB",X"3E",X"80",X"32",X"F5",X"89",X"CD",X"41",X"17",X"CD",
- X"3A",X"1C",X"21",X"9B",X"1F",X"11",X"80",X"80",X"0E",X"66",X"CD",X"4E",X"1C",X"0E",X"72",X"21",
- X"93",X"1F",X"CD",X"08",X"0B",X"CD",X"4E",X"1C",X"3A",X"AB",X"81",X"47",X"0E",X"66",X"FE",X"01",
- X"21",X"BB",X"1F",X"28",X"03",X"21",X"A3",X"1F",X"CD",X"08",X"0B",X"CD",X"4E",X"1C",X"0E",X"72",
- X"21",X"93",X"1F",X"10",X"03",X"21",X"BB",X"1F",X"CD",X"08",X"0B",X"CD",X"4E",X"1C",X"21",X"BB",
- X"1F",X"CD",X"4E",X"1C",X"AF",X"32",X"B2",X"81",X"3E",X"01",X"32",X"B7",X"81",X"2A",X"8A",X"89",
- X"34",X"AF",X"2A",X"8C",X"89",X"77",X"32",X"92",X"82",X"CD",X"0B",X"19",X"CD",X"91",X"11",X"CD",
- X"C5",X"11",X"3E",X"03",X"32",X"81",X"A1",X"FB",X"32",X"20",X"80",X"32",X"4B",X"82",X"3A",X"4B",
- X"82",X"E6",X"3F",X"20",X"F9",X"AF",X"32",X"92",X"82",X"21",X"90",X"82",X"77",X"23",X"77",X"2A",
- X"9C",X"89",X"77",X"2A",X"9A",X"89",X"77",X"2A",X"8E",X"89",X"77",X"23",X"36",X"3C",X"21",X"8A",
- X"82",X"36",X"04",X"CD",X"76",X"1B",X"CD",X"0B",X"19",X"21",X"00",X"00",X"11",X"00",X"98",X"0E",
- X"38",X"06",X"20",X"CD",X"C5",X"12",X"12",X"13",X"24",X"10",X"F8",X"32",X"80",X"A0",X"60",X"2C",
- X"0D",X"20",X"EE",X"2A",X"92",X"89",X"06",X"0A",X"3E",X"01",X"5E",X"23",X"56",X"23",X"12",X"10",
- X"F9",X"3C",X"12",X"3A",X"51",X"82",X"47",X"3E",X"03",X"2A",X"96",X"89",X"5E",X"23",X"56",X"23",
- X"12",X"10",X"F9",X"21",X"AB",X"1F",X"11",X"20",X"81",X"0E",X"6B",X"CD",X"4E",X"1C",X"11",X"40",
- X"81",X"0E",X"66",X"06",X"02",X"21",X"BB",X"1F",X"CD",X"4E",X"1C",X"10",X"F8",X"06",X"0E",X"0E",
- X"63",X"21",X"C3",X"1F",X"CD",X"4E",X"1C",X"10",X"F8",X"3A",X"B7",X"81",X"A7",X"C4",X"5D",X"09",
- X"11",X"80",X"83",X"0E",X"66",X"21",X"B3",X"1F",X"3A",X"83",X"83",X"A7",X"28",X"06",X"3A",X"21",
- X"80",X"A7",X"28",X"1D",X"CD",X"4E",X"1C",X"2A",X"8A",X"89",X"7E",X"3D",X"21",X"83",X"83",X"06",
- X"00",X"FE",X"0A",X"38",X"05",X"04",X"D6",X"0A",X"18",X"F7",X"77",X"78",X"2B",X"A7",X"28",X"01",
- X"77",X"3A",X"A8",X"81",X"32",X"83",X"A1",X"CD",X"AF",X"12",X"CD",X"1B",X"1D",X"AF",X"32",X"D0",
- X"82",X"2A",X"8A",X"89",X"7E",X"E6",X"03",X"C2",X"E0",X"08",X"21",X"F5",X"89",X"36",X"40",X"3E",
- X"4A",X"CD",X"CB",X"1D",X"3A",X"A8",X"81",X"32",X"83",X"A1",X"21",X"DB",X"1F",X"CD",X"1B",X"1E",
- X"3E",X"03",X"32",X"20",X"80",X"32",X"81",X"A1",X"FB",X"21",X"F5",X"89",X"CB",X"46",X"28",X"FC",
- X"CD",X"BA",X"0A",X"21",X"0A",X"2D",X"22",X"52",X"80",X"3E",X"03",X"32",X"20",X"80",X"32",X"81",
- X"A1",X"FB",X"AF",X"32",X"40",X"A1",X"32",X"30",X"A1",X"CD",X"31",X"11",X"21",X"F5",X"89",X"CB",
- X"46",X"28",X"FC",X"06",X"05",X"11",X"20",X"00",X"0E",X"0D",X"2A",X"27",X"80",X"22",X"2D",X"80",
- X"2A",X"25",X"80",X"AF",X"DD",X"19",X"DD",X"36",X"00",X"B0",X"DD",X"77",X"03",X"DD",X"77",X"04",
- X"DD",X"77",X"05",X"DD",X"77",X"06",X"DD",X"77",X"07",X"DD",X"77",X"13",X"DD",X"77",X"15",X"DD",
- X"71",X"08",X"0C",X"0C",X"DD",X"36",X"0A",X"34",X"DD",X"36",X"0F",X"F0",X"DD",X"36",X"10",X"02",
- X"DD",X"75",X"01",X"DD",X"74",X"02",X"10",X"CC",X"3A",X"4E",X"82",X"D6",X"03",X"20",X"05",X"DD",
- X"36",X"EA",X"64",X"3C",X"3D",X"20",X"5A",X"DD",X"36",X"0A",X"64",X"18",X"58",X"D5",X"AF",X"32",
- X"B7",X"81",X"11",X"40",X"83",X"06",X"02",X"0E",X"63",X"21",X"BB",X"1F",X"CD",X"4E",X"1C",X"10",
- X"F8",X"D1",X"DD",X"21",X"44",X"83",X"2A",X"88",X"89",X"4E",X"0C",X"18",X"17",X"DD",X"21",X"44",
- X"83",X"11",X"40",X"83",X"06",X"02",X"0E",X"63",X"21",X"BB",X"1F",X"CD",X"4E",X"1C",X"10",X"F8",
- X"2A",X"88",X"89",X"4E",X"0D",X"C8",X"3E",X"B0",X"DD",X"77",X"00",X"3C",X"DD",X"77",X"01",X"3C",
- X"DD",X"77",X"20",X"3C",X"DD",X"77",X"21",X"DD",X"7D",X"3C",X"3C",X"E6",X"F7",X"DD",X"6F",X"18",
- X"E3",X"DD",X"36",X"08",X"0B",X"AF",X"0E",X"0F",X"06",X"03",X"CD",X"11",X"1E",X"DD",X"19",X"DD",
- X"36",X"00",X"B0",X"DD",X"77",X"03",X"DD",X"77",X"04",X"DD",X"77",X"05",X"DD",X"77",X"06",X"DD",
- X"77",X"07",X"DD",X"71",X"08",X"0C",X"0C",X"DD",X"77",X"13",X"DD",X"77",X"15",X"DD",X"36",X"0A",
- X"64",X"DD",X"36",X"0F",X"F2",X"DD",X"36",X"10",X"02",X"DD",X"75",X"01",X"DD",X"74",X"02",X"10",
- X"CC",X"DD",X"36",X"08",X"0D",X"3A",X"4E",X"82",X"D6",X"06",X"38",X"0E",X"47",X"04",X"DD",X"21",
- X"08",X"81",X"DD",X"19",X"DD",X"36",X"0A",X"01",X"10",X"F8",X"21",X"02",X"80",X"06",X"0C",X"11",
- X"14",X"88",X"AF",X"77",X"12",X"23",X"13",X"10",X"FA",X"21",X"73",X"80",X"22",X"02",X"80",X"3E",
- X"03",X"32",X"20",X"80",X"32",X"81",X"A1",X"32",X"4B",X"82",X"3A",X"4B",X"82",X"E6",X"3F",X"20",
- X"F9",X"21",X"95",X"82",X"06",X"08",X"3E",X"0C",X"77",X"23",X"10",X"FC",X"3E",X"08",X"06",X"03",
- X"77",X"23",X"10",X"FC",X"AF",X"32",X"8C",X"82",X"2A",X"9A",X"89",X"7E",X"FE",X"64",X"38",X"02",
- X"36",X"64",X"3A",X"21",X"80",X"32",X"20",X"80",X"3E",X"3C",X"32",X"4C",X"82",X"3E",X"01",X"32",
- X"81",X"A1",X"21",X"F4",X"89",X"36",X"40",X"23",X"36",X"10",X"2A",X"9C",X"89",X"36",X"01",X"CD",
- X"7D",X"09",X"CD",X"6F",X"1D",X"CD",X"69",X"00",X"18",X"39",X"31",X"00",X"84",X"3A",X"50",X"80",
- X"C6",X"07",X"FE",X"0F",X"D4",X"0D",X"10",X"3A",X"51",X"80",X"C6",X"07",X"FE",X"0F",X"D4",X"55",
- X"10",X"3A",X"4B",X"82",X"FE",X"04",X"D4",X"CB",X"1B",X"3A",X"22",X"80",X"A7",X"CA",X"A0",X"0E",
- X"3D",X"CA",X"24",X"0B",X"3D",X"CA",X"A0",X"0E",X"3D",X"CA",X"86",X"15",X"3D",X"CA",X"A0",X"0E",
- X"CD",X"CF",X"13",X"AF",X"32",X"22",X"80",X"C3",X"7A",X"0A",X"DD",X"21",X"68",X"80",X"AF",X"32",
- X"23",X"80",X"21",X"48",X"80",X"06",X"18",X"77",X"23",X"10",X"FC",X"32",X"81",X"A1",X"32",X"50",
- X"82",X"DD",X"77",X"00",X"DD",X"77",X"01",X"DD",X"77",X"02",X"DD",X"77",X"03",X"DD",X"77",X"04",
- X"DD",X"77",X"05",X"DD",X"77",X"06",X"DD",X"77",X"07",X"DD",X"36",X"08",X"0F",X"DD",X"36",X"0A",
- X"32",X"DD",X"77",X"0B",X"DD",X"36",X"0C",X"70",X"DD",X"36",X"0E",X"74",X"DD",X"36",X"0F",X"F0",
- X"DD",X"36",X"10",X"01",X"DD",X"77",X"13",X"C9",X"3A",X"21",X"80",X"A7",X"C0",X"D5",X"13",X"1A",
- X"A7",X"D1",X"C8",X"C5",X"01",X"08",X"00",X"09",X"EB",X"0E",X"20",X"09",X"EB",X"C1",X"E3",X"23",
- X"23",X"23",X"E3",X"C9",X"DD",X"21",X"88",X"80",X"FD",X"21",X"68",X"80",X"3A",X"4E",X"82",X"47",
- X"A7",X"CA",X"91",X"0C",X"DD",X"7E",X"00",X"A7",X"C2",X"88",X"0C",X"AF",X"32",X"B5",X"81",X"DD",
- X"7E",X"13",X"DD",X"35",X"13",X"A7",X"C2",X"4F",X"0C",X"DD",X"77",X"13",X"FD",X"7E",X"08",X"DD",
- X"96",X"08",X"57",X"30",X"02",X"ED",X"44",X"5F",X"DD",X"7E",X"0A",X"FD",X"96",X"0A",X"67",X"30",
- X"02",X"ED",X"44",X"6F",X"7D",X"FE",X"07",X"30",X"33",X"7B",X"FE",X"07",X"30",X"2E",X"7D",X"B3",
- X"CC",X"98",X"0C",X"D9",X"DD",X"46",X"0C",X"DD",X"4E",X"0E",X"CD",X"7F",X"0E",X"7E",X"D9",X"FE",
- X"BD",X"38",X"10",X"FE",X"C6",X"30",X"0C",X"DD",X"36",X"00",X"88",X"DD",X"36",X"15",X"01",X"DD",
- X"36",X"13",X"82",X"0E",X"01",X"78",X"E6",X"03",X"28",X"0C",X"18",X"3E",X"78",X"32",X"B5",X"81",
- X"CB",X"47",X"28",X"36",X"0E",X"03",X"FD",X"7E",X"03",X"A7",X"20",X"18",X"FD",X"CB",X"02",X"7E",
- X"28",X"03",X"79",X"18",X"02",X"AF",X"91",X"84",X"67",X"CB",X"7C",X"6C",X"28",X"1C",X"7D",X"ED",
- X"44",X"6F",X"18",X"16",X"FD",X"CB",X"02",X"7E",X"28",X"04",X"AF",X"91",X"18",X"01",X"79",X"82",
- X"57",X"CB",X"7A",X"5A",X"28",X"04",X"7A",X"ED",X"44",X"5F",X"DD",X"7E",X"05",X"C6",X"03",X"FE",
- X"07",X"D2",X"4F",X"0C",X"DD",X"7E",X"07",X"C6",X"03",X"FE",X"07",X"D2",X"4F",X"0C",X"7D",X"BB",
- X"30",X"05",X"4C",X"3E",X"FF",X"18",X"03",X"4A",X"54",X"AF",X"F5",X"3A",X"B5",X"81",X"A7",X"2A",
- X"25",X"80",X"28",X"03",X"2A",X"29",X"80",X"F1",X"CB",X"7A",X"C4",X"11",X"1E",X"DD",X"36",X"14",
- X"02",X"5F",X"DD",X"BE",X"03",X"20",X"0D",X"DD",X"7E",X"02",X"AC",X"CB",X"7F",X"7B",X"20",X"09",
- X"DD",X"36",X"14",X"00",X"CD",X"B1",X"0C",X"30",X"56",X"2F",X"F5",X"79",X"AC",X"CB",X"7F",X"C4",
- X"11",X"1E",X"F1",X"DD",X"36",X"14",X"02",X"DD",X"BE",X"03",X"20",X"0E",X"DD",X"36",X"14",X"00",
- X"5F",X"DD",X"7E",X"02",X"AC",X"CB",X"7F",X"20",X"06",X"7B",X"CD",X"B1",X"0C",X"30",X"30",X"2A",
- X"25",X"80",X"3A",X"B5",X"81",X"A7",X"28",X"03",X"2A",X"29",X"80",X"DD",X"7E",X"02",X"AC",X"CB",
- X"7F",X"C4",X"11",X"1E",X"DD",X"7E",X"03",X"CD",X"B1",X"0C",X"30",X"13",X"DD",X"36",X"14",X"02",
- X"2F",X"CD",X"B1",X"0C",X"30",X"09",X"CD",X"11",X"1E",X"CD",X"B1",X"0C",X"30",X"01",X"2F",X"DD",
- X"77",X"03",X"DD",X"74",X"02",X"DD",X"75",X"01",X"11",X"20",X"00",X"DD",X"19",X"05",X"C2",X"34",
- X"0B",X"21",X"22",X"80",X"34",X"C3",X"7A",X"0A",X"FD",X"7E",X"0C",X"DD",X"96",X"0C",X"57",X"30",
- X"02",X"ED",X"44",X"5F",X"FD",X"7E",X"0E",X"DD",X"96",X"0E",X"67",X"30",X"02",X"ED",X"44",X"6F",
- X"C9",X"C5",X"4F",X"E5",X"EB",X"DD",X"66",X"08",X"DD",X"6E",X"0A",X"06",X"00",X"1E",X"00",X"79",
- X"A7",X"20",X"40",X"DD",X"7E",X"05",X"C6",X"18",X"FE",X"2B",X"30",X"1C",X"FE",X"06",X"38",X"15",
- X"FE",X"1E",X"30",X"04",X"FE",X"13",X"30",X"11",X"3A",X"5B",X"80",X"A7",X"CA",X"5A",X"0D",X"3C",
- X"CA",X"5A",X"0D",X"18",X"04",X"25",X"18",X"01",X"24",X"CB",X"7A",X"20",X"0B",X"DD",X"7E",X"07",
- X"1D",X"A7",X"FA",X"3C",X"0D",X"2D",X"18",X"44",X"DD",X"7E",X"07",X"1C",X"3D",X"F2",X"3C",X"0D",
- X"2C",X"18",X"39",X"DD",X"7E",X"07",X"C6",X"18",X"FE",X"2B",X"30",X"1A",X"FE",X"06",X"38",X"13",
- X"FE",X"1E",X"30",X"04",X"FE",X"13",X"30",X"0F",X"3A",X"5B",X"80",X"A7",X"28",X"3C",X"3C",X"28",
- X"39",X"18",X"04",X"2C",X"18",X"01",X"2D",X"DD",X"7E",X"05",X"CB",X"7A",X"20",X"08",X"04",X"A7",
- X"FA",X"3C",X"0D",X"24",X"18",X"06",X"05",X"3D",X"F2",X"3C",X"0D",X"25",X"EB",X"CD",X"F2",X"12",
- X"08",X"7A",X"80",X"57",X"7B",X"85",X"5F",X"CD",X"F2",X"12",X"38",X"09",X"7A",X"80",X"57",X"7B",
- X"85",X"5F",X"CD",X"F2",X"12",X"08",X"E1",X"79",X"C1",X"C9",X"E1",X"79",X"C1",X"37",X"C9",X"2B",
- X"7D",X"F6",X"E0",X"3C",X"C0",X"21",X"00",X"9F",X"C9",X"23",X"7D",X"E6",X"1F",X"C0",X"21",X"00",
- X"9F",X"C9",X"E5",X"D5",X"F5",X"2A",X"00",X"80",X"29",X"30",X"01",X"2C",X"7D",X"E6",X"49",X"20",
- X"04",X"11",X"80",X"40",X"19",X"22",X"00",X"80",X"F1",X"D1",X"E1",X"C9",X"57",X"1E",X"09",X"23",
- X"CB",X"7F",X"28",X"03",X"2B",X"34",X"23",X"86",X"77",X"30",X"03",X"2B",X"34",X"23",X"7D",X"C6",
- X"20",X"30",X"01",X"24",X"6F",X"7A",X"1D",X"20",X"E7",X"C9",X"E5",X"21",X"F4",X"89",X"CB",X"EE",
- X"E1",X"C9",X"E5",X"D5",X"C5",X"2A",X"9E",X"89",X"7E",X"21",X"90",X"82",X"0F",X"0F",X"CB",X"16",
- X"7E",X"E6",X"0F",X"FE",X"0C",X"23",X"20",X"2C",X"7E",X"A7",X"20",X"28",X"ED",X"5B",X"8A",X"89",
- X"1A",X"E6",X"03",X"28",X"1F",X"3A",X"21",X"80",X"A7",X"28",X"19",X"ED",X"5B",X"8E",X"89",X"13",
- X"1A",X"A7",X"28",X"10",X"06",X"03",X"3D",X"28",X"0B",X"FE",X"0A",X"CC",X"AA",X"0D",X"10",X"F6",
- X"12",X"34",X"34",X"34",X"7E",X"A7",X"28",X"61",X"DD",X"21",X"68",X"80",X"DD",X"7E",X"0F",X"06",
- X"08",X"0E",X"F0",X"FE",X"F0",X"28",X"14",X"0E",X"18",X"FE",X"F2",X"28",X"0E",X"0E",X"08",X"06",
- X"20",X"FE",X"FC",X"28",X"06",X"06",X"F0",X"FE",X"FD",X"20",X"3E",X"DD",X"7E",X"0C",X"90",X"47",
- X"DD",X"7E",X"0E",X"81",X"4F",X"CD",X"7F",X"0E",X"54",X"5D",X"CB",X"DC",X"CB",X"7E",X"28",X"29",
- X"1A",X"FE",X"81",X"20",X"24",X"CB",X"BE",X"06",X"03",X"3E",X"BD",X"0E",X"03",X"E5",X"D5",X"12",
- X"3C",X"36",X"45",X"CD",X"5D",X"0E",X"0D",X"20",X"F6",X"D1",X"E1",X"CD",X"6C",X"0E",X"10",X"EB",
- X"21",X"91",X"82",X"35",X"21",X"F4",X"89",X"CB",X"E6",X"C1",X"D1",X"E1",X"C9",X"F5",X"7B",X"F6",
- X"E0",X"3C",X"20",X"04",X"7B",X"D6",X"20",X"5F",X"1C",X"6B",X"F1",X"C9",X"C5",X"F5",X"01",X"20",
- X"00",X"09",X"7C",X"E6",X"03",X"F6",X"8C",X"67",X"E6",X"F7",X"57",X"5D",X"F1",X"C1",X"C9",X"3A",
- X"4D",X"80",X"80",X"C6",X"03",X"0F",X"0F",X"0F",X"E6",X"1F",X"47",X"3A",X"4F",X"80",X"ED",X"44",
- X"91",X"D6",X"08",X"26",X"21",X"17",X"CB",X"14",X"17",X"CB",X"14",X"E6",X"E0",X"B0",X"6F",X"C9",
- X"11",X"00",X"00",X"DD",X"21",X"68",X"80",X"DD",X"7E",X"13",X"DD",X"35",X"13",X"A7",X"C2",X"A6",
- X"0F",X"DD",X"77",X"13",X"21",X"F4",X"89",X"CB",X"F6",X"2A",X"27",X"80",X"ED",X"4B",X"9E",X"89",
- X"0A",X"47",X"F3",X"22",X"2D",X"80",X"AF",X"32",X"68",X"80",X"32",X"48",X"80",X"2A",X"69",X"80",
- X"3A",X"20",X"80",X"A7",X"CC",X"8E",X"1B",X"CD",X"D8",X"0F",X"30",X"79",X"3A",X"6B",X"80",X"2A",
- X"69",X"80",X"CD",X"B1",X"0C",X"30",X"2F",X"2F",X"57",X"3A",X"4B",X"80",X"A7",X"3E",X"00",X"32",
- X"4B",X"80",X"7A",X"28",X"0B",X"2A",X"49",X"80",X"CD",X"B1",X"0C",X"30",X"19",X"2A",X"69",X"80",
- X"A7",X"CC",X"11",X"1E",X"CD",X"B1",X"0C",X"30",X"0D",X"CD",X"11",X"1E",X"CD",X"B1",X"0C",X"30",
- X"05",X"A7",X"CC",X"11",X"1E",X"2F",X"32",X"6B",X"80",X"22",X"69",X"80",X"EB",X"2A",X"2D",X"80",
- X"F5",X"7A",X"AC",X"CB",X"7F",X"C4",X"11",X"1E",X"F1",X"22",X"2D",X"80",X"FB",X"21",X"80",X"00",
- X"A7",X"CA",X"78",X"0F",X"CB",X"68",X"CA",X"B0",X"0F",X"CB",X"60",X"CA",X"BA",X"0F",X"3A",X"6F",
- X"80",X"A7",X"CA",X"99",X"0F",X"FE",X"13",X"F2",X"9C",X"0F",X"FE",X"EE",X"FA",X"94",X"0F",X"CB",
- X"7F",X"20",X"49",X"18",X"3F",X"08",X"38",X"04",X"08",X"C3",X"16",X"0F",X"08",X"C5",X"DD",X"46",
- X"03",X"B8",X"C1",X"CA",X"16",X"0F",X"08",X"3E",X"01",X"32",X"4B",X"80",X"08",X"ED",X"5B",X"69",
- X"80",X"ED",X"53",X"49",X"80",X"C3",X"16",X"0F",X"CB",X"58",X"28",X"48",X"CB",X"50",X"28",X"4E",
- X"3A",X"6D",X"80",X"A7",X"28",X"13",X"FE",X"13",X"F2",X"9C",X"0F",X"FE",X"EE",X"FA",X"94",X"0F",
- X"CB",X"7F",X"20",X"08",X"21",X"80",X"FF",X"18",X"03",X"21",X"00",X"00",X"22",X"5A",X"80",X"3A",
- X"20",X"80",X"A7",X"CC",X"C5",X"1B",X"3A",X"22",X"80",X"3C",X"32",X"22",X"80",X"C3",X"7A",X"0A",
- X"3A",X"6F",X"80",X"FE",X"03",X"FA",X"9C",X"0F",X"18",X"DF",X"3A",X"6F",X"80",X"FE",X"FE",X"F2",
- X"94",X"0F",X"18",X"D5",X"3A",X"6D",X"80",X"FE",X"03",X"FA",X"9C",X"0F",X"18",X"CB",X"3A",X"6D",
- X"80",X"FE",X"FE",X"F2",X"94",X"0F",X"18",X"C1",X"78",X"CB",X"6F",X"28",X"0E",X"CB",X"67",X"28",
- X"17",X"CB",X"5F",X"28",X"20",X"CB",X"57",X"28",X"20",X"37",X"C9",X"3E",X"00",X"CB",X"7C",X"CA",
- X"B1",X"0C",X"CD",X"11",X"1E",X"C3",X"B1",X"0C",X"3E",X"00",X"CB",X"7C",X"C2",X"B1",X"0C",X"CD",
- X"11",X"1E",X"C3",X"B1",X"0C",X"3E",X"FF",X"18",X"E4",X"3E",X"FF",X"18",X"ED",X"F3",X"CB",X"7F",
- X"28",X"22",X"3A",X"50",X"80",X"C6",X"08",X"32",X"50",X"80",X"3A",X"54",X"80",X"3C",X"32",X"54",
- X"80",X"FE",X"03",X"C2",X"CC",X"10",X"AF",X"32",X"54",X"80",X"3A",X"52",X"80",X"3C",X"32",X"52",
- X"80",X"C3",X"CC",X"10",X"3A",X"50",X"80",X"D6",X"08",X"32",X"50",X"80",X"3A",X"54",X"80",X"3D",
- X"32",X"54",X"80",X"F2",X"F6",X"10",X"3E",X"02",X"32",X"54",X"80",X"3A",X"52",X"80",X"3D",X"32",
- X"52",X"80",X"C3",X"F6",X"10",X"F3",X"CB",X"7F",X"28",X"22",X"3A",X"51",X"80",X"C6",X"08",X"32",
- X"51",X"80",X"3A",X"55",X"80",X"3C",X"32",X"55",X"80",X"FE",X"03",X"C2",X"9D",X"10",X"AF",X"32",
- X"55",X"80",X"3A",X"53",X"80",X"3C",X"32",X"53",X"80",X"C3",X"9D",X"10",X"3A",X"51",X"80",X"D6",
- X"08",X"32",X"51",X"80",X"3A",X"55",X"80",X"3D",X"32",X"55",X"80",X"F2",X"C1",X"10",X"3E",X"02",
- X"32",X"55",X"80",X"3A",X"53",X"80",X"3D",X"32",X"53",X"80",X"C3",X"C1",X"10",X"CD",X"27",X"11",
- X"ED",X"5B",X"54",X"80",X"14",X"7A",X"FE",X"03",X"20",X"03",X"24",X"16",X"00",X"7C",X"C6",X"0A",
- X"32",X"59",X"80",X"2A",X"56",X"80",X"01",X"E0",X"FF",X"09",X"CB",X"D4",X"22",X"56",X"80",X"18",
- X"07",X"CD",X"27",X"11",X"ED",X"5B",X"54",X"80",X"FB",X"C3",X"62",X"11",X"CD",X"27",X"11",X"ED",
- X"5B",X"54",X"80",X"1C",X"7B",X"FE",X"03",X"20",X"03",X"1E",X"00",X"2C",X"7D",X"C6",X"0A",X"32",
- X"58",X"80",X"2A",X"56",X"80",X"7D",X"E6",X"1F",X"20",X"06",X"7D",X"F6",X"1F",X"6F",X"18",X"01",
- X"2B",X"22",X"56",X"80",X"18",X"07",X"CD",X"27",X"11",X"ED",X"5B",X"54",X"80",X"FB",X"06",X"20",
- X"48",X"2A",X"58",X"80",X"CD",X"1E",X"13",X"14",X"05",X"C8",X"E5",X"2A",X"56",X"80",X"78",X"06",
- X"00",X"09",X"47",X"7C",X"E6",X"03",X"F6",X"84",X"67",X"22",X"56",X"80",X"E1",X"7A",X"FE",X"03",
- X"20",X"E2",X"16",X"00",X"24",X"18",X"DD",X"CD",X"97",X"14",X"2A",X"52",X"80",X"22",X"58",X"80",
- X"C9",X"CD",X"27",X"11",X"ED",X"5B",X"54",X"80",X"06",X"20",X"CD",X"62",X"11",X"32",X"80",X"A0",
- X"2A",X"56",X"80",X"78",X"01",X"20",X"00",X"09",X"47",X"CB",X"D4",X"CB",X"9C",X"22",X"56",X"80",
- X"7A",X"05",X"C8",X"FE",X"03",X"20",X"E3",X"3A",X"59",X"80",X"3C",X"32",X"59",X"80",X"16",X"00",
- X"18",X"D8",X"C5",X"D5",X"06",X"20",X"2A",X"58",X"80",X"CD",X"1E",X"13",X"1C",X"E5",X"2A",X"56",
- X"80",X"23",X"7D",X"E6",X"1F",X"20",X"05",X"2B",X"7D",X"E6",X"E0",X"6F",X"22",X"56",X"80",X"E1",
- X"05",X"28",X"0A",X"7B",X"FE",X"03",X"20",X"E1",X"1E",X"00",X"2C",X"18",X"DC",X"D1",X"C1",X"14",
- X"C9",X"2A",X"96",X"89",X"11",X"20",X"00",X"19",X"ED",X"5B",X"94",X"89",X"3E",X"FF",X"06",X"18",
- X"77",X"12",X"13",X"23",X"10",X"FA",X"3A",X"51",X"82",X"47",X"DD",X"2A",X"96",X"89",X"0E",X"00",
- X"CD",X"72",X"0D",X"3A",X"00",X"80",X"E6",X"1E",X"C6",X"E0",X"6F",X"3A",X"8F",X"82",X"67",X"5E",
- X"23",X"56",X"EB",X"18",X"37",X"00",X"DD",X"2A",X"96",X"89",X"11",X"20",X"00",X"DD",X"19",X"0E",
- X"01",X"06",X"0A",X"DD",X"2A",X"92",X"89",X"3A",X"20",X"80",X"A7",X"20",X"05",X"3E",X"34",X"32",
- X"8F",X"82",X"79",X"A7",X"28",X"CA",X"CD",X"72",X"0D",X"3A",X"01",X"80",X"E6",X"3F",X"67",X"3A",
- X"00",X"80",X"E6",X"1F",X"6F",X"5C",X"55",X"CD",X"F2",X"12",X"38",X"E6",X"C5",X"0E",X"0A",X"ED",
- X"5B",X"94",X"89",X"CD",X"5F",X"12",X"C1",X"38",X"D9",X"3A",X"51",X"82",X"C5",X"4F",X"E5",X"2A",
- X"96",X"89",X"11",X"20",X"00",X"19",X"EB",X"E1",X"CD",X"5F",X"12",X"C1",X"38",X"C4",X"C5",X"11",
- X"5B",X"12",X"0E",X"02",X"CD",X"5F",X"12",X"C1",X"38",X"B8",X"DD",X"74",X"21",X"DD",X"75",X"20",
- X"7D",X"87",X"87",X"87",X"CB",X"3C",X"1F",X"CB",X"3C",X"1F",X"CB",X"3C",X"1F",X"6F",X"7C",X"E6",
- X"07",X"F6",X"98",X"67",X"DD",X"74",X"01",X"DD",X"75",X"00",X"DD",X"23",X"DD",X"23",X"10",X"92",
- X"3A",X"20",X"80",X"A7",X"C0",X"3E",X"28",X"32",X"8F",X"82",X"C9",X"0F",X"00",X"0F",X"32",X"1A",
- X"13",X"FE",X"FF",X"28",X"12",X"95",X"C6",X"04",X"FE",X"09",X"30",X"0B",X"1A",X"FE",X"FF",X"28",
- X"06",X"94",X"C6",X"04",X"FE",X"09",X"D8",X"13",X"0D",X"20",X"E4",X"AF",X"C9",X"0E",X"80",X"E5",
- X"7B",X"0F",X"0F",X"C6",X"04",X"E6",X"07",X"6F",X"7A",X"26",X"40",X"17",X"17",X"17",X"CB",X"14",
- X"E6",X"E0",X"B5",X"24",X"C6",X"80",X"30",X"01",X"24",X"6F",X"71",X"CB",X"DC",X"7E",X"E6",X"3F",
- X"CB",X"4B",X"28",X"02",X"F6",X"40",X"CB",X"4A",X"28",X"02",X"F6",X"80",X"77",X"E1",X"C9",X"2A",
- X"94",X"89",X"0E",X"C6",X"06",X"0A",X"5E",X"7B",X"23",X"56",X"23",X"A2",X"3C",X"28",X"03",X"CD",
- X"7F",X"12",X"10",X"F2",X"C9",X"E5",X"D5",X"C5",X"AF",X"EB",X"CD",X"F2",X"12",X"30",X"1F",X"15",
- X"1D",X"21",X"67",X"22",X"0E",X"03",X"06",X"03",X"CD",X"F2",X"12",X"30",X"01",X"B6",X"23",X"14",
- X"10",X"F6",X"15",X"15",X"15",X"1C",X"0D",X"20",X"ED",X"A7",X"20",X"02",X"F6",X"87",X"C1",X"D1",
- X"E1",X"C9",X"D5",X"C5",X"4F",X"7A",X"FE",X"20",X"30",X"1F",X"E6",X"07",X"47",X"04",X"7B",X"FE",
- X"38",X"30",X"16",X"7A",X"87",X"87",X"87",X"87",X"CB",X"13",X"87",X"CB",X"13",X"3A",X"8F",X"82",
- X"57",X"1A",X"87",X"10",X"FD",X"79",X"C1",X"D1",X"C9",X"79",X"C1",X"D1",X"37",X"C9",X"C5",X"D5",
- X"E5",X"7C",X"FE",X"38",X"D2",X"B5",X"13",X"7D",X"FE",X"20",X"D2",X"B5",X"13",X"87",X"87",X"87",
- X"CB",X"3C",X"1F",X"CB",X"3C",X"1F",X"CB",X"3C",X"1F",X"6F",X"7C",X"E6",X"07",X"F6",X"98",X"67",
- X"4E",X"79",X"E6",X"F8",X"CA",X"86",X"13",X"7A",X"87",X"82",X"83",X"87",X"87",X"21",X"3B",X"22",
- X"85",X"30",X"01",X"24",X"6F",X"1E",X"00",X"46",X"23",X"7E",X"A1",X"28",X"02",X"CB",X"D3",X"23",
- X"7E",X"A1",X"28",X"02",X"CB",X"CB",X"23",X"7E",X"A1",X"28",X"02",X"CB",X"C3",X"7B",X"21",X"5F",
- X"22",X"85",X"30",X"01",X"24",X"6F",X"4E",X"2A",X"56",X"80",X"71",X"CB",X"DC",X"3A",X"B1",X"82",
- X"B0",X"77",X"E1",X"D1",X"C1",X"C9",X"AF",X"47",X"67",X"69",X"29",X"29",X"29",X"09",X"4A",X"09",
- X"09",X"09",X"4B",X"09",X"01",X"88",X"22",X"09",X"ED",X"5B",X"56",X"80",X"7E",X"E6",X"C0",X"F6",
- X"15",X"47",X"7E",X"CB",X"6F",X"28",X"01",X"04",X"E6",X"1F",X"CB",X"FF",X"12",X"EB",X"CB",X"DC",
- X"70",X"E1",X"D1",X"C1",X"C9",X"3A",X"D6",X"82",X"82",X"82",X"82",X"83",X"ED",X"5B",X"56",X"80",
- X"12",X"06",X"51",X"3A",X"D6",X"82",X"FE",X"5F",X"38",X"E3",X"D6",X"09",X"04",X"18",X"F7",X"3A",
- X"4E",X"82",X"A7",X"C8",X"21",X"F8",X"01",X"F3",X"ED",X"5B",X"54",X"80",X"3A",X"50",X"80",X"06",
- X"00",X"4F",X"CB",X"7F",X"28",X"01",X"05",X"09",X"01",X"F8",X"FF",X"1C",X"1D",X"28",X"03",X"09",
- X"18",X"FA",X"22",X"48",X"82",X"3A",X"51",X"80",X"C6",X"14",X"ED",X"44",X"14",X"15",X"28",X"03",
- X"91",X"18",X"FA",X"32",X"4A",X"82",X"3A",X"4E",X"82",X"47",X"DD",X"21",X"93",X"80",X"2A",X"52",
- X"80",X"DD",X"7E",X"FD",X"95",X"FE",X"0B",X"30",X"0A",X"4F",X"DD",X"7E",X"FF",X"94",X"FE",X"0B",
- X"57",X"38",X"09",X"11",X"20",X"00",X"DD",X"19",X"10",X"E4",X"FB",X"C9",X"DD",X"E5",X"E1",X"FD",
- X"21",X"02",X"80",X"1E",X"06",X"FD",X"7E",X"00",X"BD",X"20",X"06",X"FD",X"7E",X"01",X"BC",X"28",
- X"E2",X"FD",X"23",X"FD",X"23",X"1D",X"20",X"ED",X"1E",X"06",X"FD",X"2B",X"FD",X"2B",X"FD",X"7E",
- X"00",X"FD",X"B6",X"01",X"28",X"10",X"1D",X"20",X"F1",X"11",X"F5",X"FF",X"DD",X"19",X"CD",X"97",
- X"16",X"11",X"2B",X"00",X"18",X"C0",X"FD",X"75",X"00",X"FD",X"74",X"01",X"7A",X"87",X"82",X"87",
- X"87",X"87",X"57",X"3A",X"4A",X"82",X"92",X"DD",X"77",X"03",X"79",X"87",X"81",X"87",X"87",X"87",
- X"5F",X"16",X"00",X"2A",X"48",X"82",X"19",X"DD",X"74",X"00",X"DD",X"75",X"01",X"AF",X"DD",X"77",
- X"FC",X"DD",X"77",X"FA",X"C3",X"23",X"14",X"E5",X"F5",X"3A",X"4D",X"80",X"6F",X"3A",X"4F",X"80",
- X"ED",X"44",X"67",X"3A",X"50",X"80",X"85",X"E6",X"F8",X"D6",X"10",X"0F",X"0F",X"0F",X"6F",X"3A",
- X"51",X"80",X"84",X"26",X"21",X"07",X"CB",X"14",X"07",X"CB",X"14",X"E6",X"E0",X"B5",X"6F",X"22",
- X"56",X"80",X"F1",X"E1",X"C9",X"00",X"E5",X"D5",X"C5",X"F5",X"3A",X"AA",X"82",X"A7",X"20",X"07",
- X"21",X"24",X"80",X"36",X"FF",X"18",X"3C",X"21",X"AC",X"82",X"3A",X"00",X"A0",X"47",X"1F",X"CB",
- X"16",X"23",X"CB",X"10",X"CB",X"16",X"23",X"3A",X"80",X"A0",X"17",X"CB",X"16",X"7E",X"E6",X"0F",
- X"FE",X"0C",X"CC",X"18",X"15",X"2B",X"7E",X"E6",X"0F",X"FE",X"0C",X"CC",X"18",X"15",X"2B",X"7E",
- X"E6",X"0F",X"06",X"01",X"FE",X"0C",X"20",X"0B",X"3A",X"F6",X"89",X"CB",X"F7",X"32",X"F6",X"89",
- X"CD",X"2A",X"15",X"F1",X"C1",X"D1",X"E1",X"C9",X"EB",X"21",X"A8",X"82",X"34",X"23",X"34",X"CB",
- X"46",X"23",X"28",X"01",X"23",X"46",X"EB",X"78",X"A7",X"C8",X"EB",X"21",X"24",X"80",X"34",X"20",
- X"01",X"35",X"10",X"FA",X"EB",X"3A",X"21",X"80",X"A7",X"28",X"09",X"3A",X"20",X"80",X"FE",X"01",
- X"CC",X"D2",X"1E",X"C9",X"21",X"F4",X"89",X"77",X"23",X"77",X"C3",X"AB",X"06",X"3A",X"A8",X"82",
- X"A7",X"C8",X"E5",X"D5",X"C5",X"21",X"AF",X"82",X"11",X"87",X"A1",X"7E",X"23",X"A7",X"20",X"0B",
- X"AF",X"77",X"3C",X"12",X"2B",X"36",X"01",X"C1",X"D1",X"E1",X"C9",X"34",X"7E",X"FE",X"10",X"20",
- X"0E",X"2B",X"36",X"00",X"21",X"A8",X"82",X"35",X"21",X"F6",X"89",X"36",X"80",X"18",X"E8",X"D6",
- X"08",X"20",X"E4",X"12",X"18",X"E1",X"DD",X"21",X"68",X"80",X"DD",X"6E",X"11",X"DD",X"66",X"12",
- X"DD",X"7E",X"05",X"C6",X"0C",X"FE",X"19",X"38",X"08",X"CB",X"7F",X"20",X"03",X"23",X"18",X"01",
- X"2B",X"DD",X"7E",X"07",X"11",X"20",X"00",X"C6",X"0C",X"FE",X"19",X"38",X"0A",X"CB",X"7F",X"28",
- X"03",X"19",X"18",X"03",X"A7",X"ED",X"52",X"EB",X"2A",X"92",X"89",X"06",X"0A",X"7B",X"BE",X"23",
- X"20",X"02",X"7A",X"BE",X"23",X"CA",X"AF",X"17",X"10",X"F3",X"3A",X"4C",X"82",X"A7",X"C2",X"73",
- X"16",X"1A",X"FE",X"03",X"CA",X"96",X"19",X"DD",X"21",X"88",X"80",X"FD",X"21",X"A8",X"80",X"06",
- X"07",X"C5",X"FD",X"E5",X"DD",X"7E",X"13",X"A7",X"28",X"05",X"DD",X"35",X"13",X"18",X"2C",X"DD",
- X"7E",X"08",X"FD",X"96",X"08",X"6F",X"DD",X"7E",X"05",X"FD",X"96",X"05",X"CD",X"C3",X"16",X"20",
- X"13",X"DD",X"7E",X"0A",X"FD",X"96",X"0A",X"6F",X"FD",X"7E",X"07",X"DD",X"96",X"07",X"CD",X"C3",
- X"16",X"CC",X"7A",X"16",X"11",X"20",X"00",X"FD",X"19",X"10",X"C9",X"11",X"20",X"00",X"FD",X"E1",
- X"C1",X"DD",X"19",X"FD",X"19",X"10",X"BA",X"0E",X"08",X"DD",X"21",X"88",X"80",X"DD",X"7E",X"13",
- X"A7",X"20",X"37",X"DD",X"66",X"12",X"DD",X"6E",X"11",X"DD",X"7E",X"05",X"C6",X"0C",X"FE",X"19",
- X"38",X"0C",X"CB",X"7F",X"20",X"05",X"CD",X"69",X"0D",X"18",X"03",X"CD",X"5F",X"0D",X"DD",X"7E",
- X"07",X"11",X"20",X"00",X"C6",X"0C",X"FE",X"19",X"38",X"0A",X"CB",X"7F",X"28",X"03",X"19",X"18",
- X"03",X"A7",X"ED",X"52",X"7E",X"FE",X"03",X"CC",X"97",X"16",X"11",X"20",X"00",X"DD",X"19",X"0D",
- X"C2",X"2D",X"16",X"21",X"22",X"80",X"34",X"C3",X"7A",X"0A",X"DD",X"E5",X"FD",X"E5",X"DD",X"E1",
- X"CD",X"97",X"16",X"DD",X"E1",X"FD",X"7E",X"02",X"DD",X"AE",X"02",X"CB",X"7F",X"DD",X"7E",X"02",
- X"28",X"02",X"ED",X"44",X"DD",X"77",X"02",X"E5",X"DD",X"36",X"00",X"E0",X"DD",X"36",X"13",X"32",
- X"21",X"00",X"01",X"DD",X"CB",X"02",X"7E",X"20",X"02",X"26",X"FF",X"DD",X"74",X"02",X"DD",X"75",
- X"01",X"06",X"08",X"FD",X"E5",X"FD",X"2E",X"00",X"CD",X"F3",X"00",X"10",X"FB",X"FD",X"E1",X"06",
- X"01",X"E1",X"C9",X"2D",X"2D",X"C6",X"23",X"CB",X"7F",X"20",X"05",X"2C",X"D6",X"18",X"18",X"F7",
- X"7D",X"A7",X"C9",X"3E",X"03",X"32",X"20",X"80",X"3E",X"EC",X"32",X"14",X"80",X"21",X"F4",X"89",
- X"36",X"80",X"23",X"36",X"08",X"CD",X"6E",X"17",X"3A",X"21",X"80",X"A7",X"CA",X"8E",X"06",X"2A",
- X"88",X"89",X"35",X"CA",X"B9",X"19",X"21",X"AA",X"81",X"7E",X"47",X"23",X"A6",X"CA",X"2A",X"17",
- X"78",X"FE",X"02",X"28",X"0B",X"CD",X"41",X"17",X"3E",X"01",X"32",X"B7",X"81",X"C3",X"2A",X"17",
- X"CD",X"54",X"17",X"3E",X"01",X"32",X"B7",X"81",X"21",X"0E",X"80",X"3A",X"B2",X"81",X"CB",X"47",
- X"C2",X"2A",X"17",X"7E",X"23",X"23",X"BE",X"CA",X"BD",X"07",X"2A",X"8A",X"89",X"7E",X"E6",X"03",
- X"CA",X"BD",X"07",X"AF",X"32",X"4B",X"82",X"3A",X"4B",X"82",X"FE",X"78",X"20",X"F9",X"C3",X"E5",
- X"07",X"2B",X"36",X"02",X"2B",X"7E",X"2B",X"77",X"21",X"00",X"1F",X"11",X"88",X"89",X"01",X"18",
- X"00",X"ED",X"B0",X"C9",X"2B",X"36",X"01",X"2B",X"46",X"2B",X"AF",X"77",X"21",X"18",X"1F",X"11",
- X"88",X"89",X"78",X"01",X"16",X"00",X"A7",X"28",X"02",X"03",X"03",X"ED",X"B0",X"C9",X"3E",X"20",
- X"90",X"90",X"6F",X"26",X"80",X"36",X"EC",X"DD",X"7E",X"0C",X"D6",X"08",X"47",X"DD",X"7E",X"0E",
- X"C6",X"08",X"4F",X"CD",X"7F",X"0E",X"54",X"5D",X"CB",X"DC",X"3E",X"B4",X"0E",X"03",X"E5",X"D5",
- X"06",X"03",X"12",X"36",X"46",X"CD",X"5D",X"0E",X"3C",X"10",X"F7",X"D1",X"E1",X"CD",X"6C",X"0E",
- X"0D",X"20",X"EB",X"2A",X"98",X"89",X"06",X"04",X"3E",X"66",X"77",X"23",X"10",X"FC",X"C9",X"E5",
- X"AF",X"12",X"05",X"21",X"F4",X"89",X"0E",X"04",X"20",X"07",X"0E",X"08",X"3E",X"01",X"32",X"23",
- X"80",X"7E",X"B1",X"77",X"E1",X"AF",X"2B",X"77",X"2B",X"77",X"11",X"20",X"00",X"19",X"3D",X"5E",
- X"77",X"23",X"56",X"77",X"CD",X"7D",X"12",X"CD",X"AF",X"12",X"DD",X"7E",X"05",X"C6",X"0C",X"FE",
- X"19",X"38",X"08",X"D6",X"18",X"FE",X"1E",X"38",X"02",X"C6",X"30",X"D6",X"0C",X"ED",X"44",X"DD",
- X"86",X"0C",X"47",X"DD",X"7E",X"07",X"C6",X"0C",X"FE",X"19",X"38",X"08",X"D6",X"18",X"FE",X"1E",
- X"38",X"02",X"C6",X"30",X"D6",X"0C",X"ED",X"44",X"DD",X"86",X"0E",X"4F",X"C5",X"CD",X"7F",X"0E",
- X"36",X"81",X"C1",X"E5",X"C5",X"78",X"D6",X"08",X"47",X"C5",X"CD",X"7F",X"0E",X"36",X"81",X"C1",
- X"79",X"D6",X"08",X"4F",X"C5",X"CD",X"7F",X"0E",X"36",X"81",X"C1",X"79",X"C1",X"4F",X"CD",X"7F",
- X"0E",X"36",X"81",X"E1",X"7D",X"E6",X"1F",X"11",X"20",X"00",X"20",X"01",X"19",X"2B",X"3A",X"50",
- X"82",X"3C",X"32",X"50",X"82",X"FE",X"0A",X"20",X"02",X"3E",X"01",X"C6",X"9F",X"77",X"CD",X"8D",
- X"18",X"CD",X"88",X"19",X"36",X"AA",X"CD",X"8D",X"18",X"3A",X"50",X"82",X"FE",X"0A",X"20",X"08",
- X"CD",X"88",X"19",X"36",X"AB",X"CD",X"8D",X"18",X"3A",X"23",X"80",X"A7",X"28",X"28",X"E5",X"CD",
- X"88",X"19",X"7E",X"FE",X"81",X"20",X"08",X"36",X"AC",X"CD",X"8D",X"18",X"E1",X"18",X"17",X"E1",
- X"11",X"20",X"00",X"19",X"7C",X"E6",X"07",X"F6",X"84",X"67",X"E5",X"18",X"E5",X"CB",X"DC",X"CB",
- X"F6",X"CB",X"BE",X"CB",X"9C",X"C9",X"2A",X"8C",X"89",X"34",X"7E",X"FE",X"0A",X"20",X"05",X"3E",
- X"03",X"32",X"20",X"80",X"3A",X"50",X"82",X"47",X"3A",X"23",X"80",X"A7",X"28",X"02",X"CB",X"20",
- X"0E",X"0A",X"C5",X"CD",X"6F",X"1D",X"CD",X"F5",X"1D",X"C1",X"0D",X"20",X"F5",X"10",X"F1",X"CD",
- X"6F",X"1D",X"CD",X"0B",X"19",X"2A",X"8C",X"89",X"7E",X"FE",X"0A",X"C2",X"D7",X"15",X"3A",X"21",
- X"80",X"A7",X"CA",X"8E",X"06",X"CD",X"A3",X"17",X"21",X"F4",X"89",X"7E",X"E6",X"3F",X"77",X"23",
- X"36",X"28",X"3E",X"EC",X"32",X"14",X"80",X"3E",X"03",X"32",X"20",X"80",X"32",X"4B",X"82",X"3A",
- X"4B",X"82",X"E6",X"3F",X"20",X"F9",X"CB",X"46",X"28",X"FC",X"CD",X"2D",X"1D",X"3E",X"E0",X"32",
- X"4B",X"82",X"3A",X"4B",X"82",X"A7",X"20",X"FA",X"C3",X"BD",X"07",X"3A",X"92",X"82",X"A7",X"C0",
- X"2A",X"8A",X"89",X"7E",X"3D",X"0F",X"0F",X"E6",X"03",X"47",X"04",X"F6",X"30",X"32",X"8F",X"82",
- X"D6",X"23",X"32",X"B1",X"82",X"3E",X"53",X"C6",X"09",X"10",X"FC",X"32",X"D6",X"82",X"3A",X"D8",
- X"82",X"57",X"7E",X"BA",X"38",X"04",X"D6",X"04",X"18",X"F9",X"D6",X"02",X"87",X"87",X"87",X"6F",
- X"26",X"00",X"ED",X"5B",X"D2",X"82",X"19",X"7E",X"32",X"4E",X"82",X"23",X"7E",X"32",X"6E",X"82",
- X"23",X"7E",X"32",X"88",X"82",X"23",X"7E",X"32",X"51",X"82",X"23",X"3A",X"8A",X"82",X"47",X"ED",
- X"5B",X"8C",X"89",X"1A",X"05",X"28",X"11",X"23",X"05",X"28",X"0D",X"FE",X"08",X"30",X"09",X"23",
- X"05",X"28",X"05",X"FE",X"05",X"30",X"01",X"23",X"5E",X"16",X"00",X"21",X"4B",X"21",X"19",X"11",
- X"25",X"80",X"01",X"08",X"00",X"ED",X"B0",X"C9",X"23",X"47",X"7D",X"E6",X"1F",X"78",X"C0",X"2B",
- X"7D",X"E6",X"E0",X"6F",X"78",X"C9",X"3E",X"03",X"32",X"20",X"80",X"3E",X"EC",X"32",X"14",X"80",
- X"21",X"F4",X"89",X"36",X"80",X"23",X"36",X"08",X"CD",X"6E",X"17",X"3A",X"21",X"80",X"A7",X"CA",
- X"8E",X"06",X"2A",X"88",X"89",X"35",X"C2",X"F6",X"16",X"3E",X"A0",X"32",X"4B",X"82",X"3A",X"4B",
- X"82",X"A7",X"20",X"FA",X"AF",X"32",X"F4",X"89",X"DD",X"7E",X"0C",X"D6",X"08",X"47",X"DD",X"7E",
- X"0E",X"C6",X"08",X"4F",X"CD",X"7F",X"0E",X"54",X"5D",X"06",X"03",X"3E",X"81",X"E5",X"D5",X"0E",
- X"03",X"12",X"CD",X"5D",X"0E",X"0D",X"20",X"F9",X"D1",X"E1",X"CD",X"6C",X"0E",X"10",X"EE",X"DD",
- X"21",X"14",X"80",X"FD",X"21",X"14",X"88",X"3A",X"A8",X"81",X"A7",X"20",X"22",X"DD",X"36",X"00",
- X"E0",X"DD",X"36",X"01",X"68",X"FD",X"36",X"00",X"74",X"FD",X"36",X"01",X"66",X"DD",X"36",X"02",
- X"E4",X"DD",X"36",X"03",X"78",X"FD",X"36",X"02",X"74",X"FD",X"36",X"03",X"66",X"18",X"20",X"DD",
- X"36",X"00",X"E3",X"DD",X"36",X"01",X"AC",X"DD",X"36",X"02",X"E7",X"DD",X"36",X"03",X"9C",X"FD",
- X"36",X"00",X"7C",X"FD",X"36",X"01",X"66",X"FD",X"36",X"02",X"7C",X"FD",X"36",X"03",X"66",X"3E",
- X"01",X"32",X"4B",X"82",X"3A",X"4B",X"82",X"E6",X"3F",X"20",X"F9",X"3A",X"D0",X"82",X"A7",X"CA",
- X"D8",X"1A",X"3E",X"75",X"CD",X"CB",X"1D",X"21",X"29",X"1B",X"11",X"07",X"85",X"CD",X"15",X"1B",
- X"11",X"86",X"85",X"CD",X"15",X"1B",X"11",X"C9",X"85",X"CD",X"15",X"1B",X"11",X"86",X"86",X"CD",
- X"15",X"1B",X"11",X"C8",X"86",X"CD",X"15",X"1B",X"3E",X"83",X"32",X"2F",X"86",X"21",X"F5",X"89",
- X"CB",X"D6",X"3E",X"01",X"32",X"4B",X"82",X"3A",X"4B",X"82",X"E6",X"0F",X"20",X"F9",X"CB",X"46",
- X"20",X"46",X"11",X"60",X"88",X"1A",X"EE",X"1F",X"06",X"08",X"12",X"13",X"10",X"FC",X"E5",X"11",
- X"2F",X"86",X"1A",X"11",X"28",X"86",X"FE",X"40",X"20",X"1D",X"21",X"64",X"80",X"01",X"04",X"00",
- X"ED",X"B0",X"0E",X"04",X"21",X"60",X"80",X"ED",X"B0",X"3E",X"22",X"12",X"1B",X"1A",X"FE",X"40",
- X"20",X"FA",X"3E",X"22",X"12",X"18",X"08",X"06",X"09",X"3E",X"40",X"12",X"13",X"10",X"FC",X"CD",
- X"E0",X"04",X"CD",X"F5",X"1A",X"E1",X"18",X"AA",X"CD",X"A3",X"17",X"CD",X"06",X"1B",X"21",X"60",
- X"88",X"06",X"08",X"36",X"70",X"23",X"10",X"FB",X"21",X"AA",X"81",X"7E",X"23",X"A6",X"77",X"C2",
- X"F6",X"16",X"C3",X"8E",X"06",X"2A",X"98",X"89",X"01",X"1C",X"00",X"09",X"06",X"08",X"7E",X"EE",
- X"15",X"77",X"23",X"10",X"FC",X"C9",X"2A",X"98",X"89",X"01",X"1C",X"00",X"09",X"06",X"08",X"36",
- X"72",X"23",X"10",X"FB",X"C9",X"F5",X"C5",X"D5",X"7E",X"CB",X"DA",X"23",X"46",X"12",X"13",X"10",
- X"FC",X"4E",X"D1",X"23",X"ED",X"B0",X"C1",X"F1",X"C9",X"75",X"0D",X"59",X"4F",X"55",X"40",X"44",
- X"49",X"44",X"40",X"49",X"54",X"40",X"21",X"21",X"74",X"0E",X"54",X"48",X"45",X"40",X"48",X"49",
- X"47",X"48",X"40",X"53",X"43",X"4F",X"52",X"45",X"74",X"0B",X"4F",X"46",X"40",X"54",X"48",X"45",
- X"40",X"44",X"41",X"59",X"2E",X"76",X"10",X"47",X"4F",X"40",X"46",X"4F",X"52",X"40",X"54",X"48",
- X"45",X"40",X"57",X"4F",X"52",X"4C",X"44",X"76",X"0D",X"52",X"45",X"43",X"4F",X"52",X"44",X"40",
- X"4E",X"4F",X"57",X"40",X"21",X"21",X"E5",X"C5",X"21",X"34",X"88",X"06",X"0C",X"0E",X"00",X"71",
- X"23",X"10",X"FC",X"C1",X"E1",X"C9",X"E5",X"21",X"91",X"82",X"36",X"03",X"E1",X"C9",X"D9",X"06",
- X"FF",X"FD",X"21",X"88",X"80",X"3A",X"4E",X"82",X"47",X"DD",X"7E",X"08",X"FD",X"96",X"08",X"30",
- X"02",X"ED",X"44",X"57",X"FD",X"7E",X"0A",X"DD",X"96",X"0A",X"30",X"02",X"ED",X"44",X"B2",X"FE",
- X"04",X"DC",X"86",X"1B",X"11",X"20",X"00",X"FD",X"19",X"10",X"DE",X"3E",X"34",X"32",X"8F",X"82",
- X"3E",X"FF",X"D9",X"47",X"C9",X"3E",X"30",X"32",X"8F",X"82",X"C9",X"DD",X"21",X"68",X"80",X"06",
- X"09",X"21",X"70",X"22",X"DD",X"7E",X"15",X"A7",X"20",X"43",X"DD",X"4E",X"02",X"79",X"DD",X"B6",
- X"01",X"28",X"3A",X"DD",X"7E",X"03",X"A7",X"28",X"08",X"CB",X"79",X"28",X"0E",X"0E",X"FD",X"18",
- X"0C",X"CB",X"79",X"28",X"03",X"0E",X"F2",X"11",X"0E",X"F0",X"11",X"0E",X"FC",X"DD",X"7E",X"0F",
- X"B9",X"28",X"1A",X"79",X"BE",X"23",X"20",X"FC",X"DD",X"7E",X"0F",X"0E",X"00",X"0C",X"BE",X"23",
- X"20",X"FB",X"79",X"FE",X"06",X"30",X"02",X"2B",X"2B",X"7E",X"DD",X"77",X"0F",X"11",X"20",X"00",
- X"DD",X"19",X"10",X"AD",X"AF",X"32",X"4B",X"82",X"C9",X"4E",X"23",X"06",X"00",X"ED",X"B0",X"C9",
- X"3A",X"24",X"80",X"A7",X"28",X"04",X"06",X"01",X"18",X"02",X"06",X"00",X"21",X"84",X"A1",X"70",
- X"23",X"3A",X"24",X"80",X"FE",X"01",X"20",X"02",X"06",X"00",X"70",X"3E",X"01",X"C9",X"D5",X"C5",
- X"01",X"08",X"00",X"ED",X"B0",X"C1",X"D1",X"E5",X"D5",X"EB",X"CB",X"DC",X"16",X"08",X"71",X"23",
- X"15",X"20",X"FB",X"D1",X"21",X"20",X"00",X"19",X"EB",X"E1",X"C9",X"00",X"3A",X"21",X"80",X"A7",
- X"C8",X"2A",X"9C",X"89",X"7E",X"A7",X"C8",X"3A",X"69",X"82",X"47",X"3A",X"6E",X"82",X"B8",X"C0",
- X"AF",X"32",X"69",X"82",X"DD",X"2A",X"8E",X"89",X"DD",X"7E",X"01",X"21",X"CB",X"1F",X"FE",X"0A",
- X"30",X"03",X"21",X"D3",X"1F",X"11",X"40",X"89",X"01",X"08",X"00",X"ED",X"B0",X"DD",X"7E",X"00",
- X"A7",X"20",X"0F",X"DD",X"7E",X"01",X"FE",X"0A",X"20",X"08",X"3A",X"F4",X"89",X"F6",X"20",X"32",
- X"F4",X"89",X"DD",X"2A",X"8E",X"89",X"DD",X"66",X"01",X"DD",X"6E",X"00",X"7C",X"B5",X"C8",X"2D",
- X"CB",X"7D",X"28",X"03",X"2E",X"09",X"25",X"DD",X"75",X"00",X"DD",X"74",X"01",X"7C",X"DD",X"5E",
- X"01",X"21",X"44",X"81",X"16",X"36",X"0E",X"C7",X"3E",X"05",X"93",X"FE",X"CF",X"38",X"02",X"3E",
- X"CF",X"77",X"2C",X"06",X"07",X"7A",X"BB",X"30",X"01",X"5A",X"D6",X"08",X"57",X"7B",X"92",X"CB",
- X"7F",X"28",X"01",X"AF",X"81",X"77",X"7D",X"3C",X"E6",X"F7",X"6F",X"10",X"E8",X"DD",X"7E",X"01",
- X"A7",X"C0",X"DD",X"7E",X"00",X"FE",X"01",X"28",X"07",X"A7",X"C0",X"3C",X"32",X"92",X"82",X"C9",
- X"2A",X"9A",X"89",X"36",X"C7",X"21",X"8C",X"82",X"36",X"01",X"C9",X"DD",X"2A",X"8E",X"89",X"21",
- X"CB",X"1F",X"11",X"40",X"89",X"01",X"08",X"00",X"ED",X"B0",X"C3",X"CE",X"1C",X"DD",X"2A",X"8E",
- X"89",X"3A",X"20",X"80",X"A7",X"C8",X"06",X"0A",X"DD",X"7E",X"00",X"DD",X"B6",X"01",X"C8",X"3A",
- X"F4",X"89",X"E6",X"03",X"F6",X"02",X"32",X"F4",X"89",X"AF",X"32",X"69",X"82",X"3A",X"69",X"82",
- X"3D",X"20",X"FA",X"DD",X"7E",X"00",X"DD",X"B6",X"01",X"C8",X"C5",X"CD",X"B2",X"1C",X"CD",X"F5",
- X"1D",X"CD",X"6F",X"1D",X"C1",X"05",X"28",X"C5",X"CB",X"40",X"28",X"DD",X"C3",X"53",X"1D",X"3A",
- X"20",X"80",X"A7",X"C8",X"3A",X"D0",X"82",X"11",X"60",X"80",X"2A",X"90",X"89",X"01",X"08",X"00",
- X"FE",X"01",X"20",X"04",X"ED",X"B0",X"18",X"12",X"1A",X"FE",X"40",X"28",X"03",X"BE",X"20",X"0A",
- X"23",X"13",X"0D",X"20",X"F3",X"3E",X"01",X"32",X"D0",X"82",X"3A",X"B2",X"81",X"4F",X"3A",X"AA",
- X"81",X"A1",X"C0",X"2A",X"90",X"89",X"ED",X"5B",X"B3",X"81",X"06",X"08",X"1A",X"BE",X"C0",X"23",
- X"13",X"10",X"F9",X"3A",X"AA",X"81",X"B1",X"32",X"B2",X"81",X"2A",X"88",X"89",X"34",X"DD",X"E5",
- X"CD",X"7D",X"09",X"DD",X"E1",X"21",X"F4",X"89",X"CB",X"C6",X"C9",X"21",X"00",X"84",X"11",X"01",
- X"84",X"01",X"FF",X"03",X"36",X"40",X"ED",X"B0",X"21",X"00",X"8C",X"11",X"01",X"8C",X"01",X"FF",
- X"03",X"77",X"ED",X"B0",X"AF",X"32",X"40",X"A1",X"32",X"30",X"A1",X"21",X"4C",X"80",X"06",X"04",
- X"77",X"23",X"10",X"FC",X"C9",X"2A",X"90",X"89",X"3A",X"20",X"80",X"A7",X"C8",X"23",X"23",X"7E",
- X"3C",X"E6",X"0F",X"77",X"FE",X"0A",X"D8",X"D6",X"0A",X"77",X"CB",X"DD",X"2D",X"CB",X"9D",X"18",
- X"EE",X"F5",X"7C",X"2F",X"67",X"7D",X"2F",X"6F",X"23",X"F1",X"C9",X"3A",X"4E",X"82",X"F5",X"3E",
- X"03",X"32",X"48",X"80",X"32",X"81",X"A1",X"32",X"6B",X"80",X"EB",X"21",X"00",X"04",X"22",X"69",
- X"80",X"22",X"2D",X"80",X"AF",X"67",X"22",X"5A",X"80",X"32",X"20",X"80",X"32",X"4E",X"82",X"DD",
- X"21",X"68",X"80",X"D5",X"3E",X"64",X"11",X"20",X"00",X"06",X"09",X"DD",X"77",X"0A",X"DD",X"19",
- X"10",X"F9",X"D1",X"DD",X"21",X"68",X"80",X"DD",X"36",X"0B",X"01",X"DD",X"36",X"0C",X"F0",X"1A",
- X"4F",X"13",X"1A",X"DD",X"77",X"0E",X"DD",X"36",X"0F",X"FC",X"DD",X"36",X"10",X"01",X"21",X"73",
- X"80",X"22",X"02",X"80",X"13",X"1A",X"13",X"47",X"79",X"DD",X"BE",X"0C",X"20",X"FB",X"C5",X"DD",
- X"46",X"0C",X"DD",X"4E",X"0E",X"CD",X"7F",X"0E",X"C1",X"7D",X"B9",X"28",X"F1",X"4D",X"1A",X"FE",
- X"25",X"20",X"20",X"E5",X"2A",X"8A",X"89",X"7E",X"E1",X"C5",X"06",X"00",X"0F",X"0F",X"E6",X"3F",
- X"FE",X"0A",X"38",X"05",X"D6",X"0A",X"04",X"18",X"F7",X"4F",X"78",X"A7",X"20",X"03",X"79",X"18",
- X"01",X"71",X"C1",X"2B",X"77",X"13",X"10",X"C6",X"AF",X"DD",X"BE",X"0C",X"20",X"FB",X"67",X"6F",
- X"22",X"2D",X"80",X"22",X"69",X"80",X"21",X"73",X"80",X"22",X"02",X"80",X"EB",X"F1",X"32",X"4E",
- X"82",X"C9",X"E5",X"D5",X"C5",X"21",X"64",X"1F",X"11",X"6A",X"86",X"CD",X"29",X"1C",X"3A",X"24",
- X"80",X"21",X"72",X"86",X"06",X"00",X"FE",X"63",X"38",X"02",X"3E",X"63",X"FE",X"0A",X"38",X"05",
- X"04",X"D6",X"0A",X"18",X"F7",X"77",X"78",X"A7",X"28",X"02",X"2B",X"77",X"C1",X"D1",X"E1",X"C9",
- X"0F",X"80",X"B0",X"81",X"71",X"82",X"6A",X"82",X"A0",X"80",X"C8",X"81",X"E8",X"81",X"08",X"82",
- X"84",X"88",X"89",X"82",X"8B",X"82",X"00",X"A0",X"10",X"80",X"B1",X"81",X"72",X"82",X"6C",X"82",
- X"E0",X"80",X"08",X"89",X"28",X"89",X"48",X"89",X"C4",X"88",X"8D",X"82",X"8E",X"82",X"80",X"A0",
- X"11",X"50",X"55",X"53",X"48",X"40",X"53",X"54",X"41",X"52",X"54",X"40",X"42",X"55",X"54",X"54",
- X"4F",X"4E",X"17",X"42",X"4F",X"4E",X"55",X"53",X"40",X"43",X"41",X"52",X"40",X"46",X"4F",X"52",
- X"40",X"40",X"40",X"40",X"40",X"40",X"40",X"50",X"54",X"53",X"09",X"46",X"52",X"45",X"45",X"40",
- X"50",X"4C",X"41",X"59",X"09",X"43",X"52",X"45",X"44",X"49",X"54",X"40",X"40",X"40",X"73",X"0F",
- X"18",X"40",X"19",X"1A",X"1B",X"1C",X"1D",X"1E",X"1F",X"40",X"31",X"39",X"38",X"30",X"40",X"47",
- X"41",X"4D",X"45",X"4F",X"56",X"45",X"52",X"83",X"83",X"83",X"83",X"43",X"4F",X"52",X"45",X"48",
- X"49",X"2D",X"53",X"40",X"40",X"30",X"30",X"40",X"40",X"40",X"40",X"40",X"40",X"40",X"40",X"31",
- X"55",X"50",X"40",X"40",X"40",X"40",X"40",X"32",X"55",X"50",X"40",X"D4",X"D5",X"D6",X"D7",X"D0",
- X"D1",X"D2",X"D3",X"44",X"40",X"40",X"40",X"52",X"4F",X"55",X"4E",X"40",X"40",X"40",X"40",X"40",
- X"40",X"40",X"40",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"29",X"29",X"29",X"2A",X"68",
- X"29",X"29",X"29",X"30",X"30",X"30",X"31",X"6F",X"30",X"30",X"30",X"28",X"84",X"15",X"43",X"48",
- X"41",X"52",X"41",X"4E",X"47",X"49",X"4E",X"47",X"40",X"53",X"54",X"41",X"47",X"45",X"40",X"4E",
- X"4F",X"2E",X"25",X"44",X"D4",X"0C",X"49",X"4E",X"53",X"54",X"52",X"55",X"43",X"54",X"49",X"4F",
- X"4E",X"53",X"28",X"BC",X"14",X"42",X"59",X"40",X"44",X"4F",X"44",X"47",X"49",X"4E",X"47",X"40",
- X"52",X"45",X"44",X"40",X"43",X"41",X"52",X"53",X"40",X"4C",X"A4",X"0A",X"41",X"4E",X"44",X"40",
- X"52",X"4F",X"43",X"4B",X"53",X"2E",X"38",X"8C",X"0F",X"43",X"4C",X"45",X"41",X"52",X"40",X"31",
- X"30",X"40",X"46",X"4C",X"41",X"47",X"53",X"40",X"20",X"74",X"16",X"42",X"45",X"46",X"4F",X"52",
- X"45",X"40",X"46",X"55",X"45",X"4C",X"40",X"52",X"55",X"4E",X"53",X"40",X"4F",X"55",X"54",X"2E",
- X"40",X"58",X"24",X"07",X"19",X"1A",X"1B",X"1C",X"1D",X"1E",X"1F",X"03",X"07",X"50",X"03",X"58",
- X"10",X"08",X"00",X"04",X"07",X"50",X"04",X"58",X"20",X"18",X"10",X"08",X"04",X"32",X"08",X"58",
- X"50",X"50",X"50",X"05",X"06",X"46",X"05",X"58",X"28",X"20",X"18",X"06",X"06",X"46",X"06",X"58",
- X"30",X"28",X"20",X"07",X"06",X"46",X"07",X"58",X"38",X"30",X"28",X"08",X"04",X"32",X"0A",X"58",
- X"50",X"50",X"50",X"08",X"05",X"3C",X"08",X"58",X"48",X"40",X"38",X"08",X"05",X"3C",X"08",X"58",
- X"48",X"48",X"40",X"08",X"05",X"3C",X"08",X"58",X"48",X"48",X"48",X"08",X"04",X"32",X"0C",X"58",
- X"50",X"50",X"50",X"08",X"05",X"3C",X"08",X"58",X"48",X"48",X"48",X"08",X"04",X"3C",X"08",X"58",
- X"48",X"48",X"48",X"08",X"04",X"32",X"08",X"58",X"48",X"48",X"48",X"08",X"03",X"28",X"0C",X"58",
- X"50",X"50",X"50",X"03",X"05",X"3C",X"03",X"58",X"70",X"68",X"60",X"05",X"05",X"3C",X"05",X"58",
- X"88",X"80",X"78",X"08",X"04",X"32",X"08",X"58",X"50",X"50",X"50",X"07",X"04",X"32",X"08",X"58",
- X"90",X"90",X"88",X"08",X"04",X"32",X"0A",X"58",X"90",X"90",X"88",X"08",X"04",X"32",X"0A",X"58",
- X"90",X"90",X"90",X"08",X"03",X"28",X"0C",X"58",X"50",X"50",X"50",X"08",X"04",X"32",X"0A",X"58",
- X"90",X"90",X"90",X"08",X"04",X"32",X"0A",X"58",X"90",X"90",X"90",X"06",X"05",X"3C",X"08",X"58",
- X"88",X"80",X"78",X"08",X"04",X"32",X"08",X"58",X"90",X"90",X"88",X"08",X"03",X"28",X"0C",X"58",
- X"50",X"50",X"50",X"08",X"04",X"32",X"0A",X"58",X"90",X"90",X"90",X"08",X"04",X"32",X"0A",X"58",
- X"90",X"90",X"90",X"08",X"04",X"32",X"0A",X"58",X"90",X"90",X"90",X"40",X"02",X"40",X"02",X"70",
- X"02",X"80",X"00",X"50",X"02",X"40",X"02",X"80",X"02",X"80",X"00",X"60",X"02",X"40",X"02",X"A0",
- X"02",X"80",X"00",X"80",X"02",X"50",X"02",X"B0",X"02",X"80",X"00",X"90",X"02",X"50",X"02",X"B0",
- X"02",X"80",X"00",X"A0",X"02",X"50",X"02",X"B0",X"02",X"80",X"00",X"B0",X"02",X"60",X"02",X"E0",
- X"02",X"80",X"00",X"C0",X"02",X"70",X"02",X"F0",X"02",X"80",X"00",X"E0",X"02",X"70",X"02",X"F0",
- X"02",X"80",X"00",X"F0",X"02",X"80",X"02",X"00",X"03",X"80",X"00",X"00",X"00",X"00",X"03",X"00",
- X"00",X"80",X"00",X"00",X"03",X"00",X"02",X"00",X"03",X"80",X"00",X"90",X"02",X"80",X"02",X"B0",
- X"02",X"80",X"00",X"A0",X"02",X"80",X"02",X"C0",X"02",X"80",X"00",X"B0",X"02",X"80",X"02",X"D0",
- X"02",X"80",X"00",X"D0",X"02",X"90",X"02",X"E0",X"02",X"80",X"00",X"E0",X"02",X"A0",X"02",X"F0",
- X"02",X"80",X"00",X"F0",X"02",X"A0",X"02",X"00",X"03",X"80",X"00",X"00",X"03",X"B0",X"02",X"00",
- X"03",X"80",X"00",X"33",X"22",X"33",X"22",X"33",X"22",X"33",X"22",X"33",X"22",X"03",X"22",X"13",
- X"22",X"1B",X"22",X"33",X"22",X"0B",X"22",X"1B",X"22",X"23",X"22",X"33",X"22",X"13",X"22",X"23",
- X"22",X"2B",X"22",X"00",X"00",X"00",X"30",X"40",X"40",X"40",X"01",X"05",X"00",X"00",X"30",X"40",
- X"40",X"40",X"01",X"00",X"00",X"00",X"30",X"40",X"40",X"40",X"02",X"00",X"00",X"00",X"30",X"40",
- X"40",X"40",X"03",X"00",X"00",X"00",X"30",X"40",X"40",X"40",X"04",X"00",X"00",X"00",X"30",X"40",
- X"40",X"40",X"06",X"49",X"4E",X"47",X"40",X"4E",X"4F",X"54",X"48",X"40",X"80",X"08",X"40",X"40",
- X"FF",X"FF",X"40",X"00",X"04",X"20",X"40",X"40",X"FF",X"08",X"FF",X"40",X"FF",X"FF",X"FF",X"00",
- X"FF",X"20",X"FF",X"C0",X"01",X"08",X"10",X"80",X"FF",X"FF",X"10",X"80",X"02",X"20",X"10",X"90",
- X"91",X"92",X"93",X"90",X"91",X"92",X"80",X"80",X"40",X"04",X"08",X"00",X"20",X"01",X"10",X"02",
- X"F0",X"F4",X"F8",X"FC",X"FA",X"F6",X"F2",X"F7",X"FB",X"FD",X"F9",X"F5",X"F0",X"F4",X"F8",X"FC",
- X"FA",X"F6",X"F2",X"F7",X"FB",X"FD",X"F9",X"F5",X"E1",X"61",X"61",X"61",X"61",X"61",X"61",X"61",
- X"61",X"21",X"21",X"21",X"21",X"67",X"21",X"68",X"69",X"21",X"21",X"21",X"21",X"6A",X"67",X"21",
- X"68",X"69",X"21",X"54",X"55",X"56",X"57",X"58",X"59",X"5A",X"5B",X"5C",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"E5",X"D5",X"C5",X"F5",X"3E",X"FF",X"32",X"82",X"A1",X"3A",X"F6",X"89",X"21",X"0D",X"8A",
- X"36",X"00",X"CB",X"7F",X"C2",X"BD",X"26",X"CB",X"77",X"C2",X"39",X"26",X"00",X"3A",X"F5",X"89",
- X"CB",X"7F",X"C2",X"D7",X"25",X"CB",X"77",X"C2",X"63",X"26",X"CB",X"57",X"C2",X"88",X"25",X"3A",
- X"F4",X"89",X"CB",X"7F",X"CA",X"4C",X"24",X"3E",X"FF",X"3D",X"32",X"80",X"A1",X"32",X"70",X"A1",
- X"20",X"F7",X"32",X"80",X"A1",X"21",X"F4",X"89",X"CB",X"BE",X"18",X"06",X"CD",X"B3",X"27",X"C3",
- X"87",X"24",X"21",X"0D",X"8A",X"3A",X"F6",X"89",X"36",X"01",X"3A",X"F4",X"89",X"CB",X"47",X"C2",
- X"CD",X"26",X"CB",X"6F",X"28",X"49",X"21",X"E8",X"8A",X"11",X"FD",X"29",X"CD",X"93",X"28",X"CD",
- X"45",X"28",X"21",X"E8",X"8A",X"CB",X"6E",X"28",X"36",X"CB",X"AE",X"CB",X"B6",X"CB",X"BE",X"21",
- X"F4",X"89",X"CB",X"AE",X"C3",X"AF",X"24",X"21",X"0D",X"8A",X"36",X"00",X"3A",X"F4",X"89",X"CB",
- X"5F",X"C2",X"1F",X"27",X"36",X"01",X"CB",X"57",X"C2",X"27",X"27",X"36",X"02",X"CB",X"4F",X"C2",
- X"2F",X"27",X"36",X"03",X"3A",X"F5",X"89",X"CB",X"6F",X"C2",X"37",X"27",X"C3",X"52",X"24",X"CD",
- X"1C",X"25",X"21",X"F5",X"89",X"7E",X"E6",X"E0",X"20",X"5E",X"3A",X"F5",X"89",X"CB",X"57",X"20",
- X"57",X"CB",X"C6",X"21",X"F4",X"89",X"7E",X"23",X"46",X"F5",X"78",X"EE",X"01",X"47",X"F1",X"23",
- X"4E",X"B0",X"B1",X"FE",X"00",X"20",X"3C",X"32",X"15",X"A1",X"32",X"1A",X"A1",X"32",X"1F",X"A1",
- X"32",X"54",X"8A",X"32",X"68",X"8A",X"32",X"74",X"8A",X"32",X"88",X"8A",X"32",X"54",X"8B",X"32",
- X"A8",X"8A",X"32",X"B4",X"8A",X"32",X"E8",X"8A",X"32",X"F4",X"8A",X"32",X"94",X"8A",X"32",X"C8",
- X"8A",X"32",X"D4",X"8A",X"32",X"28",X"8A",X"32",X"34",X"8A",X"32",X"48",X"8A",X"32",X"C8",X"8A",
- X"32",X"D4",X"8A",X"F1",X"C1",X"D1",X"E1",X"C9",X"CB",X"86",X"18",X"A7",X"21",X"F5",X"89",X"CB",
- X"5E",X"28",X"0C",X"CB",X"9E",X"CB",X"A6",X"3E",X"00",X"32",X"15",X"A1",X"32",X"1A",X"A1",X"CB",
- X"66",X"20",X"13",X"21",X"C8",X"8A",X"CB",X"BE",X"CB",X"B6",X"CB",X"AE",X"21",X"D4",X"8A",X"CB",
- X"BE",X"CB",X"B6",X"CB",X"AE",X"C9",X"21",X"C8",X"8A",X"11",X"A1",X"2B",X"CD",X"93",X"28",X"3A",
- X"F4",X"89",X"E6",X"0E",X"FE",X"00",X"20",X"0A",X"3A",X"F5",X"89",X"CB",X"6F",X"20",X"03",X"CD",
- X"28",X"28",X"21",X"D4",X"8A",X"11",X"30",X"2B",X"CD",X"93",X"28",X"3A",X"F4",X"89",X"CB",X"47",
- X"20",X"0E",X"CB",X"6F",X"20",X"0A",X"3A",X"F6",X"89",X"CB",X"7F",X"20",X"03",X"CD",X"45",X"28",
- X"21",X"D4",X"8A",X"CB",X"6E",X"C8",X"18",X"AB",X"21",X"08",X"8B",X"11",X"36",X"2A",X"CD",X"93",
- X"28",X"CD",X"28",X"28",X"21",X"14",X"8B",X"11",X"97",X"2A",X"CD",X"93",X"28",X"CD",X"45",X"28",
- X"21",X"08",X"8B",X"CB",X"6E",X"CA",X"B2",X"24",X"21",X"08",X"8B",X"CB",X"AE",X"CB",X"B6",X"CB",
- X"BE",X"21",X"14",X"8B",X"CB",X"AE",X"CB",X"B6",X"CB",X"BE",X"11",X"00",X"00",X"ED",X"53",X"0F",
- X"8A",X"3E",X"00",X"32",X"11",X"8A",X"CD",X"28",X"28",X"CD",X"45",X"28",X"CD",X"5D",X"28",X"21",
- X"F5",X"89",X"CB",X"96",X"C3",X"B2",X"24",X"3E",X"00",X"32",X"08",X"8B",X"32",X"14",X"8B",X"21",
- X"D4",X"8A",X"11",X"10",X"2C",X"CD",X"93",X"28",X"CD",X"5D",X"28",X"21",X"C8",X"8A",X"11",X"EB",
- X"2B",X"CD",X"93",X"28",X"3A",X"F6",X"89",X"E6",X"C0",X"20",X"03",X"CD",X"45",X"28",X"00",X"21",
- X"94",X"8A",X"11",X"B4",X"2B",X"CD",X"93",X"28",X"CD",X"28",X"28",X"21",X"94",X"8A",X"CB",X"6E",
- X"CA",X"B2",X"24",X"CD",X"74",X"27",X"21",X"94",X"8A",X"CB",X"AE",X"CB",X"B6",X"CB",X"BE",X"21",
- X"C8",X"8A",X"CB",X"AE",X"CB",X"B6",X"CB",X"BE",X"21",X"D4",X"8A",X"CB",X"AE",X"CB",X"B6",X"CB",
- X"BE",X"21",X"F5",X"89",X"CB",X"BE",X"C3",X"B2",X"24",X"21",X"54",X"8B",X"11",X"A6",X"2B",X"CD",
- X"93",X"28",X"CD",X"45",X"28",X"3E",X"00",X"32",X"15",X"A1",X"32",X"1F",X"A1",X"21",X"54",X"8B",
- X"CB",X"6E",X"CA",X"1C",X"24",X"CB",X"AE",X"CB",X"B6",X"CB",X"BE",X"21",X"F6",X"89",X"CB",X"B6",
- X"C3",X"1C",X"24",X"21",X"48",X"8A",X"11",X"15",X"2B",X"CD",X"93",X"28",X"CD",X"5D",X"28",X"21",
- X"34",X"8A",X"11",X"F8",X"2A",X"CD",X"93",X"28",X"3A",X"F6",X"89",X"E6",X"C0",X"20",X"03",X"CD",
- X"45",X"28",X"00",X"21",X"28",X"8A",X"11",X"E3",X"2A",X"CD",X"93",X"28",X"CD",X"28",X"28",X"21",
- X"28",X"8A",X"CB",X"6E",X"CA",X"B2",X"24",X"CD",X"74",X"27",X"21",X"28",X"8A",X"CB",X"AE",X"CB",
- X"B6",X"CB",X"BE",X"21",X"34",X"8A",X"CB",X"AE",X"CB",X"B6",X"CB",X"BE",X"21",X"48",X"8A",X"CB",
- X"AE",X"CB",X"B6",X"CB",X"BE",X"21",X"F5",X"89",X"CB",X"B6",X"C3",X"B2",X"24",X"21",X"54",X"8A",
- X"11",X"C9",X"29",X"3E",X"00",X"32",X"1F",X"A1",X"32",X"15",X"A1",X"18",X"2B",X"21",X"68",X"8A",
- X"11",X"17",X"2A",X"CD",X"93",X"28",X"CD",X"45",X"28",X"3E",X"00",X"32",X"15",X"A1",X"CB",X"6E",
- X"CA",X"AF",X"24",X"CB",X"AE",X"CB",X"B6",X"CB",X"BE",X"3A",X"0D",X"8A",X"FE",X"00",X"20",X"27",
- X"21",X"F6",X"89",X"CB",X"BE",X"C3",X"AF",X"24",X"CD",X"93",X"28",X"CD",X"45",X"28",X"3A",X"54",
- X"8A",X"CB",X"6E",X"CA",X"1C",X"24",X"21",X"54",X"8A",X"CB",X"AE",X"CB",X"B6",X"CB",X"BE",X"21",
- X"F6",X"89",X"CB",X"BE",X"C3",X"1C",X"24",X"21",X"F4",X"89",X"CB",X"86",X"C3",X"AF",X"24",X"21",
- X"74",X"8A",X"11",X"8E",X"29",X"18",X"16",X"21",X"88",X"8A",X"11",X"F0",X"29",X"18",X"0E",X"21",
- X"A8",X"8A",X"11",X"10",X"2A",X"18",X"06",X"11",X"DA",X"29",X"21",X"B4",X"8A",X"CD",X"93",X"28",
- X"CD",X"28",X"28",X"CB",X"6E",X"CA",X"AF",X"24",X"CB",X"B6",X"CB",X"AE",X"CB",X"BE",X"3A",X"0D",
- X"8A",X"21",X"F4",X"89",X"FE",X"00",X"28",X"18",X"FE",X"01",X"28",X"10",X"FE",X"02",X"28",X"08",
- X"21",X"F5",X"89",X"CB",X"AE",X"C3",X"AF",X"24",X"CB",X"8E",X"18",X"F9",X"CB",X"96",X"18",X"F5",
- X"CB",X"9E",X"18",X"F1",X"3E",X"00",X"32",X"15",X"A1",X"32",X"1A",X"A1",X"32",X"1F",X"A1",X"C9",
- X"21",X"F4",X"8A",X"11",X"DC",X"2A",X"CD",X"93",X"28",X"CD",X"5D",X"28",X"21",X"F4",X"8A",X"CB",
- X"7F",X"28",X"07",X"3E",X"01",X"32",X"80",X"A1",X"18",X"06",X"00",X"3E",X"00",X"32",X"80",X"A1",
- X"00",X"21",X"F4",X"8A",X"CB",X"6E",X"C8",X"CB",X"AE",X"CB",X"B6",X"CB",X"BE",X"21",X"F4",X"89",
- X"CB",X"A6",X"C9",X"3A",X"F4",X"89",X"CB",X"77",X"20",X"06",X"3E",X"00",X"32",X"1F",X"A1",X"C9",
- X"3A",X"F4",X"89",X"CB",X"67",X"C2",X"80",X"27",X"3A",X"6A",X"80",X"CB",X"7F",X"28",X"0E",X"3A",
- X"6B",X"80",X"FE",X"00",X"28",X"03",X"AF",X"18",X"11",X"3E",X"01",X"18",X"0D",X"3A",X"6B",X"80",
- X"FE",X"00",X"28",X"04",X"3E",X"02",X"18",X"02",X"3E",X"03",X"32",X"0F",X"A1",X"3E",X"04",X"32",
- X"1F",X"A1",X"11",X"50",X"00",X"2A",X"69",X"80",X"CB",X"7C",X"28",X"07",X"7C",X"2F",X"67",X"7D",
- X"2F",X"6F",X"23",X"19",X"7D",X"CB",X"3F",X"CB",X"3F",X"CB",X"3F",X"CB",X"3F",X"32",X"1B",X"A1",
- X"7C",X"E6",X"0F",X"32",X"1C",X"A1",X"7C",X"CB",X"3F",X"CB",X"3F",X"CB",X"3F",X"CB",X"3F",X"32",
- X"1D",X"A1",X"3E",X"00",X"32",X"1E",X"A1",X"C9",X"3A",X"0E",X"8A",X"E5",X"32",X"05",X"A1",X"3A",
- X"11",X"8A",X"32",X"15",X"A1",X"2A",X"0F",X"8A",X"11",X"10",X"A1",X"7D",X"E6",X"0F",X"12",X"13",
- X"CD",X"75",X"28",X"E1",X"C9",X"3A",X"0E",X"8A",X"E5",X"32",X"0A",X"A1",X"3A",X"11",X"8A",X"32",
- X"1A",X"A1",X"2A",X"0F",X"8A",X"11",X"16",X"A1",X"CD",X"75",X"28",X"E1",X"C9",X"3A",X"0E",X"8A",
- X"E5",X"32",X"0F",X"A1",X"3A",X"11",X"8A",X"32",X"1F",X"A1",X"2A",X"0F",X"8A",X"11",X"1B",X"A1",
- X"CD",X"75",X"28",X"E1",X"C9",X"7D",X"CB",X"3F",X"CB",X"3F",X"CB",X"3F",X"CB",X"3F",X"12",X"13",
- X"7C",X"E6",X"0F",X"12",X"13",X"7C",X"CB",X"3F",X"CB",X"3F",X"CB",X"3F",X"CB",X"3F",X"12",X"13",
- X"AF",X"12",X"C9",X"E5",X"22",X"0B",X"8A",X"CB",X"7E",X"20",X"1E",X"23",X"73",X"23",X"72",X"23",
- X"1B",X"1B",X"1B",X"1A",X"77",X"13",X"1A",X"32",X"0E",X"8A",X"13",X"23",X"23",X"23",X"23",X"23",
- X"77",X"2B",X"1A",X"77",X"2A",X"0B",X"8A",X"CB",X"FE",X"CB",X"76",X"20",X"70",X"ED",X"5B",X"0B",
- X"8A",X"13",X"1A",X"6F",X"13",X"1A",X"67",X"3E",X"00",X"32",X"11",X"8A",X"4E",X"CB",X"21",X"E5",
- X"06",X"00",X"21",X"36",X"2C",X"09",X"7E",X"32",X"0F",X"8A",X"23",X"7E",X"32",X"10",X"8A",X"E1",
- X"13",X"1A",X"13",X"12",X"13",X"23",X"7E",X"CB",X"3F",X"CB",X"3F",X"CB",X"3F",X"CB",X"3F",X"12",
- X"13",X"13",X"1A",X"CD",X"72",X"29",X"1B",X"12",X"23",X"ED",X"5B",X"0B",X"8A",X"13",X"7D",X"12",
- X"13",X"7C",X"12",X"3A",X"0F",X"8A",X"FE",X"00",X"20",X"10",X"3A",X"10",X"8A",X"FE",X"00",X"20",
- X"09",X"2A",X"0B",X"8A",X"CB",X"EE",X"CB",X"BE",X"E1",X"C9",X"2A",X"0B",X"8A",X"CB",X"F6",X"2A",
- X"0B",X"8A",X"11",X"09",X"00",X"19",X"ED",X"5B",X"0F",X"8A",X"73",X"23",X"72",X"2A",X"0B",X"8A",
- X"11",X"09",X"00",X"19",X"7E",X"32",X"0F",X"8A",X"23",X"7E",X"32",X"10",X"8A",X"2A",X"0B",X"8A",
- X"11",X"08",X"00",X"19",X"7E",X"32",X"0E",X"8A",X"2A",X"0B",X"8A",X"11",X"05",X"00",X"19",X"7E",
- X"32",X"11",X"8A",X"FE",X"00",X"28",X"0A",X"2B",X"35",X"20",X"0C",X"2B",X"7E",X"23",X"77",X"23",
- X"35",X"23",X"35",X"28",X"05",X"E1",X"C9",X"23",X"18",X"F7",X"00",X"2A",X"0B",X"8A",X"CB",X"B6",
- X"E1",X"C9",X"E5",X"C5",X"E6",X"0F",X"47",X"7E",X"E6",X"0F",X"4F",X"AF",X"80",X"32",X"70",X"A1",
- X"0D",X"20",X"F9",X"C1",X"E1",X"C9",X"00",X"00",X"00",X"00",X"00",X"05",X"07",X"01",X"28",X"F1",
- X"2A",X"F1",X"2C",X"F1",X"2D",X"F1",X"2F",X"F1",X"31",X"F1",X"33",X"F1",X"34",X"F1",X"28",X"F1",
- X"2A",X"F1",X"2C",X"F1",X"2D",X"F1",X"2F",X"F1",X"31",X"F1",X"33",X"F1",X"34",X"F1",X"28",X"F1",
- X"2A",X"F1",X"2C",X"F1",X"2D",X"F1",X"2F",X"F1",X"31",X"F1",X"33",X"F1",X"34",X"F1",X"28",X"F2",
- X"2A",X"F1",X"28",X"F1",X"00",X"00",X"04",X"07",X"02",X"33",X"F1",X"31",X"91",X"32",X"61",X"2F",
- X"41",X"30",X"31",X"2E",X"21",X"00",X"00",X"04",X"06",X"03",X"1C",X"A4",X"20",X"84",X"23",X"84",
- X"1E",X"A4",X"21",X"84",X"20",X"84",X"28",X"AF",X"00",X"00",X"00",X"00",X"00",X"01",X"07",X"03",
- X"28",X"F1",X"04",X"01",X"2A",X"F1",X"2C",X"F1",X"00",X"00",X"09",X"02",X"08",X"10",X"F2",X"10",
- X"01",X"10",X"F2",X"10",X"01",X"10",X"F2",X"10",X"01",X"10",X"F2",X"00",X"00",X"05",X"07",X"01",
- X"3B",X"F1",X"00",X"00",X"06",X"04",X"02",X"34",X"F2",X"04",X"02",X"34",X"F2",X"34",X"02",X"34",
- X"F2",X"04",X"02",X"34",X"F2",X"34",X"02",X"34",X"F2",X"04",X"02",X"34",X"F2",X"04",X"02",X"34",
- X"F2",X"00",X"00",X"03",X"04",X"04",X"23",X"F4",X"20",X"83",X"23",X"81",X"28",X"F4",X"23",X"83",
- X"20",X"81",X"21",X"F3",X"21",X"81",X"21",X"A3",X"20",X"81",X"21",X"A8",X"21",X"F4",X"1E",X"83",
- X"21",X"81",X"27",X"F4",X"25",X"83",X"23",X"81",X"20",X"F3",X"20",X"81",X"20",X"A3",X"1F",X"81",
- X"20",X"A8",X"1C",X"F4",X"20",X"83",X"23",X"81",X"28",X"F4",X"23",X"83",X"20",X"81",X"21",X"F3",
- X"21",X"81",X"21",X"A3",X"23",X"81",X"19",X"A8",X"19",X"F3",X"19",X"81",X"2A",X"83",X"28",X"81",
- X"27",X"F3",X"28",X"81",X"23",X"83",X"20",X"81",X"23",X"A3",X"21",X"81",X"20",X"A3",X"1E",X"81",
- X"1C",X"A8",X"00",X"00",X"02",X"07",X"04",X"10",X"84",X"17",X"64",X"10",X"84",X"17",X"64",X"0F",
- X"84",X"17",X"64",X"0F",X"84",X"17",X"64",X"0F",X"84",X"15",X"64",X"12",X"64",X"0F",X"64",X"10",
- X"84",X"14",X"83",X"15",X"81",X"17",X"84",X"0B",X"64",X"10",X"84",X"17",X"64",X"10",X"84",X"17",
- X"64",X"15",X"84",X"1C",X"64",X"15",X"84",X"1C",X"64",X"15",X"84",X"19",X"84",X"17",X"84",X"10",
- X"64",X"12",X"84",X"0B",X"64",X"10",X"84",X"00",X"00",X"08",X"06",X"01",X"01",X"F4",X"00",X"00",
- X"02",X"07",X"05",X"1C",X"F4",X"1C",X"82",X"20",X"82",X"23",X"F4",X"20",X"84",X"23",X"F4",X"23",
- X"84",X"28",X"F4",X"00",X"00",X"02",X"07",X"05",X"23",X"F4",X"23",X"82",X"23",X"82",X"25",X"F2",
- X"27",X"82",X"25",X"82",X"20",X"82",X"1E",X"F2",X"20",X"82",X"1B",X"82",X"1C",X"82",X"17",X"F8",
- X"00",X"00",X"02",X"07",X"05",X"1C",X"84",X"1C",X"42",X"17",X"42",X"1C",X"82",X"20",X"42",X"1C",
- X"42",X"17",X"42",X"1C",X"82",X"20",X"42",X"17",X"44",X"1C",X"F8",X"00",X"00",X"02",X"07",X"03",
- X"12",X"44",X"10",X"44",X"06",X"76",X"06",X"42",X"0D",X"42",X"10",X"44",X"12",X"74",X"12",X"44",
- X"10",X"42",X"12",X"44",X"10",X"44",X"06",X"76",X"06",X"42",X"0D",X"42",X"10",X"44",X"12",X"74",
- X"12",X"44",X"10",X"42",X"12",X"44",X"10",X"44",X"06",X"76",X"06",X"42",X"0D",X"42",X"10",X"44",
- X"12",X"74",X"12",X"44",X"10",X"42",X"12",X"44",X"10",X"44",X"03",X"76",X"03",X"42",X"06",X"42",
- X"09",X"44",X"0D",X"74",X"0D",X"44",X"0B",X"42",X"0D",X"44",X"0B",X"44",X"03",X"76",X"03",X"42",
- X"06",X"42",X"09",X"44",X"0D",X"74",X"0D",X"44",X"0B",X"42",X"0D",X"44",X"0B",X"44",X"06",X"76",
- X"06",X"42",X"0D",X"42",X"10",X"44",X"12",X"74",X"12",X"44",X"10",X"42",X"00",X"00",X"02",X"07",
- X"04",X"00",X"00",X"04",X"04",X"01",X"28",X"F1",X"2C",X"F1",X"2F",X"F1",X"2C",X"F1",X"28",X"F1",
- X"00",X"02",X"06",X"04",X"23",X"83",X"25",X"81",X"28",X"83",X"2C",X"F4",X"28",X"81",X"25",X"84",
- X"23",X"83",X"25",X"81",X"28",X"83",X"2B",X"F4",X"28",X"81",X"25",X"84",X"23",X"83",X"25",X"81",
- X"28",X"83",X"2C",X"F4",X"28",X"81",X"2C",X"83",X"2D",X"81",X"2F",X"A3",X"2D",X"81",X"2B",X"A3",
- X"28",X"81",X"2B",X"F3",X"28",X"84",X"00",X"00",X"08",X"05",X"04",X"23",X"87",X"20",X"84",X"1C",
- X"85",X"23",X"87",X"1F",X"84",X"1C",X"85",X"23",X"A7",X"20",X"84",X"1C",X"84",X"23",X"81",X"1F",
- X"A3",X"1C",X"81",X"0E",X"A3",X"23",X"81",X"1B",X"A3",X"1C",X"84",X"00",X"00",X"02",X"07",X"04",
- X"04",X"84",X"10",X"44",X"04",X"84",X"10",X"44",X"04",X"84",X"10",X"44",X"04",X"84",X"10",X"44",
- X"04",X"84",X"10",X"44",X"04",X"84",X"10",X"44",X"09",X"83",X"15",X"41",X"0B",X"83",X"17",X"41",
- X"04",X"83",X"10",X"44",X"00",X"00",X"00",X"00",X"E3",X"03",X"1E",X"04",X"5D",X"04",X"9F",X"04",
- X"E6",X"04",X"30",X"05",X"7F",X"05",X"D3",X"05",X"2C",X"06",X"8A",X"06",X"ED",X"06",X"57",X"07",
- X"C7",X"07",X"3D",X"08",X"BA",X"08",X"3F",X"09",X"CC",X"09",X"61",X"0A",X"FF",X"0A",X"A7",X"0B",
- X"58",X"0C",X"14",X"0D",X"DB",X"0D",X"AE",X"0E",X"BA",X"0F",X"7A",X"10",X"75",X"11",X"7F",X"12",
- X"99",X"13",X"C3",X"14",X"FF",X"15",X"4E",X"17",X"B1",X"18",X"28",X"1A",X"B7",X"1B",X"5D",X"1D",
- X"1C",X"1F",X"FA",X"20",X"EB",X"22",X"FE",X"24",X"32",X"27",X"86",X"29",X"FE",X"2B",X"9C",X"2E",
- X"62",X"31",X"51",X"34",X"6E",X"37",X"BA",X"3A",X"38",X"3E",X"EB",X"41",X"D6",X"45",X"FD",X"49",
- X"64",X"4E",X"0D",X"53",X"FD",X"57",X"39",X"5D",X"C4",X"62",X"A3",X"68",X"DC",X"6F",X"74",X"75",
- X"70",X"7C",X"D6",X"83",X"AD",X"8B",X"FB",X"93",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"01",X"FE",X"00",X"77",X"D8",X"1E",X"FE",X"77",X"D8",X"9E",X"00",X"00",X"00",X"80",X"EE",
- X"7E",X"F8",X"1E",X"EE",X"00",X"01",X"DE",X"00",X"0F",X"D7",X"DE",X"FE",X"A0",X"57",X"00",X"00",
- X"AF",X"57",X"77",X"FD",X"A8",X"57",X"74",X"05",X"AB",X"50",X"74",X"05",X"2B",X"57",X"75",X"F5",
- X"68",X"57",X"75",X"F5",X"6B",X"D0",X"04",X"05",X"08",X"17",X"67",X"3D",X"6B",X"F7",X"67",X"3D",
- X"60",X"07",X"67",X"3C",X"7F",X"F7",X"00",X"00",X"03",X"00",X"00",X"3B",X"7B",X"7F",X"3F",X"3B",
- X"78",X"07",X"3F",X"03",X"7B",X"77",X"03",X"39",X"03",X"70",X"33",X"39",X"BF",X"7F",X"33",X"3D",
- X"80",X"00",X"30",X"01",X"BF",X"7F",X"3F",X"3D",X"BF",X"7F",X"3F",X"3D",X"80",X"00",X"00",X"00",
- X"BB",X"7B",X"3B",X"3D",X"BB",X"7B",X"3B",X"3D",X"BB",X"60",X"03",X"31",X"BB",X"6B",X"3B",X"35",
- X"80",X"03",X"38",X"35",X"B7",X"7B",X"03",X"04",X"37",X"7B",X"3B",X"3E",X"00",X"1B",X"3B",X"3E",
- X"3D",X"C0",X"00",X"00",X"3D",X"C0",X"00",X"00",X"00",X"76",X"EF",X"36",X"37",X"76",X"EF",X"36",
- X"37",X"70",X"0F",X"36",X"37",X"76",X"E0",X"30",X"30",X"06",X"0B",X"36",X"37",X"DE",X"EB",X"36",
- X"37",X"DE",X"EB",X"36",X"00",X"00",X"08",X"06",X"DD",X"BE",X"EB",X"36",X"DD",X"BE",X"EB",X"36",
- X"C0",X"00",X"03",X"36",X"DD",X"AA",X"AB",X"36",X"DD",X"AA",X"AB",X"00",X"0C",X"2A",X"A8",X"3E",
- X"61",X"AA",X"AB",X"3E",X"6F",X"AA",X"AB",X"06",X"6F",X"AA",X"AB",X"36",X"00",X"00",X"00",X"30",
- X"0B",X"05",X"17",X"05",X"01",X"0E",X"14",X"0E",X"05",X"0F",X"18",X"11",X"06",X"14",X"1E",X"15",
- X"14",X"16",X"11",X"1B",X"01",X"1D",X"0B",X"20",X"01",X"23",X"07",X"2A",X"1C",X"2B",X"06",X"34",
- X"FF",X"F8",X"00",X"00",X"80",X"0A",X"AF",X"DE",X"BD",X"EA",X"AF",X"DE",X"A0",X"2A",X"A0",X"02",
- X"AD",X"AA",X"AE",X"DA",X"A8",X"AA",X"AE",X"DA",X"A8",X"A8",X"00",X"00",X"AA",X"AA",X"DB",X"FA",
- X"AA",X"AA",X"DA",X"02",X"8A",X"82",X"DA",X"FA",X"AA",X"AA",X"DA",X"82",X"A8",X"A8",X"00",X"BA",
- X"A8",X"AA",X"DA",X"82",X"AD",X"AA",X"DA",X"FA",X"A0",X"2A",X"DA",X"02",X"BF",X"EA",X"DB",X"FA",
- X"80",X"08",X"00",X"00",X"FD",X"FA",X"DB",X"7A",X"00",X"02",X"DB",X"7A",X"AD",X"EE",X"C0",X"02",
- X"AD",X"EE",X"FB",X"DA",X"AD",X"EE",X"FB",X"DA",X"AD",X"EE",X"FB",X"DA",X"20",X"00",X"03",X"DA",
- X"2E",X"F7",X"E0",X"00",X"2E",X"C1",X"00",X"00",X"20",X"DD",X"7B",X"BE",X"2E",X"DD",X"7B",X"BE",
- X"2E",X"DC",X"7B",X"BE",X"00",X"00",X"71",X"B0",X"2E",X"7C",X"75",X"B6",X"2E",X"7C",X"75",X"B6",
- X"28",X"1C",X"00",X"06",X"08",X"1C",X"75",X"B6",X"29",X"9C",X"75",X"B6",X"29",X"9C",X"71",X"B0",
- X"28",X"00",X"7B",X"BE",X"2F",X"EC",X"7B",X"BE",X"00",X"0C",X"78",X"00",X"6D",X"AC",X"7B",X"FE",
- X"6D",X"A0",X"03",X"00",X"00",X"0E",X"DB",X"76",X"6D",X"AE",X"18",X"06",X"6D",X"AE",X"FB",X"FE",
- X"00",X"20",X"00",X"00",X"6D",X"AE",X"EF",X"BB",X"6D",X"AE",X"EF",X"BB",X"00",X"00",X"03",X"BB",
- X"EF",X"6A",X"A8",X"00",X"EF",X"2A",X"AB",X"BE",X"EF",X"AA",X"A8",X"00",X"01",X"AA",X"AB",X"F6",
- X"6D",X"AA",X"AA",X"06",X"6C",X"00",X"02",X"F6",X"6D",X"BE",X"FA",X"F6",X"00",X"00",X"00",X"F0",
- X"17",X"03",X"16",X"0B",X"1F",X"0B",X"14",X"10",X"01",X"18",X"15",X"18",X"0F",X"1D",X"16",X"20",
- X"1F",X"20",X"0C",X"24",X"13",X"28",X"1A",X"28",X"03",X"29",X"17",X"30",X"1D",X"32",X"07",X"35",
- X"00",X"00",X"0E",X"00",X"3F",X"7A",X"AE",X"EE",X"20",X"7A",X"A0",X"E0",X"20",X"7A",X"AE",X"EE",
- X"20",X"02",X"AE",X"0E",X"3F",X"DA",X"AF",X"BE",X"0F",X"D8",X"0F",X"BE",X"2F",X"DE",X"E0",X"00",
- X"20",X"00",X"EF",X"B2",X"2D",X"DE",X"EF",X"B2",X"2D",X"DE",X"00",X"32",X"01",X"DE",X"AF",X"B2",
- X"7D",X"DE",X"AF",X"B2",X"7D",X"C0",X"AF",X"B0",X"7D",X"DE",X"AC",X"02",X"7D",X"DE",X"AD",X"F2",
- X"00",X"1E",X"AD",X"F2",X"7D",X"DE",X"AD",X"F2",X"7D",X"DE",X"AD",X"F2",X"7D",X"C0",X"00",X"00",
- X"7D",X"F6",X"0F",X"6C",X"60",X"37",X"FF",X"6C",X"67",X"34",X"01",X"6D",X"07",X"05",X"9D",X"6D",
- X"60",X"34",X"01",X"61",X"7D",X"F5",X"9D",X"7D",X"7D",X"F4",X"01",X"7D",X"7D",X"F7",X"9F",X"01",
- X"00",X"07",X"9F",X"7D",X"00",X"07",X"9F",X"7D",X"ED",X"B0",X"00",X"00",X"ED",X"B0",X"00",X"00",
- X"ED",X"B7",X"DE",X"FE",X"0D",X"87",X"DE",X"FE",X"7D",X"EF",X"DE",X"1E",X"7D",X"EF",X"06",X"DE",
- X"00",X"00",X"76",X"C6",X"7D",X"EF",X"70",X"F6",X"7D",X"EF",X"06",X"F0",X"0D",X"8F",X"76",X"FE",
- X"6D",X"B8",X"76",X"FE",X"6D",X"83",X"00",X"00",X"6D",X"B7",X"7B",X"DE",X"60",X"37",X"7B",X"DE",
- X"7D",X"A0",X"1B",X"DE",X"7D",X"8E",X"D8",X"00",X"00",X"0E",X"DB",X"FE",X"7F",X"A0",X"00",X"00",
- X"7F",X"AA",X"AB",X"BE",X"70",X"2A",X"AA",X"22",X"77",X"AA",X"AA",X"AA",X"07",X"AA",X"AA",X"AA",
- X"7F",X"AA",X"AA",X"AA",X"70",X"00",X"02",X"82",X"77",X"BF",X"BA",X"FA",X"07",X"80",X"00",X"00",
- X"1B",X"02",X"08",X"03",X"0C",X"08",X"1C",X"08",X"00",X"0A",X"1E",X"0D",X"11",X"0E",X"06",X"13",
- X"1E",X"14",X"1F",X"1E",X"11",X"1F",X"0C",X"21",X"00",X"25",X"14",X"25",X"1F",X"2B",X"07",X"2E",
- X"00",X"00",X"00",X"00",X"7F",X"78",X"1D",X"FE",X"1F",X"78",X"1D",X"FE",X"4F",X"78",X"1C",X"00",
- X"67",X"7A",X"5E",X"F4",X"70",X"1A",X"5E",X"F4",X"7B",X"DA",X"5E",X"F4",X"7B",X"82",X"40",X"74",
- X"7B",X"BA",X"5F",X"74",X"7B",X"9A",X"5F",X"74",X"03",X"DA",X"5F",X"74",X"77",X"D8",X"1F",X"04",
- X"70",X"1E",X"7F",X"B4",X"7D",X"DE",X"7F",X"B4",X"7D",X"D0",X"0F",X"B4",X"7D",X"D0",X"0F",X"B0",
- X"7D",X"D3",X"CF",X"BE",X"00",X"03",X"C0",X"00",X"00",X"03",X"C0",X"5E",X"DD",X"F3",X"CD",X"1E",
- X"DD",X"F0",X"0D",X"DE",X"DD",X"F0",X"08",X"42",X"00",X"1E",X"7B",X"7A",X"DD",X"DE",X"63",X"00",
- X"DD",X"DE",X"6F",X"DA",X"DD",X"DE",X"6E",X"1A",X"C0",X"00",X"6E",X"FA",X"DD",X"D6",X"6E",X"FA",
- X"DD",X"D6",X"6E",X"FA",X"0D",X"D6",X"6E",X"FA",X"60",X"00",X"00",X"F0",X"60",X"0E",X"6E",X"F6",
- X"6F",X"EE",X"6E",X"F0",X"20",X"2E",X"6E",X"F7",X"27",X"2E",X"6C",X"37",X"20",X"2E",X"6D",X"87",
- X"2F",X"20",X"6D",X"BF",X"01",X"2E",X"01",X"BF",X"2D",X"2E",X"6C",X"00",X"2D",X"2E",X"6D",X"BE",
- X"25",X"2E",X"6D",X"B8",X"35",X"2E",X"60",X"3A",X"35",X"2E",X"6D",X"BA",X"71",X"2E",X"6D",X"80",
- X"7D",X"2E",X"6F",X"BE",X"7D",X"2E",X"6F",X"A2",X"40",X"00",X"00",X"0A",X"55",X"2A",X"AB",X"6A",
- X"55",X"2A",X"AB",X"6A",X"15",X"2A",X"AB",X"62",X"75",X"2A",X"AB",X"6A",X"05",X"2A",X"AB",X"6A",
- X"7D",X"20",X"03",X"6A",X"7D",X"2F",X"FB",X"60",X"01",X"2F",X"F8",X"7E",X"00",X"00",X"00",X"00",
- X"0A",X"00",X"1F",X"04",X"0D",X"07",X"04",X"0B",X"18",X"11",X"13",X"12",X"06",X"14",X"10",X"16",
- X"0B",X"1E",X"0F",X"21",X"00",X"22",X"08",X"23",X"19",X"26",X"01",X"31",X"1E",X"35",X"06",X"37",
- X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",X"FF",
- X"FF",X"FF",X"FF",X"FF",X"00",X"01",X"FF",X"FF",X"FF",X"F7",X"FF",X"FF",X"FF",X"F7",X"07",X"FF",
- X"FF",X"F7",X"77",X"FF",X"FF",X"F7",X"77",X"FF",X"FF",X"F0",X"77",X"FF",X"FF",X"F7",X"77",X"FF",
- X"FF",X"F7",X"77",X"FF",X"FF",X"F0",X"07",X"FF",X"FF",X"F7",X"7F",X"FF",X"FF",X"F7",X"7F",X"FF",
- X"FF",X"F7",X"7F",X"FF",X"FF",X"F7",X"00",X"3F",X"FF",X"00",X"00",X"3F",X"FF",X"7F",X"3F",X"3F",
- X"F8",X"7F",X"FF",X"3F",X"FB",X"7F",X"07",X"3F",X"83",X"7F",X"37",X"3F",X"BF",X"7F",X"33",X"3F",
- X"80",X"00",X"30",X"01",X"FF",X"FF",X"3F",X"FD",X"FF",X"FF",X"3F",X"FD",X"FF",X"00",X"03",X"81",
- X"FF",X"7F",X"FB",X"BD",X"FF",X"7F",X"FB",X"BD",X"FF",X"60",X"7B",X"BD",X"FF",X"6F",X"7B",X"BD",
- X"87",X"0F",X"78",X"3D",X"B7",X"FF",X"7F",X"BC",X"37",X"FF",X"7F",X"BE",X"70",X"1F",X"7F",X"FE",
- X"7F",X"C0",X"00",X"06",X"7F",X"FF",X"00",X"30",X"7F",X"FF",X"FF",X"B6",X"7F",X"FF",X"FF",X"B6",
- X"7F",X"FF",X"FF",X"B6",X"7F",X"FF",X"FF",X"B0",X"7F",X"FF",X"FF",X"BF",X"7F",X"FF",X"FF",X"BF",
- X"7F",X"FF",X"FF",X"BF",X"00",X"00",X"FF",X"87",X"FD",X"FE",X"FF",X"F7",X"FD",X"FE",X"FF",X"F7",
- X"FD",X"80",X"0F",X"F7",X"FD",X"BE",X"EF",X"F7",X"FD",X"BE",X"EF",X"F0",X"FD",X"BF",X"EF",X"FE",
- X"E1",X"BF",X"EF",X"FE",X"EF",X"BF",X"EF",X"86",X"EF",X"BF",X"EF",X"B6",X"E0",X"3F",X"E0",X"30",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"31",X"4C",X"38",X"C9",X"E5",X"D5",X"C5",X"F5",X"DD",X"E5",X"FD",X"E5",X"AF",X"32",X"81",X"A1",
- X"32",X"80",X"A0",X"3C",X"32",X"81",X"A1",X"CD",X"52",X"3A",X"CD",X"CC",X"3A",X"CD",X"35",X"3B",
- X"CD",X"56",X"3B",X"CD",X"A7",X"3B",X"CD",X"BD",X"3B",X"CD",X"E9",X"3B",X"CF",X"CD",X"8E",X"3D",
- X"3A",X"00",X"A0",X"21",X"80",X"A0",X"B6",X"2F",X"0F",X"E6",X"01",X"21",X"05",X"80",X"AE",X"32",
- X"83",X"A1",X"FD",X"E1",X"DD",X"E1",X"F1",X"C1",X"D1",X"E1",X"FB",X"C9",X"11",X"39",X"67",X"39",
- X"B1",X"39",X"00",X"9C",X"0F",X"04",X"B1",X"39",X"00",X"9C",X"F0",X"04",X"B1",X"39",X"00",X"98",
- X"0F",X"04",X"B1",X"39",X"00",X"98",X"F0",X"04",X"B1",X"39",X"00",X"88",X"0F",X"04",X"B1",X"39",
- X"00",X"88",X"F0",X"04",X"B1",X"39",X"00",X"80",X"0F",X"04",X"B1",X"39",X"00",X"80",X"F0",X"04",
- X"B1",X"39",X"00",X"8C",X"0F",X"04",X"B1",X"39",X"00",X"8C",X"F0",X"04",X"46",X"3A",X"B1",X"39",
- X"00",X"84",X"0F",X"04",X"B1",X"39",X"00",X"84",X"F0",X"04",X"32",X"3A",X"9E",X"38",X"31",X"00",
- X"84",X"21",X"00",X"80",X"11",X"01",X"80",X"01",X"FF",X"03",X"36",X"00",X"ED",X"B0",X"21",X"00",
- X"88",X"11",X"01",X"88",X"01",X"FF",X"03",X"36",X"00",X"ED",X"B0",X"CD",X"1E",X"39",X"3E",X"01",
- X"32",X"84",X"A1",X"32",X"85",X"A1",X"21",X"A0",X"02",X"22",X"69",X"80",X"ED",X"5E",X"3E",X"3F",
- X"ED",X"47",X"3E",X"FE",X"D3",X"00",X"3E",X"01",X"32",X"81",X"A1",X"32",X"82",X"A1",X"FB",X"3A",
- X"00",X"A1",X"CB",X"47",X"28",X"F9",X"F3",X"AF",X"32",X"81",X"A1",X"32",X"82",X"A1",X"CD",X"CE",
- X"3D",X"01",X"00",X"00",X"3E",X"02",X"32",X"80",X"A0",X"3D",X"20",X"FA",X"0D",X"20",X"F5",X"10",
- X"F3",X"32",X"80",X"A0",X"3A",X"00",X"A1",X"CB",X"47",X"28",X"F6",X"CD",X"59",X"39",X"C3",X"03",
- X"00",X"21",X"00",X"84",X"11",X"01",X"84",X"01",X"FF",X"03",X"36",X"40",X"ED",X"B0",X"21",X"00",
- X"8C",X"11",X"01",X"8C",X"01",X"FF",X"03",X"36",X"66",X"ED",X"B0",X"21",X"40",X"80",X"01",X"08",
- X"1C",X"3E",X"40",X"57",X"3E",X"20",X"91",X"5F",X"7A",X"51",X"77",X"23",X"15",X"20",X"FB",X"19",
- X"10",X"F7",X"21",X"40",X"88",X"01",X"08",X"1C",X"3E",X"66",X"57",X"3E",X"20",X"91",X"5F",X"7A",
- X"51",X"77",X"23",X"15",X"20",X"FB",X"19",X"10",X"F7",X"21",X"00",X"A0",X"01",X"00",X"02",X"71",
- X"2C",X"20",X"FC",X"24",X"10",X"F9",X"C9",X"11",X"F9",X"3F",X"21",X"00",X"00",X"01",X"00",X"10",
- X"32",X"80",X"A0",X"79",X"86",X"4F",X"2C",X"20",X"FA",X"24",X"10",X"F4",X"1A",X"B9",X"20",X"0F",
- X"13",X"7B",X"FE",X"FD",X"38",X"E7",X"3E",X"4F",X"32",X"8B",X"84",X"3E",X"4B",X"18",X"03",X"7B",
- X"D6",X"F8",X"32",X"8C",X"84",X"06",X"4F",X"21",X"86",X"84",X"36",X"4D",X"2D",X"70",X"2D",X"36",
- X"52",X"FE",X"4B",X"C8",X"32",X"80",X"A0",X"3A",X"00",X"A1",X"CB",X"47",X"28",X"F6",X"C3",X"00",
- X"00",X"16",X"0F",X"E1",X"C1",X"5A",X"32",X"80",X"A0",X"7B",X"0F",X"0F",X"0F",X"0F",X"83",X"80",
- X"A1",X"77",X"7B",X"87",X"87",X"83",X"3C",X"5F",X"2C",X"20",X"EE",X"24",X"10",X"E8",X"3B",X"3B",
- X"3B",X"3B",X"E1",X"C1",X"5A",X"32",X"80",X"A0",X"7B",X"0F",X"0F",X"0F",X"0F",X"83",X"80",X"AE",
- X"A1",X"20",X"17",X"7B",X"87",X"87",X"83",X"3C",X"5F",X"2C",X"20",X"EC",X"24",X"10",X"E6",X"3B",
- X"3B",X"3B",X"3B",X"15",X"F2",X"B3",X"39",X"E1",X"C1",X"C9",X"7C",X"0F",X"E6",X"0E",X"FE",X"0C",
- X"38",X"02",X"D6",X"04",X"CB",X"41",X"20",X"01",X"3C",X"FE",X"04",X"30",X"0F",X"FE",X"02",X"38",
- X"0B",X"21",X"00",X"9C",X"11",X"00",X"84",X"01",X"00",X"04",X"ED",X"B0",X"CB",X"3F",X"32",X"CB",
- X"84",X"3E",X"4C",X"30",X"02",X"3E",X"48",X"32",X"CC",X"84",X"06",X"0A",X"21",X"C6",X"84",X"C3",
- X"9A",X"39",X"21",X"00",X"9C",X"11",X"00",X"84",X"01",X"00",X"04",X"ED",X"B0",X"3E",X"4F",X"32",
- X"CB",X"84",X"3E",X"4B",X"18",X"E1",X"21",X"00",X"84",X"11",X"00",X"9C",X"01",X"00",X"04",X"ED",
- X"B0",X"C9",X"3A",X"00",X"A1",X"07",X"07",X"07",X"E6",X"06",X"5F",X"16",X"00",X"21",X"A2",X"3A",
- X"19",X"5E",X"23",X"56",X"ED",X"53",X"00",X"80",X"3A",X"00",X"A1",X"0F",X"0F",X"E6",X"0E",X"5F",
- X"16",X"00",X"21",X"AA",X"3A",X"19",X"5E",X"23",X"56",X"ED",X"53",X"02",X"80",X"7B",X"3D",X"87",
- X"5F",X"16",X"00",X"21",X"BA",X"3A",X"19",X"5E",X"23",X"56",X"3A",X"00",X"A1",X"0F",X"E6",X"03",
- X"6F",X"26",X"00",X"19",X"7E",X"32",X"04",X"80",X"3A",X"80",X"A0",X"2F",X"E6",X"01",X"32",X"05",
- X"80",X"C9",X"00",X"00",X"02",X"01",X"01",X"02",X"01",X"01",X"02",X"41",X"03",X"41",X"01",X"42",
- X"02",X"42",X"03",X"42",X"01",X"43",X"02",X"43",X"03",X"43",X"C0",X"3A",X"C4",X"3A",X"C8",X"3A",
- X"FF",X"10",X"20",X"30",X"FF",X"15",X"30",X"40",X"FF",X"20",X"40",X"60",X"3A",X"00",X"80",X"A7",
- X"20",X"1D",X"21",X"DE",X"3A",X"11",X"04",X"85",X"01",X"11",X"00",X"ED",X"B0",X"C9",X"46",X"52",
- X"45",X"45",X"40",X"50",X"4C",X"41",X"59",X"40",X"40",X"40",X"40",X"40",X"40",X"40",X"40",X"21",
- X"29",X"3B",X"11",X"05",X"85",X"01",X"05",X"00",X"ED",X"B0",X"21",X"2E",X"3B",X"11",X"0D",X"85",
- X"01",X"07",X"00",X"ED",X"B0",X"3A",X"00",X"80",X"FE",X"01",X"32",X"04",X"85",X"21",X"40",X"40",
- X"28",X"02",X"2E",X"53",X"22",X"0A",X"85",X"3A",X"01",X"80",X"FE",X"01",X"32",X"0C",X"85",X"3E",
- X"40",X"28",X"02",X"3E",X"53",X"32",X"14",X"85",X"C9",X"40",X"43",X"4F",X"49",X"4E",X"40",X"43",
- X"52",X"45",X"44",X"49",X"54",X"21",X"52",X"3B",X"11",X"45",X"85",X"01",X"04",X"00",X"ED",X"B0",
- X"3A",X"02",X"80",X"FE",X"01",X"32",X"44",X"85",X"3E",X"40",X"28",X"02",X"3E",X"53",X"32",X"49",
- X"85",X"C9",X"40",X"43",X"41",X"52",X"21",X"9B",X"3B",X"11",X"84",X"85",X"01",X"05",X"00",X"ED",
- X"B0",X"3A",X"04",X"80",X"3C",X"20",X"0C",X"21",X"A0",X"3B",X"11",X"8B",X"85",X"01",X"07",X"00",
- X"ED",X"B0",X"C9",X"21",X"8B",X"85",X"36",X"40",X"2C",X"3A",X"04",X"80",X"0F",X"0F",X"0F",X"0F",
- X"E6",X"0F",X"20",X"02",X"3E",X"40",X"77",X"2C",X"3A",X"04",X"80",X"E6",X"0F",X"77",X"2C",X"36",
- X"00",X"2C",X"36",X"00",X"2C",X"36",X"00",X"2C",X"36",X"40",X"C9",X"42",X"4F",X"4E",X"55",X"53",
- X"4E",X"4F",X"54",X"48",X"49",X"4E",X"47",X"21",X"B9",X"3B",X"11",X"C4",X"85",X"01",X"04",X"00",
- X"ED",X"B0",X"3A",X"03",X"80",X"32",X"CC",X"85",X"C9",X"52",X"41",X"4E",X"4B",X"3A",X"05",X"80",
- X"A7",X"20",X"0C",X"21",X"E2",X"3B",X"11",X"04",X"86",X"01",X"07",X"00",X"ED",X"B0",X"C9",X"21",
- X"DB",X"3B",X"11",X"04",X"86",X"01",X"07",X"00",X"ED",X"B0",X"C9",X"54",X"41",X"42",X"4C",X"45",
- X"40",X"40",X"55",X"50",X"52",X"49",X"47",X"48",X"54",X"3A",X"00",X"A0",X"01",X"03",X"00",X"21",
- X"13",X"80",X"11",X"14",X"80",X"ED",X"B8",X"23",X"77",X"23",X"B6",X"2F",X"23",X"A6",X"23",X"A6",
- X"23",X"77",X"CD",X"5A",X"3C",X"CD",X"6B",X"3C",X"CD",X"7C",X"3C",X"CD",X"8D",X"3C",X"CD",X"9E",
- X"3C",X"CD",X"AF",X"3C",X"CD",X"C0",X"3C",X"CD",X"D6",X"3C",X"3A",X"80",X"A0",X"01",X"03",X"00",
- X"21",X"1B",X"80",X"11",X"1C",X"80",X"ED",X"B8",X"23",X"77",X"23",X"B6",X"2F",X"23",X"A6",X"23",
- X"A6",X"23",X"77",X"2B",X"7E",X"2B",X"B6",X"2F",X"2B",X"A6",X"2B",X"A6",X"2B",X"77",X"CD",X"E7",
- X"3C",X"CD",X"F8",X"3C",X"CD",X"09",X"3D",X"CD",X"1A",X"3D",X"CD",X"2D",X"3D",X"CD",X"3B",X"3D",
- X"CD",X"4C",X"3D",X"CD",X"5D",X"3D",X"CD",X"6E",X"3D",X"C9",X"3A",X"15",X"80",X"CB",X"7F",X"C8",
- X"21",X"F6",X"89",X"CB",X"FE",X"3E",X"37",X"CD",X"7A",X"3D",X"C9",X"3A",X"15",X"80",X"CB",X"77",
- X"C8",X"21",X"F5",X"89",X"CB",X"FE",X"3E",X"36",X"CD",X"7A",X"3D",X"C9",X"3A",X"15",X"80",X"CB",
- X"6F",X"C8",X"21",X"F4",X"89",X"CB",X"EE",X"3E",X"35",X"CD",X"7A",X"3D",X"C9",X"3A",X"15",X"80",
- X"CB",X"67",X"C8",X"21",X"F4",X"89",X"CB",X"CE",X"3E",X"34",X"CD",X"7A",X"3D",X"C9",X"3A",X"15",
- X"80",X"CB",X"5F",X"C8",X"21",X"F4",X"89",X"CB",X"DE",X"3E",X"33",X"CD",X"7A",X"3D",X"C9",X"3A",
- X"15",X"80",X"CB",X"57",X"C8",X"21",X"F4",X"89",X"CB",X"D6",X"3E",X"32",X"CD",X"7A",X"3D",X"C9",
- X"3A",X"15",X"80",X"CB",X"4F",X"C8",X"21",X"F4",X"89",X"CB",X"76",X"28",X"03",X"CB",X"E6",X"C9",
- X"21",X"F4",X"89",X"CB",X"C6",X"C9",X"3A",X"15",X"80",X"CB",X"47",X"C8",X"21",X"F6",X"89",X"CB",
- X"F6",X"3E",X"30",X"CD",X"7A",X"3D",X"C9",X"3A",X"1D",X"80",X"CB",X"7F",X"C8",X"21",X"F6",X"89",
- X"CB",X"FE",X"3E",X"46",X"CD",X"7A",X"3D",X"C9",X"3A",X"1D",X"80",X"CB",X"77",X"C8",X"21",X"F5",
- X"89",X"CB",X"F6",X"3E",X"45",X"CD",X"7A",X"3D",X"C9",X"3A",X"1D",X"80",X"CB",X"6F",X"C8",X"21",
- X"F5",X"89",X"CB",X"EE",X"3E",X"44",X"CD",X"7A",X"3D",X"C9",X"3A",X"1D",X"80",X"CB",X"67",X"C8",
- X"21",X"F5",X"89",X"CB",X"E6",X"CB",X"9E",X"3E",X"43",X"CD",X"7A",X"3D",X"C9",X"3A",X"18",X"80",
- X"CB",X"67",X"C8",X"21",X"F5",X"89",X"CB",X"DE",X"CB",X"A6",X"C9",X"3A",X"1D",X"80",X"CB",X"5F",
- X"C8",X"21",X"F4",X"89",X"CB",X"FE",X"3E",X"42",X"CD",X"7A",X"3D",X"C9",X"3A",X"1D",X"80",X"CB",
- X"57",X"C8",X"21",X"F5",X"89",X"CB",X"D6",X"3E",X"41",X"CD",X"7A",X"3D",X"C9",X"3A",X"1D",X"80",
- X"CB",X"4F",X"C8",X"21",X"F4",X"89",X"CB",X"F6",X"3E",X"39",X"CD",X"7A",X"3D",X"C9",X"3A",X"18",
- X"80",X"CB",X"4F",X"C8",X"21",X"F4",X"89",X"CB",X"B6",X"C9",X"21",X"00",X"A0",X"CB",X"4E",X"C0",
- X"21",X"21",X"80",X"11",X"20",X"80",X"01",X"0F",X"00",X"ED",X"B0",X"2B",X"77",X"C9",X"21",X"20",
- X"80",X"11",X"BE",X"3D",X"06",X"10",X"1A",X"FE",X"FF",X"28",X"02",X"BE",X"C0",X"23",X"13",X"10",
- X"F5",X"21",X"AD",X"3D",X"11",X"84",X"86",X"01",X"11",X"00",X"ED",X"B0",X"C9",X"18",X"40",X"4E",
- X"41",X"4D",X"43",X"4F",X"40",X"4C",X"54",X"44",X"2E",X"40",X"31",X"39",X"38",X"30",X"35",X"35",
- X"34",X"34",X"34",X"34",X"34",X"34",X"34",X"33",X"32",X"32",X"32",X"32",X"32",X"32",X"3E",X"03",
- X"32",X"30",X"A1",X"21",X"00",X"84",X"11",X"01",X"84",X"01",X"FF",X"03",X"36",X"5B",X"ED",X"B0",
- X"21",X"40",X"80",X"01",X"08",X"1C",X"3E",X"5B",X"CD",X"20",X"3E",X"21",X"00",X"8C",X"01",X"00",
- X"04",X"CD",X"10",X"3E",X"23",X"0B",X"79",X"B0",X"20",X"F7",X"21",X"40",X"88",X"01",X"08",X"1C",
- X"3E",X"20",X"91",X"5F",X"51",X"CD",X"10",X"3E",X"23",X"15",X"20",X"F9",X"19",X"10",X"F5",X"C9",
- X"CB",X"45",X"CB",X"F6",X"28",X"02",X"CB",X"B6",X"CB",X"6D",X"CB",X"BE",X"C8",X"CB",X"FE",X"C9",
- X"57",X"3E",X"20",X"91",X"5F",X"7A",X"51",X"77",X"23",X"15",X"20",X"FB",X"19",X"10",X"F7",X"C9",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",
- X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"4B",X"55",X"65",X"7E",X"7D",X"04",X"38");
-begin
-process(clk)
-begin
- if rising_edge(clk) then
- data <= rom_data(to_integer(unsigned(addr)));
- end if;
-end process;
-end architecture;
diff --git a/Arcade_MiST/Namco Rally-X Hardware/RallyX_MiST/rtl/roms/nrx_wav_rom.vhd b/Arcade_MiST/Namco Rally-X Hardware/RallyX_MiST/rtl/roms/nrx_wav_rom.vhd
deleted file mode 100644
index caccc4e5..00000000
--- a/Arcade_MiST/Namco Rally-X Hardware/RallyX_MiST/rtl/roms/nrx_wav_rom.vhd
+++ /dev/null
@@ -1,1046 +0,0 @@
-library ieee;
-use ieee.std_logic_1164.all,ieee.numeric_std.all;
-
-entity nrx_wav_rom is
-port (
- clk : in std_logic;
- addr : in std_logic_vector(13 downto 0);
- data : out std_logic_vector(7 downto 0)
-);
-end entity;
-
-architecture prom of nrx_wav_rom is
- type rom is array(0 to 16383) of std_logic_vector(7 downto 0);
- signal rom_data: rom := (
- X"80",X"7F",X"7F",X"79",X"6B",X"71",X"7F",X"79",X"95",X"BF",X"D3",X"BE",X"BF",X"B9",X"B5",X"B1",
- X"AD",X"AA",X"A6",X"A3",X"A0",X"9D",X"9A",X"98",X"95",X"93",X"90",X"76",X"20",X"00",X"16",X"01",
- X"0E",X"12",X"1B",X"1F",X"25",X"2A",X"2F",X"34",X"38",X"3C",X"40",X"44",X"47",X"4B",X"4E",X"51",
- X"54",X"56",X"58",X"5A",X"5C",X"68",X"86",X"7E",X"4F",X"66",X"62",X"64",X"67",X"67",X"6A",X"6A",
- X"6D",X"6D",X"6F",X"71",X"81",X"BE",X"FF",X"FD",X"F6",X"FF",X"F7",X"FD",X"F7",X"F9",X"F0",X"ED",
- X"E7",X"E3",X"DD",X"D6",X"AE",X"3E",X"07",X"3E",X"2A",X"38",X"38",X"3D",X"40",X"43",X"46",X"49",
- X"4C",X"4E",X"50",X"52",X"54",X"56",X"61",X"A2",X"FF",X"FD",X"EF",X"FE",X"E8",X"EF",X"E1",X"E3",
- X"D9",X"D8",X"D1",X"CD",X"BC",X"8C",X"5A",X"83",X"D2",X"C4",X"B3",X"BC",X"AC",X"B0",X"A6",X"A7",
- X"A0",X"9F",X"95",X"65",X"01",X"00",X"0E",X"00",X"14",X"12",X"1E",X"20",X"27",X"2A",X"30",X"33",
- X"39",X"43",X"4B",X"3B",X"42",X"47",X"45",X"4D",X"4C",X"57",X"7D",X"AC",X"75",X"55",X"99",X"FA",
- X"FF",X"EC",X"F9",X"C7",X"88",X"4A",X"40",X"67",X"D5",X"FF",X"E5",X"E4",X"E0",X"D7",X"D2",X"B6",
- X"9B",X"BE",X"D0",X"B5",X"BF",X"B1",X"B3",X"AB",X"A9",X"A4",X"9D",X"83",X"5E",X"42",X"23",X"04",
- X"00",X"0F",X"12",X"1B",X"20",X"26",X"2B",X"30",X"34",X"38",X"40",X"4A",X"42",X"41",X"4C",X"49",
- X"51",X"51",X"5D",X"96",X"FF",X"FF",X"ED",X"FA",X"E5",X"E9",X"DD",X"DC",X"D3",X"D1",X"CA",X"C7",
- X"C1",X"BE",X"B9",X"AC",X"6C",X"00",X"00",X"22",X"12",X"28",X"23",X"2F",X"2F",X"37",X"38",X"3E",
- X"40",X"44",X"46",X"49",X"4C",X"4F",X"51",X"56",X"71",X"CD",X"FF",X"F4",X"F9",X"F2",X"E9",X"E8",
- X"DE",X"DC",X"D4",X"D1",X"CA",X"C6",X"C1",X"BC",X"A5",X"4C",X"00",X"16",X"1E",X"1B",X"2B",X"27",
- X"32",X"31",X"39",X"3A",X"40",X"42",X"47",X"48",X"4C",X"4E",X"50",X"52",X"55",X"57",X"5F",X"91",
- X"FB",X"FF",X"EC",X"FF",X"EB",X"EF",X"E4",X"E0",X"C1",X"7A",X"36",X"29",X"32",X"3A",X"3A",X"41",
- X"41",X"46",X"47",X"4B",X"4C",X"4F",X"51",X"53",X"56",X"6B",X"BE",X"FF",X"F6",X"F7",X"FA",X"EA",
- X"ED",X"E1",X"E0",X"D8",X"D5",X"CE",X"CB",X"C5",X"C1",X"BC",X"B8",X"B3",X"AF",X"9A",X"45",X"00",
- X"0A",X"12",X"11",X"20",X"1E",X"29",X"29",X"31",X"33",X"38",X"3A",X"3F",X"41",X"45",X"47",X"4B",
- X"53",X"6E",X"98",X"B6",X"D2",X"E9",X"F5",X"F1",X"E7",X"E4",X"DD",X"D8",X"D2",X"CD",X"C8",X"C3",
- X"BF",X"BA",X"B5",X"A1",X"56",X"00",X"05",X"19",X"15",X"22",X"23",X"2B",X"2D",X"33",X"36",X"3B",
- X"3E",X"42",X"46",X"49",X"4B",X"4D",X"4F",X"54",X"77",X"E0",X"FF",X"EA",X"FA",X"E8",X"E8",X"E0",
- X"DC",X"D6",X"D2",X"C9",X"A2",X"4C",X"14",X"1E",X"29",X"33",X"67",X"B1",X"93",X"3E",X"2F",X"30",
- X"3B",X"3B",X"5C",X"B8",X"FF",X"E1",X"CA",X"B2",X"CC",X"E6",X"C4",X"D1",X"C2",X"C2",X"BA",X"B8",
- X"B2",X"AF",X"AA",X"A7",X"A2",X"8D",X"4D",X"33",X"6F",X"64",X"28",X"00",X"0E",X"12",X"16",X"21",
- X"23",X"2B",X"2D",X"33",X"36",X"3B",X"44",X"7F",X"F4",X"FF",X"D3",X"EA",X"D2",X"D8",X"CB",X"CB",
- X"C2",X"C0",X"B9",X"B5",X"AA",X"84",X"40",X"15",X"06",X"10",X"1C",X"1E",X"28",X"2B",X"31",X"34",
- X"3E",X"74",X"E7",X"FC",X"CF",X"E3",X"CE",X"D2",X"C7",X"C5",X"BF",X"BC",X"B7",X"B3",X"A5",X"79",
- X"59",X"87",X"92",X"97",X"9E",X"97",X"8E",X"59",X"02",X"00",X"13",X"08",X"1D",X"1B",X"27",X"29",
- X"31",X"33",X"39",X"3C",X"44",X"6E",X"D5",X"F6",X"DA",X"ED",X"D8",X"DB",X"D1",X"CF",X"C8",X"C5",
- X"BF",X"BC",X"B7",X"B3",X"AF",X"AB",X"A7",X"A4",X"A0",X"9D",X"9A",X"98",X"95",X"8B",X"63",X"32",
- X"25",X"0D",X"02",X"06",X"0A",X"13",X"1A",X"20",X"26",X"2C",X"31",X"36",X"3A",X"3E",X"42",X"46",
- X"4F",X"84",X"F4",X"FF",X"E0",X"F2",X"DE",X"E0",X"D6",X"D4",X"CD",X"C9",X"C4",X"BF",X"BA",X"B6",
- X"B2",X"AE",X"A8",X"8B",X"2F",X"00",X"0F",X"0E",X"17",X"20",X"24",X"2D",X"30",X"36",X"39",X"3E",
- X"47",X"73",X"CF",X"FD",X"E9",X"E3",X"DE",X"D7",X"D3",X"CD",X"C9",X"C4",X"B7",X"79",X"0D",X"05",
- X"29",X"1B",X"2E",X"2B",X"35",X"36",X"3C",X"3E",X"43",X"45",X"4A",X"5E",X"AB",X"FF",X"FC",X"EB",
- X"EE",X"E2",X"E0",X"D7",X"D3",X"CD",X"C8",X"C3",X"BF",X"BA",X"B6",X"AE",X"84",X"21",X"00",X"1A",
- X"15",X"20",X"25",X"2A",X"2F",X"33",X"38",X"3B",X"40",X"43",X"47",X"4A",X"4D",X"57",X"92",X"FF",
- X"FF",X"E8",X"F7",X"E2",X"E6",X"D9",X"D9",X"D0",X"CE",X"C1",X"93",X"34",X"09",X"20",X"24",X"29",
- X"2F",X"33",X"39",X"3C",X"41",X"4C",X"85",X"F0",X"FF",X"E1",X"EC",X"DC",X"DB",X"D3",X"CF",X"C9",
- X"C3",X"B9",X"8C",X"22",X"00",X"23",X"1B",X"27",X"2B",X"30",X"35",X"3B",X"51",X"8C",X"C9",X"E5",
- X"EE",X"DD",X"DB",X"D4",X"CE",X"C9",X"BC",X"89",X"20",X"01",X"26",X"21",X"3D",X"5C",X"74",X"88",
- X"9A",X"A6",X"AF",X"B4",X"B3",X"93",X"3E",X"12",X"33",X"2F",X"36",X"3A",X"3D",X"41",X"43",X"47",
- X"49",X"4D",X"4F",X"52",X"54",X"5A",X"7A",X"C0",X"F4",X"FF",X"FA",X"F5",X"F0",X"E8",X"E4",X"DD",
- X"D8",X"D2",X"CE",X"C8",X"C4",X"BF",X"BB",X"B7",X"B3",X"AE",X"9E",X"5B",X"00",X"00",X"14",X"11",
- X"1F",X"20",X"29",X"2B",X"31",X"34",X"39",X"3C",X"40",X"43",X"46",X"48",X"4C",X"57",X"84",X"CB",
- X"F3",X"FD",X"F0",X"EB",X"E8",X"E1",X"DE",X"D7",X"D3",X"CD",X"C8",X"C3",X"BE",X"BA",X"B5",X"B1",
- X"AD",X"A9",X"A5",X"A1",X"9E",X"9B",X"98",X"88",X"42",X"00",X"06",X"09",X"03",X"15",X"14",X"20",
- X"22",X"2A",X"2D",X"33",X"36",X"3C",X"3F",X"43",X"45",X"49",X"4E",X"6F",X"C5",X"FF",X"F6",X"EC",
- X"E9",X"E2",X"DD",X"D7",X"D2",X"CD",X"C6",X"A7",X"4D",X"0D",X"32",X"31",X"2A",X"2B",X"33",X"36",
- X"39",X"3D",X"40",X"44",X"46",X"4A",X"4E",X"5D",X"71",X"5A",X"4B",X"5B",X"55",X"5C",X"5A",X"5E",
- X"62",X"75",X"90",X"A7",X"C0",X"D8",X"EF",X"FD",X"FB",X"F8",X"F5",X"ED",X"EA",X"E3",X"DF",X"D9",
- X"D4",X"CF",X"CA",X"C5",X"C0",X"BC",X"B6",X"A6",X"5C",X"00",X"05",X"1E",X"13",X"26",X"22",X"2D",
- X"2D",X"34",X"36",X"3B",X"3D",X"41",X"44",X"47",X"4A",X"60",X"B7",X"FF",X"F1",X"EA",X"ED",X"DE",
- X"E0",X"D5",X"D5",X"CD",X"CB",X"C5",X"C1",X"B3",X"9A",X"A1",X"C0",X"AA",X"AC",X"A6",X"A2",X"9F",
- X"9A",X"98",X"94",X"92",X"88",X"6E",X"4B",X"28",X"00",X"00",X"07",X"02",X"0F",X"13",X"1C",X"20",
- X"27",X"2F",X"4A",X"63",X"42",X"2C",X"45",X"3E",X"46",X"47",X"4E",X"6F",X"B6",X"BD",X"90",X"A9",
- X"DE",X"FF",X"E0",X"E8",X"DD",X"DA",X"D5",X"D0",X"CB",X"C6",X"C1",X"BC",X"B8",X"B3",X"AE",X"9A",
- X"51",X"00",X"03",X"13",X"13",X"1F",X"22",X"2A",X"2D",X"33",X"36",X"3B",X"40",X"5B",X"AF",X"FD",
- X"EE",X"E1",X"E1",X"D6",X"D3",X"CD",X"C9",X"C2",X"AB",X"66",X"22",X"28",X"61",X"8C",X"7B",X"3E",
- X"18",X"33",X"63",X"A9",X"CE",X"DC",X"CE",X"C9",X"C5",X"BE",X"BB",X"B5",X"B1",X"AD",X"A9",X"A3",
- X"88",X"32",X"00",X"08",X"09",X"12",X"1B",X"20",X"2F",X"33",X"2D",X"36",X"3B",X"3D",X"4D",X"7A",
- X"BF",X"E0",X"DC",X"9A",X"67",X"4C",X"36",X"3F",X"43",X"46",X"4C",X"67",X"C0",X"FF",X"F4",X"EC",
- X"EB",X"E0",X"DB",X"CB",X"CC",X"D3",X"C7",X"C4",X"C0",X"BA",X"B6",X"B0",X"AD",X"A9",X"A6",X"A2",
- X"9F",X"9C",X"99",X"97",X"94",X"89",X"54",X"00",X"00",X"0D",X"00",X"0F",X"11",X"1C",X"20",X"2D",
- X"3B",X"34",X"2B",X"3C",X"38",X"40",X"41",X"45",X"48",X"4B",X"55",X"8B",X"F3",X"FF",X"EA",X"F4",
- X"E5",X"E5",X"DC",X"D9",X"D3",X"CE",X"C5",X"A4",X"57",X"1E",X"17",X"23",X"2A",X"2C",X"34",X"37",
- X"53",X"9D",X"EA",X"ED",X"DE",X"DA",X"D5",X"CE",X"CA",X"C4",X"C0",X"BA",X"A8",X"66",X"0D",X"03",
- X"1A",X"1B",X"24",X"29",X"2E",X"33",X"3F",X"6F",X"BF",X"E6",X"CE",X"98",X"89",X"B0",X"E3",X"D6",
- X"C9",X"CA",X"BF",X"BE",X"B0",X"94",X"86",X"AC",X"A4",X"6E",X"21",X"02",X"1A",X"4D",X"72",X"8F",
- X"A8",X"B8",X"C0",X"BC",X"AD",X"7D",X"27",X"03",X"18",X"3B",X"51",X"38",X"19",X"2F",X"30",X"34",
- X"3F",X"62",X"AF",X"E3",X"ED",X"DF",X"CD",X"A2",X"6C",X"53",X"3C",X"52",X"9E",X"DA",X"DA",X"CF",
- X"CA",X"C6",X"BF",X"B4",X"A0",X"B0",X"BC",X"A1",X"7A",X"2C",X"0B",X"06",X"17",X"1C",X"2C",X"5D",
- X"A3",X"CB",X"D8",X"C9",X"AA",X"76",X"67",X"9D",X"BE",X"B3",X"7B",X"40",X"22",X"11",X"1E",X"29",
- X"4D",X"9B",X"CF",X"DB",X"CF",X"C8",X"C0",X"9A",X"60",X"37",X"1F",X"18",X"27",X"2A",X"31",X"35",
- X"3A",X"3E",X"42",X"45",X"4A",X"59",X"7C",X"B7",X"FF",X"FF",X"E5",X"EE",X"DE",X"DF",X"D5",X"D2",
- X"CB",X"C7",X"C1",X"BD",X"B8",X"B5",X"B0",X"AD",X"AA",X"A7",X"A4",X"9F",X"89",X"3A",X"00",X"08",
- X"09",X"0C",X"1A",X"1B",X"25",X"28",X"30",X"32",X"38",X"3B",X"40",X"43",X"46",X"49",X"50",X"6C",
- X"B9",X"FF",X"FB",X"EB",X"EF",X"E2",X"E2",X"D8",X"D3",X"CD",X"CE",X"C5",X"C2",X"BC",X"B8",X"B3",
- X"B0",X"AB",X"A4",X"82",X"2E",X"05",X"1E",X"13",X"12",X"17",X"21",X"24",X"2C",X"2F",X"35",X"38",
- X"3D",X"40",X"44",X"47",X"4B",X"4E",X"51",X"55",X"62",X"8F",X"CC",X"EF",X"FF",X"F7",X"F1",X"EC",
- X"E5",X"E1",X"DA",X"D6",X"D0",X"CB",X"C6",X"C1",X"BD",X"B1",X"7F",X"28",X"04",X"14",X"1D",X"21",
- X"29",X"2D",X"32",X"36",X"3A",X"3E",X"41",X"44",X"48",X"56",X"85",X"AA",X"8B",X"8F",X"C0",X"FC",
- X"F6",X"E3",X"E8",X"DB",X"DA",X"C7",X"9D",X"6A",X"67",X"92",X"C2",X"BD",X"8D",X"53",X"50",X"77",
- X"AB",X"BC",X"CA",X"BE",X"B5",X"8B",X"40",X"13",X"0C",X"1B",X"21",X"25",X"2C",X"2F",X"38",X"54",
- X"A1",X"E2",X"E9",X"DB",X"D6",X"D2",X"CB",X"C7",X"BA",X"93",X"4D",X"24",X"13",X"1D",X"27",X"28",
- X"37",X"5B",X"8C",X"73",X"51",X"4C",X"3D",X"3F",X"40",X"46",X"4D",X"74",X"CA",X"FF",X"F0",X"E9",
- X"E6",X"DD",X"DA",X"D3",X"CF",X"C9",X"C5",X"C0",X"B9",X"9E",X"5B",X"37",X"41",X"2B",X"2C",X"24",
- X"24",X"26",X"2E",X"31",X"35",X"39",X"3D",X"40",X"44",X"49",X"61",X"A6",X"EB",X"F9",X"EE",X"E5",
- X"E3",X"D6",X"BB",X"91",X"9E",X"CD",X"D2",X"C4",X"C4",X"BD",X"B9",X"B4",X"B0",X"AC",X"A8",X"A5",
- X"A1",X"96",X"74",X"32",X"00",X"02",X"0B",X"0B",X"1A",X"1A",X"25",X"28",X"2F",X"32",X"37",X"3A",
- X"3E",X"47",X"74",X"D4",X"FF",X"E4",X"E4",X"DD",X"D7",X"D1",X"C3",X"AE",X"9E",X"99",X"AF",X"CB",
- X"B9",X"B7",X"B5",X"A9",X"91",X"62",X"4E",X"5F",X"97",X"B0",X"AB",X"A5",X"A3",X"9A",X"76",X"36",
- X"2C",X"52",X"60",X"6C",X"75",X"78",X"79",X"76",X"72",X"6B",X"64",X"56",X"2E",X"01",X"11",X"1E",
- X"1E",X"2A",X"2B",X"33",X"36",X"3B",X"3E",X"42",X"49",X"6B",X"B3",X"CE",X"AA",X"A0",X"95",X"8D",
- X"89",X"86",X"86",X"88",X"8C",X"90",X"95",X"9D",X"B5",X"E5",X"ED",X"D5",X"DB",X"CF",X"CE",X"C7",
- X"C4",X"BE",X"BA",X"B5",X"A8",X"8F",X"7A",X"5A",X"19",X"00",X"16",X"18",X"1F",X"27",X"2A",X"31",
- X"35",X"3A",X"3D",X"41",X"45",X"56",X"9C",X"FA",X"FA",X"E4",X"E8",X"DC",X"DA",X"D3",X"D0",X"CA",
- X"C6",X"C1",X"BC",X"B8",X"B3",X"AF",X"AB",X"A6",X"92",X"50",X"05",X"00",X"0C",X"11",X"1B",X"20",
- X"26",X"2B",X"30",X"35",X"39",X"3D",X"4D",X"82",X"C5",X"E6",X"EF",X"D1",X"AA",X"93",X"B3",X"D8",
- X"D1",X"B1",X"7C",X"63",X"80",X"A5",X"A6",X"7F",X"48",X"3C",X"57",X"87",X"9D",X"B4",X"C0",X"C6",
- X"C2",X"BC",X"B8",X"B3",X"AB",X"9C",X"8A",X"7A",X"69",X"54",X"2B",X"00",X"0C",X"1C",X"1A",X"27",
- X"27",X"30",X"32",X"38",X"3B",X"40",X"43",X"49",X"61",X"98",X"C5",X"DF",X"DB",X"A7",X"89",X"91",
- X"BF",X"E1",X"E3",X"DC",X"D4",X"D2",X"CA",X"C7",X"BC",X"99",X"4E",X"1B",X"12",X"1F",X"28",X"2A",
- X"33",X"34",X"3A",X"3C",X"40",X"43",X"47",X"54",X"94",X"F5",X"FF",X"E8",X"EC",X"E1",X"DE",X"D7",
- X"D3",X"CD",X"C8",X"C3",X"BF",X"BA",X"B6",X"B2",X"AC",X"99",X"54",X"00",X"00",X"10",X"12",X"1D",
- X"21",X"29",X"2D",X"34",X"38",X"3C",X"3F",X"43",X"45",X"48",X"4C",X"62",X"A3",X"E7",X"FC",X"F5",
- X"E9",X"E8",X"DE",X"D6",X"B4",X"85",X"65",X"4D",X"46",X"6C",X"A3",X"B4",X"9E",X"71",X"5B",X"46",
- X"39",X"33",X"33",X"3B",X"48",X"7D",X"CB",X"EE",X"E3",X"C4",X"BC",X"D1",X"DB",X"C8",X"C9",X"BF",
- X"B3",X"B2",X"BD",X"B0",X"A4",X"76",X"3F",X"22",X"09",X"0F",X"28",X"52",X"81",X"9C",X"B5",X"B8",
- X"93",X"5B",X"48",X"5B",X"84",X"8B",X"6F",X"40",X"34",X"4E",X"7E",X"8D",X"77",X"4C",X"39",X"2E",
- X"34",X"3D",X"54",X"92",X"BE",X"B0",X"92",X"83",X"76",X"6D",X"69",X"67",X"6A",X"7B",X"B2",X"ED",
- X"EA",X"DA",X"DB",X"D1",X"CE",X"C7",X"C3",X"BE",X"B8",X"9E",X"5C",X"1F",X"0D",X"14",X"22",X"22",
- X"2C",X"2D",X"34",X"37",X"41",X"65",X"AF",X"E0",X"ED",X"E2",X"D9",X"D7",X"C8",X"BE",X"C6",X"C8",
- X"B7",X"A2",X"6A",X"5D",X"7A",X"8C",X"7C",X"4D",X"21",X"14",X"17",X"25",X"26",X"38",X"5D",X"7C",
- X"60",X"4E",X"49",X"41",X"47",X"64",X"AB",X"E3",X"F1",X"E5",X"DD",X"DA",X"D2",X"CF",X"C9",X"C4",
- X"B4",X"83",X"41",X"20",X"16",X"36",X"53",X"50",X"32",X"25",X"37",X"35",X"3D",X"40",X"44",X"47",
- X"4E",X"6F",X"BF",X"F9",X"F9",X"EC",X"E7",X"E2",X"DA",X"D6",X"D0",X"CB",X"BB",X"8E",X"53",X"32",
- X"1A",X"1D",X"2A",X"38",X"65",X"90",X"AB",X"C6",X"D4",X"D6",X"CC",X"C8",X"C3",X"BE",X"BA",X"B6",
- X"B1",X"A5",X"90",X"7B",X"6A",X"58",X"47",X"28",X"04",X"0B",X"1D",X"1B",X"28",X"29",X"31",X"34",
- X"3F",X"5D",X"8C",X"A9",X"BB",X"A9",X"7E",X"71",X"86",X"B3",X"C5",X"B2",X"88",X"78",X"8D",X"B8",
- X"CF",X"D4",X"CB",X"C5",X"BC",X"96",X"62",X"40",X"27",X"1C",X"38",X"69",X"84",X"79",X"57",X"46",
- X"3A",X"32",X"3C",X"64",X"A8",X"D4",X"E8",X"DF",X"D6",X"D4",X"C8",X"B3",X"87",X"68",X"5A",X"6C",
- X"9A",X"A9",X"97",X"6B",X"52",X"3E",X"2D",X"26",X"24",X"2C",X"37",X"5C",X"A3",X"D3",X"E4",X"DB",
- X"D0",X"CF",X"C6",X"C3",X"BC",X"B9",X"B3",X"AD",X"90",X"51",X"35",X"45",X"37",X"20",X"0C",X"20",
- X"24",X"29",X"30",X"38",X"51",X"71",X"89",X"9D",X"A7",X"91",X"63",X"49",X"3B",X"3C",X"44",X"44",
- X"4D",X"6C",X"AD",X"CC",X"BB",X"AC",X"BA",X"E2",X"F4",X"DF",X"E0",X"D5",X"C0",X"A0",X"8B",X"79",
- X"6A",X"63",X"6E",X"A0",X"CB",X"CD",X"B7",X"99",X"94",X"B1",X"C2",X"B1",X"A3",X"7C",X"69",X"85",
- X"9B",X"A3",X"A8",X"A2",X"9F",X"9C",X"96",X"7C",X"3E",X"0A",X"00",X"09",X"1A",X"2C",X"3B",X"48",
- X"56",X"60",X"68",X"5F",X"39",X"22",X"32",X"34",X"38",X"3D",X"40",X"43",X"46",X"52",X"81",X"CD",
- X"F5",X"F8",X"E9",X"E6",X"E0",X"DA",X"D5",X"D0",X"CB",X"C5",X"B5",X"8C",X"62",X"4B",X"43",X"64",
- X"86",X"97",X"AA",X"B1",X"A7",X"7A",X"4C",X"42",X"56",X"73",X"6E",X"50",X"2A",X"23",X"27",X"31",
- X"32",X"39",X"3B",X"40",X"44",X"58",X"95",X"DD",X"F5",X"EF",X"E2",X"E1",X"D8",X"D5",X"C8",X"AD",
- X"90",X"99",X"B8",X"C0",X"AA",X"80",X"60",X"67",X"84",X"95",X"9F",X"A7",X"A1",X"83",X"4A",X"2B",
- X"2C",X"48",X"5A",X"67",X"75",X"76",X"61",X"33",X"20",X"2B",X"47",X"65",X"7C",X"90",X"A0",X"AB",
- X"B4",X"B9",X"BB",X"BA",X"B1",X"8F",X"55",X"30",X"20",X"27",X"31",X"31",X"39",X"3A",X"40",X"4E",
- X"7E",X"BC",X"E0",X"F3",X"E8",X"DF",X"DD",X"D4",X"D0",X"C1",X"B4",X"BC",X"BD",X"9B",X"69",X"44",
- X"45",X"5D",X"6A",X"58",X"34",X"1F",X"2E",X"51",X"72",X"88",X"9E",X"AC",X"B8",X"BE",X"C2",X"BF",
- X"AE",X"7E",X"4C",X"3B",X"48",X"63",X"61",X"47",X"29",X"2E",X"44",X"68",X"87",X"9F",X"B3",X"B4",
- X"96",X"6F",X"5C",X"48",X"3F",X"45",X"6F",X"A0",X"B1",X"A4",X"8A",X"8F",X"AF",X"D7",X"DD",X"D6",
- X"CF",X"CC",X"C3",X"AF",X"81",X"5D",X"44",X"2E",X"23",X"20",X"2A",X"2F",X"33",X"38",X"3B",X"41",
- X"55",X"93",X"D9",X"F1",X"EB",X"DD",X"DB",X"D6",X"D3",X"CA",X"C8",X"C1",X"BE",X"B8",X"B4",X"AF",
- X"A3",X"7D",X"4D",X"30",X"17",X"11",X"2C",X"53",X"69",X"7F",X"8F",X"9A",X"A2",X"A5",X"A6",X"A3",
- X"9E",X"97",X"8E",X"85",X"7B",X"71",X"66",X"5D",X"54",X"4D",X"48",X"44",X"41",X"3F",X"3F",X"41",
- X"43",X"47",X"4D",X"53",X"57",X"4B",X"38",X"40",X"46",X"47",X"56",X"5E",X"51",X"4E",X"68",X"90",
- X"BF",X"C3",X"B3",X"A3",X"A0",X"98",X"9C",X"B3",X"E6",X"F7",X"E8",X"E4",X"DF",X"D8",X"D3",X"CD",
- X"C9",X"C3",X"BF",X"BA",X"B6",X"B1",X"9C",X"67",X"32",X"16",X"08",X"17",X"1D",X"22",X"2E",X"37",
- X"30",X"32",X"3B",X"3A",X"42",X"4F",X"80",X"B9",X"C7",X"B9",X"AE",X"AA",X"A3",X"A1",X"9E",X"9D",
- X"A0",X"B8",X"DD",X"DB",X"CC",X"CE",X"C4",X"C2",X"BB",X"B8",X"B2",X"AF",X"AB",X"A7",X"A1",X"95",
- X"83",X"74",X"61",X"3F",X"0E",X"00",X"0B",X"0E",X"17",X"1D",X"24",X"30",X"44",X"56",X"62",X"5C",
- X"3F",X"34",X"3E",X"40",X"43",X"47",X"4B",X"5D",X"90",X"C0",X"BE",X"B4",X"BD",X"DD",X"F8",X"E9",
- X"E3",X"E0",X"D3",X"BF",X"A5",X"96",X"84",X"79",X"78",X"95",X"BB",X"C7",X"C4",X"BB",X"B9",X"B3",
- X"B0",X"AB",X"A8",X"A4",X"A0",X"95",X"7C",X"64",X"51",X"3D",X"27",X"08",X"00",X"11",X"14",X"1D",
- X"22",X"28",X"2E",X"33",X"38",X"3D",X"49",X"73",X"B3",X"DB",X"EF",X"E7",X"DD",X"DB",X"D2",X"CF",
- X"C8",X"C4",X"BE",X"BB",X"B6",X"B2",X"AE",X"A9",X"9C",X"85",X"70",X"5E",X"4C",X"3C",X"28",X"0D",
- X"07",X"1A",X"1B",X"24",X"28",X"2E",X"33",X"37",X"3C",X"3F",X"43",X"46",X"4A",X"4E",X"59",X"7A",
- X"A4",X"C1",X"DD",X"F1",X"F7",X"EE",X"E8",X"E4",X"DD",X"D8",X"D2",X"CE",X"C8",X"C4",X"BF",X"BA",
- X"B5",X"B0",X"AA",X"91",X"5C",X"3D",X"30",X"10",X"09",X"17",X"1F",X"21",X"2A",X"2D",X"33",X"36",
- X"3B",X"3E",X"42",X"49",X"66",X"A1",X"BF",X"B3",X"AF",X"AB",X"A7",X"A5",X"A2",X"A0",X"A0",X"B1",
- X"D8",X"E5",X"D4",X"D3",X"CC",X"C7",X"C1",X"B3",X"8F",X"62",X"49",X"3F",X"55",X"65",X"5D",X"41",
- X"27",X"24",X"29",X"32",X"34",X"3A",X"3C",X"44",X"5A",X"90",X"BE",X"DB",X"EF",X"E5",X"D6",X"BE",
- X"A2",X"90",X"7F",X"83",X"A0",X"B7",X"B2",X"96",X"79",X"7A",X"8E",X"A2",X"98",X"7A",X"5A",X"4B",
- X"38",X"30",X"28",X"2A",X"2F",X"35",X"3E",X"61",X"99",X"C0",X"DC",X"E7",X"DB",X"D5",X"CA",X"B5",
- X"B4",X"C6",X"BE",X"A6",X"7E",X"63",X"69",X"7B",X"83",X"87",X"8B",X"8A",X"88",X"83",X"78",X"58",
- X"2D",X"17",X"15",X"21",X"26",X"2B",X"33",X"3E",X"47",X"3C",X"44",X"63",X"92",X"B6",X"D0",X"E6",
- X"E8",X"D9",X"BD",X"A6",X"AD",X"C3",X"C4",X"AE",X"86",X"6C",X"56",X"44",X"39",X"30",X"2D",X"2D",
- X"32",X"38",X"40",X"4C",X"59",X"67",X"75",X"84",X"99",X"C3",X"F1",X"EE",X"E0",X"E0",X"D7",X"D3",
- X"CD",X"C8",X"C3",X"BE",X"B2",X"8D",X"56",X"34",X"19",X"12",X"1F",X"24",X"2B",X"38",X"3D",X"33",
- X"3B",X"4D",X"71",X"94",X"91",X"83",X"7B",X"7A",X"85",X"AE",X"D2",X"E4",X"E7",X"DA",X"D7",X"CF",
- X"BF",X"AA",X"B2",X"BD",X"AB",X"89",X"63",X"5B",X"66",X"79",X"7D",X"86",X"87",X"8A",X"89",X"86",
- X"76",X"51",X"30",X"29",X"3A",X"51",X"5C",X"6D",X"78",X"83",X"8B",X"91",X"95",X"97",X"98",X"98",
- X"97",X"94",X"91",X"8D",X"87",X"76",X"51",X"30",X"2A",X"3A",X"51",X"52",X"43",X"32",X"38",X"3C",
- X"40",X"48",X"68",X"9B",X"C0",X"DE",X"EF",X"E8",X"E0",X"DC",X"D6",X"D1",X"CC",X"C6",X"C1",X"BD",
- X"B4",X"96",X"5D",X"3E",X"40",X"42",X"38",X"1F",X"18",X"27",X"29",X"30",X"35",X"39",X"3D",X"40",
- X"4D",X"76",X"A5",X"A6",X"A7",X"BC",X"DE",X"F0",X"DE",X"DD",X"D7",X"D2",X"CD",X"C7",X"C3",X"BD",
- X"B9",X"B4",X"AF",X"A3",X"7D",X"4A",X"2C",X"12",X"09",X"15",X"23",X"34",X"36",X"26",X"2E",X"34",
- X"37",X"3D",X"49",X"71",X"9D",X"A5",X"9B",X"99",X"98",X"97",X"99",X"9A",X"9C",X"9F",X"A2",X"A4",
- X"AB",X"C3",X"DF",X"D6",X"CC",X"CC",X"C3",X"C1",X"BA",X"B7",X"B1",X"AE",X"A5",X"8A",X"5A",X"38",
- X"1E",X"0B",X"0B",X"17",X"1E",X"23",X"2A",X"2E",X"34",X"3B",X"58",X"8E",X"B7",X"D4",X"E6",X"DC",
- X"CC",X"B8",X"A4",X"95",X"8B",X"95",X"B1",X"BF",X"C2",X"BD",X"B7",X"B4",X"AF",X"AA",X"93",X"63",
- X"3A",X"21",X"0A",X"0C",X"17",X"1D",X"23",X"2A",X"2E",X"33",X"37",X"3D",X"51",X"85",X"BA",X"D9",
- X"ED",X"E1",X"D9",X"D4",X"C4",X"AE",X"9B",X"8C",X"7D",X"73",X"74",X"8D",X"A7",X"AA",X"97",X"7C",
- X"77",X"85",X"99",X"95",X"7F",X"61",X"51",X"40",X"36",X"2F",X"2B",X"2C",X"39",X"5E",X"87",X"98",
- X"92",X"82",X"7F",X"78",X"76",X"75",X"75",X"76",X"79",X"7C",X"81",X"90",X"B5",X"D8",X"DD",X"D3",
- X"CD",X"C9",X"C3",X"C0",X"BB",X"B5",X"A0",X"72",X"4A",X"31",X"1F",X"2D",X"3F",X"41",X"32",X"24",
- X"2E",X"39",X"51",X"6E",X"81",X"94",X"99",X"89",X"72",X"72",X"85",X"A0",X"A4",X"96",X"7E",X"74",
- X"69",X"65",X"6B",X"89",X"AB",X"B6",X"AC",X"98",X"99",X"AB",X"C6",X"CF",X"D1",X"C2",X"A7",X"8B",
- X"88",X"96",X"9B",X"8C",X"6C",X"56",X"58",X"69",X"78",X"7F",X"88",X"88",X"7B",X"5A",X"45",X"35",
- X"29",X"26",X"2A",X"31",X"34",X"39",X"3D",X"44",X"51",X"63",X"73",X"84",X"94",X"A6",X"C7",X"EF",
- X"F0",X"E0",X"E1",X"D8",X"D4",X"CE",X"CA",X"C5",X"C0",X"BB",X"B7",X"AE",X"97",X"74",X"5E",X"49",
- X"39",X"2D",X"27",X"34",X"54",X"6E",X"81",X"92",X"9D",X"A6",X"A9",X"AA",X"A8",X"A3",X"99",X"81",
- X"59",X"3E",X"38",X"45",X"51",X"57",X"61",X"67",X"6E",X"72",X"77",X"7A",X"7C",X"7B",X"6D",X"4F",
- X"3A",X"30",X"30",X"39",X"3E",X"54",X"79",X"96",X"AD",X"C0",X"BF",X"AA",X"98",X"8E",X"82",X"7D",
- X"78",X"77",X"77",X"7A",X"85",X"A5",X"CA",X"D8",X"D0",X"BB",X"B7",X"C3",X"CE",X"C3",X"BF",X"BB",
- X"B6",X"B3",X"AE",X"AB",X"A6",X"9B",X"85",X"70",X"5E",X"47",X"24",X"04",X"06",X"13",X"18",X"20",
- X"26",X"2B",X"30",X"35",X"3A",X"4A",X"73",X"9F",X"BB",X"D7",X"E4",X"E1",X"D7",X"D4",X"CD",X"C7",
- X"B8",X"9C",X"93",X"9B",X"93",X"90",X"88",X"7B",X"5D",X"3A",X"2B",X"30",X"41",X"4A",X"55",X"5E",
- X"61",X"53",X"3D",X"36",X"34",X"3B",X"40",X"51",X"75",X"90",X"8F",X"88",X"8E",X"A8",X"C9",X"D6",
- X"CE",X"B9",X"AE",X"A1",X"97",X"8F",X"87",X"83",X"86",X"9D",X"BB",X"C5",X"BA",X"A1",X"93",X"86",
- X"88",X"9D",X"AB",X"A4",X"8B",X"76",X"75",X"83",X"8E",X"84",X"6C",X"55",X"49",X"3B",X"35",X"30",
- X"30",X"34",X"47",X"6C",X"8E",X"A5",X"BB",X"C7",X"C3",X"A9",X"95",X"93",X"A0",X"AA",X"9F",X"85",
- X"6B",X"5D",X"4D",X"47",X"4D",X"68",X"7D",X"7E",X"6F",X"62",X"6A",X"82",X"98",X"A3",X"B0",X"B4",
- X"AB",X"8D",X"74",X"63",X"54",X"4A",X"42",X"3F",X"3E",X"3F",X"43",X"4C",X"62",X"8B",X"AD",X"B8",
- X"B0",X"A5",X"A2",X"9A",X"99",X"A1",X"BE",X"D0",X"D0",X"C8",X"C3",X"BF",X"BA",X"B6",X"B1",X"AE",
- X"A5",X"89",X"58",X"34",X"24",X"26",X"2B",X"22",X"19",X"1F",X"29",X"2A",X"32",X"35",X"3A",X"3D",
- X"41",X"46",X"5A",X"83",X"A8",X"C1",X"DA",X"E4",X"DB",X"C2",X"B9",X"BF",X"D1",X"D0",X"BE",X"A1",
- X"8C",X"7A",X"6A",X"64",X"70",X"87",X"8E",X"84",X"6E",X"63",X"59",X"52",X"54",X"68",X"87",X"9B",
- X"AC",X"B8",X"BB",X"AA",X"8D",X"7B",X"6B",X"5E",X"5A",X"68",X"81",X"8F",X"9C",X"A4",X"A5",X"94",
- X"77",X"66",X"57",X"4C",X"4A",X"5A",X"75",X"81",X"7D",X"6C",X"6A",X"78",X"91",X"A0",X"AD",X"B6",
- X"B5",X"A4",X"86",X"78",X"7A",X"89",X"8F",X"93",X"96",X"95",X"94",X"90",X"8B",X"85",X"80",X"79",
- X"72",X"6C",X"62",X"4C",X"2F",X"21",X"27",X"39",X"47",X"55",X"63",X"6B",X"65",X"54",X"4E",X"4B",
- X"4A",X"4E",X"53",X"5B",X"65",X"73",X"8B",X"B5",X"DA",X"E8",X"E2",X"D6",X"D2",X"C8",X"C3",X"C5",
- X"D4",X"D2",X"C7",X"C6",X"BF",X"BB",X"B5",X"B1",X"AD",X"A8",X"9F",X"8A",X"72",X"5F",X"45",X"21",
- X"06",X"08",X"15",X"1B",X"21",X"27",X"2C",X"31",X"35",X"3A",X"43",X"51",X"5D",X"75",X"9F",X"C4",
- X"D4",X"D0",X"C6",X"C3",X"BB",X"B7",X"BA",X"CE",X"D5",X"CA",X"C6",X"C1",X"BC",X"B8",X"B2",X"AB",
- X"91",X"66",X"4E",X"4D",X"4B",X"3F",X"28",X"15",X"18",X"21",X"27",X"2C",X"32",X"36",X"3B",X"44",
- X"61",X"8C",X"A9",X"C2",X"CD",X"C1",X"B4",X"AC",X"A1",X"9B",X"9E",X"B2",X"C5",X"CC",X"CE",X"C5",
- X"BF",X"AB",X"89",X"71",X"5D",X"4B",X"3F",X"36",X"31",X"2E",X"2F",X"32",X"38",X"3F",X"49",X"54",
- X"63",X"81",X"AA",X"C3",X"C9",X"BF",X"BC",X"C8",X"D8",X"D4",X"CA",X"C2",X"A9",X"94",X"83",X"77",
- X"7A",X"8A",X"91",X"95",X"97",X"92",X"80",X"62",X"4D",X"3C",X"2F",X"27",X"22",X"23",X"29",X"2F",
- X"33",X"39",X"42",X"50",X"5E",X"6C",X"7A",X"88",X"96",X"A2",X"AD",X"B7",X"BE",X"C5",X"C9",X"CB",
- X"CC",X"CA",X"C8",X"C5",X"C9",X"C8",X"BF",X"BD",X"B7",X"B2",X"AD",X"AC",X"A6",X"91",X"6E",X"53",
- X"3E",X"2A",X"1E",X"14",X"13",X"1A",X"26",X"3B",X"59",X"6C",X"80",X"8C",X"89",X"78",X"6E",X"68",
- X"62",X"60",X"5F",X"60",X"63",X"69",X"76",X"95",X"B5",X"C8",X"D9",X"DC",X"D4",X"C7",X"B2",X"99",
- X"89",X"78",X"6B",X"60",X"59",X"5C",X"70",X"85",X"8A",X"7F",X"6F",X"69",X"61",X"5E",X"64",X"7B",
- X"92",X"99",X"90",X"81",X"83",X"92",X"A5",X"A5",X"97",X"82",X"7F",X"89",X"97",X"94",X"85",X"6F",
- X"65",X"58",X"52",X"55",X"69",X"80",X"86",X"7E",X"6F",X"6A",X"64",X"62",X"68",X"81",X"9A",X"A2",
- X"99",X"8B",X"8C",X"9B",X"AD",X"AD",X"9E",X"8A",X"86",X"8E",X"9C",X"9F",X"A4",X"A2",X"9A",X"80",
- X"65",X"54",X"44",X"3A",X"33",X"2F",X"2E",X"30",X"35",X"3D",X"4D",X"6F",X"93",X"AC",X"C3",X"D3",
- X"D7",X"C8",X"B3",X"A5",X"96",X"8A",X"7F",X"79",X"80",X"94",X"A2",X"AA",X"B1",X"B2",X"B1",X"AC",
- X"A5",X"9C",X"93",X"86",X"6F",X"4E",X"35",X"24",X"17",X"18",X"21",X"2E",X"41",X"49",X"41",X"41",
- X"44",X"49",X"51",X"5A",X"65",X"71",X"7D",X"89",X"98",X"B2",X"D8",X"EE",X"EB",X"E1",X"DE",X"D8",
- X"D2",X"CD",X"C8",X"C3",X"BD",X"B8",X"AD",X"92",X"7A",X"7A",X"72",X"6B",X"64",X"5B",X"49",X"2E",
- X"1C",X"17",X"1F",X"27",X"2B",X"33",X"42",X"5D",X"74",X"87",X"99",X"A7",X"B3",X"BB",X"C0",X"C0",
- X"B4",X"9A",X"89",X"86",X"8F",X"95",X"96",X"99",X"98",X"97",X"94",X"8F",X"7F",X"64",X"51",X"43",
- X"38",X"33",X"30",X"32",X"36",X"3D",X"46",X"51",X"5D",X"70",X"93",X"B9",X"D3",X"E7",X"E8",X"DE",
- X"DA",X"D5",X"CF",X"CA",X"C2",X"AE",X"8E",X"76",X"63",X"52",X"46",X"3D",X"38",X"35",X"35",X"38",
- X"3E",X"4B",X"68",X"8A",X"9C",X"9D",X"96",X"9B",X"AC",X"C3",X"CD",X"D2",X"CD",X"C6",X"C3",X"BD",
- X"B9",X"B4",X"AA",X"95",X"73",X"51",X"3E",X"3A",X"3E",X"3F",X"42",X"45",X"44",X"38",X"2A",X"29",
- X"2F",X"35",X"39",X"45",X"60",X"80",X"95",X"AC",X"BB",X"C9",X"D1",X"D1",X"C1",X"AA",X"9B",X"8C",
- X"81",X"7C",X"87",X"97",X"99",X"8F",X"7D",X"79",X"81",X"91",X"98",X"9E",X"A1",X"A2",X"A1",X"9D",
- X"94",X"7E",X"64",X"58",X"5A",X"64",X"68",X"6D",X"71",X"74",X"75",X"6C",X"59",X"4B",X"43",X"3D",
- X"3C",X"3D",X"41",X"49",X"5C",X"7D",X"98",X"A3",X"9F",X"9D",X"AA",X"BF",X"D1",X"D7",X"D6",X"CD",
- X"C9",X"BC",X"A6",X"98",X"9C",X"9A",X"96",X"91",X"8A",X"83",X"7B",X"73",X"62",X"47",X"33",X"2F",
- X"39",X"42",X"41",X"37",X"31",X"3A",X"4E",X"63",X"69",X"65",X"63",X"71",X"89",X"A1",X"B0",X"BF",
- X"C6",X"C3",X"AF",X"A0",X"9E",X"A7",X"AF",X"B0",X"B2",X"AE",X"AB",X"A4",X"9C",X"8B",X"6F",X"58",
- X"51",X"56",X"5D",X"5F",X"64",X"66",X"61",X"51",X"45",X"3F",X"3B",X"3D",X"48",X"63",X"7B",X"8E",
- X"A0",X"AC",X"AE",X"A0",X"95",X"8E",X"87",X"84",X"8A",X"9E",X"AD",X"B6",X"BE",X"C0",X"C0",X"B8",
- X"A4",X"88",X"79",X"77",X"7D",X"79",X"6A",X"57",X"4B",X"42",X"3B",X"38",X"38",X"3B",X"44",X"5A",
- X"78",X"8E",X"A1",X"B0",X"BC",X"C3",X"C7",X"C8",X"C6",X"C0",X"B6",X"9F",X"81",X"70",X"6B",X"6F",
- X"6A",X"5C",X"48",X"3D",X"35",X"31",X"34",X"44",X"5D",X"6C",X"6F",X"6A",X"6C",X"6D",X"70",X"78",
- X"8D",X"A8",X"B5",X"B4",X"A9",X"A3",X"9D",X"98",X"94",X"91",X"8E",X"8C",X"8A",X"89",X"8B",X"99",
- X"AE",X"B8",X"C0",X"C2",X"BE",X"B9",X"B4",X"AE",X"A4",X"97",X"89",X"7B",X"6E",X"61",X"56",X"4C",
- X"40",X"2E",X"18",X"12",X"1A",X"23",X"2C",X"3A",X"47",X"52",X"52",X"4C",X"4D",X"50",X"5D",X"78",
- X"90",X"9B",X"9A",X"97",X"9A",X"9A",X"9D",X"A7",X"BE",X"D0",X"D3",X"C8",X"BA",X"B9",X"C1",X"C8",
- X"BF",X"AB",X"95",X"8E",X"90",X"94",X"89",X"76",X"63",X"5F",X"65",X"6D",X"67",X"5A",X"4D",X"4F",
- X"5B",X"69",X"6A",X"63",X"59",X"57",X"54",X"56",X"58",X"5C",X"62",X"71",X"8C",X"A5",X"B6",X"C5",
- X"CE",X"D2",X"CF",X"C8",X"C1",X"AE",X"92",X"7E",X"6D",X"5E",X"53",X"4B",X"46",X"4A",X"5B",X"6B",
- X"70",X"6A",X"62",X"62",X"60",X"62",X"6B",X"81",X"95",X"9C",X"97",X"8E",X"8B",X"85",X"83",X"80",
- X"7F",X"7F",X"87",X"9A",X"AD",X"B6",X"BF",X"C1",X"BA",X"A5",X"8F",X"7F",X"6F",X"63",X"59",X"55",
- X"5C",X"6B",X"73",X"70",X"64",X"5E",X"5A",X"57",X"57",X"58",X"5A",X"5E",X"63",X"69",X"73",X"87",
- X"A2",X"B5",X"C3",X"CD",X"CF",X"C4",X"AF",X"9E",X"8F",X"81",X"78",X"7A",X"84",X"88",X"8B",X"8D",
- X"8C",X"8A",X"86",X"7F",X"6F",X"59",X"4C",X"4C",X"55",X"59",X"53",X"47",X"44",X"4D",X"5F",X"6D",
- X"79",X"85",X"8E",X"96",X"9B",X"9F",X"A2",X"A2",X"9F",X"92",X"7E",X"73",X"73",X"7D",X"82",X"85",
- X"89",X"87",X"7E",X"6C",X"62",X"5B",X"56",X"54",X"54",X"56",X"5C",X"6E",X"88",X"99",X"9E",X"98",
- X"98",X"A2",X"B4",X"BF",X"C6",X"CB",X"C8",X"BA",X"A2",X"90",X"80",X"72",X"67",X"5E",X"58",X"53",
- X"50",X"4F",X"50",X"53",X"58",X"5D",X"64",X"71",X"8A",X"A2",X"B2",X"C1",X"C8",X"C7",X"B7",X"A5",
- X"9D",X"9F",X"A3",X"A0",X"9E",X"98",X"92",X"8A",X"81",X"79",X"70",X"68",X"5C",X"47",X"33",X"28",
- X"1F",X"1F",X"26",X"32",X"44",X"52",X"52",X"53",X"59",X"5F",X"67",X"6F",X"78",X"82",X"8C",X"95",
- X"9F",X"AD",X"C6",X"DD",X"E3",X"DD",X"D2",X"C8",X"BC",X"B3",X"AD",X"B3",X"B9",X"B7",X"B5",X"AE",
- X"A6",X"9C",X"91",X"85",X"78",X"6C",X"61",X"57",X"4D",X"41",X"2E",X"1D",X"16",X"1A",X"23",X"28",
- X"2E",X"38",X"4C",X"62",X"73",X"80",X"80",X"7D",X"80",X"81",X"85",X"89",X"8E",X"92",X"9C",X"B1",
- X"C6",X"D1",X"DA",X"D8",X"D0",X"CC",X"C7",X"C1",X"BB",X"AC",X"8E",X"72",X"5F",X"4C",X"3F",X"34",
- X"2E",X"2A",X"2A",X"2D",X"32",X"38",X"41",X"4D",X"63",X"82",X"9B",X"AF",X"C0",X"CB",X"CA",X"BB",
- X"B1",X"A7",X"9D",X"97",X"99",X"A4",X"A7",X"A0",X"8F",X"83",X"82",X"89",X"8B",X"82",X"72",X"65",
- X"5D",X"55",X"52",X"4F",X"4F",X"51",X"5E",X"73",X"82",X"85",X"80",X"7C",X"7C",X"7A",X"7B",X"7C",
- X"7E",X"7F",X"82",X"84",X"88",X"95",X"A9",X"B6",X"B5",X"A9",X"A1",X"A2",X"AA",X"AF",X"AE",X"AC",
- X"A5",X"96",X"7E",X"6C",X"65",X"67",X"68",X"66",X"66",X"64",X"64",X"63",X"61",X"59",X"49",X"3F",
- X"3A",X"36",X"36",X"39",X"43",X"57",X"70",X"83",X"95",X"A3",X"AB",X"A6",X"9D",X"98",X"93",X"8F",
- X"8C",X"8A",X"88",X"8C",X"9A",X"AB",X"B4",X"BB",X"BD",X"BD",X"BB",X"B5",X"AA",X"95",X"7D",X"70",
- X"6D",X"6F",X"68",X"5B",X"4C",X"48",X"4E",X"59",X"5C",X"57",X"4F",X"52",X"5E",X"6F",X"77",X"76",
- X"6F",X"6F",X"6F",X"72",X"78",X"89",X"9D",X"AA",X"B6",X"BD",X"BD",X"B1",X"A0",X"95",X"89",X"80",
- X"79",X"72",X"6E",X"6E",X"79",X"87",X"8B",X"86",X"7B",X"7A",X"82",X"8E",X"93",X"98",X"99",X"96",
- X"88",X"77",X"6D",X"63",X"5C",X"57",X"55",X"54",X"55",X"57",X"5B",X"64",X"77",X"8D",X"9C",X"A9",
- X"B2",X"B4",X"AA",X"9B",X"91",X"87",X"7E",X"77",X"73",X"77",X"84",X"8D",X"8B",X"80",X"79",X"7B",
- X"85",X"8C",X"88",X"7D",X"72",X"6D",X"66",X"63",X"61",X"61",X"61",X"63",X"66",X"69",X"6D",X"71",
- X"78",X"85",X"9B",X"AC",X"AF",X"A7",X"A0",X"9B",X"94",X"90",X"8A",X"86",X"81",X"7E",X"7B",X"79",
- X"78",X"77",X"77",X"7F",X"8F",X"9A",X"99",X"8F",X"84",X"7E",X"77",X"74",X"76",X"83",X"8B",X"89",
- X"7E",X"74",X"6E",X"68",X"65",X"62",X"61",X"60",X"61",X"63",X"67",X"72",X"86",X"96",X"9A",X"93",
- X"8C",X"89",X"84",X"83",X"87",X"96",X"9E",X"9C",X"91",X"87",X"88",X"90",X"96",X"90",X"83",X"76",
- X"6E",X"65",X"60",X"62",X"70",X"7A",X"81",X"87",X"8B",X"8E",X"8F",X"8F",X"8E",X"89",X"7B",X"6A",
- X"62",X"64",X"6C",X"70",X"74",X"78",X"7A",X"7D",X"7E",X"80",X"81",X"81",X"7E",X"74",X"66",X"5E",
- X"58",X"55",X"57",X"64",X"76",X"83",X"8F",X"98",X"9E",X"99",X"8E",X"89",X"84",X"81",X"81",X"8B",
- X"9A",X"A3",X"AA",X"AF",X"B1",X"B0",X"AD",X"A9",X"A3",X"9D",X"95",X"8D",X"85",X"7A",X"69",X"55",
- X"4B",X"4A",X"50",X"51",X"4C",X"44",X"41",X"41",X"42",X"47",X"4D",X"56",X"62",X"78",X"92",X"A6",
- X"B6",X"C3",X"C9",X"C4",X"B7",X"B2",X"B4",X"BA",X"BA",X"B9",X"B5",X"AF",X"A7",X"9E",X"94",X"8A",
- X"80",X"76",X"6C",X"63",X"5A",X"4B",X"3A",X"30",X"29",X"25",X"26",X"2C",X"31",X"36",X"3E",X"4A",
- X"57",X"65",X"7A",X"96",X"B1",X"C4",X"D5",X"DF",X"E0",X"D5",X"C9",X"BD",X"B1",X"A6",X"9B",X"91",
- X"87",X"7F",X"78",X"72",X"6E",X"6A",X"68",X"67",X"67",X"69",X"71",X"81",X"8F",X"97",X"9F",X"A3",
- X"A5",X"A5",X"A2",X"9E",X"99",X"92",X"8B",X"83",X"7B",X"73",X"6C",X"66",X"60",X"5B",X"57",X"54",
- X"52",X"51",X"52",X"53",X"55",X"54",X"4D",X"47",X"47",X"47",X"4C",X"56",X"6B",X"7F",X"90",X"A0",
- X"AC",X"B6",X"BD",X"C1",X"BE",X"B1",X"A3",X"9A",X"8F",X"89",X"87",X"8F",X"95",X"93",X"89",X"7E",
- X"78",X"71",X"6E",X"71",X"7D",X"88",X"8F",X"95",X"99",X"9A",X"98",X"8E",X"7E",X"76",X"76",X"7C",
- X"7F",X"80",X"81",X"7F",X"77",X"69",X"61",X"5B",X"57",X"56",X"56",X"58",X"5D",X"6B",X"7E",X"8A",
- X"8D",X"89",X"8A",X"93",X"A1",X"AA",X"B0",X"B4",X"B2",X"A9",X"98",X"8E",X"8B",X"8E",X"8E",X"8C",
- X"8A",X"86",X"83",X"7E",X"79",X"75",X"71",X"6D",X"6A",X"68",X"64",X"5B",X"4E",X"49",X"4C",X"56",
- X"5C",X"5C",X"58",X"57",X"59",X"5C",X"64",X"73",X"88",X"96",X"9B",X"98",X"9A",X"A4",X"B2",X"BA",
- X"C0",X"C3",X"C0",X"B6",X"A4",X"96",X"89",X"7E",X"76",X"76",X"7D",X"80",X"81",X"82",X"80",X"78",
- X"6A",X"63",X"65",X"6D",X"72",X"76",X"7A",X"7A",X"75",X"6A",X"64",X"60",X"5D",X"5C",X"5D",X"60",
- X"63",X"68",X"6E",X"74",X"7B",X"85",X"98",X"AA",X"B1",X"AE",X"A7",X"A9",X"B0",X"B7",X"B4",X"A8",
- X"9A",X"94",X"95",X"97",X"90",X"82",X"72",X"69",X"5F",X"59",X"58",X"60",X"69",X"6F",X"75",X"79",
- X"79",X"71",X"69",X"65",X"61",X"60",X"63",X"70",X"7D",X"82",X"80",X"7B",X"7F",X"89",X"94",X"96",
- X"91",X"88",X"85",X"7F",X"7D",X"7F",X"89",X"94",X"99",X"9E",X"A0",X"A1",X"A0",X"9D",X"99",X"94",
- X"8E",X"84",X"74",X"64",X"5A",X"50",X"4B",X"4B",X"54",X"5F",X"66",X"6F",X"76",X"79",X"74",X"6F",
- X"72",X"7C",X"87",X"8A",X"87",X"81",X"83",X"8C",X"97",X"98",X"93",X"8A",X"86",X"81",X"7D",X"7B",
- X"7A",X"79",X"79",X"7A",X"7C",X"7D",X"81",X"8A",X"9A",X"A4",X"AB",X"B0",X"B2",X"B2",X"AF",X"AA",
- X"A4",X"9D",X"95",X"8C",X"83",X"79",X"70",X"68",X"60",X"5A",X"55",X"50",X"48",X"3C",X"36",X"34",
- X"33",X"37",X"42",X"55",X"64",X"6C",X"6F",X"75",X"82",X"94",X"A3",X"AE",X"B8",X"BD",X"BA",X"AF",
- X"A8",X"A7",X"AC",X"AC",X"A4",X"95",X"8A",X"87",X"8A",X"8A",X"82",X"76",X"6D",X"6D",X"72",X"76",
- X"72",X"69",X"64",X"68",X"71",X"77",X"76",X"6F",X"6C",X"71",X"7C",X"83",X"83",X"7D",X"7A",X"79",
- X"77",X"79",X"80",X"8D",X"96",X"9C",X"A1",X"A3",X"A5",X"A4",X"A2",X"9E",X"9A",X"94",X"8F",X"88",
- X"81",X"7B",X"75",X"70",X"6B",X"63",X"57",X"4B",X"47",X"4B",X"53",X"56",X"54",X"51",X"56",X"61",
- X"70",X"78",X"7B",X"7A",X"7D",X"7F",X"83",X"86",X"8A",X"8D",X"91",X"95",X"98",X"9B",X"9D",X"9F",
- X"A0",X"A1",X"A2",X"A2",X"A1",X"9F",X"A0",X"A8",X"B0",X"B1",X"B2",X"AE",X"A9",X"A2",X"9A",X"91",
- X"88",X"7E",X"75",X"6C",X"63",X"59",X"4A",X"3C",X"36",X"37",X"3D",X"3F",X"3E",X"3B",X"40",X"4C",
- X"5B",X"66",X"6A",X"6B",X"70",X"75",X"7B",X"83",X"93",X"A5",X"AD",X"AD",X"A8",X"AA",X"B0",X"B9",
- X"B9",X"B1",X"A5",X"9C",X"93",X"8B",X"86",X"89",X"8E",X"8E",X"8F",X"8D",X"88",X"7D",X"6F",X"67",
- X"60",X"60",X"67",X"6D",X"71",X"75",X"77",X"75",X"6C",X"67",X"69",X"72",X"79",X"7E",X"83",X"87",
- X"8A",X"8C",X"8C",X"88",X"7D",X"76",X"71",X"6D",X"6B",X"6F",X"7A",X"82",X"83",X"7E",X"7B",X"80",
- X"89",X"91",X"95",X"9A",X"9B",X"98",X"8C",X"84",X"83",X"87",X"8A",X"8A",X"8B",X"8A",X"84",X"78",
- X"70",X"6E",X"73",X"77",X"79",X"7C",X"7C",X"78",X"6F",X"69",X"69",X"71",X"78",X"7C",X"81",X"83",
- X"82",X"7A",X"75",X"72",X"6F",X"6E",X"6E",X"6F",X"72",X"7A",X"88",X"92",X"95",X"92",X"8F",X"8E",
- X"8B",X"8B",X"8F",X"99",X"A1",X"A5",X"A7",X"A7",X"A5",X"A2",X"9D",X"97",X"91",X"8A",X"82",X"7B",
- X"74",X"6E",X"68",X"63",X"5F",X"5A",X"51",X"47",X"42",X"3F",X"3F",X"43",X"4E",X"5E",X"68",X"6D",
- X"6F",X"74",X"79",X"7E",X"84",X"89",X"8F",X"94",X"99",X"9D",X"A3",X"AF",X"BC",X"C3",X"C8",X"C8",
- X"C4",X"B8",X"A7",X"99",X"8C",X"80",X"78",X"77",X"79",X"76",X"6E",X"63",X"5D",X"57",X"53",X"53",
- X"5A",X"65",X"6D",X"74",X"7B",X"7F",X"7C",X"76",X"74",X"71",X"70",X"6F",X"70",X"71",X"73",X"77",
- X"7A",X"80",X"8B",X"99",X"A0",X"9F",X"99",X"96",X"92",X"8E",X"8A",X"87",X"85",X"84",X"8A",X"93",
- X"95",X"90",X"87",X"82",X"7C",X"77",X"73",X"70",X"6E",X"6F",X"76",X"80",X"86",X"8B",X"8F",X"8F",
- X"88",X"7E",X"77",X"72",X"71",X"77",X"7C",X"7F",X"82",X"84",X"85",X"85",X"84",X"83",X"81",X"7F",
- X"79",X"6F",X"67",X"65",X"69",X"6E",X"6D",X"68",X"65",X"68",X"71",X"7A",X"80",X"87",X"8B",X"8B",
- X"85",X"80",X"7D",X"7B",X"7A",X"79",X"7A",X"7B",X"81",X"8D",X"97",X"9D",X"A3",X"A6",X"A8",X"A7",
- X"A6",X"A2",X"9E",X"98",X"8F",X"7F",X"72",X"68",X"5F",X"59",X"55",X"52",X"51",X"52",X"54",X"58",
- X"5D",X"63",X"69",X"6F",X"76",X"7E",X"89",X"99",X"A7",X"AD",X"AB",X"A7",X"A9",X"AF",X"B4",X"B3",
- X"B3",X"AE",X"A5",X"95",X"86",X"7E",X"7B",X"78",X"71",X"66",X"5B",X"55",X"50",X"4D",X"4C",X"4E",
- X"50",X"57",X"64",X"71",X"7B",X"83",X"84",X"82",X"81",X"82",X"82",X"84",X"85",X"86",X"87",X"88",
- X"8A",X"8C",X"94",X"9F",X"A4",X"A1",X"9A",X"94",X"8E",X"89",X"86",X"88",X"8E",X"8F",X"89",X"7F",
- X"79",X"73",X"6E",X"6C",X"6F",X"77",X"7A",X"78",X"72",X"6F",X"6D",X"6B",X"6B",X"6B",X"6D",X"6E",
- X"70",X"72",X"77",X"81",X"8E",X"97",X"9E",X"A3",X"A4",X"9F",X"94",X"8C",X"85",X"7F",X"7A",X"75",
- X"72",X"71",X"76",X"7E",X"82",X"80",X"7A",X"76",X"73",X"71",X"70",X"6F",X"6F",X"71",X"79",X"85",
- X"8D",X"93",X"98",X"9A",X"95",X"8B",X"86",X"85",X"89",X"88",X"83",X"7A",X"75",X"76",X"7B",X"7E",
- X"80",X"82",X"81",X"7B",X"72",X"6D",X"68",X"65",X"63",X"63",X"68",X"72",X"7D",X"84",X"8C",X"91",
- X"95",X"98",X"99",X"96",X"8D",X"84",X"82",X"84",X"88",X"88",X"89",X"88",X"87",X"86",X"84",X"82",
- X"80",X"7E",X"7C",X"7A",X"78",X"74",X"6B",X"64",X"63",X"67",X"6D",X"6F",X"6C",X"69",X"6C",X"74",
- X"7E",X"81",X"80",X"7D",X"81",X"89",X"93",X"98",X"9D",X"9F",X"9E",X"97",X"8F",X"89",X"83",X"7E",
- X"7D",X"83",X"88",X"88",X"83",X"7D",X"7C",X"80",X"86",X"88",X"8A",X"8A",X"8B",X"8A",X"88",X"84",
- X"7A",X"71",X"6E",X"70",X"74",X"73",X"6E",X"69",X"69",X"6F",X"76",X"78",X"77",X"73",X"73",X"73",
- X"74",X"75",X"77",X"79",X"7C",X"7F",X"82",X"85",X"87",X"8A",X"8C",X"8F",X"97",X"A2",X"A8",X"A6",
- X"9F",X"99",X"94",X"8E",X"8B",X"8B",X"8F",X"8F",X"89",X"80",X"78",X"72",X"6D",X"6A",X"6C",X"73",
- X"77",X"7A",X"7D",X"7E",X"7F",X"7F",X"7F",X"7E",X"7D",X"7B",X"75",X"6C",X"66",X"62",X"5F",X"5F",
- X"64",X"6E",X"76",X"7D",X"83",X"88",X"8D",X"90",X"91",X"8D",X"86",X"80",X"7C",X"78",X"75",X"74",
- X"74",X"75",X"7B",X"85",X"8C",X"92",X"97",X"9A",X"9C",X"9C",X"9A",X"93",X"88",X"7F",X"78",X"72",
- X"6E",X"6B",X"69",X"67",X"68",X"69",X"6B",X"6D",X"70",X"74",X"7D",X"89",X"92",X"94",X"91",X"8F",
- X"8E",X"8C",X"8C",X"8F",X"97",X"9B",X"9D",X"9E",X"9D",X"9A",X"94",X"89",X"7D",X"75",X"6D",X"67",
- X"62",X"5F",X"5D",X"5F",X"66",X"6F",X"73",X"73",X"71",X"73",X"7B",X"84",X"89",X"8F",X"92",X"92",
- X"8C",X"85",X"83",X"85",X"89",X"88",X"82",X"7B",X"7A",X"7D",X"82",X"83",X"85",X"86",X"87",X"87",
- X"86",X"85",X"84",X"82",X"7E",X"76",X"6D",X"68",X"64",X"63",X"62",X"62",X"63",X"68",X"72",X"7D",
- X"85",X"8E",X"94",X"97",X"94",X"8F",X"8F",X"92",X"97",X"97",X"92",X"8A",X"85",X"80",X"7C",X"79",
- X"77",X"76",X"75",X"75",X"75",X"76",X"77",X"79",X"7B",X"7D",X"7F",X"84",X"8D",X"96",X"9B",X"A0",
- X"A2",X"A3",X"A2",X"9F",X"9B",X"96",X"90",X"88",X"7C",X"6F",X"66",X"5F",X"5C",X"5E",X"61",X"63",
- X"66",X"67",X"67",X"62",X"60",X"61",X"61",X"64",X"66",X"6A",X"6E",X"73",X"78",X"7F",X"88",X"95",
- X"9F",X"A2",X"A0",X"9E",X"9D",X"9A",X"98",X"95",X"93",X"90",X"8E",X"8B",X"8A",X"8B",X"91",X"94",
- X"95",X"95",X"93",X"90",X"8D",X"89",X"84",X"80",X"7B",X"77",X"72",X"6E",X"6A",X"67",X"64",X"5F",
- X"58",X"52",X"50",X"4F",X"51",X"57",X"62",X"6D",X"76",X"80",X"88",X"8E",X"94",X"97",X"97",X"92",
- X"8F",X"90",X"94",X"98",X"99",X"9A",X"99",X"98",X"95",X"92",X"8E",X"8A",X"85",X"7E",X"74",X"6B",
- X"66",X"61",X"5F",X"5E",X"5E",X"5F",X"62",X"65",X"6A",X"6E",X"73",X"78",X"7E",X"85",X"90",X"9D",
- X"A5",X"AC",X"B1",X"B1",X"AB",X"A1",X"9A",X"93",X"8C",X"87",X"87",X"88",X"85",X"7F",X"76",X"70",
- X"6B",X"68",X"66",X"64",X"64",X"66",X"6D",X"76",X"7B",X"7B",X"79",X"7A",X"80",X"87",X"8B",X"8F",
- X"91",X"91",X"8B",X"84",X"80",X"82",X"85",X"84",X"7F",X"78",X"76",X"78",X"7C",X"7E",X"80",X"81",
- X"82",X"82",X"82",X"80",X"7B",X"74",X"70",X"6C",X"6A",X"6B",X"70",X"78",X"7B",X"7B",X"79",X"7A",
- X"7F",X"87",X"8A",X"89",X"86",X"84",X"83",X"81",X"81",X"80",X"80",X"80",X"81",X"81",X"82",X"83",
- X"84",X"86",X"8D",X"95",X"98",X"95",X"8F",X"8C",X"8E",X"91",X"90",X"8B",X"82",X"7C",X"76",X"75",
- X"79",X"7B",X"79",X"74",X"70",X"70",X"74",X"79",X"7B",X"7D",X"7E",X"7D",X"77",X"72",X"70",X"6E",
- X"6D",X"6C",X"6D",X"6E",X"70",X"72",X"75",X"7C",X"86",X"8E",X"91",X"90",X"8E",X"8D",X"8C",X"8C",
- X"8A",X"89",X"88",X"87",X"85",X"84",X"84",X"83",X"82",X"81",X"81",X"80",X"83",X"8A",X"8F",X"8E",
- X"89",X"84",X"84",X"87",X"8A",X"87",X"80",X"79",X"78",X"7A",X"7C",X"7A",X"75",X"70",X"6D",X"6A",
- X"69",X"6C",X"73",X"7A",X"7B",X"79",X"77",X"7A",X"80",X"85",X"89",X"8C",X"8E",X"8D",X"86",X"81",
- X"80",X"83",X"86",X"84",X"7E",X"79",X"79",X"7D",X"81",X"83",X"85",X"85",X"84",X"7D",X"78",X"74",
- X"71",X"6F",X"6E",X"6F",X"70",X"71",X"73",X"76",X"78",X"7D",X"85",X"8F",X"95",X"9A",X"9E",X"9F",
- X"9B",X"93",X"8E",X"88",X"83",X"80",X"81",X"85",X"86",X"87",X"87",X"85",X"80",X"78",X"74",X"73",
- X"76",X"76",X"73",X"6E",X"6B",X"69",X"68",X"6A",X"70",X"78",X"7E",X"84",X"88",X"8B",X"89",X"84",
- X"82",X"7F",X"7D",X"7C",X"7C",X"7C",X"7E",X"83",X"8A",X"8E",X"91",X"94",X"95",X"96",X"95",X"93",
- X"91",X"8E",X"89",X"81",X"78",X"72",X"70",X"71",X"70",X"6D",X"67",X"65",X"63",X"63",X"65",X"6B",
- X"74",X"7B",X"82",X"87",X"8C",X"8F",X"92",X"93",X"8F",X"89",X"86",X"86",X"89",X"8A",X"86",X"80",
- X"7C",X"79",X"78",X"7C",X"80",X"83",X"86",X"87",X"87",X"81",X"7C",X"79",X"76",X"74",X"75",X"7A",
- X"80",X"81",X"7F",X"7C",X"7B",X"7A",X"79",X"79",X"7A",X"7B",X"7E",X"86",X"8C",X"90",X"94",X"96",
- X"94",X"8E",X"88",X"86",X"87",X"89",X"88",X"88",X"87",X"83",X"7B",X"74",X"71",X"72",X"74",X"74",
- X"76",X"77",X"78",X"79",X"7A",X"79",X"74",X"70",X"70",X"73",X"78",X"7B",X"7F",X"82",X"84",X"85",
- X"86",X"85",X"80",X"7C",X"7A",X"77",X"77",X"78",X"7E",X"84",X"88",X"8B",X"8D",X"8C",X"88",X"83",
- X"82",X"84",X"87",X"87",X"83",X"7E",X"7C",X"79",X"78",X"77",X"77",X"77",X"78",X"79",X"7A",X"7C",
- X"7D",X"7E",X"80",X"83",X"88",X"90",X"95",X"99",X"9C",X"9B",X"97",X"90",X"8A",X"88",X"89",X"88",
- X"86",X"84",X"80",X"7A",X"72",X"6C",X"6B",X"6C",X"6C",X"6A",X"66",X"64",X"64",X"64",X"65",X"67",
- X"6A",X"6D",X"70",X"74",X"78",X"7C",X"80",X"84",X"88",X"8B",X"8F",X"91",X"94",X"96",X"97",X"97",
- X"97",X"97",X"95",X"94",X"92",X"90",X"8F",X"91",X"94",X"95",X"95",X"93",X"90",X"88",X"7E",X"77",
- X"73",X"73",X"71",X"70",X"6F",X"6E",X"6E",X"6D",X"6D",X"6A",X"64",X"61",X"60",X"5F",X"61",X"66",
- X"6F",X"76",X"7C",X"82",X"88",X"8C",X"8F",X"91",X"8F",X"8A",X"86",X"84",X"82",X"81",X"83",X"88",
- X"8B",X"8E",X"8F",X"90",X"90",X"8E",X"8D",X"8A",X"86",X"7F",X"78",X"75",X"76",X"78",X"77",X"73",
- X"6F",X"6F",X"72",X"77",X"79",X"78",X"75",X"77",X"7B",X"81",X"83",X"82",X"7F",X"7F",X"7E",X"7F",
- X"80",X"86",X"8B",X"8F",X"92",X"94",X"94",X"8F",X"89",X"87",X"88",X"89",X"87",X"82",X"7C",X"7A",
- X"7B",X"7D",X"7C",X"78",X"73",X"73",X"75",X"79",X"7A",X"77",X"74",X"73",X"72",X"73",X"73",X"74",
- X"76",X"78",X"7B",X"7D",X"80",X"82",X"85",X"87",X"89",X"8B",X"8E",X"94",X"9A",X"9B",X"98",X"93",
- X"90",X"8B",X"88",X"86",X"87",X"89",X"89",X"88",X"86",X"84",X"82",X"7F",X"7C",X"7A",X"77",X"74",
- X"6E",X"67",X"63",X"60",X"5E",X"5E",X"5F",X"63",X"6B",X"71",X"75",X"75",X"77",X"7D",X"85",X"8B",
- X"8D",X"8B",X"8A",X"8A",X"89",X"8A",X"8C",X"92",X"95",X"94",X"90",X"8C",X"8C",X"8D",X"8F",X"8E",
- X"8E",X"8B",X"86",X"7E",X"79",X"77",X"78",X"78",X"78",X"78",X"78",X"75",X"70",X"6D",X"6B",X"6A",
- X"6A",X"6D",X"74",X"7A",X"7F",X"84",X"87",X"8A",X"8B",X"8D",X"8E",X"8E",X"8D",X"8A",X"83",X"7F",
- X"7B",X"78",X"76",X"77",X"7C",X"7F",X"81",X"84",X"85",X"83",X"7F",X"7B",X"79",X"78",X"78",X"7A",
- X"7F",X"83",X"84",X"81",X"80",X"82",X"86",X"89",X"8B",X"8C",X"8D",X"8D",X"8C",X"8B",X"8A",X"88",
- X"85",X"81",X"79",X"74",X"6F",X"6C",X"6A",X"68",X"68",X"68",X"69",X"6B",X"6E",X"71",X"75",X"79",
- X"7D",X"80",X"84",X"87",X"8A",X"8D",X"8F",X"92",X"98",X"9F",X"A1",X"A3",X"A3",X"A0",X"99",X"91",
- X"8A",X"83",X"7E",X"79",X"78",X"79",X"78",X"77",X"77",X"75",X"70",X"6B",X"69",X"6A",X"6E",X"70",
- X"73",X"75",X"76",X"75",X"72",X"72",X"76",X"7B",X"7E",X"7D",X"7B",X"7C",X"80",X"86",X"89",X"8C",
- X"8E",X"8D",X"8A",X"85",X"83",X"85",X"87",X"87",X"83",X"7F",X"7C",X"7A",X"78",X"77",X"77",X"77",
- X"77",X"78",X"79",X"7C",X"81",X"88",X"8C",X"8F",X"91",X"93",X"93",X"93",X"91",X"8B",X"84",X"80",
- X"7F",X"7F",X"7E",X"7A",X"75",X"73",X"74",X"76",X"78",X"79",X"78",X"74",X"72",X"71",X"71",X"71",
- X"72",X"73",X"75",X"7A",X"81",X"88",X"8C",X"91",X"93",X"95",X"95",X"94",X"91",X"8B",X"85",X"81",
- X"7D",X"7A",X"79",X"7C",X"7E",X"7F",X"80",X"80",X"7E",X"7A",X"77",X"77",X"79",X"7C",X"7E",X"80",
- X"81",X"82",X"82",X"82",X"80",X"7C",X"78",X"76",X"74",X"73",X"73",X"73",X"75",X"78",X"7F",X"84",
- X"88",X"8C",X"8E",X"8D",X"8A",X"87",X"87",X"89",X"8B",X"8C",X"8D",X"8C",X"89",X"83",X"7E",X"7C",
- X"7C",X"7C",X"7A",X"76",X"73",X"71",X"6F",X"6F",X"70",X"71",X"72",X"74",X"76",X"78",X"7A",X"7D",
- X"80",X"85",X"8C",X"92",X"96",X"99",X"9A",X"99",X"93",X"8E",X"8A",X"85",X"81",X"7D",X"7A",X"77",
- X"75",X"73",X"72",X"72",X"72",X"73",X"74",X"75",X"76",X"78",X"7A",X"80",X"87",X"8B",X"8F",X"90",
- X"90",X"8D",X"87",X"84",X"84",X"85",X"85",X"81",X"7C",X"79",X"79",X"7B",X"7C",X"7C",X"7D",X"7C",
- X"79",X"75",X"73",X"74",X"78",X"7A",X"7C",X"7D",X"7E",X"7C",X"79",X"78",X"7A",X"7E",X"80",X"7F",
- X"7C",X"7C",X"7E",X"82",X"85",X"87",X"89",X"89",X"87",X"82",X"80",X"81",X"84",X"85",X"86",X"87",
- X"86",X"82",X"7D",X"7B",X"7B",X"7D",X"7E",X"7F",X"7F",X"80",X"80",X"80",X"7F",X"7D",X"78",X"77",
- X"78",X"7B",X"7D",X"7F",X"80",X"81",X"7F",X"7B",X"7A",X"78",X"77",X"77",X"78",X"79",X"7A",X"7B",
- X"7D",X"7F",X"81",X"84",X"8A",X"90",X"93",X"96",X"97",X"97",X"97",X"96",X"92",X"8B",X"84",X"80",
- X"7F",X"7E",X"7B",X"76",X"71",X"70",X"71",X"73",X"72",X"70",X"6E",X"6F",X"73",X"77",X"78",X"77",
- X"76",X"77",X"77",X"79",X"7A",X"7C",X"7E",X"82",X"87",X"8D",X"90",X"93",X"94",X"94",X"90",X"8C",
- X"88",X"85",X"82",X"7F",X"7D",X"7A",X"79",X"78",X"78",X"77",X"77",X"77",X"7A",X"7F",X"83",X"84",
- X"83",X"81",X"82",X"85",X"88",X"88",X"85",X"81",X"80",X"81",X"83",X"83",X"84",X"83",X"83",X"82",
- X"81",X"7F",X"7E",X"7D",X"7A",X"76",X"72",X"71",X"72",X"74",X"74",X"72",X"71",X"71",X"71",X"72",
- X"73",X"75",X"7A",X"81",X"85",X"87",X"87",X"88",X"8B",X"90",X"92",X"91",X"8D",X"8A",X"88",X"86",
- X"84",X"82",X"80",X"7F",X"7E",X"7E",X"7D",X"7D",X"7D",X"7D",X"7D",X"7D",X"7E",X"81",X"86",X"88",
- X"8A",X"8C",X"8C",X"8D",X"8C",X"8B",X"89",X"86",X"83",X"7E",X"78",X"73",X"6F",X"6C",X"6B",X"6C",
- X"70",X"72",X"75",X"78",X"7A",X"7A",X"78",X"77",X"77",X"78",X"79",X"7D",X"83",X"87",X"8A",X"8D",
- X"8F",X"90",X"90",X"8F",X"8C",X"86",X"82",X"7F",X"7C",X"7A",X"7B",X"7E",X"7F",X"81",X"81",X"81",
- X"7F",X"7B",X"7A",X"7A",X"7D",X"7E",X"80",X"81",X"81",X"80",X"7D",X"7B",X"7C",X"7E",X"80",X"80",
- X"80",X"7D",X"7B",X"79",X"78",X"78",X"78",X"79",X"79",X"7A",X"7B",X"7D",X"80",X"85",X"8A",X"8D",
- X"90",X"92",X"92",X"92",X"92",X"90",X"8E",X"8C",X"88",X"82",X"7B",X"78",X"76",X"76",X"74",X"71",
- X"6E",X"6D",X"6C",X"6C",X"6D",X"72",X"76",X"7B",X"7F",X"82",X"83",X"83",X"81",X"81",X"81",X"81",
- X"82",X"85",X"89",X"8B",X"8D",X"8E",X"8E",X"8E",X"8D",X"8A",X"85",X"80",X"7D",X"79",X"77",X"75",
- X"74",X"73",X"72",X"73",X"74",X"75",X"76",X"78",X"7A",X"80",X"85",X"87",X"87",X"86",X"88",X"8B",
- X"8E",X"8E",X"8B",X"87",X"84",X"81",X"7F",X"7D",X"7C",X"7B",X"7C",X"7E",X"81",X"83",X"84",X"85",
- X"86",X"85",X"83",X"7E",X"7B",X"7A",X"7B",X"7B",X"7C",X"7C",X"7C",X"7A",X"77",X"75",X"75",X"78",
- X"7A",X"7A",X"78",X"77",X"79",X"7C",X"7F",X"81",X"83",X"84",X"84",X"81",X"7F",X"7E",X"7E",X"7E",
- X"7D",X"7D",X"7E",X"7E",X"7F",X"80",X"83",X"88",X"8A",X"8B",X"89",X"87",X"86",X"85",X"84",X"85",
- X"88",X"8A",X"8A",X"8A",X"8A",X"89",X"88",X"86",X"83",X"81",X"7E",X"7C",X"7A",X"78",X"77",X"76",
- X"75",X"72",X"6E",X"6C",X"6C",X"6E",X"71",X"71",X"71",X"71",X"72",X"74",X"76",X"7B",X"81",X"86",
- X"88",X"88",X"89",X"8C",X"90",X"92",X"91",X"8F",X"8C",X"8C",X"8E",X"8F",X"8E",X"8D",X"8B",X"87",
- X"82",X"7D",X"79",X"76",X"74",X"72",X"71",X"73",X"77",X"78",X"78",X"77",X"77",X"77",X"78",X"7A",
- X"7D",X"82",X"84",X"87",X"89",X"8A",X"8B",X"8C",X"8C",X"8B",X"8A",X"88",X"84",X"7F",X"7C",X"7B",
- X"7C",X"7A",X"77",X"74",X"73",X"75",X"78",X"79",X"78",X"76",X"76",X"76",X"78",X"79",X"7A",X"7C",
- X"7E",X"82",X"87",X"8A",X"8C",X"8E",X"8F",X"8C",X"89",X"87",X"88",X"89",X"88",X"85",X"80",X"7E",
- X"7E",X"80",X"7F",X"7C",X"79",X"78",X"79",X"7B",X"7B",X"7A",X"78",X"78",X"7A",X"7D",X"7F",X"81",
- X"82",X"83",X"83",X"83",X"83",X"83",X"82",X"82",X"82",X"82",X"81",X"80",X"80",X"7F",X"7E",X"7D",
- X"7C",X"7C",X"7B",X"7B",X"7A",X"79",X"76",X"74",X"74",X"73",X"74",X"76",X"7B",X"7F",X"80",X"81",
- X"81",X"83",X"86",X"89",X"8B",X"8D",X"8E",X"8D",X"8A",X"87",X"85",X"83",X"81",X"7F",X"7E",X"7D",
- X"7C",X"7B",X"7B",X"7B",X"7B",X"7C",X"7F",X"82",X"85",X"88",X"89",X"8A",X"8B",X"8A",X"89",X"88",
- X"87",X"85",X"83",X"81",X"7E",X"7B",X"76",X"73",X"72",X"73",X"74",X"75",X"76",X"76",X"76",X"75",
- X"74",X"75",X"79",X"7C",X"7E",X"81",X"82",X"83",X"81",X"80",X"81",X"84",X"86",X"86",X"84",X"82",
- X"82",X"84",X"85",X"86",X"87",X"87",X"86",X"82",X"80",X"7E",X"7C",X"7B",X"7C",X"7E",X"80",X"81",
- X"7F",X"7D",X"7C",X"7B",X"7A",X"7A",X"7B",X"7E",X"81",X"83",X"83",X"82",X"81",X"81",X"80",X"80",
- X"82",X"85",X"86",X"85",X"82",X"80",X"7F",X"7E",X"7E",X"7F",X"82",X"83",X"82",X"80",X"7F",X"7E",
- X"7D",X"7C",X"7B",X"7B",X"7B",X"7E",X"81",X"82",X"82",X"80",X"7F",X"7E",X"7D",X"7C",X"7C",X"7C",
- X"7D",X"7F",X"83",X"85",X"86",X"88",X"88",X"86",X"82",X"80",X"80",X"81",X"80",X"7E",X"7C",X"7B",
- X"7B",X"7D",X"7D",X"7C",X"7A",X"79",X"78",X"77",X"78",X"7A",X"7D",X"80",X"82",X"84",X"85",X"87",
- X"88",X"88",X"85",X"82",X"7F",X"7D",X"7C",X"7B",X"7A",X"79",X"7A",X"7C",X"7F",X"81",X"83",X"84",
- X"85",X"84",X"82",X"81",X"82",X"83",X"84",X"84",X"84",X"83",X"83",X"83",X"82",X"81",X"7F",X"7B",
- X"78",X"76",X"74",X"74",X"73",X"73",X"74",X"76",X"7A",X"7E",X"81",X"84",X"86",X"88",X"89",X"8A",
- X"8A",X"8A",X"89",X"89",X"88",X"87",X"86",X"84",X"83",X"81",X"7F",X"7D",X"7B",X"79",X"78",X"77",
- X"74",X"72",X"71",X"71",X"71",X"73",X"76",X"7A",X"7C",X"7C",X"7D",X"7E",X"7F",X"81",X"83",X"87",
- X"8A",X"8B",X"8B",X"89",X"8A",X"8C",X"8E",X"8D",X"8A",X"86",X"85",X"85",X"86",X"84",X"81",X"7E",
- X"7D",X"7E",X"7E",X"7D",X"7A",X"78",X"77",X"79",X"7A",X"7A",X"79",X"77",X"78",X"7A",X"7D",X"7E",
- X"7D",X"7C",X"7C",X"7C",X"7D",X"7E",X"81",X"84",X"85",X"87",X"88",X"88",X"88",X"88",X"87",X"86",
- X"84",X"80",X"7E",X"7D",X"7E",X"7E",X"7E",X"7E",X"7E",X"7C",X"79",X"78",X"78",X"7A",X"7B",X"7B",
- X"7C",X"7D",X"7E",X"7F",X"80",X"7F",X"7D",X"7C",X"7B",X"7A",X"7B",X"7B",X"7C",X"7D",X"80",X"83",
- X"86",X"86",X"84",X"83",X"83",X"83",X"83",X"82",X"82",X"82",X"84",X"87",X"88",X"87",X"84",X"83",
- X"82",X"83",X"83",X"81",X"7F",X"7D",X"7E",X"7F",X"80",X"7F",X"7C",X"7B",X"7A",X"79",X"79",X"78",
- X"78",X"78",X"7A",X"7D",X"7E",X"7F",X"7E",X"7E",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"80",X"80",
- X"81",X"82",X"82",X"83",X"84",X"84",X"84",X"84",X"84",X"83",X"83",X"83",X"82",X"82",X"82",X"84",
- X"86",X"86",X"84",X"81",X"80",X"81",X"82",X"81",X"7E",X"7C",X"7B",X"7C",X"7D",X"7D",X"7E",X"7E",
- X"7D",X"7B",X"79",X"79",X"7A",X"7B",X"7C",X"7C",X"7D",X"7D",X"7D",X"7E",X"7E",X"7F",X"80",X"7F",
- X"7E",X"7C",X"7B",X"7C",X"7E",X"7F",X"80",X"81",X"82",X"82",X"83",X"83",X"83",X"83",X"82",X"80",
- X"7E",X"7C",X"7B",X"7B",X"7B",X"7C",X"7E",X"7F",X"7F",X"7E",X"7E",X"80",X"83",X"84",X"86",X"86",
- X"87",X"87",X"88",X"88",X"87",X"86",X"85",X"84",X"82",X"80",X"7D",X"7A",X"77",X"76",X"74",X"74",
- X"74",X"74",X"76",X"79",X"7C",X"7E",X"7F",X"7F",X"81",X"84",X"86",X"88",X"8A",X"8B",X"8C",X"8C",
- X"8B",X"8A",X"89",X"87",X"84",X"82",X"7F",X"7B",X"78",X"76",X"75",X"74",X"75",X"78",X"79",X"79",
- X"78",X"78",X"79",X"79",X"7A",X"7C",X"80",X"82",X"85",X"87",X"88",X"88",X"86",X"84",X"83",X"82",
- X"81",X"80",X"7F",X"7F",X"80",X"82",X"83",X"83",X"81",X"7F",X"7E",X"7D",X"7D",X"7F",X"81",X"83",
- X"84",X"85",X"85",X"83",X"80",X"7E",X"7D",X"7E",X"7E",X"7D",X"7B",X"7A",X"7B",X"7D",X"7E",X"7E",
- X"7D",X"7B",X"7B",X"7A",X"7A",X"7B",X"7E",X"7F",X"81",X"83",X"84",X"84",X"82",X"81",X"80",X"7F",
- X"7E",X"7E",X"7E",X"7E",X"80",X"82",X"84",X"84",X"82",X"81",X"80",X"7F",X"7F",X"7E",X"7E",X"7E",
- X"7E",X"7F",X"81",X"83",X"83",X"82",X"81",X"80",X"7F",X"7E",X"7D",X"7F",X"81",X"81",X"80",X"7F",
- X"7F",X"7F",X"7F",X"7F",X"7E",X"7E",X"7E",X"7E",X"7D",X"7D",X"7E",X"7E",X"7F",X"81",X"84",X"84",
- X"84",X"82",X"81",X"80",X"7F",X"7F",X"7E",X"7D",X"7E",X"7F",X"81",X"82",X"83",X"84",X"83",X"82",
- X"7F",X"7E",X"7D",X"7C",X"7B",X"7C",X"7D",X"7E",X"7F",X"80",X"80",X"81",X"81",X"81",X"81",X"80",
- X"7F",X"7D",X"7C",X"7B",X"7B",X"7C",X"7D",X"7E",X"7F",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"7F",X"7E",X"7C",X"7A",X"7A",
- X"79",X"79",X"7A",X"7C",X"7F",X"81",X"83",X"84",X"85",X"84",X"83",X"82",X"82",X"84",X"85",X"86",
- X"87",X"86",X"85",X"82",X"80",X"80",X"80",X"80",X"7F",X"7F",X"7F",X"7E",X"7C",X"7B",X"7A",X"79",
- X"79",X"79",X"7C",X"7E",X"7E",X"7E",X"7D",X"7D",X"7D",X"7E",X"7F",X"82",X"84",X"85",X"86",X"87",
- X"88",X"88",X"87",X"86",X"83",X"80",X"7F",X"80",X"80",X"7E",X"7C",X"7B",X"7B",X"7C",X"7D",X"7D",
- X"7C",X"7B",X"7C",X"7D",X"7F",X"7F",X"80",X"81",X"81",X"81",X"82",X"81",X"7F",X"7E",X"7D",X"7C",
- X"7B",X"7C",X"7E",X"80",X"80",X"80",X"7F",X"80",X"82",X"83",X"84",X"83",X"82",X"82",X"83",X"84",
- X"84",X"84",X"81",X"7F",X"7E",X"7E",X"7F",X"7F",X"7F",X"80",X"80",X"80",X"80",X"80",X"80",X"7F",
- X"7E",X"7E",X"7D",X"7D",X"7D",X"7D",X"7C",X"7B",X"79",X"79",X"78",X"79",X"79",X"79",X"7A",X"7B",
- X"7E",X"81",X"83",X"85",X"86",X"87",X"88",X"89",X"89",X"88",X"86",X"84",X"84",X"84",X"84",X"83",
- X"82",X"81",X"7F",X"7C",X"7B",X"7B",X"7B",X"7B",X"7C",X"7C",X"7C",X"7B",X"7A",X"79",X"79",X"7A",
- X"7A",X"7B",X"7D",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"80",X"80",X"81",X"82",X"82",X"83",X"83",
- X"85",X"87",X"88",X"89",X"89",X"89",X"89",X"88",X"87",X"86",X"84",X"82",X"7F",X"7C",X"79",X"77",
- X"76",X"74",X"74",X"73",X"73",X"74",X"75",X"78",X"7B",X"7C",X"7D",X"7D",X"7F",X"81",X"84",X"85",
- X"84",X"84",X"83",X"83",X"83",X"82",X"82",X"82",X"82",X"81",X"81",X"81",X"83",X"84",X"84",X"84",
- X"83",X"82",X"81",X"81",X"81",X"81",X"82",X"82",X"81",X"81",X"80",X"7E",X"7C",X"7C",X"7C",X"7D",
- X"7C",X"7B",X"7A",X"7B",X"7C",X"7D",X"7E",X"7F",X"7F",X"80",X"81",X"81",X"81",X"81",X"81",X"81",
- X"81",X"81",X"80",X"80",X"80",X"7F",X"7E",X"7E",X"7D",X"7D",X"7D",X"7D",X"7D",X"7D",X"7D",X"7C",
- X"7B",X"7C",X"7D",X"7E",X"7F",X"7F",X"80",X"80",X"7F",X"7F",X"7F",X"7F",X"7F",X"80",X"80",X"81",
- X"81",X"82",X"82",X"83",X"84",X"85",X"86",X"88",X"88",X"89",X"89",X"89",X"89",X"88",X"87",X"85",
- X"84",X"82",X"80",X"7E",X"7B",X"78",X"76",X"74",X"72",X"71",X"71",X"71",X"72",X"73",X"75",X"77",
- X"79",X"7B",X"7D",X"7F",X"81",X"83",X"86",X"89",X"8B",X"8D",X"8E",X"8E",X"8D",X"8A",X"88",X"86",
- X"84",X"82",X"80",X"7F",X"7E",X"7E",X"7F",X"7F",X"7E",X"7D",X"7C",X"7C",X"7D",X"7D",X"7D",X"7E",
- X"7E",X"7E",X"7F",X"7E",X"7D",X"7C",X"7B",X"7A",X"7A",X"79",X"7B",X"7D",X"7E",X"7F",X"80",X"81",
- X"82",X"83",X"83",X"82",X"81",X"80",X"7F",X"7E",X"7E",X"7D",X"7D",X"7D",X"7E",X"7E",X"7F",X"80",
- X"83",X"84",X"84",X"83",X"82",X"82",X"82",X"81",X"81",X"81",X"82",X"83",X"83",X"82",X"80",X"7F",
- X"7E",X"7E",X"7E",X"7E",X"7F",X"80",X"81",X"81",X"81",X"81",X"81",X"80",X"7F",X"7D",X"7C",X"7D",
- X"7E",X"7E",X"7D",X"7C",X"7B",X"7B",X"7B",X"7B",X"7C",X"7E",X"7F",X"7F",X"7F",X"80",X"81",X"83",
- X"83",X"82",X"81",X"81",X"82",X"83",X"84",X"84",X"83",X"83",X"81",X"7F",X"7E",X"7D",X"7D",X"7D",
- X"7C",X"7C",X"7D",X"7F",X"80",X"81",X"80",X"7F",X"7F",X"80",X"81",X"82",X"82",X"83",X"82",X"81",
- X"7F",X"7E",X"7D",X"7D",X"7D",X"7C",X"7C",X"7D",X"7E",X"80",X"80",X"80",X"7F",X"7F",X"7F",X"80",
- X"80",X"82",X"83",X"83",X"83",X"81",X"81",X"80",X"7F",X"7E",X"7E",X"7F",X"80",X"81",X"80",X"80",
- X"7F",X"80",X"81",X"82",X"81",X"7F",X"7E",X"7E",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",
- X"7E",X"7D",X"7C",X"7B",X"7B",X"7B",X"7B",X"7C",X"7D",X"7E",X"80",X"80",X"80",X"80",X"7F",X"7F",
- X"7F",X"7F",X"7F",X"7F",X"80",X"80",X"80",X"81",X"82",X"84",X"85",X"84",X"83",X"82",X"82",X"83",
- X"83",X"82",X"81",X"80",X"80",X"81",X"82",X"81",X"81",X"81",X"80",X"80",X"7F",X"7E",X"7C",X"7B",
- X"7B",X"7C",X"7C",X"7D",X"7D",X"7D",X"7D",X"7D",X"7E",X"7D",X"7C",X"7C",X"7D",X"7E",X"7F",X"80",
- X"81",X"81",X"82",X"83",X"83",X"83",X"82",X"82",X"81",X"81",X"7F",X"7E",X"7D",X"7D",X"7C",X"7C",
- X"7D",X"7E",X"7E",X"7E",X"7D",X"7E",X"7F",X"80",X"81",X"82",X"83",X"83",X"84",X"84",X"84",X"83",
- X"81",X"80",X"7F",X"7E",X"7D",X"7C",X"7C",X"7C",X"7B",X"7B",X"7C",X"7D",X"7E",X"7F",X"80",X"80",
- X"81",X"83",X"85",X"86",X"87",X"87",X"87",X"87",X"86",X"85",X"84",X"83",X"82",X"80",X"7D",X"7C",
- X"7B",X"7B",X"7B",X"79",X"78",X"77",X"78",X"7A",X"7A",X"7A",X"79",X"7A",X"7C",X"7D",X"7F",X"7F",
- X"7F",X"80",X"80",X"80",X"81",X"83",X"84",X"84",X"84",X"83",X"83",X"83",X"84",X"84",X"83",X"82",
- X"81",X"81",X"81",X"80",X"80",X"7F",X"7F",X"7F",X"7F",X"80",X"80",X"80",X"7E",X"7E",X"7E",X"7F",
- X"80",X"80",X"7E",X"7E",X"7E",X"7E",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"80",X"80",X"80",X"80",
- X"80",X"80",X"7F",X"7F",X"7F",X"7E",X"7E",X"7E",X"7D",X"7C",X"7B",X"7B",X"7B",X"7C",X"7D",X"7D",
- X"7E",X"7E",X"7E",X"80",X"81",X"82",X"82",X"83",X"83",X"82",X"82",X"81",X"81",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"81",X"82",X"83",X"84",X"84",X"84",X"84",X"84",X"84",X"83",X"83",X"82",
- X"81",X"80",X"7F",X"7D",X"7C",X"7A",X"79",X"78",X"77",X"77",X"77",X"78",X"79",X"7A",X"7C",X"7E",
- X"7E",X"7F",X"80",X"81",X"82",X"83",X"85",X"86",X"87",X"88",X"88",X"88",X"87",X"86",X"85",X"83",
- X"81",X"7F",X"7E",X"7C",X"7B",X"7B",X"7B",X"7B",X"7C",X"7B",X"7B",X"7B",X"7B",X"7B",X"7C",X"7D",
- X"7F",X"80",X"81",X"82",X"82",X"82",X"81",X"81",X"80",X"80",X"7F",X"7F",X"7F",X"7F",X"80",X"81",
- X"82",X"81",X"81",X"80",X"80",X"80",X"7F",X"7F",X"7F",X"7F",X"80",X"81",X"82",X"81",X"81",X"80",
- X"80",X"81",X"80",X"80",X"7F",X"7E",X"7E",X"7E",X"7E",X"7E",X"7D",X"7D",X"7D",X"7D",X"7E",X"7F",
- X"80",X"81",X"82",X"82",X"82",X"82",X"82",X"82",X"81",X"80",X"7F",X"7E",X"7D",X"7D",X"7D",X"7D",
- X"7E",X"7E",X"7D",X"7E",X"7E",X"7F",X"7F",X"7F",X"7E",X"7E",X"7E",X"7E",X"7E",X"7E",X"7E",X"7F",
- X"7F",X"7F",X"80",X"80",X"81",X"81",X"82",X"82",X"82",X"82",X"82",X"82",X"82",X"82",X"82",X"82",
- X"82",X"81",X"81",X"82",X"82",X"83",X"83",X"83",X"82",X"82",X"81",X"80",X"7F",X"7D",X"7C",X"7B",
- X"7A",X"7A",X"79",X"79",X"79",X"7A",X"7B",X"7C",X"7C",X"7D",X"7D",X"7D",X"7D",X"7E",X"7E",X"7F",
- X"7F",X"80",X"80",X"81",X"82",X"83",X"84",X"85",X"84",X"84",X"83",X"83",X"83",X"82",X"82",X"82",
- X"81",X"80",X"80",X"80",X"81",X"81",X"81",X"81",X"81",X"80",X"80",X"7F",X"7F",X"7F",X"7E",X"7E",
- X"7D",X"7C",X"7C",X"7C",X"7C",X"7D",X"7D",X"7E",X"7E",X"7D",X"7D",X"7D",X"7E",X"7F",X"7F",X"80",
- X"80",X"7F",X"7F",X"80",X"81",X"82",X"82",X"82",X"82",X"82",X"82",X"82",X"82",X"81",X"81",X"81",
- X"80",X"7F",X"7E",X"7E",X"7E",X"7E",X"7E",X"7E",X"7D",X"7D",X"7C",X"7C",X"7D",X"7D",X"7E",X"7E",
- X"7F",X"7F",X"7F",X"80",X"80",X"82",X"82",X"83",X"83",X"83",X"84",X"84",X"84",X"84",X"83",X"83",
- X"82",X"81",X"80",X"80",X"7E",X"7D",X"7C",X"7B",X"7A",X"79",X"79",X"79",X"79",X"7A",X"7B",X"7C",
- X"7D",X"7E",X"80",X"82",X"83",X"84",X"85",X"85",X"86",X"86",X"86",X"85",X"84",X"82",X"81",X"80",
- X"7F",X"7E",X"7D",X"7D",X"7D",X"7E",X"7E",X"7F",X"7F",X"7F",X"80",X"81",X"81",X"81",X"81",X"81",
- X"81",X"80",X"80",X"7F",X"7F",X"7D",X"7D",X"7C",X"7D",X"7D",X"7D",X"7E",X"7E",X"7F",X"7F",X"7F",
- X"7F",X"80",X"80",X"80",X"7F",X"7F",X"7E",X"7E",X"7E",X"7E",X"7D",X"7E",X"7E",X"7E",X"7F",X"80",
- X"81",X"82",X"83",X"83",X"83",X"83",X"83",X"82",X"82",X"83",X"83",X"83",X"82",X"81",X"80",X"80",
- X"7F",X"7F",X"7F",X"80",X"80",X"80",X"80",X"80",X"80",X"7F",X"7F",X"7E",X"7E",X"7E",X"7E",X"7E",
- X"7E",X"7D",X"7C",X"7C",X"7C",X"7C",X"7C",X"7D",X"7D",X"7E",X"7F",X"7F",X"80",X"80",X"81",X"81",
- X"80",X"80",X"80",X"81",X"81",X"81",X"82",X"82",X"82",X"82",X"81",X"81",X"80",X"7E",X"7E",X"7D",
- X"7D",X"7D",X"7D",X"7E",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"80",X"80",X"81",X"82",
- X"82",X"82",X"82",X"81",X"81",X"80",X"80",X"7F",X"7F",X"7F",X"7E",X"7E",X"7E",X"7E",X"7E",X"7E",
- X"7E",X"7F",X"80",X"81",X"81",X"81",X"81",X"81",X"81",X"82",X"82",X"81",X"81",X"81",X"80",X"80",
- X"80",X"7F",X"7F",X"7E",X"7D",X"7D",X"7C",X"7C",X"7C",X"7C",X"7D",X"7E",X"7E",X"7E",X"7F",X"7F",
- X"7F",X"7F",X"7F",X"80",X"81",X"80",X"80",X"80",X"80",X"81",X"81",X"81",X"81",X"81",X"81",X"80",
- X"80",X"80",X"80",X"80",X"80",X"81",X"81",X"80",X"80",X"80",X"7F",X"7E",X"7E",X"7E",X"7E",X"7F",
- X"7F",X"7E",X"7E",X"7E",X"7E",X"7E",X"7E",X"7E",X"7F",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"81",X"82",X"82",X"81",X"81",X"80",X"80",X"7F",X"7F",X"7F",X"80",X"80",X"81",X"81",
- X"81",X"80",X"80",X"7F",X"7F",X"7F",X"7F",X"80",X"80",X"80",X"80",X"80",X"80",X"7F",X"7F",X"7E",
- X"7E",X"7E",X"7F",X"7F",X"80",X"80",X"80",X"80",X"80",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7E",
- X"7E",X"7F",X"7F",X"7F",X"7F",X"7E",X"7F",X"7F",X"80",X"80",X"81",X"81",X"80",X"80",X"80",X"80",
- X"80",X"7F",X"7F",X"7F",X"7E",X"7F",X"7F",X"80",X"80",X"80",X"7F",X"80",X"80",X"81",X"81",X"81",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"7F",X"7F",X"80",X"80",X"81",X"81",X"80",X"80",X"80",
- X"80",X"80",X"7F",X"7E",X"7E",X"7E",X"7F",X"7F",X"7F",X"80",X"80",X"80",X"80",X"80",X"80",X"7F",
- X"7F",X"7E",X"7E",X"7E",X"7F",X"7F",X"80",X"80",X"81",X"81",X"81",X"80",X"7F",X"7F",X"7F",X"7E",
- X"7F",X"7F",X"80",X"80",X"81",X"81",X"81",X"80",X"80",X"80",X"7F",X"7F",X"7F",X"80",X"80",X"80",
- X"80",X"80",X"80",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",
- X"7F",X"7F",X"7F",X"7F",X"80",X"80",X"80",X"80",X"80",X"7F",X"7F",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"81",X"80",X"80",X"80",X"80",X"80",
- X"7F",X"80",X"80",X"80",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7E",X"7E",X"7E",
- X"7E",X"7E",X"7E",X"7E",X"7E",X"7E",X"7E",X"7E",X"7E",X"7E",X"7E",X"7E",X"7E",X"7F",X"7F",X"7F",
- X"7F",X"7F",X"80",X"80",X"81",X"81",X"81",X"81",X"81",X"81",X"81",X"81",X"80",X"80",X"80",X"80",
- X"80",X"80",X"81",X"81",X"80",X"80",X"80",X"80",X"81",X"81",X"81",X"81",X"81",X"80",X"80",X"80",
- X"7F",X"7F",X"7E",X"7E",X"7E",X"7E",X"7E",X"7D",X"7D",X"7E",X"7E",X"7E",X"7F",X"7F",X"80",X"80",
- X"80",X"81",X"81",X"81",X"81",X"81",X"81",X"81",X"81",X"81",X"81",X"80",X"80",X"80",X"7F",X"7F",
- X"7E",X"7E",X"7E",X"7D",X"7D",X"7D",X"7D",X"7D",X"7C",X"7D",X"7D",X"7D",X"7D",X"7D",X"7E",X"7E",
- X"7E",X"7F",X"7F",X"80",X"80",X"81",X"81",X"82",X"82",X"83",X"83",X"83",X"84",X"84",X"84",X"84",
- X"84",X"84",X"84",X"84",X"84",X"83",X"82",X"82",X"81",X"80",X"7F",X"7E",X"7E",X"7D",X"7C",X"7B",
- X"7B",X"7A",X"7A",X"79",X"79",X"79",X"79",X"7A",X"7A",X"7B",X"7C",X"7D",X"7E",X"7E",X"7F",X"80",
- X"80",X"81",X"81",X"82",X"82",X"83",X"83",X"84",X"84",X"84",X"84",X"84",X"83",X"83",X"82",X"82",
- X"81",X"81",X"81",X"80",X"80",X"80",X"80",X"80",X"7F",X"7F",X"7E",X"7E",X"7E",X"7E",X"7E",X"7E",
- X"7E",X"7E",X"7E",X"7F",X"7F",X"7F",X"7F",X"7E",X"7E",X"7E",X"7E",X"7E",X"7E",X"7E",X"7E",X"7E",
- X"7F",X"7F",X"7F",X"80",X"80",X"80",X"7F",X"7F",X"7F",X"80",X"80",X"80",X"81",X"81",X"81",X"81",
- X"81",X"81",X"81",X"81",X"80",X"80",X"7F",X"7F",X"7E",X"7E",X"7E",X"7E",X"7E",X"7F",X"7F",X"7F",
- X"7F",X"7F",X"7F",X"7F",X"80",X"80",X"80",X"81",X"81",X"82",X"82",X"82",X"82",X"81",X"81",X"81",
- X"80",X"7F",X"7F",X"7E",X"7E",X"7E",X"7D",X"7D",X"7E",X"7E",X"7E",X"7F",X"7F",X"7F",X"7F",X"80",
- X"80",X"81",X"81",X"81",X"82",X"82",X"81",X"81",X"81",X"80",X"80",X"80",X"7F",X"7F",X"7E",X"7E",
- X"7E",X"7E",X"7E",X"7E",X"7F",X"7F",X"7F",X"7F",X"7F",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",
- X"7F",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"81",X"81",X"81",X"80",X"80",X"80",X"80",X"80",
- X"80",X"7F",X"7F",X"7F",X"7F",X"7F",X"7E",X"7E",X"7E",X"7F",X"7F",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"7F",X"7F",X"7F",X"7F",X"7F",X"7E",X"7E",X"7E",X"7E",X"7E",X"7E",X"7E",X"7E",
- X"7E",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"81",
- X"81",X"81",X"81",X"81",X"81",X"81",X"81",X"81",X"81",X"81",X"81",X"81",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7E",X"7E",X"7E",X"7E",X"7E",X"7E",X"7E",
- X"7D",X"7D",X"7D",X"7E",X"7E",X"7E",X"7E",X"7F",X"7F",X"7F",X"7F",X"7F",X"80",X"80",X"81",X"80",
- X"80",X"81",X"81",X"81",X"81",X"81",X"80",X"80",X"80",X"80",X"81",X"81",X"81",X"81",X"80",X"80",
- X"80",X"80",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"80",X"7F",X"7F",X"7F",X"7F",X"7E",X"7E",X"7E",
- X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"80",X"80",X"80",X"80",X"80",X"7F",
- X"7F",X"80",X"80",X"80",X"80",X"80",X"81",X"81",X"81",X"80",X"80",X"80",X"7F",X"7F",X"7F",X"7F",
- X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",
- X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"80",X"80",X"80",X"81",X"81",
- X"81",X"81",X"81",X"80",X"80",X"80",X"80",X"80",X"80",X"7F",X"7F",X"7F",X"7F",X"80",X"7F",X"7F",
- X"7F",X"7F",X"80",X"80",X"80",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",
- X"7F",X"7F",X"7F",X"7F",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",
- X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",
- X"7F",X"7F",X"7F",X"80",X"80",X"80",X"80",X"80",X"80",X"7F",X"7F",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",
- X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",
- X"7F",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"7F",X"7F",X"7F",X"7F",
- X"7F",X"7F",X"7F",X"7F",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"7F",X"7F",X"7F",X"7F",
- X"7F",X"7F",X"7F",X"7F",X"7F",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"7F",
- X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",
- X"7F",X"7F",X"7F",X"7F",X"7F",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"7F",X"7F",X"7F",X"7F",X"7F",X"80",X"7F",X"7F",
- X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"80",X"80",X"7F",X"7F",X"7F",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"7F",X"7F",X"7F",X"7F",X"80",X"80",X"80",X"7F",X"7F",
- X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"80",X"80",X"80",X"80",X"80",X"80",
- X"7F",X"7F",X"7F",X"80",X"80",X"7F",X"7F",X"7F",X"7F",X"7F",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"7F",X"7F",X"7F",X"7F",X"80",X"80",X"80",X"80",X"80",X"7F",X"7F",
- X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",
- X"7F",X"7F",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"7F",X"7F",X"80",X"80",X"80",X"7F",X"7F",X"7F",X"7F",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"7F",X"7F",X"7F",X"7F",
- X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"7F",X"7F",X"7F",X"7F",
- X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"7F",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",
- X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80",X"80");
-begin
-process(clk)
-begin
- if rising_edge(clk) then
- data <= rom_data(to_integer(unsigned(addr)));
- end if;
-end process;
-end architecture;
diff --git a/Arcade_MiST/Namco Rally-X Hardware/RallyX_MiST/clean.bat b/Arcade_MiST/Namco Rally-X Hardware/clean.bat
similarity index 100%
rename from Arcade_MiST/Namco Rally-X Hardware/RallyX_MiST/clean.bat
rename to Arcade_MiST/Namco Rally-X Hardware/clean.bat
diff --git a/Arcade_MiST/Namco Rally-X Hardware/meta/Commando (SEGA).mra b/Arcade_MiST/Namco Rally-X Hardware/meta/Commando (SEGA).mra
new file mode 100644
index 00000000..02eb871d
--- /dev/null
+++ b/Arcade_MiST/Namco Rally-X Hardware/meta/Commando (SEGA).mra
@@ -0,0 +1,36 @@
+
+ Commando (SEGA)
+ 0220
+ commsega
+ 1980
+ SEGA
+ rallyx
+
+
+
+
+
+
+ 0b
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+ FF
+
+
+
+
+
diff --git a/Arcade_MiST/Namco Rally-X Hardware/meta/Jungler.mra b/Arcade_MiST/Namco Rally-X Hardware/meta/Jungler.mra
new file mode 100644
index 00000000..f19c688b
--- /dev/null
+++ b/Arcade_MiST/Namco Rally-X Hardware/meta/Jungler.mra
@@ -0,0 +1,32 @@
+
+ Jungler
+ 0220
+ jungler
+ 1980
+ Konami
+ rallyx
+ 1
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+ FF
+
+
+
+
+
diff --git a/Arcade_MiST/Namco Rally-X Hardware/meta/Loco-motion.mra b/Arcade_MiST/Namco Rally-X Hardware/meta/Loco-motion.mra
new file mode 100644
index 00000000..631f397a
--- /dev/null
+++ b/Arcade_MiST/Namco Rally-X Hardware/meta/Loco-motion.mra
@@ -0,0 +1,36 @@
+
+ Loco-motion
+ 0220
+ locomotn
+ 1980
+ Konami
+ rallyx
+
+
+
+
+
+
+ 3
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+ FF
+
+
+
+
+
diff --git a/Arcade_MiST/Namco Rally-X Hardware/meta/New Rally-X.mra b/Arcade_MiST/Namco Rally-X Hardware/meta/New Rally-X.mra
new file mode 100644
index 00000000..04c316d3
--- /dev/null
+++ b/Arcade_MiST/Namco Rally-X Hardware/meta/New Rally-X.mra
@@ -0,0 +1,1062 @@
+
+ New Rally X
+ 0220
+ nrallyx
+ 20200427161917
+ 1981
+ Namco
+ Racing
+ rallyx
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+807f7f796b717f7995bfd3bebfb9b5b1
+adaaa6a3a09d9a989593907620001601
+0e121b1f252a2f34383c4044474b4e51
+5456585a5c68867e4f66626467676a6a
+6d6d6f7181befffdf6fff7fdf7f9f0ed
+e7e3ddd6ae3e073e2a38383d40434649
+4c4e5052545661a2fffdeffee8efe1e3
+d9d8d1cdbc8c5a83d2c4b3bcacb0a6a7
+a09f956501000e0014121e20272a3033
+39434b3b4247454d4c577dac755599fa
+ffecf9c7884a4067d5ffe5e4e0d7d2b6
+9bbed0b5bfb1b3aba9a49d835e422304
+000f121b20262b303438404a42414c49
+51515d96ffffedfae5e9dddcd3d1cac7
+c1beb9ac6c0000221228232f2f37383e
+404446494c4f515671cdfff4f9f2e9e8
+dedcd4d1cac6c1bca54c00161e1b2b27
+3231393a404247484c4e505255575f91
+fbffecffebefe4e0c17a3629323a3a41
+4146474b4c4f5153566bbefff6f7faea
+ede1e0d8d5cecbc5c1bcb8b3af9a4500
+0a1211201e29293133383a3f4145474b
+536e98b6d2e9f5f1e7e4ddd8d2cdc8c3
+bfbab5a1560005191522232b2d33363b
+3e4246494b4d4f5477e0ffeafae8e8e0
+dcd6d2c9a24c141e293367b1933e2f30
+3b3b5cb8ffe1cab2cce6c4d1c2c2bab8
+b2afaaa7a28d4d336f6428000e121621
+232b2d33363b447ff4ffd3ead2d8cbcb
+c2c0b9b5aa84401506101c1e282b3134
+3e74e7fccfe3ced2c7c5bfbcb7b3a579
+598792979e978e59020013081d1b2729
+3133393c446ed5f6daedd8dbd1cfc8c5
+bfbcb7b3afaba7a4a09d9a98958b6332
+250d02060a131a20262c31363a3e4246
+4f84f4ffe0f2dee0d6d4cdc9c4bfbab6
+b2aea88b2f000f0e1720242d3036393e
+4773cffde9e3ded7d3cdc9c4b7790d05
+291b2e2b35363c3e43454a5eabfffceb
+eee2e0d7d3cdc8c3bfbab6ae8421001a
+1520252a2f33383b4043474a4d5792ff
+ffe8f7e2e6d9d9d0cec1933409202429
+2f33393c414c85f0ffe1ecdcdbd3cfc9
+c3b98c2200231b272b30353b518cc9e5
+eedddbd4cec9bc89200126213d5c7488
+9aa6afb4b3933e12332f363a3d414347
+494d4f52545a7ac0f4fffaf5f0e8e4dd
+d8d2cec8c4bfbbb7b3ae9e5b00001411
+1f20292b3134393c404346484c5784cb
+f3fdf0ebe8e1ded7d3cdc8c3bebab5b1
+ada9a5a19e9b98884200060903151420
+222a2d33363c3f4345494e6fc5fff6ec
+e9e2ddd7d2cdc6a74d0d32312a2b3336
+393d4044464a4e5d715a4b5b555c5a5e
+627590a7c0d8effdfbf8f5edeae3dfd9
+d4cfcac5c0bcb6a65c00051e1326222d
+2d34363b3d4144474a60b7fff1eaedde
+e0d5d5cdcbc5c1b39aa1c0aaaca6a29f
+9a989492886e4b28000007020f131c20
+272f4a63422c453e46474e6fb6bd90a9
+deffe0e8dddad5d0cbc6c1bcb8b3ae9a
+51000313131f222a2d33363b405baffd
+eee1e1d6d3cdc9c2ab662228618c7b3e
+183363a9cedccec9c5bebbb5b1ada9a3
+8832000809121b202f332d363b3d4d7a
+bfe0dc9a674c363f43464c67c0fff4ec
+ebe0dbcbccd3c7c4c0bab6b0ada9a6a2
+9f9c999794895400000d000f111c202d
+3b342b3c38404145484b558bf3ffeaf4
+e5e5dcd9d3cec5a4571e17232a2c3437
+539deaeddedad5cecac4c0baa8660d03
+1a1b24292e333f6fbfe6ce9889b0e3d6
+c9cabfbeb09486aca46e21021a4d728f
+a8b8c0bcad7d2703183b5138192f3034
+3f62afe3eddfcda26c533c529edadacf
+cac6bfb4a0b0bca17a2c0b06171c2c5d
+a3cbd8c9aa76679dbeb37b4022111e29
+4d9bcfdbcfc8c09a60371f18272a3135
+3a3e42454a597cb7ffffe5eededfd5d2
+cbc7c1bdb8b5b0adaaa7a49f893a0008
+090c1a1b25283032383b40434649506c
+b9fffbebefe2e2d8d3cdcec5c2bcb8b3
+b0aba4822e051e13121721242c2f3538
+3d4044474b4e5155628fcceffff7f1ec
+e5e1dad6d0cbc6c1bdb17f2804141d21
+292d32363a3e4144485685aa8b8fc0fc
+f6e3e8dbdac79d6a6792c2bd8d535077
+abbccabeb58b40130c1b21252c2f3854
+a1e2e9dbd6d2cbc7ba934d24131d2728
+375b8c73514c3d3f40464d74cafff0e9
+e6dddad3cfc9c5c0b99e5b37412b2c24
+24262e3135393d40444961a6ebf9eee5
+e3d6bb919ecdd2c4c4bdb9b4b0aca8a5
+a196743200020b0b1a1a25282f32373a
+3e4774d4ffe4e4ddd7d1c3ae9e99afcb
+b9b7b5a991624e5f97b0aba5a39a7636
+2c52606c75787976726b64562e01111e
+1e2a2b33363b3e42496bb3ceaaa0958d
+898686888c90959db5e5edd5dbcfcec7
+c4bebab5a88f7a5a190016181f272a31
+353a3d4145569cfafae4e8dcdad3d0ca
+c6c1bcb8b3afaba6925005000c111b20
+262b3035393d4d82c5e6efd1aa93b3d8
+d1b17c6380a5a67f483c57879db4c0c6
+c2bcb8b3ab9c8a7a69542b000c1c1a27
+273032383b4043496198c5dfdba78991
+bfe1e3dcd4d2cac7bc994e1b121f282a
+33343a3c4043475494f5ffe8ece1ded7
+d3cdc8c3bfbab6b2ac9954000010121d
+21292d34383c3f4345484c62a3e7fcf5
+e9e8ded6b485654d466ca3b49e715b46
+3933333b487dcbeee3c4bcd1dbc8c9bf
+b3b2bdb0a4763f22090f2852819cb5b8
+935b485b848b6f40344e7e8d774c392e
+343d5492beb09283766d69676a7bb2ed
+eadadbd1cec7c3beb89e5c1f0d142222
+2c2d34374165afe0ede2d9d7c8bec6c8
+b7a26a5d7a8c7c4d2114172526385d7c
+604e49414764abe3f1e5dddad2cfc9c4
+b483412016365350322537353d404447
+4e6fbff9f9ece7e2dad6d0cbbb8e5332
+1a1d2a386590abc6d4d6ccc8c3bebab6
+b1a5907b6a584728040b1d1b28293134
+3f5d8ca9bba97e7186b3c5b288788db8
+cfd4cbc5bc966240271c386984795746
+3a323c64a8d4e8dfd6d4c8b387685a6c
+9aa9976b523e2d26242c375ca3d3e4db
+d0cfc6c3bcb9b3ad9051354537200c20
+242930385171899da79163493b3c4444
+4d6cadccbbacbae2f4dfe0d5c0a08b79
+6a636ea0cbcdb79994b1c2b1a37c6985
+9ba3a8a29f9c967c3e0a00091a2c3b48
+5660685f39223234383d4043465281cd
+f5f8e9e6e0dad5d0cbc5b58c624b4364
+8697aab1a77a4c4256736e502a232731
+32393b40445895ddf5efe2e1d8d5c8ad
+9099b8c0aa80606784959fa7a1834a2b
+2c485a6775766133202b47657c90a0ab
+b4b9bbbab18f553020273131393a404e
+7ebce0f3e8dfddd4d0c1b4bcbd9b6944
+455d6a58341f2e5172889eacb8bec2bf
+ae7e4c3b48636147292e4468879fb3b4
+966f5c483f456fa0b1a48a8fafd7ddd6
+cfccc3af815d442e23202a2f33383b41
+5593d9f1ebdddbd6d3cac8c1beb8b4af
+a37d4d3017112c53697f8f9aa2a5a6a3
+9e978e857b71665d544d4844413f3f41
+43474d53574b38404647565e514e6890
+bfc3b3a3a0989cb3e6f7e8e4dfd8d3cd
+c9c3bfbab6b19c67321608171d222e37
+30323b3a424f80b9c7b9aeaaa3a19e9d
+a0b8dddbcccec4c2bbb8b2afaba7a195
+8374613f0e000b0e171d24304456625c
+3f343e4043474b5d90c0beb4bdddf8e9
+e3e0d3bfa59684797895bbc7c4bbb9b3
+b0aba8a4a0957c64513d27080011141d
+22282e33383d4973b3dbefe7dddbd2cf
+c8c4bebbb6b2aea99c85705e4c3c280d
+071a1b24282e33373c3f43464a4e597a
+a4c1ddf1f7eee8e4ddd8d2cec8c4bfba
+b5b0aa915c3d301009171f212a2d3336
+3b3e424966a1bfb3afaba7a5a2a0a0b1
+d8e5d4d3ccc7c1b38f62493f55655d41
+27242932343a3c445a90bedbefe5d6be
+a2907f83a0b7b296797a8ea2987a5a4b
+3830282a2f353e6199c0dce7dbd5cab5
+b4c6bea67e63697b83878b8a88837858
+2d171521262b333e473c446392b6d0e6
+e8d9bda6adc3c4ae866c564439302d2d
+3238404c5967758499c3f1eee0e0d7d3
+cdc8c3beb28d563419121f242b383d33
+3b4d719491837b7a85aed2e4e7dad7cf
+bfaab2bdab89635b66797d86878a8986
+765130293a515c6d78838b9195979898
+9794918d877651302a3a51524332383c
+4048689bc0deefe8e0dcd6d1ccc6c1bd
+b4965d3e4042381f1827293035393d40
+4d76a5a6a7bcdef0deddd7d2cdc7c3bd
+b9b4afa37d4a2c120915233436262e34
+373d49719da59b999897999a9c9fa2a4
+abc3dfd6ccccc3c1bab7b1aea58a5a38
+1e0b0b171e232a2e343b588eb7d4e6dc
+ccb8a4958b95b1bfc2bdb7b4afaa9363
+3a210a0c171d232a2e33373d5185bad9
+ede1d9d4c4ae9b8c7d73748da7aa977c
+778599957f615140362f2b2c395e8798
+92827f7876757576797c8190b5d8ddd3
+cdc9c3c0bbb5a0724a311f2d3f413224
+2e39516e81949989727285a0a4967e74
+69656b89abb6ac9899abc6cfd1c2a78b
+88969b8c6c565869787f88887b5a4535
+29262a3134393d445163738494a6c7ef
+f0e0e1d8d4cecac5c0bbb7ae97745e49
+392d2734546e81929da6a9aaa8a39981
+593e3845515761676e72777a7c7b6d4f
+3a3030393e547996adc0bfaa988e827d
+7877777a85a5cad8d0bbb7c3cec3bfbb
+b6b3aeaba69b85705e47240406131820
+262b30353a4a739fbbd7e4e1d7d4cdc7
+b89c939b9390887b5d3a2b30414a555e
+61533d36343b405175908f888ea8c9d6
+ceb9aea1978f8783869dbbc5baa19386
+889daba48b7675838e846c55493b3530
+3034476c8ea5bbc7c3a99593a0aa9f85
+6b5d4d474d687d7e6f626a8298a3b0b4
+ab8d7463544a423f3e3f434c628badb8
+b0a5a29a99a1bed0d0c8c3bfbab6b1ae
+a589583424262b22191f292a32353a3d
+41465a83a8c1dae4dbc2b9bfd1d0bea1
+8c7a6a6470878e846e6359525468879b
+acb8bbaa8d7b6b5e5a68818f9ca4a594
+7766574c4a5a75817d6c6a7891a0adb6
+b5a486787a898f93969594908b858079
+726c624c2f2127394755636b65544e4b
+4a4e535b65738bb5dae8e2d6d2c8c3c5
+d4d2c7c6bfbbb5b1ada89f8a725f4521
+0608151b21272c31353a43515d759fc4
+d4d0c6c3bbb7baced5cac6c1bcb8b2ab
+91664e4d4b3f28151821272c32363b44
+618ca9c2cdc1b4aca19b9eb2c5cccec5
+bfab89715d4b3f36312e2f32383f4954
+6381aac3c9bfbcc8d8d4cac2a9948377
+7a8a9195979280624d3c2f272223292f
+333942505e6c7a8896a2adb7bec5c9cb
+cccac8c5c9c8bfbdb7b2adaca6916e53
+3e2a1e14131a263b596c808c89786e68
+62605f6063697695b5c8d9dcd4c7b299
+89786b60595c70858a7f6f69615e647b
+929990818392a5a597827f899794856f
+655852556980867e6f6a646268819aa2
+998b8c9badad9e8a868e9c9fa4a29a80
+6554443a332f2e30353d4d6f93acc3d3
+d7c8b3a5968a7f798094a2aab1b2b1ac
+a59c93866f4e35241718212e41494141
+4449515a65717d8998b2d8eeebe1ded8
+d2cdc8c3bdb8ad927a7a726b645b492e
+1c171f272b33425d748799a7b3bbc0c0
+b49a89868f9596999897948f7f645143
+38333032363d46515d7093b9d3e7e8de
+dad5cfcac2ae8e766352463d38353538
+3e4b688a9c9d969bacc3cdd2cdc6c3bd
+b9b4aa9573513e3a3e3f424544382a29
+2f353945608095acbbc9d1d1c1aa9b8c
+817c8797998f7d798191989ea1a2a19d
+947e64585a64686d7174756c594b433d
+3c3d41495c7d98a39f9daabfd1d7d6cd
+c9bca6989c9a96918a837b736247332f
+39424137313a4e636965637189a1b0bf
+c6c3afa09ea7afb0b2aeaba49c8b6f58
+51565d5f64666151453f3b3d48637b8e
+a0acaea0958e87848a9eadb6bec0c0b8
+a48879777d796a574b423b38383b445a
+788ea1b0bcc3c7c8c6c0b69f81706b6f
+6a5c483d353134445d6c6f6a6c6d7078
+8da8b5b4a9a39d9894918e8c8a898b99
+aeb8c0c2beb9b4aea497897b6e61564c
+402e18121a232c3a4752524c4d505d78
+909b9a979a9a9da7bed0d3c8bab9c1c8
+bfab958e90948976635f656d675a4d4f
+5b696a6359575456585c62718ca5b6c5
+ced2cfc8c1ae927e6d5e534b464a5b6b
+706a626260626b81959c978e8b858380
+7f7f879aadb6bfc1baa58f7f6f635955
+5c6b7370645e5a5757585a5e63697387
+a2b5c3cdcfc4af9e8f81787a84888b8d
+8c8a867f6f594c4c55595347444d5f6d
+79858e969b9fa2a29f927e73737d8285
+89877e6c625b565454565c6e88999e98
+98a2b4bfc6cbc8baa2908072675e5853
+504f5053585d64718aa2b2c1c8c7b7a5
+9d9fa3a09e98928a817970685c473328
+1f1f263244525253595f676f78828c95
+9fadc6dde3ddd2c8bcb3adb3b9b7b5ae
+a69c9185786c61574d412e1d161a2328
+2e384c627380807d808185898e929cb1
+c6d1dad8d0ccc7c1bbac8e725f4c3f34
+2e2a2a2d3238414d63829bafc0cbcabb
+b1a79d9799a4a7a08f8382898b827265
+5d55524f4f515e738285807c7c7a7b7c
+7e7f82848895a9b6b5a9a1a2aaafaeac
+a5967e6c65676866666464636159493f
+3a3636394357708395a3aba69d98938f
+8c8a888c9aabb4bbbdbdbbb5aa957d70
+6d6f685b4c484e595c574f525e6f7776
+6f6f6f7278899daab6bdbdb1a0958980
+79726e6e79878b867b7a828e93989996
+88776d635c57555455575b64778d9ca9
+b2b4aa9b91877e777377848d8b80797b
+858c887d726d66636161616366696d71
+78859bacafa7a09b94908a86817e7b79
+7877777f8f9a998f847e777476838b89
+7e746e68656261606163677286969a93
+8c89848387969e9c9187889096908376
+6e656062707a81878b8e8f8f8e897b6a
+62646c7074787a7d7e8081817e74665e
+5855576476838f989e998e898481818b
+9aa3aaafb1b0ada9a39d958d857a6955
+4b4a50514c44414142474d56627892a6
+b6c3c9c4b7b2b4babab9b5afa79e948a
+80766c635a4b3a302925262c31363e4a
+57657a96b1c4d5dfe0d5c9bdb1a69b91
+877f78726e6a6867676971818f979fa3
+a5a5a29e99928b837b736c66605b5754
+5251525355544d4747474c566b7f90a0
+acb6bdc1beb1a39a8f89878f9593897e
+78716e717d888f95999a988e7e76767c
+7f80817f7769615b575656585d6b7e8a
+8d898a93a1aab0b4b2a9988e8b8e8e8c
+8a86837e7975716d6a68645b4e494c56
+5c5c5857595c647388969b989aa4b2ba
+c0c3c0b6a496897e76767d8081828078
+6a63656d72767a7a756a64605d5c5d60
+63686e747b8598aab1aea7a9b0b7b4a8
+9a949597908272695f595860696f7579
+79716965616063707d82807b7f899496
+9188857f7d7f8994999ea0a1a09d9994
+8e8474645a504b4b545f666f7679746f
+727c878a8781838c9798938a86817d7b
+7a79797a7c7d818a9aa4abb0b2b2afaa
+a49d958c83797068605a5550483c3634
+33374255646c6f758294a3aeb8bdbaaf
+a8a7acaca4958a878a8a82766d6d7276
+726964687177766f6c717c83837d7a79
+7779808d969ca1a3a5a4a29e9a948f88
+817b75706b63574b474b535654515661
+70787b7a7d7f83868a8d9195989b9d9f
+a0a1a2a2a19fa0a8b0b1b2aea9a29a91
+887e756c63594a3c36373d3f3e3b404c
+5b666a6b70757b8393a5adada8aab0b9
+b9b1a59c938b86898e8e8f8d887d6f67
+6060676d717577756c676972797e8387
+8a8c8c887d76716d6b6f7a82837e7b80
+8991959a9b988c8483878a8a8b8a8478
+706e7377797c7c786f696971787c8183
+827a75726f6e6e6f727a889295928f8e
+8b8b8f99a1a5a7a7a5a29d97918a827b
+746e68635f5a5147423f3f434e5e686d
+6f74797e84898f94999da3afbcc3c8c8
+c4b8a7998c80787779766e635d575353
+5a656d747b7f7c767471706f70717377
+7a808b99a09f9996928e8a8785848a93
+959087827c7773706e6f7680868b8f8f
+887e777271777c7f828485858483817f
+796f6765696e6d686568717a80878b8b
+85807d7b7a797a7b818d979da3a6a8a7
+a6a29e988f7f72685f59555251525458
+5d63696f767e8999a7adaba7a9afb4b3
+b3aea595867e7b7871665b55504d4c4e
+505764717b8384828182828485868788
+8a8c949fa4a19a948e8986888e8f897f
+79736e6c6f777a78726f6d6b6b6b6d6e
+707277818e979ea3a49f948c857f7a75
+7271767e82807a767371706f6f717985
+8d93989a958b86858988837a75767b7e
+8082817b726d6865636368727d848c91
+959899968d8482848888898887868482
+807e7c7a78746b6463676d6f6c696c74
+7e81807d818993989d9f9e978f89837e
+7d838888837d7c8086888a8a8b8a8884
+7a716e7074736e69696f767877737373
+747577797c7f8285878a8c8f97a2a8a6
+9f99948e8b8b8f8f898078726d6a6c73
+777a7d7e7f7f7f7e7d7b756c66625f5f
+646e767d83888d90918d86807c787574
+74757b858c92979a9c9c9a93887f7872
+6e6b696768696b6d70747d899294918f
+8e8c8c8f979b9d9e9d9a94897d756d67
+625f5d5f666f737371737b84898f9292
+8c8583858988827b7a7d828385868787
+868584827e766d68646362626368727d
+858e9497948f8f929797928a85807c79
+77767575757677797b7d7f848d969ba0
+a2a3a29f9b9690887c6f665f5c5e6163
+6667676260616164666a6e73787f8895
+9fa2a09e9d9a989593908e8b8a8b9194
+959593908d8984807b77726e6a67645f
+5852504f5157626d7680888e94979792
+8f909498999a999895928e8a857e746b
+66615f5e5e5f62656a6e73787e85909d
+a5acb1b1aba19a938c878788857f7670
+6b68666464666d767b7b797a80878b8f
+91918b84808285847f7876787c7e8081
+828282807b74706c6a6b70787b7b797a
+7f878a89868483818180808081818283
+84868d9598958f8c8e91908b827c7675
+797b7974707074797b7d7e7d7772706e
+6d6c6d6e7072757c868e91908e8d8c8c
+8a8988878584848382818180838a8f8e
+898484878a878079787a7c7a75706d6a
+696c737a7b79777a8085898c8e8d8681
+808386847e79797d81838585847d7874
+716f6e6f70717376787d858f959a9e9f
+9b938e88838081858687878580787473
+7676736e6b69686a70787e84888b8984
+827f7d7c7c7c7e838a8e919495969593
+918e898178727071706d67656363656b
+747b82878c8f92938f898686898a8680
+7c79787c8083868787817c797674757a
+80817f7c7b7a79797a7b7e868c909496
+948e88868789888887837b7471727474
+767778797a7974707073787b7f828485
+8685807c7a7777787e84888b8d8c8883
+82848787837e7c797877777778797a7c
+7d7e8083889095999c9b97908a888988
+8684807a726c6b6c6c6a666464646567
+6a6d7074787c8084888b8f9194969797
+9797959492908f919495959390887e77
+737371706f6e6e6d6d6a6461605f6166
+6f767c82888c8f918f8a868482818388
+8b8e8f90908e8d8a867f787576787773
+6f6f7277797875777b8183827f7f7e7f
+80868b8f9294948f8987888987827c7a
+7b7d7c78737375797a77747372737374
+76787b7d80828587898b8e949a9b9893
+908b8886878989888684827f7c7a7774
+6e6763605e5e5f636b717575777d858b
+8d8b8a8a898a8c929594908c8c8d8f8e
+8e8b867e7977787878787875706d6b6a
+6a6d747a7f84878a8b8d8e8e8d8a837f
+7b7876777c7f818485837f7b7978787a
+7f838481808286898b8c8d8d8c8b8a88
+858179746f6c6a686868696b6e717579
+7d8084878a8d8f92989fa1a3a3a09991
+8a837e79787978777775706b696a6e70
+737576757272767b7e7d7b7c8086898c
+8e8d8a8583858787837f7c7a78777777
+7778797c81888c8f91939393918b8480
+7f7f7e7a757374767879787472717171
+7273757a81888c9193959594918b8581
+7d7a797c7e7f80807e7a7777797c7e80
+81828282807c78767473737375787f84
+888c8e8d8a8787898b8c8d8c89837e7c
+7c7c7a7673716f6f7071727476787a7d
+80858c9296999a99938e8a85817d7a77
+757372727273747576787a80878b8f90
+908d8784848585817c79797b7c7c7d7c
+79757374787a7c7d7e7c79787a7e807f
+7c7c7e82858789898782808184858687
+86827d7b7b7d7e7f7f8080807f7d7877
+787b7d7f80817f7b7a78777778797a7b
+7d7f81848a90939697979796928b8480
+7f7e7b767170717372706e6f73777877
+767777797a7c7e82878d90939494908c
+8885827f7d7a7978787777777a7f8384
+83818285888885818081838384838382
+817f7e7d7a7672717274747271717172
+73757a81858787888b9092918d8a8886
+8482807f7e7e7d7d7d7d7d7d7e818688
+8a8c8c8d8c8b8986837e78736f6c6b6c
+707275787a7a78777778797d83878a8d
+8f90908f8c86827f7c7a7b7e7f818181
+7f7b7a7a7d7e808181807d7b7c7e8080
+807d7b7978787879797a7b7d80858a8d
+9092929292908e8c88827b7876767471
+6e6d6c6c6d72767b7f82838381818181
+8285898b8d8e8e8e8d8a85807d797775
+74737273747576787a8085878786888b
+8e8e8b8784817f7d7c7b7c7e81838485
+8685837e7b7a7b7b7c7c7c7a77757578
+7a7a7877797c7f81838484817f7e7e7e
+7d7d7e7e7f8083888a8b898786858485
+888a8a8a8a89888683817e7c7a787776
+75726e6c6c6e717171717274767b8186
+8888898c9092918f8c8c8e8f8e8d8b87
+827d797674727173777878777777787a
+7d828487898a8b8c8c8b8a88847f7c7b
+7c7a7774737578797876767678797a7c
+7e82878a8c8e8f8c898788898885807e
+7e807f7c7978797b7b7a78787a7d7f81
+828383838383828282828180807f7e7d
+7c7c7b7b7a797674747374767b7f8081
+818386898b8d8e8d8a878583817f7e7d
+7c7b7b7b7b7c7f828588898a8b8a8988
+878583817e7b76737273747576767675
+7475797c7e8182838180818486868482
+8284858687878682807e7c7b7c7e8081
+7f7d7c7b7a7a7b7e8183838281818080
+8285868582807f7e7e7f828382807f7e
+7d7c7b7b7b7e818282807f7e7d7c7c7c
+7d7f83858688888682808081807e7c7b
+7b7d7d7c7a797877787a7d8082848587
+888885827f7d7c7b7a797a7c7f818384
+85848281828384848483838382817f7b
+78767474737374767a7e81848688898a
+8a8a89898887868483817f7d7b797877
+747271717173767a7c7c7d7e7f818387
+8a8b8b898a8c8e8d8a8685858684817e
+7d7e7e7d7a7877797a7a7977787a7d7e
+7d7c7c7c7d7e81848587888888888786
+84807e7d7e7e7e7e7e7c7978787a7b7b
+7c7d7e7f807f7d7c7b7a7b7b7c7d8083
+86868483838383828282848788878483
+828383817f7d7e7f807f7c7b7a797978
+78787a7d7e7f7e7e7f7f7f7f7f7f8080
+81828283848484848483838382828284
+86868481808182817e7c7b7c7d7d7e7e
+7d7b79797a7b7c7c7d7d7d7e7e7f807f
+7e7c7b7c7e7f80818282838383838280
+7e7c7b7b7b7c7e7f7f7e7e8083848686
+878788888786858482807d7a77767474
+747476797c7e7f7f818486888a8b8c8c
+8b8a898784827f7b7876757475787979
+787879797a7c80828587888886848382
+81807f7f80828383817f7e7d7d7f8183
+84858583807e7d7e7e7d7b7a7b7d7e7e
+7d7b7b7a7a7b7e7f818384848281807f
+7e7e7e7e808284848281807f7f7e7e7e
+7e7f8183838281807f7e7d7f8181807f
+7f7f7f7f7e7e7e7e7d7d7e7e7f818484
+848281807f7f7e7d7e7f818283848382
+7f7e7d7c7b7c7d7e7f80808181818180
+7f7d7c7b7b7c7d7e7f80808080808080
+80808080808080808080807f7e7c7a7a
+79797a7c7f8183848584838282848586
+87868582808080807f7f7f7e7c7b7a79
+79797c7e7e7e7d7d7d7e7f8284858687
+8888878683807f80807e7c7b7b7c7d7d
+7c7b7c7d7f7f8081818182817f7e7d7c
+7b7c7e8080807f808283848382828384
+8484817f7e7e7f7f7f8080808080807f
+7e7e7d7d7d7d7c7b7979787979797a7b
+7e818385868788898988868484848483
+82817f7c7b7b7b7b7c7c7c7b7a79797a
+7a7b7d7f7f7f7f7f7f80808182828383
+8587888989898988878684827f7c7977
+76747473737475787b7c7d7d7f818485
+84848383838282828281818183848484
+83828181818182828181807e7c7c7c7d
+7c7b7a7b7c7d7e7f7f80818181818181
+81818080807f7e7e7d7d7d7d7d7d7d7c
+7b7c7d7e7f7f80807f7f7f7f7f808081
+81828283848586888889898989888785
+8482807e7b7876747271717172737577
+797b7d7f818386898b8d8e8e8d8a8886
+8482807f7e7e7f7f7e7d7c7c7d7d7d7e
+7e7e7f7e7d7c7b7a7a797b7d7e7f8081
+8283838281807f7e7e7d7d7d7e7e7f80
+8384848382828281818182838382807f
+7e7e7e7e7f808181818181807f7d7c7d
+7e7e7d7c7b7b7b7b7c7e7f7f7f808183
+83828181828384848383817f7e7d7d7d
+7c7c7d7f8081807f7f80818282838281
+7f7e7d7d7d7c7c7d7e8080807f7f7f80
+80828383838181807f7e7e7f80818080
+7f808182817f7e7e7f7f7f7f7f7f7f7f
+7e7d7c7b7b7b7b7c7d7e808080807f7f
+7f7f7f7f808080818284858483828283
+8382818080818281818180807f7e7c7b
+7b7c7c7d7d7d7d7d7e7d7c7c7d7e7f80
+818182838383828281817f7e7d7d7c7c
+7d7e7e7e7d7e7f808182838384848483
+81807f7e7d7c7c7c7b7b7c7d7e7f8080
+81838586878787878685848382807d7c
+7b7b7b797877787a7a7a797a7c7d7f7f
+7f808080818384848483838384848382
+81818180807f7f7f7f8080807e7e7e7f
+80807e7e7e7e7f7f7f7f7f7f80808080
+80807f7f7f7e7e7e7d7c7b7b7b7c7d7d
+7e7e7e80818282838382828181808080
+80808080818283848484848484838382
+81807f7d7c7a797877777778797a7c7e
+7e7f8081828385868788888887868583
+817f7e7c7b7b7b7b7c7b7b7b7b7b7c7d
+7f8081828282818180807f7f7f7f8081
+8281818080807f7f7f7f808182818180
+808180807f7e7e7e7e7e7d7d7d7d7e7f
+808182828282828281807f7e7d7d7d7d
+7e7e7d7e7e7f7f7f7e7e7e7e7e7e7e7f
+7f7f8080818182828282828282828282
+8281818282838383828281807f7d7c7b
+7a7a7979797a7b7c7c7d7d7d7d7e7e7f
+7f808081828384858484838383828282
+81808080818181818180807f7f7f7e7e
+7d7c7c7c7c7d7d7e7e7d7d7d7e7f7f80
+807f7f80818282828282828282818181
+807f7e7e7e7e7e7e7d7d7c7c7d7d7e7e
+7f7f7f80808282838383848484848383
+828180807e7d7c7b7a797979797a7b7c
+7d7e8082838485858686868584828180
+7f7e7d7d7d7e7e7f7f7f808181818181
+8180807f7f7d7d7c7d7d7d7e7e7f7f7f
+7f8080807f7f7e7e7e7e7d7e7e7e7f80
+81828383838383828283838382818080
+7f7f7f8080808080807f7f7e7e7e7e7e
+7e7d7c7c7c7c7c7d7d7e7f7f80808181
+808080818181828282828181807e7e7d
+7d7d7d7e7f7f7f7f7f7f7f7f80808182
+828282818180807f7f7f7e7e7e7e7e7e
+7e7f8081818181818182828181818080
+807f7f7e7d7d7c7c7c7c7d7e7e7e7f7f
+7f7f7f80818080808081818181818180
+808080808081818080807f7e7e7e7e7f
+7f7e7e7e7e7e7e7e7f80808080808080
+8080818282818180807f7f7f80808181
+8180807f7f7f7f8080808080807f7f7e
+7e7e7f7f80808080807f7f7f7f7f7f7e
+7e7f7f7f7f7e7f7f8080818180808080
+807f7f7f7e7f7f8080807f8080818181
+808080808080807f7f80808181808080
+80807f7e7e7e7f7f7f8080808080807f
+7f7e7e7e7f7f8080818181807f7f7f7e
+7f7f80808181818080807f7f7f808080
+8080807f7f7f7f7f7f7f7f7f7f7f7f7f
+7f7f7f7f80808080807f7f8080808080
+80808080808080808080818080808080
+7f8080807f7f7f7f7f7f7f7f7f7e7e7e
+7e7e7e7e7e7e7e7e7e7e7e7e7e7f7f7f
+7f7f8080818181818181818180808080
+80808181808080808181818181808080
+7f7f7e7e7e7e7e7d7d7e7e7e7f7f8080
+80818181818181818181818080807f7f
+7e7e7e7d7d7d7d7d7c7d7d7d7d7d7e7e
+7e7f7f80808181828283838384848484
+848484848483828281807f7e7e7d7c7b
+7b7a7a797979797a7a7b7c7d7e7e7f80
+80818182828383848484848483838282
+81818180808080807f7f7e7e7e7e7e7e
+7e7e7e7f7f7f7f7e7e7e7e7e7e7e7e7e
+7f7f7f8080807f7f7f80808081818181
+8181818180807f7f7e7e7e7e7e7f7f7f
+7f7f7f7f808080818182828282818181
+807f7f7e7e7e7d7d7e7e7e7f7f7f7f80
+8081818182828181818080807f7f7e7e
+7e7e7e7e7f7f7f7f7f80808080808080
+808080808080807f7f7f7f7f7f7f7f7f
+7f808080808080808181818080808080
+807f7f7f7f7f7e7e7e7f7f8080808080
+8080807f7f7f7f7f7e7e7e7e7e7e7e7e
+7e7f7f7f7f7f7f7f8080808080808081
+81818181818181818181818180808080
+8080807f7f7f7f7f7f7e7e7e7e7e7e7e
+7d7d7d7e7e7e7e7f7f7f7f7f80808180
+80818181818180808080818181818080
+80807f7f7f7f7f7f807f7f7f7f7e7e7e
+7f7f7f7f7f7f7f7f7f7f80808080807f
+7f80808080808181818080807f7f7f7f
+7f7f7f7f7f7f7f7f7f7f7f7f7f7f7f7f
+7f7f7f7f7f7f7f7f7f7f7f8080808181
+8181818080808080807f7f7f7f807f7f
+7f7f8080807f7f7f7f7f7f7f7f7f7f7f
+7f7f7f7f808080808080808080808080
+8080808080807f7f7f7f7f7f7f7f7f7f
+7f7f7f7f7f7f7f7f7f7f7f7f7f7f7f7f
+7f7f7f8080808080807f7f8080808080
+808080808080808080807f7f7f7f7f7f
+7f7f7f7f7f7f7f7f7f7f7f7f7f7f7f7f
+7f80808080808080808080807f7f7f7f
+7f7f7f7f80808080808080807f7f7f7f
+7f7f7f7f7f808080808080808080807f
+7f7f7f7f7f7f7f7f8080808080808080
+807f7f7f7f7f7f7f7f80808080808080
+8080807f7f7f7f7f7f7f7f8080808080
+8080807f7f7f7f7f7f7f7f8080808080
+80808080807f7f7f7f7f7f7f7f7f7f7f
+7f7f7f7f7f8080808080808080808080
+80808080808080807f7f7f7f7f807f7f
+7f7f7f7f7f7f80807f7f7f8080808080
+808080808080807f7f7f7f8080807f7f
+7f7f7f7f7f7f7f7f7f7f808080808080
+7f7f7f80807f7f7f7f7f808080808080
+80808080807f7f7f7f80808080807f7f
+7f7f7f7f7f7f7f7f8080808080808080
+8080807f7f7f7f7f7f7f7f7f7f7f7f7f
+7f7f8080808080808080808080808080
+8080807f7f8080807f7f7f7f80808080
+8080808080808080808080807f7f7f7f
+7f7f7f7f7f7f7f7f7f7f7f7f7f808080
+80808080808080808080808080808080
+8080808080808080808080807f7f7f7f
+7f7f7f7f7f7f7f7f7f7f7f7f7f7f8080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+
+
+
+
+
+
+
+
+
+
+
+
+
diff --git a/Arcade_MiST/Namco Rally-X Hardware/meta/Rally-X.mra b/Arcade_MiST/Namco Rally-X Hardware/meta/Rally-X.mra
new file mode 100644
index 00000000..e5fe983a
--- /dev/null
+++ b/Arcade_MiST/Namco Rally-X Hardware/meta/Rally-X.mra
@@ -0,0 +1,1056 @@
+
+ Rally X (32k Ver.)
+ 0220
+ rallyx
+ 20200427161917
+ 1980
+ Namco
+ Racing
+ rallyx
+
+
+
+
+
+
+
+
+
+
+
+
+807f7f796b717f7995bfd3bebfb9b5b1
+adaaa6a3a09d9a989593907620001601
+0e121b1f252a2f34383c4044474b4e51
+5456585a5c68867e4f66626467676a6a
+6d6d6f7181befffdf6fff7fdf7f9f0ed
+e7e3ddd6ae3e073e2a38383d40434649
+4c4e5052545661a2fffdeffee8efe1e3
+d9d8d1cdbc8c5a83d2c4b3bcacb0a6a7
+a09f956501000e0014121e20272a3033
+39434b3b4247454d4c577dac755599fa
+ffecf9c7884a4067d5ffe5e4e0d7d2b6
+9bbed0b5bfb1b3aba9a49d835e422304
+000f121b20262b303438404a42414c49
+51515d96ffffedfae5e9dddcd3d1cac7
+c1beb9ac6c0000221228232f2f37383e
+404446494c4f515671cdfff4f9f2e9e8
+dedcd4d1cac6c1bca54c00161e1b2b27
+3231393a404247484c4e505255575f91
+fbffecffebefe4e0c17a3629323a3a41
+4146474b4c4f5153566bbefff6f7faea
+ede1e0d8d5cecbc5c1bcb8b3af9a4500
+0a1211201e29293133383a3f4145474b
+536e98b6d2e9f5f1e7e4ddd8d2cdc8c3
+bfbab5a1560005191522232b2d33363b
+3e4246494b4d4f5477e0ffeafae8e8e0
+dcd6d2c9a24c141e293367b1933e2f30
+3b3b5cb8ffe1cab2cce6c4d1c2c2bab8
+b2afaaa7a28d4d336f6428000e121621
+232b2d33363b447ff4ffd3ead2d8cbcb
+c2c0b9b5aa84401506101c1e282b3134
+3e74e7fccfe3ced2c7c5bfbcb7b3a579
+598792979e978e59020013081d1b2729
+3133393c446ed5f6daedd8dbd1cfc8c5
+bfbcb7b3afaba7a4a09d9a98958b6332
+250d02060a131a20262c31363a3e4246
+4f84f4ffe0f2dee0d6d4cdc9c4bfbab6
+b2aea88b2f000f0e1720242d3036393e
+4773cffde9e3ded7d3cdc9c4b7790d05
+291b2e2b35363c3e43454a5eabfffceb
+eee2e0d7d3cdc8c3bfbab6ae8421001a
+1520252a2f33383b4043474a4d5792ff
+ffe8f7e2e6d9d9d0cec1933409202429
+2f33393c414c85f0ffe1ecdcdbd3cfc9
+c3b98c2200231b272b30353b518cc9e5
+eedddbd4cec9bc89200126213d5c7488
+9aa6afb4b3933e12332f363a3d414347
+494d4f52545a7ac0f4fffaf5f0e8e4dd
+d8d2cec8c4bfbbb7b3ae9e5b00001411
+1f20292b3134393c404346484c5784cb
+f3fdf0ebe8e1ded7d3cdc8c3bebab5b1
+ada9a5a19e9b98884200060903151420
+222a2d33363c3f4345494e6fc5fff6ec
+e9e2ddd7d2cdc6a74d0d32312a2b3336
+393d4044464a4e5d715a4b5b555c5a5e
+627590a7c0d8effdfbf8f5edeae3dfd9
+d4cfcac5c0bcb6a65c00051e1326222d
+2d34363b3d4144474a60b7fff1eaedde
+e0d5d5cdcbc5c1b39aa1c0aaaca6a29f
+9a989492886e4b28000007020f131c20
+272f4a63422c453e46474e6fb6bd90a9
+deffe0e8dddad5d0cbc6c1bcb8b3ae9a
+51000313131f222a2d33363b405baffd
+eee1e1d6d3cdc9c2ab662228618c7b3e
+183363a9cedccec9c5bebbb5b1ada9a3
+8832000809121b202f332d363b3d4d7a
+bfe0dc9a674c363f43464c67c0fff4ec
+ebe0dbcbccd3c7c4c0bab6b0ada9a6a2
+9f9c999794895400000d000f111c202d
+3b342b3c38404145484b558bf3ffeaf4
+e5e5dcd9d3cec5a4571e17232a2c3437
+539deaeddedad5cecac4c0baa8660d03
+1a1b24292e333f6fbfe6ce9889b0e3d6
+c9cabfbeb09486aca46e21021a4d728f
+a8b8c0bcad7d2703183b5138192f3034
+3f62afe3eddfcda26c533c529edadacf
+cac6bfb4a0b0bca17a2c0b06171c2c5d
+a3cbd8c9aa76679dbeb37b4022111e29
+4d9bcfdbcfc8c09a60371f18272a3135
+3a3e42454a597cb7ffffe5eededfd5d2
+cbc7c1bdb8b5b0adaaa7a49f893a0008
+090c1a1b25283032383b40434649506c
+b9fffbebefe2e2d8d3cdcec5c2bcb8b3
+b0aba4822e051e13121721242c2f3538
+3d4044474b4e5155628fcceffff7f1ec
+e5e1dad6d0cbc6c1bdb17f2804141d21
+292d32363a3e4144485685aa8b8fc0fc
+f6e3e8dbdac79d6a6792c2bd8d535077
+abbccabeb58b40130c1b21252c2f3854
+a1e2e9dbd6d2cbc7ba934d24131d2728
+375b8c73514c3d3f40464d74cafff0e9
+e6dddad3cfc9c5c0b99e5b37412b2c24
+24262e3135393d40444961a6ebf9eee5
+e3d6bb919ecdd2c4c4bdb9b4b0aca8a5
+a196743200020b0b1a1a25282f32373a
+3e4774d4ffe4e4ddd7d1c3ae9e99afcb
+b9b7b5a991624e5f97b0aba5a39a7636
+2c52606c75787976726b64562e01111e
+1e2a2b33363b3e42496bb3ceaaa0958d
+898686888c90959db5e5edd5dbcfcec7
+c4bebab5a88f7a5a190016181f272a31
+353a3d4145569cfafae4e8dcdad3d0ca
+c6c1bcb8b3afaba6925005000c111b20
+262b3035393d4d82c5e6efd1aa93b3d8
+d1b17c6380a5a67f483c57879db4c0c6
+c2bcb8b3ab9c8a7a69542b000c1c1a27
+273032383b4043496198c5dfdba78991
+bfe1e3dcd4d2cac7bc994e1b121f282a
+33343a3c4043475494f5ffe8ece1ded7
+d3cdc8c3bfbab6b2ac9954000010121d
+21292d34383c3f4345484c62a3e7fcf5
+e9e8ded6b485654d466ca3b49e715b46
+3933333b487dcbeee3c4bcd1dbc8c9bf
+b3b2bdb0a4763f22090f2852819cb5b8
+935b485b848b6f40344e7e8d774c392e
+343d5492beb09283766d69676a7bb2ed
+eadadbd1cec7c3beb89e5c1f0d142222
+2c2d34374165afe0ede2d9d7c8bec6c8
+b7a26a5d7a8c7c4d2114172526385d7c
+604e49414764abe3f1e5dddad2cfc9c4
+b483412016365350322537353d404447
+4e6fbff9f9ece7e2dad6d0cbbb8e5332
+1a1d2a386590abc6d4d6ccc8c3bebab6
+b1a5907b6a584728040b1d1b28293134
+3f5d8ca9bba97e7186b3c5b288788db8
+cfd4cbc5bc966240271c386984795746
+3a323c64a8d4e8dfd6d4c8b387685a6c
+9aa9976b523e2d26242c375ca3d3e4db
+d0cfc6c3bcb9b3ad9051354537200c20
+242930385171899da79163493b3c4444
+4d6cadccbbacbae2f4dfe0d5c0a08b79
+6a636ea0cbcdb79994b1c2b1a37c6985
+9ba3a8a29f9c967c3e0a00091a2c3b48
+5660685f39223234383d4043465281cd
+f5f8e9e6e0dad5d0cbc5b58c624b4364
+8697aab1a77a4c4256736e502a232731
+32393b40445895ddf5efe2e1d8d5c8ad
+9099b8c0aa80606784959fa7a1834a2b
+2c485a6775766133202b47657c90a0ab
+b4b9bbbab18f553020273131393a404e
+7ebce0f3e8dfddd4d0c1b4bcbd9b6944
+455d6a58341f2e5172889eacb8bec2bf
+ae7e4c3b48636147292e4468879fb3b4
+966f5c483f456fa0b1a48a8fafd7ddd6
+cfccc3af815d442e23202a2f33383b41
+5593d9f1ebdddbd6d3cac8c1beb8b4af
+a37d4d3017112c53697f8f9aa2a5a6a3
+9e978e857b71665d544d4844413f3f41
+43474d53574b38404647565e514e6890
+bfc3b3a3a0989cb3e6f7e8e4dfd8d3cd
+c9c3bfbab6b19c67321608171d222e37
+30323b3a424f80b9c7b9aeaaa3a19e9d
+a0b8dddbcccec4c2bbb8b2afaba7a195
+8374613f0e000b0e171d24304456625c
+3f343e4043474b5d90c0beb4bdddf8e9
+e3e0d3bfa59684797895bbc7c4bbb9b3
+b0aba8a4a0957c64513d27080011141d
+22282e33383d4973b3dbefe7dddbd2cf
+c8c4bebbb6b2aea99c85705e4c3c280d
+071a1b24282e33373c3f43464a4e597a
+a4c1ddf1f7eee8e4ddd8d2cec8c4bfba
+b5b0aa915c3d301009171f212a2d3336
+3b3e424966a1bfb3afaba7a5a2a0a0b1
+d8e5d4d3ccc7c1b38f62493f55655d41
+27242932343a3c445a90bedbefe5d6be
+a2907f83a0b7b296797a8ea2987a5a4b
+3830282a2f353e6199c0dce7dbd5cab5
+b4c6bea67e63697b83878b8a88837858
+2d171521262b333e473c446392b6d0e6
+e8d9bda6adc3c4ae866c564439302d2d
+3238404c5967758499c3f1eee0e0d7d3
+cdc8c3beb28d563419121f242b383d33
+3b4d719491837b7a85aed2e4e7dad7cf
+bfaab2bdab89635b66797d86878a8986
+765130293a515c6d78838b9195979898
+9794918d877651302a3a51524332383c
+4048689bc0deefe8e0dcd6d1ccc6c1bd
+b4965d3e4042381f1827293035393d40
+4d76a5a6a7bcdef0deddd7d2cdc7c3bd
+b9b4afa37d4a2c120915233436262e34
+373d49719da59b999897999a9c9fa2a4
+abc3dfd6ccccc3c1bab7b1aea58a5a38
+1e0b0b171e232a2e343b588eb7d4e6dc
+ccb8a4958b95b1bfc2bdb7b4afaa9363
+3a210a0c171d232a2e33373d5185bad9
+ede1d9d4c4ae9b8c7d73748da7aa977c
+778599957f615140362f2b2c395e8798
+92827f7876757576797c8190b5d8ddd3
+cdc9c3c0bbb5a0724a311f2d3f413224
+2e39516e81949989727285a0a4967e74
+69656b89abb6ac9899abc6cfd1c2a78b
+88969b8c6c565869787f88887b5a4535
+29262a3134393d445163738494a6c7ef
+f0e0e1d8d4cecac5c0bbb7ae97745e49
+392d2734546e81929da6a9aaa8a39981
+593e3845515761676e72777a7c7b6d4f
+3a3030393e547996adc0bfaa988e827d
+7877777a85a5cad8d0bbb7c3cec3bfbb
+b6b3aeaba69b85705e47240406131820
+262b30353a4a739fbbd7e4e1d7d4cdc7
+b89c939b9390887b5d3a2b30414a555e
+61533d36343b405175908f888ea8c9d6
+ceb9aea1978f8783869dbbc5baa19386
+889daba48b7675838e846c55493b3530
+3034476c8ea5bbc7c3a99593a0aa9f85
+6b5d4d474d687d7e6f626a8298a3b0b4
+ab8d7463544a423f3e3f434c628badb8
+b0a5a29a99a1bed0d0c8c3bfbab6b1ae
+a589583424262b22191f292a32353a3d
+41465a83a8c1dae4dbc2b9bfd1d0bea1
+8c7a6a6470878e846e6359525468879b
+acb8bbaa8d7b6b5e5a68818f9ca4a594
+7766574c4a5a75817d6c6a7891a0adb6
+b5a486787a898f93969594908b858079
+726c624c2f2127394755636b65544e4b
+4a4e535b65738bb5dae8e2d6d2c8c3c5
+d4d2c7c6bfbbb5b1ada89f8a725f4521
+0608151b21272c31353a43515d759fc4
+d4d0c6c3bbb7baced5cac6c1bcb8b2ab
+91664e4d4b3f28151821272c32363b44
+618ca9c2cdc1b4aca19b9eb2c5cccec5
+bfab89715d4b3f36312e2f32383f4954
+6381aac3c9bfbcc8d8d4cac2a9948377
+7a8a9195979280624d3c2f272223292f
+333942505e6c7a8896a2adb7bec5c9cb
+cccac8c5c9c8bfbdb7b2adaca6916e53
+3e2a1e14131a263b596c808c89786e68
+62605f6063697695b5c8d9dcd4c7b299
+89786b60595c70858a7f6f69615e647b
+929990818392a5a597827f899794856f
+655852556980867e6f6a646268819aa2
+998b8c9badad9e8a868e9c9fa4a29a80
+6554443a332f2e30353d4d6f93acc3d3
+d7c8b3a5968a7f798094a2aab1b2b1ac
+a59c93866f4e35241718212e41494141
+4449515a65717d8998b2d8eeebe1ded8
+d2cdc8c3bdb8ad927a7a726b645b492e
+1c171f272b33425d748799a7b3bbc0c0
+b49a89868f9596999897948f7f645143
+38333032363d46515d7093b9d3e7e8de
+dad5cfcac2ae8e766352463d38353538
+3e4b688a9c9d969bacc3cdd2cdc6c3bd
+b9b4aa9573513e3a3e3f424544382a29
+2f353945608095acbbc9d1d1c1aa9b8c
+817c8797998f7d798191989ea1a2a19d
+947e64585a64686d7174756c594b433d
+3c3d41495c7d98a39f9daabfd1d7d6cd
+c9bca6989c9a96918a837b736247332f
+39424137313a4e636965637189a1b0bf
+c6c3afa09ea7afb0b2aeaba49c8b6f58
+51565d5f64666151453f3b3d48637b8e
+a0acaea0958e87848a9eadb6bec0c0b8
+a48879777d796a574b423b38383b445a
+788ea1b0bcc3c7c8c6c0b69f81706b6f
+6a5c483d353134445d6c6f6a6c6d7078
+8da8b5b4a9a39d9894918e8c8a898b99
+aeb8c0c2beb9b4aea497897b6e61564c
+402e18121a232c3a4752524c4d505d78
+909b9a979a9a9da7bed0d3c8bab9c1c8
+bfab958e90948976635f656d675a4d4f
+5b696a6359575456585c62718ca5b6c5
+ced2cfc8c1ae927e6d5e534b464a5b6b
+706a626260626b81959c978e8b858380
+7f7f879aadb6bfc1baa58f7f6f635955
+5c6b7370645e5a5757585a5e63697387
+a2b5c3cdcfc4af9e8f81787a84888b8d
+8c8a867f6f594c4c55595347444d5f6d
+79858e969b9fa2a29f927e73737d8285
+89877e6c625b565454565c6e88999e98
+98a2b4bfc6cbc8baa2908072675e5853
+504f5053585d64718aa2b2c1c8c7b7a5
+9d9fa3a09e98928a817970685c473328
+1f1f263244525253595f676f78828c95
+9fadc6dde3ddd2c8bcb3adb3b9b7b5ae
+a69c9185786c61574d412e1d161a2328
+2e384c627380807d808185898e929cb1
+c6d1dad8d0ccc7c1bbac8e725f4c3f34
+2e2a2a2d3238414d63829bafc0cbcabb
+b1a79d9799a4a7a08f8382898b827265
+5d55524f4f515e738285807c7c7a7b7c
+7e7f82848895a9b6b5a9a1a2aaafaeac
+a5967e6c65676866666464636159493f
+3a3636394357708395a3aba69d98938f
+8c8a888c9aabb4bbbdbdbbb5aa957d70
+6d6f685b4c484e595c574f525e6f7776
+6f6f6f7278899daab6bdbdb1a0958980
+79726e6e79878b867b7a828e93989996
+88776d635c57555455575b64778d9ca9
+b2b4aa9b91877e777377848d8b80797b
+858c887d726d66636161616366696d71
+78859bacafa7a09b94908a86817e7b79
+7877777f8f9a998f847e777476838b89
+7e746e68656261606163677286969a93
+8c89848387969e9c9187889096908376
+6e656062707a81878b8e8f8f8e897b6a
+62646c7074787a7d7e8081817e74665e
+5855576476838f989e998e898481818b
+9aa3aaafb1b0ada9a39d958d857a6955
+4b4a50514c44414142474d56627892a6
+b6c3c9c4b7b2b4babab9b5afa79e948a
+80766c635a4b3a302925262c31363e4a
+57657a96b1c4d5dfe0d5c9bdb1a69b91
+877f78726e6a6867676971818f979fa3
+a5a5a29e99928b837b736c66605b5754
+5251525355544d4747474c566b7f90a0
+acb6bdc1beb1a39a8f89878f9593897e
+78716e717d888f95999a988e7e76767c
+7f80817f7769615b575656585d6b7e8a
+8d898a93a1aab0b4b2a9988e8b8e8e8c
+8a86837e7975716d6a68645b4e494c56
+5c5c5857595c647388969b989aa4b2ba
+c0c3c0b6a496897e76767d8081828078
+6a63656d72767a7a756a64605d5c5d60
+63686e747b8598aab1aea7a9b0b7b4a8
+9a949597908272695f595860696f7579
+79716965616063707d82807b7f899496
+9188857f7d7f8994999ea0a1a09d9994
+8e8474645a504b4b545f666f7679746f
+727c878a8781838c9798938a86817d7b
+7a79797a7c7d818a9aa4abb0b2b2afaa
+a49d958c83797068605a5550483c3634
+33374255646c6f758294a3aeb8bdbaaf
+a8a7acaca4958a878a8a82766d6d7276
+726964687177766f6c717c83837d7a79
+7779808d969ca1a3a5a4a29e9a948f88
+817b75706b63574b474b535654515661
+70787b7a7d7f83868a8d9195989b9d9f
+a0a1a2a2a19fa0a8b0b1b2aea9a29a91
+887e756c63594a3c36373d3f3e3b404c
+5b666a6b70757b8393a5adada8aab0b9
+b9b1a59c938b86898e8e8f8d887d6f67
+6060676d717577756c676972797e8387
+8a8c8c887d76716d6b6f7a82837e7b80
+8991959a9b988c8483878a8a8b8a8478
+706e7377797c7c786f696971787c8183
+827a75726f6e6e6f727a889295928f8e
+8b8b8f99a1a5a7a7a5a29d97918a827b
+746e68635f5a5147423f3f434e5e686d
+6f74797e84898f94999da3afbcc3c8c8
+c4b8a7998c80787779766e635d575353
+5a656d747b7f7c767471706f70717377
+7a808b99a09f9996928e8a8785848a93
+959087827c7773706e6f7680868b8f8f
+887e777271777c7f828485858483817f
+796f6765696e6d686568717a80878b8b
+85807d7b7a797a7b818d979da3a6a8a7
+a6a29e988f7f72685f59555251525458
+5d63696f767e8999a7adaba7a9afb4b3
+b3aea595867e7b7871665b55504d4c4e
+505764717b8384828182828485868788
+8a8c949fa4a19a948e8986888e8f897f
+79736e6c6f777a78726f6d6b6b6b6d6e
+707277818e979ea3a49f948c857f7a75
+7271767e82807a767371706f6f717985
+8d93989a958b86858988837a75767b7e
+8082817b726d6865636368727d848c91
+959899968d8482848888898887868482
+807e7c7a78746b6463676d6f6c696c74
+7e81807d818993989d9f9e978f89837e
+7d838888837d7c8086888a8a8b8a8884
+7a716e7074736e69696f767877737373
+747577797c7f8285878a8c8f97a2a8a6
+9f99948e8b8b8f8f898078726d6a6c73
+777a7d7e7f7f7f7e7d7b756c66625f5f
+646e767d83888d90918d86807c787574
+74757b858c92979a9c9c9a93887f7872
+6e6b696768696b6d70747d899294918f
+8e8c8c8f979b9d9e9d9a94897d756d67
+625f5d5f666f737371737b84898f9292
+8c8583858988827b7a7d828385868787
+868584827e766d68646362626368727d
+858e9497948f8f929797928a85807c79
+77767575757677797b7d7f848d969ba0
+a2a3a29f9b9690887c6f665f5c5e6163
+6667676260616164666a6e73787f8895
+9fa2a09e9d9a989593908e8b8a8b9194
+959593908d8984807b77726e6a67645f
+5852504f5157626d7680888e94979792
+8f909498999a999895928e8a857e746b
+66615f5e5e5f62656a6e73787e85909d
+a5acb1b1aba19a938c878788857f7670
+6b68666464666d767b7b797a80878b8f
+91918b84808285847f7876787c7e8081
+828282807b74706c6a6b70787b7b797a
+7f878a89868483818180808081818283
+84868d9598958f8c8e91908b827c7675
+797b7974707074797b7d7e7d7772706e
+6d6c6d6e7072757c868e91908e8d8c8c
+8a8988878584848382818180838a8f8e
+898484878a878079787a7c7a75706d6a
+696c737a7b79777a8085898c8e8d8681
+808386847e79797d81838585847d7874
+716f6e6f70717376787d858f959a9e9f
+9b938e88838081858687878580787473
+7676736e6b69686a70787e84888b8984
+827f7d7c7c7c7e838a8e919495969593
+918e898178727071706d67656363656b
+747b82878c8f92938f898686898a8680
+7c79787c8083868787817c797674757a
+80817f7c7b7a79797a7b7e868c909496
+948e88868789888887837b7471727474
+767778797a7974707073787b7f828485
+8685807c7a7777787e84888b8d8c8883
+82848787837e7c797877777778797a7c
+7d7e8083889095999c9b97908a888988
+8684807a726c6b6c6c6a666464646567
+6a6d7074787c8084888b8f9194969797
+9797959492908f919495959390887e77
+737371706f6e6e6d6d6a6461605f6166
+6f767c82888c8f918f8a868482818388
+8b8e8f90908e8d8a867f787576787773
+6f6f7277797875777b8183827f7f7e7f
+80868b8f9294948f8987888987827c7a
+7b7d7c78737375797a77747372737374
+76787b7d80828587898b8e949a9b9893
+908b8886878989888684827f7c7a7774
+6e6763605e5e5f636b717575777d858b
+8d8b8a8a898a8c929594908c8c8d8f8e
+8e8b867e7977787878787875706d6b6a
+6a6d747a7f84878a8b8d8e8e8d8a837f
+7b7876777c7f818485837f7b7978787a
+7f838481808286898b8c8d8d8c8b8a88
+858179746f6c6a686868696b6e717579
+7d8084878a8d8f92989fa1a3a3a09991
+8a837e79787978777775706b696a6e70
+737576757272767b7e7d7b7c8086898c
+8e8d8a8583858787837f7c7a78777777
+7778797c81888c8f91939393918b8480
+7f7f7e7a757374767879787472717171
+7273757a81888c9193959594918b8581
+7d7a797c7e7f80807e7a7777797c7e80
+81828282807c78767473737375787f84
+888c8e8d8a8787898b8c8d8c89837e7c
+7c7c7a7673716f6f7071727476787a7d
+80858c9296999a99938e8a85817d7a77
+757372727273747576787a80878b8f90
+908d8784848585817c79797b7c7c7d7c
+79757374787a7c7d7e7c79787a7e807f
+7c7c7e82858789898782808184858687
+86827d7b7b7d7e7f7f8080807f7d7877
+787b7d7f80817f7b7a78777778797a7b
+7d7f81848a90939697979796928b8480
+7f7e7b767170717372706e6f73777877
+767777797a7c7e82878d90939494908c
+8885827f7d7a7978787777777a7f8384
+83818285888885818081838384838382
+817f7e7d7a7672717274747271717172
+73757a81858787888b9092918d8a8886
+8482807f7e7e7d7d7d7d7d7d7e818688
+8a8c8c8d8c8b8986837e78736f6c6b6c
+707275787a7a78777778797d83878a8d
+8f90908f8c86827f7c7a7b7e7f818181
+7f7b7a7a7d7e808181807d7b7c7e8080
+807d7b7978787879797a7b7d80858a8d
+9092929292908e8c88827b7876767471
+6e6d6c6c6d72767b7f82838381818181
+8285898b8d8e8e8e8d8a85807d797775
+74737273747576787a8085878786888b
+8e8e8b8784817f7d7c7b7c7e81838485
+8685837e7b7a7b7b7c7c7c7a77757578
+7a7a7877797c7f81838484817f7e7e7e
+7d7d7e7e7f8083888a8b898786858485
+888a8a8a8a89888683817e7c7a787776
+75726e6c6c6e717171717274767b8186
+8888898c9092918f8c8c8e8f8e8d8b87
+827d797674727173777878777777787a
+7d828487898a8b8c8c8b8a88847f7c7b
+7c7a7774737578797876767678797a7c
+7e82878a8c8e8f8c898788898885807e
+7e807f7c7978797b7b7a78787a7d7f81
+828383838383828282828180807f7e7d
+7c7c7b7b7a797674747374767b7f8081
+818386898b8d8e8d8a878583817f7e7d
+7c7b7b7b7b7c7f828588898a8b8a8988
+878583817e7b76737273747576767675
+7475797c7e8182838180818486868482
+8284858687878682807e7c7b7c7e8081
+7f7d7c7b7a7a7b7e8183838281818080
+8285868582807f7e7e7f828382807f7e
+7d7c7b7b7b7e818282807f7e7d7c7c7c
+7d7f83858688888682808081807e7c7b
+7b7d7d7c7a797877787a7d8082848587
+888885827f7d7c7b7a797a7c7f818384
+85848281828384848483838382817f7b
+78767474737374767a7e81848688898a
+8a8a89898887868483817f7d7b797877
+747271717173767a7c7c7d7e7f818387
+8a8b8b898a8c8e8d8a8685858684817e
+7d7e7e7d7a7877797a7a7977787a7d7e
+7d7c7c7c7d7e81848587888888888786
+84807e7d7e7e7e7e7e7c7978787a7b7b
+7c7d7e7f807f7d7c7b7a7b7b7c7d8083
+86868483838383828282848788878483
+828383817f7d7e7f807f7c7b7a797978
+78787a7d7e7f7e7e7f7f7f7f7f7f8080
+81828283848484848483838382828284
+86868481808182817e7c7b7c7d7d7e7e
+7d7b79797a7b7c7c7d7d7d7e7e7f807f
+7e7c7b7c7e7f80818282838383838280
+7e7c7b7b7b7c7e7f7f7e7e8083848686
+878788888786858482807d7a77767474
+747476797c7e7f7f818486888a8b8c8c
+8b8a898784827f7b7876757475787979
+787879797a7c80828587888886848382
+81807f7f80828383817f7e7d7d7f8183
+84858583807e7d7e7e7d7b7a7b7d7e7e
+7d7b7b7a7a7b7e7f818384848281807f
+7e7e7e7e808284848281807f7f7e7e7e
+7e7f8183838281807f7e7d7f8181807f
+7f7f7f7f7e7e7e7e7d7d7e7e7f818484
+848281807f7f7e7d7e7f818283848382
+7f7e7d7c7b7c7d7e7f80808181818180
+7f7d7c7b7b7c7d7e7f80808080808080
+80808080808080808080807f7e7c7a7a
+79797a7c7f8183848584838282848586
+87868582808080807f7f7f7e7c7b7a79
+79797c7e7e7e7d7d7d7e7f8284858687
+8888878683807f80807e7c7b7b7c7d7d
+7c7b7c7d7f7f8081818182817f7e7d7c
+7b7c7e8080807f808283848382828384
+8484817f7e7e7f7f7f8080808080807f
+7e7e7d7d7d7d7c7b7979787979797a7b
+7e818385868788898988868484848483
+82817f7c7b7b7b7b7c7c7c7b7a79797a
+7a7b7d7f7f7f7f7f7f80808182828383
+8587888989898988878684827f7c7977
+76747473737475787b7c7d7d7f818485
+84848383838282828281818183848484
+83828181818182828181807e7c7c7c7d
+7c7b7a7b7c7d7e7f7f80818181818181
+81818080807f7e7e7d7d7d7d7d7d7d7c
+7b7c7d7e7f7f80807f7f7f7f7f808081
+81828283848586888889898989888785
+8482807e7b7876747271717172737577
+797b7d7f818386898b8d8e8e8d8a8886
+8482807f7e7e7f7f7e7d7c7c7d7d7d7e
+7e7e7f7e7d7c7b7a7a797b7d7e7f8081
+8283838281807f7e7e7d7d7d7e7e7f80
+8384848382828281818182838382807f
+7e7e7e7e7f808181818181807f7d7c7d
+7e7e7d7c7b7b7b7b7c7e7f7f7f808183
+83828181828384848383817f7e7d7d7d
+7c7c7d7f8081807f7f80818282838281
+7f7e7d7d7d7c7c7d7e8080807f7f7f80
+80828383838181807f7e7e7f80818080
+7f808182817f7e7e7f7f7f7f7f7f7f7f
+7e7d7c7b7b7b7b7c7d7e808080807f7f
+7f7f7f7f808080818284858483828283
+8382818080818281818180807f7e7c7b
+7b7c7c7d7d7d7d7d7e7d7c7c7d7e7f80
+818182838383828281817f7e7d7d7c7c
+7d7e7e7e7d7e7f808182838384848483
+81807f7e7d7c7c7c7b7b7c7d7e7f8080
+81838586878787878685848382807d7c
+7b7b7b797877787a7a7a797a7c7d7f7f
+7f808080818384848483838384848382
+81818180807f7f7f7f8080807e7e7e7f
+80807e7e7e7e7f7f7f7f7f7f80808080
+80807f7f7f7e7e7e7d7c7b7b7b7c7d7d
+7e7e7e80818282838382828181808080
+80808080818283848484848484838382
+81807f7d7c7a797877777778797a7c7e
+7e7f8081828385868788888887868583
+817f7e7c7b7b7b7b7c7b7b7b7b7b7c7d
+7f8081828282818180807f7f7f7f8081
+8281818080807f7f7f7f808182818180
+808180807f7e7e7e7e7e7d7d7d7d7e7f
+808182828282828281807f7e7d7d7d7d
+7e7e7d7e7e7f7f7f7e7e7e7e7e7e7e7f
+7f7f8080818182828282828282828282
+8281818282838383828281807f7d7c7b
+7a7a7979797a7b7c7c7d7d7d7d7e7e7f
+7f808081828384858484838383828282
+81808080818181818180807f7f7f7e7e
+7d7c7c7c7c7d7d7e7e7d7d7d7e7f7f80
+807f7f80818282828282828282818181
+807f7e7e7e7e7e7e7d7d7c7c7d7d7e7e
+7f7f7f80808282838383848484848383
+828180807e7d7c7b7a797979797a7b7c
+7d7e8082838485858686868584828180
+7f7e7d7d7d7e7e7f7f7f808181818181
+8180807f7f7d7d7c7d7d7d7e7e7f7f7f
+7f8080807f7f7e7e7e7e7d7e7e7e7f80
+81828383838383828283838382818080
+7f7f7f8080808080807f7f7e7e7e7e7e
+7e7d7c7c7c7c7c7d7d7e7f7f80808181
+808080818181828282828181807e7e7d
+7d7d7d7e7f7f7f7f7f7f7f7f80808182
+828282818180807f7f7f7e7e7e7e7e7e
+7e7f8081818181818182828181818080
+807f7f7e7d7d7c7c7c7c7d7e7e7e7f7f
+7f7f7f80818080808081818181818180
+808080808081818080807f7e7e7e7e7f
+7f7e7e7e7e7e7e7e7f80808080808080
+8080818282818180807f7f7f80808181
+8180807f7f7f7f8080808080807f7f7e
+7e7e7f7f80808080807f7f7f7f7f7f7e
+7e7f7f7f7f7e7f7f8080818180808080
+807f7f7f7e7f7f8080807f8080818181
+808080808080807f7f80808181808080
+80807f7e7e7e7f7f7f8080808080807f
+7f7e7e7e7f7f8080818181807f7f7f7e
+7f7f80808181818080807f7f7f808080
+8080807f7f7f7f7f7f7f7f7f7f7f7f7f
+7f7f7f7f80808080807f7f8080808080
+80808080808080808080818080808080
+7f8080807f7f7f7f7f7f7f7f7f7e7e7e
+7e7e7e7e7e7e7e7e7e7e7e7e7e7f7f7f
+7f7f8080818181818181818180808080
+80808181808080808181818181808080
+7f7f7e7e7e7e7e7d7d7e7e7e7f7f8080
+80818181818181818181818080807f7f
+7e7e7e7d7d7d7d7d7c7d7d7d7d7d7e7e
+7e7f7f80808181828283838384848484
+848484848483828281807f7e7e7d7c7b
+7b7a7a797979797a7a7b7c7d7e7e7f80
+80818182828383848484848483838282
+81818180808080807f7f7e7e7e7e7e7e
+7e7e7e7f7f7f7f7e7e7e7e7e7e7e7e7e
+7f7f7f8080807f7f7f80808081818181
+8181818180807f7f7e7e7e7e7e7f7f7f
+7f7f7f7f808080818182828282818181
+807f7f7e7e7e7d7d7e7e7e7f7f7f7f80
+8081818182828181818080807f7f7e7e
+7e7e7e7e7f7f7f7f7f80808080808080
+808080808080807f7f7f7f7f7f7f7f7f
+7f808080808080808181818080808080
+807f7f7f7f7f7e7e7e7f7f8080808080
+8080807f7f7f7f7f7e7e7e7e7e7e7e7e
+7e7f7f7f7f7f7f7f8080808080808081
+81818181818181818181818180808080
+8080807f7f7f7f7f7f7e7e7e7e7e7e7e
+7d7d7d7e7e7e7e7f7f7f7f7f80808180
+80818181818180808080818181818080
+80807f7f7f7f7f7f807f7f7f7f7e7e7e
+7f7f7f7f7f7f7f7f7f7f80808080807f
+7f80808080808181818080807f7f7f7f
+7f7f7f7f7f7f7f7f7f7f7f7f7f7f7f7f
+7f7f7f7f7f7f7f7f7f7f7f8080808181
+8181818080808080807f7f7f7f807f7f
+7f7f8080807f7f7f7f7f7f7f7f7f7f7f
+7f7f7f7f808080808080808080808080
+8080808080807f7f7f7f7f7f7f7f7f7f
+7f7f7f7f7f7f7f7f7f7f7f7f7f7f7f7f
+7f7f7f8080808080807f7f8080808080
+808080808080808080807f7f7f7f7f7f
+7f7f7f7f7f7f7f7f7f7f7f7f7f7f7f7f
+7f80808080808080808080807f7f7f7f
+7f7f7f7f80808080808080807f7f7f7f
+7f7f7f7f7f808080808080808080807f
+7f7f7f7f7f7f7f7f8080808080808080
+807f7f7f7f7f7f7f7f80808080808080
+8080807f7f7f7f7f7f7f7f8080808080
+8080807f7f7f7f7f7f7f7f8080808080
+80808080807f7f7f7f7f7f7f7f7f7f7f
+7f7f7f7f7f8080808080808080808080
+80808080808080807f7f7f7f7f807f7f
+7f7f7f7f7f7f80807f7f7f8080808080
+808080808080807f7f7f7f8080807f7f
+7f7f7f7f7f7f7f7f7f7f808080808080
+7f7f7f80807f7f7f7f7f808080808080
+80808080807f7f7f7f80808080807f7f
+7f7f7f7f7f7f7f7f8080808080808080
+8080807f7f7f7f7f7f7f7f7f7f7f7f7f
+7f7f8080808080808080808080808080
+8080807f7f8080807f7f7f7f80808080
+8080808080808080808080807f7f7f7f
+7f7f7f7f7f7f7f7f7f7f7f7f7f808080
+80808080808080808080808080808080
+8080808080808080808080807f7f7f7f
+7f7f7f7f7f7f7f7f7f7f7f7f7f7f8080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+80808080808080808080808080808080
+
+
+
+
+
+
+
+
+
+
+
diff --git a/Arcade_MiST/Namco Rally-X Hardware/meta/Tactician.mra b/Arcade_MiST/Namco Rally-X Hardware/meta/Tactician.mra
new file mode 100644
index 00000000..4748d63e
--- /dev/null
+++ b/Arcade_MiST/Namco Rally-X Hardware/meta/Tactician.mra
@@ -0,0 +1,35 @@
+
+ Tactician
+ 0220
+ tactcian
+ 1980
+ Sega
+ rallyx
+
+
+
+
+
+ 7
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+ FF
+
+
+
+
+
diff --git a/Arcade_MiST/Namco Rally-X Hardware/RallyX_MiST/rtl/build_id.tcl b/Arcade_MiST/Namco Rally-X Hardware/rtl/build_id.tcl
similarity index 100%
rename from Arcade_MiST/Namco Rally-X Hardware/RallyX_MiST/rtl/build_id.tcl
rename to Arcade_MiST/Namco Rally-X Hardware/rtl/build_id.tcl
diff --git a/Arcade_MiST/Namco Rally-X Hardware/rtl/dpram.vhd b/Arcade_MiST/Namco Rally-X Hardware/rtl/dpram.vhd
new file mode 100644
index 00000000..284194c5
--- /dev/null
+++ b/Arcade_MiST/Namco Rally-X Hardware/rtl/dpram.vhd
@@ -0,0 +1,81 @@
+-- -----------------------------------------------------------------------
+--
+-- Syntiac's generic VHDL support files.
+--
+-- -----------------------------------------------------------------------
+-- Copyright 2005-2008 by Peter Wendrich (pwsoft@syntiac.com)
+-- http://www.syntiac.com/fpga64.html
+--
+-- Modified April 2016 by Dar (darfpga@aol.fr)
+-- http://darfpga.blogspot.fr
+-- Remove address register when writing
+--
+-- -----------------------------------------------------------------------
+--
+-- dpram.vhd
+--
+-- -----------------------------------------------------------------------
+--
+-- generic ram.
+--
+-- -----------------------------------------------------------------------
+
+library IEEE;
+use IEEE.STD_LOGIC_1164.ALL;
+use IEEE.numeric_std.ALL;
+
+-- -----------------------------------------------------------------------
+
+entity dpram is
+ generic (
+ dWidth : integer := 8;
+ aWidth : integer := 10
+ );
+ port (
+ clk_a : in std_logic;
+ we_a : in std_logic := '0';
+ addr_a : in std_logic_vector((aWidth-1) downto 0);
+ d_a : in std_logic_vector((dWidth-1) downto 0) := (others => '0');
+ q_a : out std_logic_vector((dWidth-1) downto 0);
+
+ clk_b : in std_logic;
+ we_b : in std_logic := '0';
+ addr_b : in std_logic_vector((aWidth-1) downto 0);
+ d_b : in std_logic_vector((dWidth-1) downto 0) := (others => '0');
+ q_b : out std_logic_vector((dWidth-1) downto 0)
+ );
+end entity;
+
+-- -----------------------------------------------------------------------
+
+architecture rtl of dpram is
+ subtype addressRange is integer range 0 to ((2**aWidth)-1);
+ type ramDef is array(addressRange) of std_logic_vector((dWidth-1) downto 0);
+ signal ram: ramDef;
+ signal addr_a_reg: std_logic_vector((aWidth-1) downto 0);
+ signal addr_b_reg: std_logic_vector((aWidth-1) downto 0);
+begin
+
+-- -----------------------------------------------------------------------
+ process(clk_a)
+ begin
+ if rising_edge(clk_a) then
+ if we_a = '1' then
+ ram(to_integer(unsigned(addr_a))) <= d_a;
+ end if;
+ q_a <= ram(to_integer(unsigned(addr_a)));
+ end if;
+ end process;
+
+ process(clk_b)
+ begin
+ if rising_edge(clk_b) then
+ if we_b = '1' then
+ ram(to_integer(unsigned(addr_b))) <= d_b;
+ end if;
+ q_b <= ram(to_integer(unsigned(addr_b)));
+ end if;
+ end process;
+
+end architecture;
+
diff --git a/Arcade_MiST/Namco Rally-X Hardware/rtl/fpga_nrx.v b/Arcade_MiST/Namco Rally-X Hardware/rtl/fpga_nrx.v
new file mode 100644
index 00000000..c81366a8
--- /dev/null
+++ b/Arcade_MiST/Namco Rally-X Hardware/rtl/fpga_nrx.v
@@ -0,0 +1,275 @@
+/**************************************************************
+ FPGA New Rally-X (Main part)
+***************************************************************/
+module fpga_nrx
+(
+ input RESET, // RESET
+ input CLK24M, // Clock 24.576MHz
+ input CLK14M, // For Time Pilot sound board (Konami games)
+ input mod_jungler,
+ input mod_loco,
+ input mod_tact,
+ input mod_comm,
+
+ output hsync,
+ output vsync,
+ output hblank,
+ output vblank,
+ output [2:0] r,
+ output [2:0] g,
+ output [1:0] b,
+
+ output [14:0] cpu_rom_addr,
+ input [7:0] cpu_rom_data,
+
+ output [7:0] SND, // Sound (unsigned PCM)
+
+ input [7:0] DSW1, // DipSW
+ input [7:0] DSW2,
+ input [7:0] CTR1, // Controler (Negative logic)
+ input [7:0] CTR2,
+
+ output [1:0] LAMP,
+
+ input ROMCL, // Downloaded ROM image
+ input [15:0] ROMAD,
+ input [7:0] ROMDT,
+ input ROMEN
+);
+
+
+//--------------------------------------------------
+// Clock Generators
+//--------------------------------------------------
+reg [2:0] _CCLK;
+always @( posedge CLK24M ) _CCLK <= _CCLK+1'd1;
+
+wire CLK = CLK24M; // 24MHz
+wire CCLK_EN = _CCLK == 3'b011; // CPU CLOCK ENABLE : 3.0MHz
+
+//--------------------------------------------------
+// CPU
+//--------------------------------------------------
+// memory access signals
+wire rd, wr, me, ie, rf, m1;
+wire [15:0] ad;
+wire [7:0] odt, viddata;
+
+wire mx = rf & (~me);
+wire mr = mx & (~rd);
+wire mw = mx & (~wr);
+
+// interrupt signal/vector generator & other latches
+reg inte = 1'b0;
+reg intl = 1'b0;
+reg [7:0] intv = 8'h0;
+
+reg bang = 1'b0;
+
+reg lp0r = 1'b0;
+reg lp1r = 1'b0;
+assign LAMP = { lp1r, lp0r };
+
+wire vblk = (VP==224)&(HP<=8);
+
+
+wire bngw = ( lat_Wce & ( ad[3:0] == 4'h0 ) );
+wire iewr = ( lat_Wce & ( ad[3:0] == 4'h1 ) );
+//wire flip = ( lat_Wce & ( ad[3:0] == 4'h3 ) );
+wire lp0w = ( lat_Wce & ( ad[3:0] == 4'h4 ) );
+wire lp1w = ( lat_Wce & ( ad[3:0] == 4'h5 ) );
+wire iowr = ( (~wr) & (~ie) & m1 );
+
+always @( posedge CLK ) begin
+ if (CCLK_EN) begin
+ if ( iowr ) intv <= odt;
+ if ( vblk ) intl <= 1'b1;
+ if ( iewr ) begin
+ inte <= odt[0];
+ intl <= 1'b0;
+ end
+ if ( bngw ) bang <= odt[0];
+ if ( lp0w ) lp0r <= odt[0];
+ if ( lp1w ) lp1r <= odt[0];
+ end
+end
+
+wire irq_n = ~( intl & inte );
+
+
+// address decoders
+wire rom_Rce = ( ( ad[15:14] == 2'b00 ) & mr ); // $0000-$3FFF(R)
+wire rom_Rce2= ( ( ad[15:14] == 2'b01 ) & mr ); // $4000-$7FFF(R)
+wire ram_Rce = ( ( ad[15:11] == 5'b1001_1 ) & mr ); // $9800-$9FFF(R)
+wire ram_Wce = ( ( ad[15:11] == 5'b1001_1 ) & mw ); // $9800-$9FFF(W)
+wire inp_Rce = ( ( ad[15:12] == 4'b1010 ) & mr ); // $A000-$AFFF(R)
+wire lat_Wce = ( ad[15:3] == {12'hA18, 1'b0} ) & mw; // $A180-$A187(W)
+wire snd_Wce = ( ad[15:5] == {8'hA1, 3'b000} ) & mw; // $A100-$A11F(W)
+wire vid_Rce;
+
+wire [7:0] romdata;
+assign cpu_rom_addr = ad[14:0];
+assign romdata = cpu_rom_data;
+/*
+dpram #(8,14) nrx_prg_rom(
+ .clk_a(CLK),
+ .addr_a(ad[13:0]),
+ .we_a(1'b0),
+ .d_a(),
+ .q_a(romdata),
+ .clk_b(ROMCL),
+ .addr_b(ROMAD),
+ .we_b(ROMEN & (ROMAD[15:14]==2'b00)),
+ .d_b(ROMDT),
+ .q_b()
+ );
+
+wire [7:0] romdata2;
+dpram #(8,13) nrx_prg_rom2(
+ .clk_a(CLK),
+ .addr_a(ad[12:0]),
+ .we_a(1'b0),
+ .d_a(),
+ .q_a(romdata2),
+ .clk_b(ROMCL),
+ .addr_b(ROMAD),
+ .we_b(ROMEN & (ROMAD[15:13]==3'b010)),
+ .d_b(ROMDT),
+ .q_b()
+ );
+*/
+// Work RAM (2KB)
+wire [7:0] ramdata;
+spram #(8,11) workram(
+ .clk(CLK),
+ .addr(ad[10:0]),
+ .we(ram_Wce),
+ .d(odt),
+ .q(ramdata)
+ );
+
+// Controler/DipSW input
+wire [7:0] in0data = CTR1;
+wire [7:0] in1data = CTR2;
+wire [7:0] in2data = DSW1;
+wire [7:0] in3data = DSW2;
+wire [7:0] inpdata = ad[8] ? ((mod_jungler & ad[7]) ? in3data : in2data) : ad[7] ? in1data : in0data;
+
+
+// databus selector
+wire [7:0] romd = rom_Rce ? romdata : 8'h00;
+wire [7:0] romd2 = rom_Rce2? romdata : 8'h00;
+wire [7:0] ramd = ram_Rce ? ramdata : 8'h00;
+wire [7:0] vidd = vid_Rce ? viddata : 8'h00;
+wire [7:0] inpd = inp_Rce ? inpdata : 8'h00;
+wire [7:0] irqv = ( (~m1) & (~ie) ) ? intv : 8'h00;
+
+wire [7:0] idt = romd | romd2 | ramd | irqv | vidd | inpd;
+
+
+T80s z80(
+ .RESET_n(~RESET),
+ .CLK(CLK),
+ .CEN(CCLK_EN),
+ .WAIT_n(1'b1),
+ .INT_n(irq_n | mod_jungler),
+ .NMI_n(irq_n | ~mod_jungler),
+ .BUSRQ_n(1'b1),
+ .DI(idt),
+ .M1_n(m1),
+ .MREQ_n(me),
+ .IORQ_n(ie),
+ .RD_n(rd),
+ .WR_n(wr),
+ .RFSH_n(rf),
+ .HALT_n(),
+ .BUSAK_n(),
+ .A(ad),
+ .DO(odt)
+ );
+
+//--------------------------------------------------
+// VIDEO
+//--------------------------------------------------
+wire [8:0] HP;
+wire [8:0] VP;
+wire PCLK_EN;
+
+nrx_video video(
+ .VCLKx4(CLK),
+ .mod_jungler(mod_jungler),
+ .mod_loco(mod_loco),
+ .mod_tact(mod_tact),
+ .mod_comm(mod_comm),
+ .HPOS(HP+3),
+ .VPOS(VP+1),
+ .PCLK_EN(PCLK_EN),
+ .POUT({b,g,r}),
+ .CPUADDR(ad),
+ .CPUDI(odt),
+ .CPUDO(viddata),
+ .CPUME(mx),
+ .CPUWE(mw),
+ .CPUDT(vid_Rce),
+ .ROMCL(ROMCL),
+ .ROMAD(ROMAD),
+ .ROMDT(ROMDT),
+ .ROMEN(ROMEN)
+ );
+
+nrx_hvgen hvgen(
+ .CLK(CLK),
+ .HPOS(HP),
+ .VPOS(VP),
+ .PCLK_EN(PCLK_EN),
+ .HBLK(hblank),
+ .VBLK(vblank),
+ .HSYN(hsync),
+ .VSYN(vsync)
+ );
+
+//--------------------------------------------------
+// SOUND
+//--------------------------------------------------
+wire [7:0] nrx_snd;
+wire [10:0] timepilot_snd;
+reg [7:0] timepilot_snd_dat;
+reg [2:0] timepilot_snd_trig;
+
+always @(posedge CLK) begin
+ if (RESET)
+ timepilot_snd_dat <= 0;
+ else if (snd_Wce)
+ timepilot_snd_dat <= odt;
+end
+
+always @(posedge CLK14M) timepilot_snd_trig = {bang, timepilot_snd_trig[2:1]};
+
+assign SND = mod_jungler ? timepilot_snd[10:3] : nrx_snd;
+
+nrx_sound sound(
+ .CLK24M(CLK),
+ .SND(nrx_snd),
+ .AD(ad),
+ .DI(odt[3:0]),
+ .WR(snd_Wce & ~mod_jungler),
+ .BANG(bang & ~mod_jungler),
+ .ROMCL(ROMCL),
+ .ROMAD(ROMAD),
+ .ROMDT(ROMDT),
+ .ROMEN(ROMEN)
+ );
+
+time_pilot_sound_board sound2(
+ .clock_14(CLK14M),
+ .reset(RESET),
+ .audio_out(timepilot_snd),
+ .sound_cmd(timepilot_snd_dat),
+ .sound_trig(timepilot_snd_trig[0]),
+ .ROMCL(ROMCL),
+ .ROMAD(ROMAD[12:0]),
+ .ROMDT(ROMDT),
+ .ROMEN(ROMEN & (ROMAD[15:13]==3'b011)) // 6000-7FFF
+ );
+
+endmodule
diff --git a/Arcade_MiST/Namco Rally-X Hardware/RallyX_MiST/rtl/gen_ram.vhd b/Arcade_MiST/Namco Rally-X Hardware/rtl/gen_ram.vhd
similarity index 100%
rename from Arcade_MiST/Namco Rally-X Hardware/RallyX_MiST/rtl/gen_ram.vhd
rename to Arcade_MiST/Namco Rally-X Hardware/rtl/gen_ram.vhd
diff --git a/Arcade_MiST/Namco Rally-X Hardware/rtl/nrx_hvgen.v b/Arcade_MiST/Namco Rally-X Hardware/rtl/nrx_hvgen.v
new file mode 100644
index 00000000..bd79dfd7
--- /dev/null
+++ b/Arcade_MiST/Namco Rally-X Hardware/rtl/nrx_hvgen.v
@@ -0,0 +1,43 @@
+module nrx_hvgen
+(
+ input CLK,
+ output [8:0] HPOS,
+ output [8:0] VPOS,
+ input PCLK_EN,
+ output reg HBLK = 1,
+ output reg VBLK = 1,
+ output reg HSYN = 1,
+ output reg VSYN = 1
+);
+
+reg [8:0] hcnt = 0;
+reg [8:0] vcnt = 0;
+
+assign HPOS = hcnt;
+assign VPOS = vcnt;
+
+always @(posedge CLK) begin
+ if (PCLK_EN) begin
+ hcnt <= hcnt + 1'd1;
+ case (hcnt)
+ 291: HBLK <= 1;
+ 300: HSYN <= 0;
+ 324: HSYN <= 1;
+ 383: begin
+ hcnt <= 0;
+ vcnt <= vcnt + 1'd1;
+ case (vcnt)
+ 223: VBLK <= 1;
+ 228: VSYN <= 0;
+ 235: VSYN <= 1;
+ 242: begin VBLK <= 0; vcnt <= 0; end
+ default: ;
+ endcase
+ end
+ 1: HBLK <= 0;
+ default: ;
+ endcase
+ end
+end
+
+endmodule
diff --git a/Arcade_MiST/Namco Rally-X Hardware/RallyX_MiST/rtl/nrx_psg_voice.v b/Arcade_MiST/Namco Rally-X Hardware/rtl/nrx_psg_voice.v
similarity index 100%
rename from Arcade_MiST/Namco Rally-X Hardware/RallyX_MiST/rtl/nrx_psg_voice.v
rename to Arcade_MiST/Namco Rally-X Hardware/rtl/nrx_psg_voice.v
diff --git a/Arcade_MiST/Namco Rally-X Hardware/RallyX_MiST/rtl/nrx_sound.v b/Arcade_MiST/Namco Rally-X Hardware/rtl/nrx_sound.v
similarity index 61%
rename from Arcade_MiST/Namco Rally-X Hardware/RallyX_MiST/rtl/nrx_sound.v
rename to Arcade_MiST/Namco Rally-X Hardware/rtl/nrx_sound.v
index dcf2ac88..e6e2de66 100644
--- a/Arcade_MiST/Namco Rally-X Hardware/RallyX_MiST/rtl/nrx_sound.v
+++ b/Arcade_MiST/Namco Rally-X Hardware/rtl/nrx_sound.v
@@ -6,13 +6,17 @@
module nrx_sound
(
input CLK24M,
- input CCLK,
output reg [7:0] SND,
input [15:0] AD,
input [3:0] DI,
input WR,
- input BANG
+ input BANG,
+
+ input ROMCL,
+ input [15:0] ROMAD,
+ input [7:0] ROMDT,
+ input ROMEN
);
reg [11:0] ccnt;
@@ -24,25 +28,29 @@ wire SCLK = ccnt[7];
wire [7:0] wa0, wa1, wa2;
wire [3:0] wd0, wd1, wd2;
-nrx_namco namco(
- .clk(SCLKx8),
- .a0(wa0),
- .a1(wa1),
- .a2(wa2),
- .d0(wd0),
- .d1(wd1),
- .d2(wd2)
- );
+
+NPSG_WAV waverom(
+ SCLKx8, wa0, wa1, wa2, wd0, wd1, wd2,
+ ROMCL,ROMAD[7:0],ROMDT[3:0],ROMEN & (ROMAD[15:8]==8'hA1)
+);
reg bWavPlay = 1'b0;
reg [13:0] wap = 14'h0000;
wire [7:0] wdp;
wire [7:0] wo = bWavPlay ? wdp : 8'h80;
-nrx_wav_rom nrx_wav_rom (
- .clk(CLK6K),
- .addr(wap),
- .data(wdp)
+dpram #(8,14) bangpcm(
+ .clk_a(CLK6K),
+ .addr_a(wap),
+ .we_a(1'b0),
+ .d_a(),
+ .q_a(wdp),
+
+ .clk_b(ROMCL),
+ .addr_b(ROMAD[13:0]),
+ .d_b(ROMDT),
+ .we_b(ROMEN & (ROMAD[15:14]==2'b01)),
+ .q_b()
);
always @( posedge CLK6K ) begin
@@ -99,7 +107,7 @@ nrx_psg_voice voice2(
reg [7:0] wout;
always @( posedge SCLK ) SND <= ( { 2'b0, wo } ) + ( o0 + o1 + o2 );
-always @( posedge CCLK ) begin
+always @( posedge CLK24M ) begin
if ( WR ) case ( AD[4:0] )
5'h05: n0 <= DI[2:0];
@@ -131,3 +139,50 @@ always @( posedge CCLK ) begin
end
endmodule
+
+module NPSG_WAV
+(
+ input clk,
+ input [7:0] a0,
+ input [7:0] a1,
+ input [7:0] a2,
+
+ output reg [3:0] d0,
+ output reg [3:0] d1,
+ output reg [3:0] d2,
+
+ input ROMCL,
+ input [7:0] ROMAD,
+ input [3:0] ROMDT,
+ input ROMEN
+);
+
+reg [1:0] ph=0;
+
+reg [7:0] ad;
+wire [3:0] dt;
+
+dpram #(4,8) wrom(
+ .clk_a(clk),
+ .addr_a(ad),
+ .we_a(1'b0),
+ .d_a(),
+ .q_a(dt),
+
+ .clk_b(ROMCL),
+ .addr_b(ROMAD),
+ .we_b(ROMEN),
+ .d_b(ROMDT),
+ .q_b()
+ );
+
+always @(negedge clk) begin
+ case (ph)
+ 0: begin d2 <= dt; ad <= a0; ph <= 1; end
+ 1: begin d0 <= dt; ad <= a1; ph <= 2; end
+ 2: begin d1 <= dt; ad <= a2; ph <= 0; end
+ default:;
+ endcase
+end
+
+endmodule
\ No newline at end of file
diff --git a/Arcade_MiST/Namco Rally-X Hardware/rtl/nrx_sprite.v b/Arcade_MiST/Namco Rally-X Hardware/rtl/nrx_sprite.v
new file mode 100644
index 00000000..e53f06ce
--- /dev/null
+++ b/Arcade_MiST/Namco Rally-X Hardware/rtl/nrx_sprite.v
@@ -0,0 +1,178 @@
+
+module NRX_SPRITE
+(
+ input VCLKx4,
+ input VCLKx2_EN,
+ input VCLK_EN,
+ input HBLK,
+ input mod_jungler,
+ input mod_loco,
+ input mod_tact,
+ input mod_comm,
+
+ input [8:0] HPOS,
+ input [8:0] VPOS,
+
+ output reg [10:0] SPRAADRS,
+ input [15:0] SPRADATA,
+
+ output [3:0] ARAMADRS,
+ input [7:0] ARAMDATA,
+
+ output [12:0] SPCHRADR,
+ input [7:0] SPCHRDAT,
+
+ output [7:0] DROMAD,
+ input [7:0] DROMDT,
+
+ output reg [8:0] SPCOL
+);
+
+wire SIDE = VPOS[0];
+
+
+reg [19:0] SPATR0;
+reg [36:0] SPATRS[0:31];
+reg [3:0] WWADR;
+reg bHit;
+
+assign ARAMADRS = SPRAADRS[3:0];
+
+reg [7:0] WRADR;
+reg [8:0] HPOSW;
+reg [8:0] SPWCL;
+
+wire [36:0] SPA = SPATRS[{~SIDE,WRADR[7:4]}];
+
+wire [3:0] SH = WRADR[3:0] + (mod_jungler ? 4'h0 : 4'h4);
+wire [3:0] SV = SPA[35:32];
+
+wire [2:0] SPFY = { 3{SPA[1]} };
+wire [1:0] SPFX = {2{mod_tact}} ^ { mod_loco ? ~SPA[1] : mod_jungler, mod_loco ? SPA[1] : SPA[0] };
+wire [5:0] SPPL = SPA[29:24];
+
+assign SPCHRADR = { mod_loco ? {SPA[7], SPA[0], SPA[6:2]} : {1'b0, SPA[7:2]},
+ mod_jungler ^ SV[3] ^ SPA[1],
+ SH[3:2] ^ SPFX,
+ {3{mod_jungler}} ^ SV[2:0] ^ SPFY };
+
+wire [7:0] CHRO = SPCHRDAT;
+
+
+wire [8:0] YM = ((mod_jungler & ~mod_tact) ? (9'd258 - SPRADATA[15:8]) : (SPRADATA[15:8] + 8'h10)) + VPOS[7:0];
+wire [8:0] YM2 = ((mod_jungler & ~mod_tact) ? (9'd270 - SPRADATA[15:8]) : (SPRADATA[15:8] + 8'h10)) + VPOS[7:0];
+
+assign DROMAD = { 1'b0, (mod_jungler ? ~SPA[18:16] : ~SPA[19:17]), SPA[33:32], WRADR[3:2] };
+
+reg HBLK_D;
+
+always @ ( posedge VCLKx4 ) begin
+
+ HBLK_D <= HBLK;
+ if (VCLKx2_EN) begin
+
+ // in H-BLANK
+ if (HBLK_D) begin
+
+ // Sprite V-hit check & list-up
+ if ( SPRAADRS < 10'h20 ) begin
+ if ( SPRAADRS[0] ) begin
+ if ( bHit ) begin
+ SPATRS[{SIDE,WWADR}] <= { 1'b1, SPATR0[3:0], SPRADATA, SPATR0[19:4] };
+ WWADR <= WWADR+1'd1;
+ end
+ end
+ else begin
+ if ( YM[7:4] == 4'b1111 ) begin
+ bHit <= 1;
+ SPATR0 <= { SPRADATA, YM[3:0] };
+ end
+ else bHit <= 0;
+ end
+ SPRAADRS <= ( SPRAADRS == 10'h1F ) ? (mod_comm ? 10'h20 : 10'h34) : (SPRAADRS+1'd1);
+ end
+ // Rader-dot V-hit check & list-up
+ else begin
+ if ( SPRAADRS < 10'h40 ) begin
+ if ( YM2[7:2] == 6'b111111 ) begin
+ SPATRS[{SIDE,WWADR}] <= { 1'b0, 2'b00, YM2[1:0], 8'h0, ARAMDATA, SPRADATA };
+ WWADR <= WWADR+1'd1;
+ end
+ SPRAADRS <= SPRAADRS+1'd1;
+ end
+ else SPATRS[{SIDE,WWADR}] <= 0;
+ end
+
+ if ( SPA ) begin
+ // Rend Sprite
+ if ( SPA[36] ) begin
+ HPOSW <= WRADR[3:0] ? (HPOSW+1'd1) : ((mod_jungler & ~mod_tact) ? ((mod_loco ? 9'd242 : 9'd278)-{ SPA[31], SPA[23:16] }) : ({ SPA[31], SPA[23:16] } + 2'd3));
+
+ case ({ mod_jungler, {2{mod_jungler}} ^ SH[1:0] ^ {2{SPFX[0]}} } )
+ 3'b000: SPWCL <= { 1'b0, SPPL, CHRO[7], CHRO[3] };
+ 3'b001: SPWCL <= { 1'b0, SPPL, CHRO[6], CHRO[2] };
+ 3'b010: SPWCL <= { 1'b0, SPPL, CHRO[5], CHRO[1] };
+ 3'b011: SPWCL <= { 1'b0, SPPL, CHRO[4], CHRO[0] };
+
+ 3'b100: SPWCL <= { 1'b0, SPPL, CHRO[3], CHRO[7] };
+ 3'b101: SPWCL <= { 1'b0, SPPL, CHRO[2], CHRO[6] };
+ 3'b110: SPWCL <= { 1'b0, SPPL, CHRO[1], CHRO[5] };
+ 3'b111: SPWCL <= { 1'b0, SPPL, CHRO[0], CHRO[4] };
+ endcase
+ WRADR <= WRADR+1'd1;
+ end
+ // Rend Rader-dot
+ else begin
+ HPOSW <=
+ WRADR[3:0] ?
+ (HPOSW+1'd1) :
+ (mod_tact ? { 1'b0, SPA[7:0] } :
+ mod_loco ? { 1'b0, ~SPA[7:0] } :
+ mod_jungler ? { SPA[19], ~SPA[7:0] + 8'd35 } :
+ ({ ~SPA[16], SPA[7:0] } + 2'd3));
+ SPWCL <= ( DROMDT[1:0] != 2'b11 ) ? { 1'b1, 6'b000100, DROMDT[1:0] } : 9'd0;
+ WRADR <= WRADR+4'd4;
+ end
+ end
+ else SPWCL <= 0;
+
+ end
+
+ // in H-DISP
+ else begin
+ SPRAADRS <= mod_comm ? 10'h0 : 10'h14;
+ WWADR <= 0;
+ WRADR <= 0;
+ SPWCL <= 0;
+ end
+ end
+end
+
+
+reg [9:0] radr0=0,radr1=1;
+wire [8:0] SPCOLi;
+
+dpram #(9,10)
+linebuffer(
+ .clk_a(VCLKx4),
+ .addr_a(radr0),
+ .we_a(radr0==radr1),
+ .d_a(9'h0),
+ .q_a(SPCOLi),
+
+ .clk_b(VCLKx4),
+ .addr_b({~SIDE,HPOSW}),
+ .d_b(SPWCL),
+ .we_b((SPWCL[0]|SPWCL[1])),
+ .q_b()
+ );
+
+always @(posedge VCLKx4) begin
+ radr0 <= {SIDE,HPOS};
+ if (VCLK_EN) begin
+ if (radr0!=radr1) SPCOL <= SPCOLi;
+ radr1 <= radr0;
+ end
+end
+
+endmodule
diff --git a/Arcade_MiST/Namco Rally-X Hardware/rtl/nrx_video.v b/Arcade_MiST/Namco Rally-X Hardware/rtl/nrx_video.v
new file mode 100644
index 00000000..8c5c0039
--- /dev/null
+++ b/Arcade_MiST/Namco Rally-X Hardware/rtl/nrx_video.v
@@ -0,0 +1,283 @@
+/**************************************************************
+ FPGA New Rally-X (Video Part)
+***************************************************************/
+module nrx_video
+(
+ input VCLKx4, // 24.976MHz
+ input mod_jungler,
+ input mod_loco,
+ input mod_tact,
+ input mod_comm,
+
+ input [8:0] HPOS,
+ input [8:0] VPOS,
+ output PCLK_EN,
+ output reg [7:0] POUT,
+
+ input [15:0] CPUADDR,
+ input [7:0] CPUDI,
+ output [7:0] CPUDO,
+ input CPUME,
+ input CPUWE,
+ output CPUDT,
+
+ input ROMCL,
+ input [15:0] ROMAD,
+ input [7:0] ROMDT,
+ input ROMEN
+);
+
+//-----------------------------------------
+// Clock generators
+//-----------------------------------------
+reg [1:0] VCLK_CNT;
+wire VCLKx2_EN;
+always @(posedge VCLKx4) VCLK_CNT <= VCLK_CNT + 1'd1;
+assign PCLK_EN = VCLK_CNT == 2'b00;
+assign VCLKx2_EN = !VCLK_CNT[0];
+
+//-----------------------------------------
+// BG scroll registers
+//-----------------------------------------
+reg [7:0] BGHSCR;
+reg [7:0] BGVSCR;
+
+always @ ( posedge VCLKx4 ) begin
+ if ( ( CPUADDR == 16'hA130 ) & CPUME & CPUWE ) begin
+ BGHSCR <= CPUDI-3'd3;
+ end
+ if ( ( CPUADDR == 16'hA140 ) & CPUME & CPUWE ) begin
+ BGVSCR <= CPUDI;
+ end
+end
+
+
+//-----------------------------------------
+// HV
+//-----------------------------------------
+wire [8:0] BGHPOS = HPOS + { 1'b0, BGHSCR };
+wire [8:0] BGVPOS = VPOS + { 1'b0, BGVSCR };
+
+wire oHB = HPOS > 291;
+wire oVB = VPOS > 224;
+
+
+//----------------------------------------
+// VideoRAM Scanner
+//----------------------------------------
+wire BF = ( HPOS >= 227 );
+wire [8:0] HP = ( BF ? HPOS : BGHPOS ) - 2'd3;
+wire [8:0] VP = ( BF ? VPOS : BGVPOS ) + 9'h0F;
+
+wire [10:0] SPRAADRS;
+wire [3:0] ARAMADRS;
+
+reg [10:0] VRAMADRS;
+always @ ( * ) begin
+ VRAMADRS = oHB ?
+ SPRAADRS :
+ BF ? { 1'b0, VP[7:3], 2'b00, HP[5:3] } : { 1'b1, VP[7:3], HP[7:3] };
+end
+
+wire [7:0] CHRC;
+wire [7:0] ATTR;
+wire [7:0] ARDT;
+
+wire [7:0] V0DO, V1DO;
+
+wire CEV0 = ( ( CPUADDR[15:12] == 4'b1000 ) & (~CPUADDR[11]) ) & CPUME;
+wire CEV1 = ( ( CPUADDR[15:12] == 4'b1000 ) & CPUADDR[11] ) & CPUME;
+wire CEAT = ( CPUADDR[15:4] == 12'b1010_0000_0000 ) & CPUME;
+
+wire [7:0] DTV0 = CEV0 ? V0DO : 8'h00;
+wire [7:0] DTV1 = CEV1 ? V1DO : 8'h00;
+
+assign CPUDO = DTV0 | DTV1;
+assign CPUDT = ( ~CPUWE ) & ( CEV0 | CEV1 );
+
+dpram #(8,11)
+vram0(
+ .clk_a(VCLKx4),
+ .addr_a(VRAMADRS),
+ .we_a(1'b0),
+ .d_a(),
+ .q_a(CHRC),
+
+ .clk_b(VCLKx4),
+ .addr_b(CPUADDR[10:0]),
+ .we_b(( CPUWE & CEV0 )),
+ .d_b(CPUDI),
+ .q_b(V0DO)
+ );
+
+dpram #(8,11)
+vram1(
+ .clk_a(VCLKx4),
+ .addr_a(VRAMADRS),
+ .we_a(1'b0),
+ .d_a(),
+ .q_a(ATTR),
+
+ .clk_b(VCLKx4),
+ .addr_b(CPUADDR[10:0]),
+ .we_b(CPUWE & CEV1),
+ .d_b(CPUDI),
+ .q_b(V1DO)
+ );
+
+dpram #(8,4)
+aram0(
+ .clk_a(VCLKx4),
+ .addr_a(ARAMADRS),
+ .we_a(1'b0),
+ .d_a(),
+ .q_a(ARDT),
+
+ .clk_b(VCLKx4),
+ .addr_b(CPUADDR[3:0]),
+ .we_b(CPUWE & CEAT),
+ .d_b(CPUDI),
+ .q_b()
+ );
+
+wire BGF = ATTR[5];
+
+//----------------------------------------
+// BG/Sprite chip data reader
+//----------------------------------------
+wire BGFX = mod_loco ? ~ATTR[7] : ATTR[6];
+wire [2:0] BGFY = { ATTR[7], ATTR[7], ATTR[7] };
+
+wire [12:0] SPCHRADR;
+wire [12:0] CHRA = oHB ? SPCHRADR : { mod_loco? {CHRC[7], ATTR[6], CHRC[6:0]} : {1'b0, CHRC}, ( HP[2] ^ BGFX ), ( VP[2:0] ^ BGFY ) };
+
+wire [7:0] CHRO;
+dpram #(8,13) chrrom (
+ .clk_a(VCLKx4),
+ .addr_a(CHRA),
+ .we_a(1'b0),
+ .d_a(),
+ .q_a(CHRO),
+
+ .clk_b(ROMCL),
+ .addr_b(ROMAD[12:0]),
+ .we_b(ROMEN & (ROMAD[15:13]==3'b100)), //8000-9FFF
+ .d_b(ROMDT),
+ .q_b()
+ );
+
+//----------------------------------------
+// Rader-dot chip ROM
+//----------------------------------------
+wire [7:0] DROMAD;
+wire [7:0] DROMDT;
+dpram #(8,8) dotrom (
+ .clk_a(VCLKx4),
+ .addr_a(DROMAD),
+ .we_a(1'b0),
+ .d_a(),
+ .q_a(DROMDT),
+
+ .clk_b(ROMCL),
+ .addr_b(ROMAD[7:0]),
+ .we_b(ROMEN & (ROMAD[15:8]==8'hA0)),
+ .d_b(ROMDT),
+ .q_b()
+ );
+
+//----------------------------------------
+// BG/FG scanline generator
+//----------------------------------------
+wire [5:0] BGPL = ATTR[5:0];
+reg [7:0] BGCOL;
+
+always @ ( posedge VCLKx4 ) begin
+ if (PCLK_EN) begin
+ case ( { mod_jungler, HP[1:0]^{2{BGFX}} } )
+ 3'b000: BGCOL <= { BGPL, CHRO[4], CHRO[0] };
+ 3'b001: BGCOL <= { BGPL, CHRO[5], CHRO[1] };
+ 3'b010: BGCOL <= { BGPL, CHRO[6], CHRO[2] };
+ 3'b011: BGCOL <= { BGPL, CHRO[7], CHRO[3] };
+
+ 3'b100: BGCOL <= { BGPL, CHRO[0], CHRO[4] };
+ 3'b101: BGCOL <= { BGPL, CHRO[1], CHRO[5] };
+ 3'b110: BGCOL <= { BGPL, CHRO[2], CHRO[6] };
+ 3'b111: BGCOL <= { BGPL, CHRO[3], CHRO[7] };
+ endcase
+ end
+end
+
+
+//----------------------------------------
+// Sprite Engine
+//----------------------------------------
+wire [8:0] SPCOL;
+NRX_SPRITE speng(
+ .VCLKx4(VCLKx4),
+ .VCLKx2_EN(VCLKx2_EN),
+ .VCLK_EN(PCLK_EN),
+ .mod_jungler(mod_jungler),
+ .mod_loco(mod_loco),
+ .mod_tact(mod_tact),
+ .mod_comm(mod_comm),
+ .HBLK(oHB),
+ .HPOS(HPOS),
+ .VPOS(VPOS),
+ .SPRAADRS(SPRAADRS),
+ .SPRADATA({ ATTR, CHRC }),
+ .ARAMADRS(ARAMADRS),
+ .ARAMDATA(ARDT),
+ .SPCHRADR(SPCHRADR),
+ .SPCHRDAT(CHRO),
+ .DROMAD(DROMAD),
+ .DROMDT(DROMDT),
+ .SPCOL(SPCOL)
+ );
+
+
+//----------------------------------------
+// Color mixer
+//----------------------------------------
+wire bBGOPAQUE = ~mod_jungler & ( BF | BGF ) & ~SPCOL[8];
+wire bSPTRANSP = ( SPCOL[1:0] == 2'b00 );
+
+wire [7:0] OUTCOL = ( bBGOPAQUE | bSPTRANSP ) ? BGCOL : SPCOL[7:0];
+wire [3:0] CLUT;
+
+dpram #(4,8) colrom (
+ .clk_a(~VCLKx4),
+ .addr_a(OUTCOL),
+ .we_a(1'b0),
+ .d_a(),
+ .q_a(CLUT),
+
+ .clk_b(ROMCL),
+ .addr_b(ROMAD[7:0]),
+ .we_b(ROMEN & (ROMAD[15:8]==8'hA2)),
+ .d_b(ROMDT[3:0]),
+ .q_b()
+ );
+
+wire [4:0] PALA = SPCOL[8] ? SPCOL[4:0] : { 1'b0, CLUT };
+wire [7:0] PALO;
+
+dpram #(8,5) palrom (
+ .clk_a(VCLKx4),
+ .addr_a(PALA),
+ .we_a(1'b0),
+ .d_a(),
+ .q_a(PALO),
+
+ .clk_b(ROMCL),
+ .addr_b(ROMAD[4:0]),
+ .we_b(ROMEN & (ROMAD[15:5]=={8'hA3,3'b000})),
+ .d_b(ROMDT),
+ .q_b()
+ );
+
+//----------------------------------------
+// Color output
+//----------------------------------------
+always @ ( posedge VCLKx4 ) if (PCLK_EN) POUT <= (oHB|oVB) ? 8'h0 : PALO;
+
+endmodule
diff --git a/Arcade_MiST/Namco Rally-X Hardware/RallyX_MiST/rtl/pll.qip b/Arcade_MiST/Namco Rally-X Hardware/rtl/pll.qip
similarity index 100%
rename from Arcade_MiST/Namco Rally-X Hardware/RallyX_MiST/rtl/pll.qip
rename to Arcade_MiST/Namco Rally-X Hardware/rtl/pll.qip
diff --git a/Arcade_MiST/Namco Rally-X Hardware/RallyX_MiST/rtl/pll.v b/Arcade_MiST/Namco Rally-X Hardware/rtl/pll.v
similarity index 89%
rename from Arcade_MiST/Namco Rally-X Hardware/RallyX_MiST/rtl/pll.v
rename to Arcade_MiST/Namco Rally-X Hardware/rtl/pll.v
index 70025276..6126e60c 100644
--- a/Arcade_MiST/Namco Rally-X Hardware/RallyX_MiST/rtl/pll.v
+++ b/Arcade_MiST/Namco Rally-X Hardware/rtl/pll.v
@@ -14,7 +14,7 @@
// ************************************************************
// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
//
-// 13.1.4 Build 182 03/12/2014 SJ Web Edition
+// 13.1.4 Build 182 03/12/2014 Patches 4.26 SJ Web Edition
// ************************************************************
@@ -39,23 +39,27 @@
module pll (
inclk0,
c0,
+ c1,
locked);
input inclk0;
output c0;
+ output c1;
output locked;
wire [4:0] sub_wire0;
wire sub_wire2;
- wire [0:0] sub_wire5 = 1'h0;
- wire [0:0] sub_wire1 = sub_wire0[0:0];
- wire c0 = sub_wire1;
+ wire [0:0] sub_wire6 = 1'h0;
+ wire [0:0] sub_wire3 = sub_wire0[0:0];
+ wire [1:1] sub_wire1 = sub_wire0[1:1];
+ wire c1 = sub_wire1;
wire locked = sub_wire2;
- wire sub_wire3 = inclk0;
- wire [1:0] sub_wire4 = {sub_wire5, sub_wire3};
+ wire c0 = sub_wire3;
+ wire sub_wire4 = inclk0;
+ wire [1:0] sub_wire5 = {sub_wire6, sub_wire4};
altpll altpll_component (
- .inclk (sub_wire4),
+ .inclk (sub_wire5),
.clk (sub_wire0),
.locked (sub_wire2),
.activeclock (),
@@ -98,6 +102,10 @@ module pll (
altpll_component.clk0_duty_cycle = 50,
altpll_component.clk0_multiply_by = 71,
altpll_component.clk0_phase_shift = "0",
+ altpll_component.clk1_divide_by = 27,
+ altpll_component.clk1_duty_cycle = 50,
+ altpll_component.clk1_multiply_by = 14,
+ altpll_component.clk1_phase_shift = "0",
altpll_component.compensate_clock = "CLK0",
altpll_component.inclk0_input_frequency = 37037,
altpll_component.intended_device_family = "Cyclone III",
@@ -131,7 +139,7 @@ module pll (
altpll_component.port_scanread = "PORT_UNUSED",
altpll_component.port_scanwrite = "PORT_UNUSED",
altpll_component.port_clk0 = "PORT_USED",
- altpll_component.port_clk1 = "PORT_UNUSED",
+ altpll_component.port_clk1 = "PORT_USED",
altpll_component.port_clk2 = "PORT_UNUSED",
altpll_component.port_clk3 = "PORT_UNUSED",
altpll_component.port_clk4 = "PORT_UNUSED",
@@ -172,8 +180,11 @@ endmodule
// Retrieval info: PRIVATE: CUR_FBIN_CLK STRING "c0"
// Retrieval info: PRIVATE: DEVICE_SPEED_GRADE STRING "8"
// Retrieval info: PRIVATE: DIV_FACTOR0 NUMERIC "78"
+// Retrieval info: PRIVATE: DIV_FACTOR1 NUMERIC "1"
// Retrieval info: PRIVATE: DUTY_CYCLE0 STRING "50.00000000"
+// Retrieval info: PRIVATE: DUTY_CYCLE1 STRING "50.00000000"
// Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE0 STRING "24.576923"
+// Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE1 STRING "14.000000"
// Retrieval info: PRIVATE: EXPLICIT_SWITCHOVER_COUNTER STRING "0"
// Retrieval info: PRIVATE: EXT_FEEDBACK_RADIO STRING "0"
// Retrieval info: PRIVATE: GLOCKED_COUNTER_EDIT_CHANGED STRING "1"
@@ -194,18 +205,26 @@ endmodule
// Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE STRING "Not Available"
// Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE_DIRTY NUMERIC "0"
// Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT0 STRING "deg"
+// Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT1 STRING "ps"
// Retrieval info: PRIVATE: MIG_DEVICE_SPEED_GRADE STRING "Any"
// Retrieval info: PRIVATE: MIRROR_CLK0 STRING "0"
+// Retrieval info: PRIVATE: MIRROR_CLK1 STRING "0"
// Retrieval info: PRIVATE: MULT_FACTOR0 NUMERIC "71"
+// Retrieval info: PRIVATE: MULT_FACTOR1 NUMERIC "1"
// Retrieval info: PRIVATE: NORMAL_MODE_RADIO STRING "1"
// Retrieval info: PRIVATE: OUTPUT_FREQ0 STRING "24.57600000"
+// Retrieval info: PRIVATE: OUTPUT_FREQ1 STRING "14.00000000"
// Retrieval info: PRIVATE: OUTPUT_FREQ_MODE0 STRING "0"
+// Retrieval info: PRIVATE: OUTPUT_FREQ_MODE1 STRING "1"
// Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT0 STRING "MHz"
+// Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT1 STRING "MHz"
// Retrieval info: PRIVATE: PHASE_RECONFIG_FEATURE_ENABLED STRING "1"
// Retrieval info: PRIVATE: PHASE_RECONFIG_INPUTS_CHECK STRING "0"
// Retrieval info: PRIVATE: PHASE_SHIFT0 STRING "0.00000000"
+// Retrieval info: PRIVATE: PHASE_SHIFT1 STRING "0.00000000"
// Retrieval info: PRIVATE: PHASE_SHIFT_STEP_ENABLED_CHECK STRING "0"
// Retrieval info: PRIVATE: PHASE_SHIFT_UNIT0 STRING "deg"
+// Retrieval info: PRIVATE: PHASE_SHIFT_UNIT1 STRING "ps"
// Retrieval info: PRIVATE: PLL_ADVANCED_PARAM_CHECK STRING "0"
// Retrieval info: PRIVATE: PLL_ARESET_CHECK STRING "0"
// Retrieval info: PRIVATE: PLL_AUTOPLL_CHECK NUMERIC "1"
@@ -228,11 +247,14 @@ endmodule
// Retrieval info: PRIVATE: SPREAD_USE STRING "0"
// Retrieval info: PRIVATE: SRC_SYNCH_COMP_RADIO STRING "0"
// Retrieval info: PRIVATE: STICKY_CLK0 STRING "1"
+// Retrieval info: PRIVATE: STICKY_CLK1 STRING "1"
// Retrieval info: PRIVATE: SWITCHOVER_COUNT_EDIT NUMERIC "1"
// Retrieval info: PRIVATE: SWITCHOVER_FEATURE_ENABLED STRING "1"
// Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
// Retrieval info: PRIVATE: USE_CLK0 STRING "1"
+// Retrieval info: PRIVATE: USE_CLK1 STRING "1"
// Retrieval info: PRIVATE: USE_CLKENA0 STRING "0"
+// Retrieval info: PRIVATE: USE_CLKENA1 STRING "0"
// Retrieval info: PRIVATE: USE_MIL_SPEED_GRADE NUMERIC "0"
// Retrieval info: PRIVATE: ZERO_DELAY_RADIO STRING "0"
// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
@@ -241,6 +263,10 @@ endmodule
// Retrieval info: CONSTANT: CLK0_DUTY_CYCLE NUMERIC "50"
// Retrieval info: CONSTANT: CLK0_MULTIPLY_BY NUMERIC "71"
// Retrieval info: CONSTANT: CLK0_PHASE_SHIFT STRING "0"
+// Retrieval info: CONSTANT: CLK1_DIVIDE_BY NUMERIC "27"
+// Retrieval info: CONSTANT: CLK1_DUTY_CYCLE NUMERIC "50"
+// Retrieval info: CONSTANT: CLK1_MULTIPLY_BY NUMERIC "14"
+// Retrieval info: CONSTANT: CLK1_PHASE_SHIFT STRING "0"
// Retrieval info: CONSTANT: COMPENSATE_CLOCK STRING "CLK0"
// Retrieval info: CONSTANT: INCLK0_INPUT_FREQUENCY NUMERIC "37037"
// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone III"
@@ -273,7 +299,7 @@ endmodule
// Retrieval info: CONSTANT: PORT_SCANREAD STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_SCANWRITE STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_clk0 STRING "PORT_USED"
-// Retrieval info: CONSTANT: PORT_clk1 STRING "PORT_UNUSED"
+// Retrieval info: CONSTANT: PORT_clk1 STRING "PORT_USED"
// Retrieval info: CONSTANT: PORT_clk2 STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_clk3 STRING "PORT_UNUSED"
// Retrieval info: CONSTANT: PORT_clk4 STRING "PORT_UNUSED"
@@ -292,11 +318,13 @@ endmodule
// Retrieval info: CONSTANT: WIDTH_CLOCK NUMERIC "5"
// Retrieval info: USED_PORT: @clk 0 0 5 0 OUTPUT_CLK_EXT VCC "@clk[4..0]"
// Retrieval info: USED_PORT: c0 0 0 0 0 OUTPUT_CLK_EXT VCC "c0"
+// Retrieval info: USED_PORT: c1 0 0 0 0 OUTPUT_CLK_EXT VCC "c1"
// Retrieval info: USED_PORT: inclk0 0 0 0 0 INPUT_CLK_EXT GND "inclk0"
// Retrieval info: USED_PORT: locked 0 0 0 0 OUTPUT GND "locked"
// Retrieval info: CONNECT: @inclk 0 0 1 1 GND 0 0 0 0
// Retrieval info: CONNECT: @inclk 0 0 1 0 inclk0 0 0 0 0
// Retrieval info: CONNECT: c0 0 0 0 0 @clk 0 0 1 0
+// Retrieval info: CONNECT: c1 0 0 0 0 @clk 0 0 1 1
// Retrieval info: CONNECT: locked 0 0 0 0 @locked 0 0 0 0
// Retrieval info: GEN_FILE: TYPE_NORMAL pll.v TRUE
// Retrieval info: GEN_FILE: TYPE_NORMAL pll.ppf TRUE
diff --git a/Arcade_MiST/Namco Rally-X Hardware/rtl/rallyX_mist.sv b/Arcade_MiST/Namco Rally-X Hardware/rtl/rallyX_mist.sv
new file mode 100644
index 00000000..614a1e3b
--- /dev/null
+++ b/Arcade_MiST/Namco Rally-X Hardware/rtl/rallyX_mist.sv
@@ -0,0 +1,255 @@
+module rallyX_mist (
+ output LED,
+ output [5:0] VGA_R,
+ output [5:0] VGA_G,
+ output [5:0] VGA_B,
+ output VGA_HS,
+ output VGA_VS,
+ output AUDIO_L,
+ output AUDIO_R,
+ input SPI_SCK,
+ output SPI_DO,
+ input SPI_DI,
+ input SPI_SS2,
+ input SPI_SS3,
+ input CONF_DATA0,
+ input CLOCK_27,
+
+ output [12:0] SDRAM_A,
+ inout [15:0] SDRAM_DQ,
+ output SDRAM_DQML,
+ output SDRAM_DQMH,
+ output SDRAM_nWE,
+ output SDRAM_nCAS,
+ output SDRAM_nRAS,
+ output SDRAM_nCS,
+ output [1:0] SDRAM_BA,
+ output SDRAM_CLK,
+ output SDRAM_CKE
+);
+
+`include "rtl\build_id.v"
+
+localparam CONF_STR = {
+ "RALLYX;;",
+ "O2,Rotate Controls,Off,On;",
+ "O34,Scanlines,None,CRT 25%,CRT 50%,CRT 75%;",
+ "O5,Blend,Off,On;",
+ "DIP;",
+ "T0,Reset;",
+ "V,v1.00.",`BUILD_DATE
+};
+
+wire rotate = status[2];
+wire [1:0] scanlines = status[4:3];
+wire blend = status[5];
+wire [1:0] orientation = {core_mod[2], core_mod[0]};
+
+assign LED = ~ioctl_downl;
+assign AUDIO_R = AUDIO_L;
+assign SDRAM_CLK = clock_24;
+assign SDRAM_CKE = 1;
+
+wire pll_locked, clock_24, clock_14;
+pll pll(
+ .inclk0(CLOCK_27),
+ .c0(clock_24), //24.576MHz
+ .c1(clock_14),
+ .locked(pll_locked)
+ );
+
+wire [6:0] core_mod;
+wire [31:0] status;
+wire [1:0] buttons;
+wire [1:0] switches;
+wire [7:0] joystick_0;
+wire [7:0] joystick_1;
+wire scandoublerD;
+wire ypbpr;
+wire no_csync;
+wire [7:0] audio;
+wire hs, vs;
+wire hb, vb;
+wire blankn = ~(hb | vb);
+wire [2:0] r, g;
+wire [1:0] b;
+wire key_strobe;
+wire key_pressed;
+wire [7:0] key_code;
+
+reg [7:0] iDSW1, iDSW2, iCTR1, iCTR2;
+
+always @(*) begin
+ iDSW1 = ~status[15:8];
+ iDSW2 = ~status[23:16];
+ iCTR1 = ~{ m_coin1, m_one_player, m_up, m_down, m_right, m_left, m_fireA, 1'b0 };
+ iCTR2 = ~{ m_coin2, m_two_players, m_up2, m_down2, m_right2, m_left2, m_fire2A, 1'b0 };
+
+ if (core_mod[0]) begin
+ //Jungler, Loco-Motion, Tactician
+ iCTR1 = ~{ m_coin1, m_coin2, m_right, m_left, m_fireA, 1'b0, m_fireB, m_up2 };
+ iCTR2 = ~{ m_one_player, m_two_players, m_left2, m_right2, m_fire2A, m_fire2B, m_down2, m_up };
+ iDSW1[7] = ~m_down;
+ end
+ if (core_mod[3]) begin
+ //Commando
+ iCTR1 = ~{ m_coin1, m_coin2, m_right, m_left, m_fireB, 2'b00, m_up };
+ iCTR2 = ~{ m_one_player, m_two_players, m_left2, m_right2, m_fire2B, m_fire2A, m_down2, m_up };
+ iDSW1[7] = ~m_down;
+ iDSW1[6] = ~m_fireA;
+ end
+end
+
+wire ioctl_downl;
+wire [7:0] ioctl_index;
+wire ioctl_wr;
+wire [24:0] ioctl_addr;
+wire [7:0] ioctl_dout;
+reg port1_req;
+reg [15:0] rom_dout;
+reg [14:0] rom_addr;
+
+data_io data_io(
+ .clk_sys ( clock_24 ),
+ .SPI_SCK ( SPI_SCK ),
+ .SPI_SS2 ( SPI_SS2 ),
+ .SPI_DI ( SPI_DI ),
+ .ioctl_download( ioctl_downl ),
+ .ioctl_index ( ioctl_index ),
+ .ioctl_wr ( ioctl_wr ),
+ .ioctl_addr ( ioctl_addr ),
+ .ioctl_dout ( ioctl_dout )
+);
+
+sdram #(.MHZ(24)) sdram(
+ .*,
+ .init_n ( pll_locked ),
+ .clk ( clock_24 ),
+
+ // ROM upload
+ .port1_req ( port1_req ),
+ .port1_ack ( ),
+ .port1_a ( ioctl_addr[22:1] ),
+ .port1_ds ( { ioctl_addr[0], ~ioctl_addr[0] } ),
+ .port1_we ( ioctl_downl ),
+ .port1_d ( {ioctl_dout, ioctl_dout} ),
+
+ // CPU
+ .cpu1_addr ( ioctl_downl ? 17'h1ffff : {3'b000, rom_addr[14:1] } ),
+ .cpu1_q ( rom_dout )
+);
+
+always @(posedge clock_24) begin
+ reg ioctl_wr_last = 0;
+
+ ioctl_wr_last <= ioctl_wr;
+ if (ioctl_downl) begin
+ if (~ioctl_wr_last && ioctl_wr) begin
+ port1_req <= ~port1_req;
+ end
+ end
+end
+
+fpga_nrx fpga_nrx(
+ .RESET(status[0] | buttons[1]),
+ .CLK24M(clock_24),
+ .CLK14M(clock_14),
+ .mod_jungler(core_mod[0]),
+ .mod_loco(core_mod[1]),
+ .mod_tact(core_mod[2]),
+ .mod_comm(core_mod[3]),
+ .hsync(hs),
+ .vsync(vs),
+ .hblank(hb),
+ .vblank(vb),
+ .r(r),
+ .g(g),
+ .b(b),
+ .cpu_rom_addr(rom_addr),
+ .cpu_rom_data(rom_addr[0] ? rom_dout[15:8] : rom_dout[7:0]),
+ .SND(audio),
+ .DSW1(iDSW1),
+ .DSW2(iDSW2),
+ .CTR1(iCTR1),
+ .CTR2(iCTR2),
+ .LAMP(),
+ // ROM download
+ .ROMCL(clock_24),
+ .ROMAD(ioctl_addr[15:0]),
+ .ROMDT(ioctl_dout),
+ .ROMEN(ioctl_wr)
+ );
+
+mist_video #(.COLOR_DEPTH(3), .SD_HCNT_WIDTH(11)) mist_video(
+ .clk_sys ( clock_24 ),
+ .SPI_SCK ( SPI_SCK ),
+ .SPI_SS3 ( SPI_SS3 ),
+ .SPI_DI ( SPI_DI ),
+ .R ( blankn ? r : 0 ),
+ .G ( blankn ? g : 0 ),
+ .B ( blankn ? {b,1'b0} : 0 ),
+ .HSync ( hs ),
+ .VSync ( vs ),
+ .VGA_R ( VGA_R ),
+ .VGA_G ( VGA_G ),
+ .VGA_B ( VGA_B ),
+ .VGA_VS ( VGA_VS ),
+ .VGA_HS ( VGA_HS ),
+ .ce_divider ( 1'b1 ),
+ .blend ( blend ),
+ .rotate ( {orientation[1], rotate} ),
+ .scandoubler_disable( scandoublerD ),
+ .scanlines ( scanlines ),
+ .ypbpr ( ypbpr ),
+ .no_csync ( no_csync )
+ );
+
+user_io #(.STRLEN(($size(CONF_STR)>>3)))user_io(
+ .clk_sys (clock_24 ),
+ .conf_str (CONF_STR ),
+ .SPI_CLK (SPI_SCK ),
+ .SPI_SS_IO (CONF_DATA0 ),
+ .SPI_MISO (SPI_DO ),
+ .SPI_MOSI (SPI_DI ),
+ .buttons (buttons ),
+ .switches (switches ),
+ .scandoubler_disable (scandoublerD ),
+ .ypbpr (ypbpr ),
+ .no_csync (no_csync ),
+ .key_strobe (key_strobe ),
+ .key_pressed (key_pressed ),
+ .key_code (key_code ),
+ .joystick_0 (joystick_0 ),
+ .joystick_1 (joystick_1 ),
+ .status (status ),
+ .core_mod (core_mod )
+ );
+
+dac #(.C_bits(16))dac(
+ .clk_i(core_mod[0] ? clock_14 : clock_24),
+ .res_n_i(1),
+ .dac_i({audio,audio}),
+ .dac_o(AUDIO_L)
+ );
+
+wire m_up, m_down, m_left, m_right, m_fireA, m_fireB, m_fireC, m_fireD, m_fireE, m_fireF;
+wire m_up2, m_down2, m_left2, m_right2, m_fire2A, m_fire2B, m_fire2C, m_fire2D, m_fire2E, m_fire2F;
+wire m_tilt, m_coin1, m_coin2, m_coin3, m_coin4, m_one_player, m_two_players, m_three_players, m_four_players;
+
+arcade_inputs inputs (
+ .clk ( clock_24 ),
+ .key_strobe ( key_strobe ),
+ .key_pressed ( key_pressed ),
+ .key_code ( key_code ),
+ .joystick_0 ( joystick_0 ),
+ .joystick_1 ( joystick_1 ),
+ .rotate ( rotate ),
+ .orientation ( orientation ),
+ .joyswap ( 1'b0 ),
+ .oneplayer ( 1'b1 ),
+ .controls ( {m_tilt, m_coin4, m_coin3, m_coin2, m_coin1, m_four_players, m_three_players, m_two_players, m_one_player} ),
+ .player1 ( {m_fireF, m_fireE, m_fireD, m_fireC, m_fireB, m_fireA, m_up, m_down, m_left, m_right} ),
+ .player2 ( {m_fire2F, m_fire2E, m_fire2D, m_fire2C, m_fire2B, m_fire2A, m_up2, m_down2, m_left2, m_right2} )
+);
+
+endmodule
diff --git a/Arcade_MiST/Namco Rally-X Hardware/rtl/rommap.txt b/Arcade_MiST/Namco Rally-X Hardware/rtl/rommap.txt
new file mode 100644
index 00000000..76ae7a90
--- /dev/null
+++ b/Arcade_MiST/Namco Rally-X Hardware/rtl/rommap.txt
@@ -0,0 +1,10 @@
+
+0000-3FFF CPU instruction
+4000-7FFF "BANG!" PCM data or CPU ROM / TimePilot sound board CPU ROM
+8000-9FFF Background/Object pattern
+A000-A0FF Rader dot pattern
+A100-A1FF Sound wave
+A200-A2FF Lookup table
+A300-A31F Palette
+
+[EOF]
diff --git a/Arcade_MiST/Namco Rally-X Hardware/rtl/sdram.sv b/Arcade_MiST/Namco Rally-X Hardware/rtl/sdram.sv
new file mode 100644
index 00000000..2b3b9407
--- /dev/null
+++ b/Arcade_MiST/Namco Rally-X Hardware/rtl/sdram.sv
@@ -0,0 +1,232 @@
+//
+// sdram.v
+//
+// sdram controller implementation for the MiST board
+// https://github.com/mist-devel/mist-board
+//
+// Copyright (c) 2013 Till Harbaum
+// Copyright (c) 2019 Gyorgy Szombathelyi
+//
+// This source file is free software: you can redistribute it and/or modify
+// it under the terms of the GNU General Public License as published
+// by the Free Software Foundation, either version 3 of the License, or
+// (at your option) any later version.
+//
+// This source file is distributed in the hope that it will be useful,
+// but WITHOUT ANY WARRANTY; without even the implied warranty of
+// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
+// GNU General Public License for more details.
+//
+// You should have received a copy of the GNU General Public License
+// along with this program. If not, see .
+//
+
+module sdram (
+
+ // interface to the MT48LC16M16 chip
+ inout reg [15:0] SDRAM_DQ, // 16 bit bidirectional data bus
+ output reg [12:0] SDRAM_A, // 13 bit multiplexed address bus
+ output reg SDRAM_DQML, // two byte masks
+ output reg SDRAM_DQMH, // two byte masks
+ output reg [1:0] SDRAM_BA, // two banks
+ output SDRAM_nCS, // a single chip select
+ output SDRAM_nWE, // write enable
+ output SDRAM_nRAS, // row address select
+ output SDRAM_nCAS, // columns address select
+
+ // cpu/chipset interface
+ input init_n, // init signal after FPGA config to initialize RAM
+ input clk, // sdram clock
+
+ input port1_req,
+ output reg port1_ack,
+ input port1_we,
+ input [23:1] port1_a,
+ input [1:0] port1_ds,
+ input [15:0] port1_d,
+
+ input [17:1] cpu1_addr,
+ output reg [15:0] cpu1_q
+);
+
+parameter MHZ = 80; // 80 MHz default clock, adjust to calculate the refresh rate correctly
+
+localparam RASCAS_DELAY = 3'd1; // tRCD=20ns -> 2 cycles@<100MHz, 1 cycle @<50MHz
+localparam BURST_LENGTH = 3'b000; // 000=1, 001=2, 010=4, 011=8
+localparam ACCESS_TYPE = 1'b0; // 0=sequential, 1=interleaved
+localparam CAS_LATENCY = 3'd2; // 2/3 allowed
+localparam OP_MODE = 2'b00; // only 00 (standard operation) allowed
+localparam NO_WRITE_BURST = 1'b1; // 0= write burst enabled, 1=only single access write
+
+localparam MODE = { 3'b000, NO_WRITE_BURST, OP_MODE, CAS_LATENCY, ACCESS_TYPE, BURST_LENGTH};
+
+// 64ms/8192 rows = 7.8us
+localparam RFRSH_CYCLES = 16'd78*MHZ/10;
+
+// ---------------------------------------------------------------------
+// ------------------------ cycle state machine ------------------------
+// ---------------------------------------------------------------------
+
+/*
+ SDRAM state machine
+ 1 word burst, CL2, <50MHz
+cmd issued registered
+ 0 RAS0
+ 1 CAS0
+ 2
+ 3
+ 4 data returned
+*/
+
+localparam STATE_RAS0 = 3'd0; // first state in cycle
+localparam STATE_CAS0 = STATE_RAS0 + RASCAS_DELAY; // CAS phase - 3
+localparam STATE_READ0 = STATE_CAS0 + CAS_LATENCY + 1'd1; // 4
+localparam STATE_LAST = 3'd4;
+
+reg [2:0] t;
+
+always @(posedge clk) begin
+ t <= t + 1'd1;
+ if (t == STATE_LAST) t <= STATE_RAS0;
+end
+
+// ---------------------------------------------------------------------
+// --------------------------- startup/reset ---------------------------
+// ---------------------------------------------------------------------
+
+// wait 1ms (32 8Mhz cycles) after FPGA config is done before going
+// into normal operation. Initialize the ram in the last 16 reset cycles (cycles 15-0)
+reg [4:0] reset;
+reg init = 1'b1;
+always @(posedge clk, negedge init_n) begin
+ if(!init_n) begin
+ reset <= 5'h1f;
+ init <= 1'b1;
+ end else begin
+ if((t == STATE_LAST) && (reset != 0)) reset <= reset - 5'd1;
+ init <= !(reset == 0);
+ end
+end
+
+// ---------------------------------------------------------------------
+// ------------------ generate ram control signals ---------------------
+// ---------------------------------------------------------------------
+
+// all possible commands
+localparam CMD_INHIBIT = 4'b1111;
+localparam CMD_NOP = 4'b0111;
+localparam CMD_ACTIVE = 4'b0011;
+localparam CMD_READ = 4'b0101;
+localparam CMD_WRITE = 4'b0100;
+localparam CMD_BURST_TERMINATE = 4'b0110;
+localparam CMD_PRECHARGE = 4'b0010;
+localparam CMD_AUTO_REFRESH = 4'b0001;
+localparam CMD_LOAD_MODE = 4'b0000;
+
+reg [3:0] sd_cmd; // current command sent to sd ram
+reg [15:0] sd_din;
+// drive control signals according to current command
+assign SDRAM_nCS = sd_cmd[3];
+assign SDRAM_nRAS = sd_cmd[2];
+assign SDRAM_nCAS = sd_cmd[1];
+assign SDRAM_nWE = sd_cmd[0];
+
+reg [24:1] addr_latch;
+reg [24:1] addr_latch_next;
+reg [15:0] din_latch;
+reg oe_latch;
+reg we_latch;
+reg [1:0] ds;
+
+localparam PORT_NONE = 2'd0;
+localparam PORT_CPU1 = 2'd1;
+localparam PORT_REQ = 2'd2;
+
+reg [2:0] next_port;
+reg [2:0] port;
+reg port1_state;
+reg [17:1] last_addr;
+
+// PORT1
+always @(*) begin
+ if (port1_req ^ port1_state) begin
+ next_port = PORT_REQ;
+ addr_latch_next = { 1'b0, port1_a };
+ end else if (cpu1_addr != last_addr) begin
+ next_port = PORT_CPU1;
+ addr_latch_next = { 7'd0, cpu1_addr };
+ end else begin
+ next_port = PORT_NONE;
+ addr_latch_next = addr_latch;
+ end
+end
+
+always @(posedge clk) begin
+
+ SDRAM_DQ <= 16'bZZZZZZZZZZZZZZZZ;
+ { SDRAM_DQMH, SDRAM_DQML } <= 2'b11;
+ sd_cmd <= CMD_NOP; // default: idle
+
+ if(init) begin
+ // initialization takes place at the end of the reset phase
+ if(t == STATE_RAS0) begin
+
+ if(reset == 15) begin
+ sd_cmd <= CMD_PRECHARGE;
+ SDRAM_A[10] <= 1'b1; // precharge all banks
+ end
+
+ if(reset == 10 || reset == 8) begin
+ sd_cmd <= CMD_AUTO_REFRESH;
+ end
+
+ if(reset == 2) begin
+ sd_cmd <= CMD_LOAD_MODE;
+ SDRAM_A <= MODE;
+ SDRAM_BA <= 2'b00;
+ end
+ end
+ end else begin
+ // RAS phase
+ if(t == STATE_RAS0) begin
+ addr_latch <= addr_latch_next;
+ port <= next_port;
+ { oe_latch, we_latch } <= 2'b00;
+
+ if (next_port != PORT_NONE) begin
+ sd_cmd <= CMD_ACTIVE;
+ SDRAM_A <= addr_latch_next[22:10];
+ SDRAM_BA <= addr_latch_next[24:23];
+ if (next_port == PORT_REQ) begin
+ { oe_latch, we_latch } <= { ~port1_we, port1_we };
+ ds <= port1_ds;
+ din_latch <= port1_d;
+ port1_state <= port1_req;
+ end else begin
+ { oe_latch, we_latch } <= 2'b10;
+ last_addr <= cpu1_addr;
+ ds <= 2'b11;
+ end
+ end else begin
+ sd_cmd <= CMD_AUTO_REFRESH;
+ end
+ end
+
+ // CAS phase
+ if(t == STATE_CAS0 && (we_latch || oe_latch)) begin
+ sd_cmd <= we_latch?CMD_WRITE:CMD_READ;
+ { SDRAM_DQMH, SDRAM_DQML } <= ~ds;
+ if (we_latch) begin
+ SDRAM_DQ <= din_latch;
+ port1_ack <= port1_req;
+ end
+ SDRAM_A <= { 4'b0010, addr_latch[9:1] }; // auto precharge
+ SDRAM_BA <= addr_latch[24:23];
+ end
+
+ // data returned
+ if(t == STATE_READ0 && oe_latch) cpu1_q <= SDRAM_DQ;
+ end
+end
+
+endmodule
diff --git a/Arcade_MiST/Namco Rally-X Hardware/rtl/spram.vhd b/Arcade_MiST/Namco Rally-X Hardware/rtl/spram.vhd
new file mode 100644
index 00000000..9de5b4b9
--- /dev/null
+++ b/Arcade_MiST/Namco Rally-X Hardware/rtl/spram.vhd
@@ -0,0 +1,63 @@
+-- -----------------------------------------------------------------------
+--
+-- Syntiac's generic VHDL support files.
+--
+-- -----------------------------------------------------------------------
+-- Copyright 2005-2008 by Peter Wendrich (pwsoft@syntiac.com)
+-- http://www.syntiac.com/fpga64.html
+--
+-- Modified April 2016 by Dar (darfpga@aol.fr)
+-- http://darfpga.blogspot.fr
+-- Remove address register when writing
+--
+-- -----------------------------------------------------------------------
+--
+-- spram.vhd
+--
+-- -----------------------------------------------------------------------
+--
+-- generic ram.
+--
+-- -----------------------------------------------------------------------
+
+library IEEE;
+use IEEE.STD_LOGIC_1164.ALL;
+use IEEE.numeric_std.ALL;
+
+-- -----------------------------------------------------------------------
+
+entity spram is
+ generic (
+ dWidth : integer := 8;
+ aWidth : integer := 10
+ );
+ port (
+ clk : in std_logic;
+ we : in std_logic := '0';
+ addr : in std_logic_vector((aWidth-1) downto 0);
+ d : in std_logic_vector((dWidth-1) downto 0) := (others => '0');
+ q : out std_logic_vector((dWidth-1) downto 0)
+ );
+end entity;
+
+-- -----------------------------------------------------------------------
+
+architecture rtl of spram is
+ subtype addressRange is integer range 0 to ((2**aWidth)-1);
+ type ramDef is array(addressRange) of std_logic_vector((dWidth-1) downto 0);
+ signal ram: ramDef;
+begin
+
+-- -----------------------------------------------------------------------
+ process(clk)
+ begin
+ if rising_edge(clk) then
+ if we = '1' then
+ ram(to_integer(unsigned(addr))) <= d;
+ end if;
+ q <= ram(to_integer(unsigned(addr)));
+ end if;
+ end process;
+
+end architecture;
+
diff --git a/Arcade_MiST/Namco Rally-X Hardware/rtl/time_pilot_sound_board.vhd b/Arcade_MiST/Namco Rally-X Hardware/rtl/time_pilot_sound_board.vhd
new file mode 100644
index 00000000..d3ab5c52
--- /dev/null
+++ b/Arcade_MiST/Namco Rally-X Hardware/rtl/time_pilot_sound_board.vhd
@@ -0,0 +1,428 @@
+---------------------------------------------------------------------------------
+-- Time pilot sound board by Dar (darfpga@aol.fr) (29/10/2017)
+-- http://darfpga.blogspot.fr
+---------------------------------------------------------------------------------
+-- gen_ram.vhd
+--------------------------------
+-- Copyright 2005-2008 by Peter Wendrich (pwsoft@syntiac.com)
+-- http://www.syntiac.com/fpga64.html
+---------------------------------------------------------------------------------
+-- T80/T80se - Version : 0247
+-----------------------------
+-- Z80 compatible microprocessor core
+-- Copyright (c) 2001-2002 Daniel Wallner (jesus@opencores.org)
+---------------------------------------------------------------------------------
+-- YM2149 (AY-3-8910)
+-- Copyright (c) MikeJ - Jan 2005
+---------------------------------------------------------------------------------
+-- Educational use only
+-- Do not redistribute synthetized file with roms
+-- Do not redistribute roms whatever the form
+-- Use at your own risk
+---------------------------------------------------------------------------------
+
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.std_logic_unsigned.all;
+use ieee.numeric_std.all;
+
+entity time_pilot_sound_board is
+port(
+ clock_14 : in std_logic;
+ reset : in std_logic;
+
+ sound_cmd : in std_logic_vector(7 downto 0);
+ sound_trig : in std_logic;
+
+ audio_out : out std_logic_vector(10 downto 0);
+
+ ROMCL : in std_logic;
+ ROMAD : in std_logic_vector(12 downto 0);
+ ROMDT : in std_logic_vector(7 downto 0);
+ ROMEN : in std_logic
+ );
+end time_pilot_sound_board;
+
+architecture struct of time_pilot_sound_board is
+
+ signal reset_n: std_logic;
+ signal clock_14n : std_logic;
+
+ signal clock_div1 : std_logic_vector(11 downto 0) := (others => '0');
+ signal biquinary_div : std_logic_vector(3 downto 0) := (others => '0');
+
+ signal cpu_clock_en : std_logic;
+ signal ayx_clock_en : std_logic;
+
+ signal cpu_addr : std_logic_vector(15 downto 0);
+ signal cpu_di : std_logic_vector( 7 downto 0);
+ signal cpu_do : std_logic_vector( 7 downto 0);
+ signal cpu_rd_n : std_logic;
+ signal cpu_wr_n : std_logic;
+ signal cpu_mreq_n : std_logic;
+ signal cpu_irq_n : std_logic;
+ signal cpu_iorq_n : std_logic;
+ signal cpu_m1_n : std_logic;
+
+ signal cpu_rom_do : std_logic_vector( 7 downto 0);
+ signal wram_do : std_logic_vector( 7 downto 0);
+ signal wram_we : std_logic;
+
+ signal clr_irq_n : std_logic;
+ signal sen1_n : std_logic;
+ signal sen2_n : std_logic;
+ signal sen3_n : std_logic;
+ signal sen4_n : std_logic;
+
+ signal sound_trig_r : std_logic;
+
+ signal ay1_do : std_logic_vector(7 downto 0);
+ signal ay1_cs_n : std_logic;
+ signal ay1_bdir : std_logic;
+ signal ay1_bc1 : std_logic;
+ signal ay1_audio_muxed : std_logic_vector(7 downto 0);
+ signal ay1_audio_chan : std_logic_vector(1 downto 0);
+ signal ay1_port_b_di : std_logic_vector(7 downto 0);
+
+ signal ay2_do : std_logic_vector(7 downto 0);
+ signal ay2_cs_n : std_logic;
+ signal ay2_bdir : std_logic;
+ signal ay2_bc1 : std_logic;
+ signal ay2_audio_muxed : std_logic_vector(7 downto 0);
+ signal ay2_audio_chan : std_logic_vector(1 downto 0);
+
+ signal ay1_chan_a : std_logic_vector(7 downto 0);
+ signal ay1_chan_b : std_logic_vector(7 downto 0);
+ signal ay1_chan_c : std_logic_vector(7 downto 0);
+ signal ay2_chan_a : std_logic_vector(7 downto 0);
+ signal ay2_chan_b : std_logic_vector(7 downto 0);
+ signal ay2_chan_c : std_logic_vector(7 downto 0);
+
+ signal filter_cmd_we : std_logic;
+ signal filter_cmd : std_logic_vector(11 downto 0);
+ signal mult_cmd : std_logic_vector(1 downto 0);
+ signal mult_value : integer range 0 to 779;
+
+ signal Vc_1a : integer range -256*1024 to 256*1024-1;
+ signal Vc_1b : integer range -256*1024 to 256*1024-1;
+ signal Vc_1c : integer range -256*1024 to 256*1024-1;
+ signal Vc_2a : integer range -256*1024 to 256*1024-1;
+ signal Vc_2b : integer range -256*1024 to 256*1024-1;
+ signal Vc_2c : integer range -256*1024 to 256*1024-1;
+ signal Vc : integer range -256*1024 to 256*1024-1;
+ signal Vin : integer range -256 to 255;
+ signal dV : integer range -512 to 511;
+ signal Vcn_a : integer range -1024*1024 to 1024*1024-1;
+ signal Vcn_b : integer range -1024*1024 to 1024*1024-1;
+ signal Vcn_c : integer range -256*1024 to 256*1024-1;
+
+begin
+
+clock_14n <= not clock_14;
+reset_n <= not reset;
+
+--------------------------------------------------------
+-- RC filters equation
+--
+-- Vc : capacitor voltage = output voltage
+-- fs : sample frequency
+-- Vin : voltage at resistor input
+--
+-- Vc(k+1) = Vc(k) + (Vin-Vc(k))/(fs.R.C)
+--
+-- Vcn * 1024 <= Vcn * 1024 + (Vin-Vc) * 1024/(fs.R.C)
+-- With Vcn = 1024 * Vc
+--------------------------------------------------------
+-- Filters will be run at 14.318MHz/512 = 27.96KHz
+--------------------------------------------------------
+-- 6 filters have to be implemented
+-- RC equation is time multiplexed to save multiplier
+-- for small FPGA
+--------------------------------------------------------
+
+-- mux Vc
+with clock_div1(3 downto 0) select
+Vc <= Vc_1a when X"0", -- Vc_xy : [0..255*1024]
+ Vc_1b when X"1", -- => Vc : [-256*1024..255*1024]
+ Vc_1c when X"2",
+ Vc_2a when X"3",
+ Vc_2b when X"4",
+ Vc_2c when others;
+
+-- mux Vin
+with clock_div1(3 downto 0) select
+Vin <= to_integer(unsigned(ay1_chan_a)) when X"0", -- ayx_chan_y : [0..255]
+ to_integer(unsigned(ay1_chan_b)) when X"1", -- => Vin : [-256:255]
+ to_integer(unsigned(ay1_chan_c)) when X"2",
+ to_integer(unsigned(ay2_chan_a)) when X"3",
+ to_integer(unsigned(ay2_chan_b)) when X"4",
+ to_integer(unsigned(ay2_chan_c)) when others;
+
+-- compute dV
+dV <= Vin-Vc/1024; -- Vc/1024 : [0..255], dv : [-255..511] => [-512..511]
+
+-- mux filter cmd
+with clock_div1(3 downto 0) select
+mult_cmd <= filter_cmd( 7 downto 6) when X"0",
+ filter_cmd( 9 downto 8) when X"1",
+ filter_cmd(11 downto 10) when X"2",
+ filter_cmd( 1 downto 0) when X"3",
+ filter_cmd( 3 downto 2) when X"4",
+ filter_cmd( 5 downto 4) when others;
+
+-- mux multiplier value
+with mult_cmd select
+mult_value <= 779 when "10", -- 0.047uF/1KOhm => (1024/fs.R.C = 779, cut fcy 3386Hz)
+ 166 when "01", -- 0.220uF/1KOhm => (1024/fs.R.C = 166, cut fcy 723Hz)
+ 137 when "11", -- 0.267uF/1KOhm => (1024/fs.R.C = 137, cut fcy 596Hz)
+ 779 when others; -- Not use
+
+-- compute Vcn
+Vcn_a <= Vin*1024 when mult_cmd = "00" else Vc + dv*mult_value; -- => Vcn_a : [-1024*1024..1023*1024]
+
+-- limit to > 0
+Vcn_b <= 0 when Vcn_a < 0 else Vcn_a;
+
+-- limit to < 255*1024
+Vcn_c <= 255*1024 when Vcn_b > 255*1024 else Vcn_b;
+
+-- demux/store result and mix channels
+process (clock_14)
+begin
+ if rising_edge(clock_14) then -- 14.318MHz/512 => fs = 27.96KHz
+
+ -- demux & down sample
+ if clock_div1(8 downto 0) = '0'&X"00" then Vc_1a <= Vcn_c; end if;
+ if clock_div1(8 downto 0) = '0'&X"01" then Vc_1b <= Vcn_c; end if;
+ if clock_div1(8 downto 0) = '0'&X"02" then Vc_1c <= Vcn_c; end if;
+ if clock_div1(8 downto 0) = '0'&X"03" then Vc_2a <= Vcn_c; end if;
+ if clock_div1(8 downto 0) = '0'&X"04" then Vc_2b <= Vcn_c; end if;
+ if clock_div1(8 downto 0) = '0'&X"05" then Vc_2c <= Vcn_c; end if;
+
+ -- rescale and mix channels with down sample
+ if clock_div1(8 downto 0) = '0'&X"06" then
+ audio_out <= std_logic_vector(to_unsigned(Vc_1a/1024,11)) +
+ std_logic_vector(to_unsigned(Vc_1b/1024,11)) +
+ std_logic_vector(to_unsigned(Vc_1c/1024,11)) +
+ std_logic_vector(to_unsigned(Vc_2a/1024,11)) +
+ std_logic_vector(to_unsigned(Vc_2b/1024,11)) +
+ std_logic_vector(to_unsigned(Vc_2c/1024,11));
+ end if;
+ end if;
+end process;
+
+
+-- divide clocks
+-- random generator ?
+process (clock_14, reset)
+begin
+ if reset='1' then
+ clock_div1 <= (others =>'0');
+ biquinary_div <= (others =>'0');
+ else
+ if rising_edge(clock_14) then
+ clock_div1 <= clock_div1 + '1';
+
+ if clock_div1 = X"800" then
+ if biquinary_div(3 downto 1) = "100" then
+ biquinary_div(3 downto 1) <= "000";
+ biquinary_div(0) <= not biquinary_div(0);
+ else
+ biquinary_div(3 downto 1) <= biquinary_div(3 downto 1) + '1';
+ end if;
+ end if;
+
+ end if;
+ end if;
+end process;
+
+-- make clocks for cpu and sound generators
+cpu_clock_en <= '1' when clock_div1(2 downto 0) = "011" else '0';
+ayx_clock_en <= '1' when clock_div1(2 downto 0) = "111" else '0';
+
+-- mux rom/ram/devices data ouput to cpu data input w.r.t cpu address
+cpu_di <= cpu_rom_do when cpu_addr(15 downto 13) = "000" and cpu_rd_n = '0' and cpu_mreq_n = '0' else -- 0000-1FFF
+ wram_do when cpu_addr(15 downto 12) = "0010" and cpu_rd_n = '0' and cpu_mreq_n = '0' else -- 2000-2FFF
+ ay1_do when cpu_addr(15 downto 13) = "010" and cpu_rd_n = '0' and cpu_mreq_n = '0' else -- 4000-5FFF
+ ay2_do when cpu_addr(15 downto 13) = "011" and cpu_rd_n = '0' and cpu_mreq_n = '0' else -- 6000-7FFF
+ X"FF";
+
+-- write enable to working ram and filter command register
+wram_we <= '1' when cpu_wr_n = '0' and cpu_addr(15 downto 12) = "0010" else '0';
+filter_cmd_we <= '1' when cpu_wr_n = '0' and cpu_addr(15 downto 12) = "0011" else '0';
+
+-- chip select with r/w direction to AY chips
+sen1_n <= '0' when cpu_mreq_n = '0' and cpu_addr(15 downto 12) = X"4" else '1';
+sen2_n <= '0' when cpu_mreq_n = '0' and cpu_addr(15 downto 12) = X"5" else '1';
+sen3_n <= '0' when cpu_mreq_n = '0' and cpu_addr(15 downto 12) = X"6" else '1';
+sen4_n <= '0' when cpu_mreq_n = '0' and cpu_addr(15 downto 12) = X"7" else '1';
+
+-- finalise AY r/w & address controls
+ay1_bc1 <= not sen2_n or ( cpu_wr_n and not sen1_n);
+ay1_bdir <= not sen2_n or (not cpu_wr_n and not sen1_n);
+ay1_cs_n <= sen1_n and sen2_n;
+
+ay2_bc1 <= not sen4_n or ( cpu_wr_n and not sen3_n);
+ay2_bdir <= not sen4_n or (not cpu_wr_n and not sen3_n);
+ay2_cs_n <= sen3_n and sen4_n;
+
+-- input random (?) to AY1 chip
+ay1_port_b_di <= biquinary_div(0)&biquinary_div(3)&biquinary_div(2)&clock_div1(11)&"0000";
+
+-- clear irq when reset and irq acknowledge
+clr_irq_n <= reset_n and (cpu_m1_n or cpu_iorq_n);
+
+-- regsiter filters commands (11 bits data are cpu address)
+process (clock_14, cpu_clock_en)
+begin
+ if rising_edge(clock_14) and cpu_clock_en = '1' then
+ if filter_cmd_we = '1' then filter_cmd <= cpu_addr(11 downto 0); end if;
+ end if;
+end process;
+
+-- latch sound trigger rising edge to set cpu_irq, and manage clear
+process (clock_14)
+begin
+ if rising_edge(clock_14) then
+
+ sound_trig_r <= sound_trig;
+
+ if clr_irq_n = '0' then
+ cpu_irq_n <= '1';
+ else
+ if sound_trig ='1' and sound_trig_r = '0' then
+ cpu_irq_n <= '0';
+ end if;
+ end if;
+
+ end if;
+end process;
+
+-- demux AY chips output
+process (clock_14, ayx_clock_en)
+begin
+ if rising_edge(clock_14) and ayx_clock_en = '1' then
+ if ay1_audio_chan = "00" then ay1_chan_a <= ay1_audio_muxed; end if;
+ if ay1_audio_chan = "01" then ay1_chan_b <= ay1_audio_muxed; end if;
+ if ay1_audio_chan = "10" then ay1_chan_c <= ay1_audio_muxed; end if;
+ if ay2_audio_chan = "00" then ay2_chan_a <= ay2_audio_muxed; end if;
+ if ay2_audio_chan = "01" then ay2_chan_b <= ay2_audio_muxed; end if;
+ if ay2_audio_chan = "10" then ay2_chan_c <= ay2_audio_muxed; end if;
+ end if;
+end process;
+
+-- microprocessor Z80
+cpu : entity work.T80se
+generic map(Mode => 0, T2Write => 1, IOWait => 1)
+port map(
+ RESET_n => reset_n,
+ CLK_n => clock_14,
+ CLKEN => cpu_clock_en,
+ WAIT_n => '1',
+ INT_n => cpu_irq_n,
+ NMI_n => '1',
+ BUSRQ_n => '1',
+ M1_n => cpu_m1_n,
+ MREQ_n => cpu_mreq_n,
+ IORQ_n => cpu_iorq_n,
+ RD_n => cpu_rd_n,
+ WR_n => cpu_wr_n,
+ RFSH_n => open,
+ HALT_n => open,
+ BUSAK_n => open,
+ A => cpu_addr,
+ DI => cpu_di,
+ DO => cpu_do
+);
+
+-- cpu1 program ROM
+rom_cpu1 : entity work.dpram
+generic map( dWidth => 8, aWidth => 13)
+port map(
+ clk_a => clock_14n,
+ addr_a => cpu_addr(12 downto 0),
+ we_a => '0',
+ q_a => cpu_rom_do,
+ clk_b => ROMCL,
+ addr_b => ROMAD,
+ we_b => ROMEN,
+ d_b => ROMDT
+);
+
+-- working RAM
+wram : entity work.spram
+generic map( dWidth => 8, aWidth => 10)
+port map(
+ clk => clock_14n,
+ we => wram_we,
+ addr => cpu_addr(9 downto 0),
+ d => cpu_do,
+ q => wram_do
+);
+
+-- AY-3-8910 #1
+ay_3_8910_1 : entity work.YM2149
+port map(
+ -- data bus
+ I_DA => cpu_do, -- in std_logic_vector(7 downto 0);
+ O_DA => ay1_do, -- out std_logic_vector(7 downto 0);
+ O_DA_OE_L => open, -- out std_logic;
+ -- control
+ I_A9_L => ay1_cs_n, -- in std_logic;
+ I_A8 => '1', -- in std_logic;
+ I_BDIR => ay1_bdir, -- in std_logic;
+ I_BC2 => '1', -- in std_logic;
+ I_BC1 => ay1_bc1, -- in std_logic;
+ I_SEL_L => '1', -- in std_logic;
+
+ O_AUDIO => ay1_audio_muxed, -- out std_logic_vector(7 downto 0);
+ O_CHAN => ay1_audio_chan, -- out std_logic_vector(1 downto 0);
+
+ -- port a
+ I_IOA => sound_cmd, -- in std_logic_vector(7 downto 0);
+ O_IOA => open, -- out std_logic_vector(7 downto 0);
+ O_IOA_OE_L => open, -- out std_logic;
+ -- port b
+ I_IOB => ay1_port_b_di, -- in std_logic_vector(7 downto 0);
+ O_IOB => open, -- out std_logic_vector(7 downto 0);
+ O_IOB_OE_L => open, -- out std_logic;
+
+ ENA => ayx_clock_en, -- in std_logic; -- clock enable for higher speed operation
+ RESET_L => reset_n, -- in std_logic;
+ CLK => clock_14 -- in std_logic
+);
+
+-- AY-3-8910 #2
+ay_3_8910_2 : entity work.YM2149
+port map(
+ -- data bus
+ I_DA => cpu_do, -- in std_logic_vector(7 downto 0);
+ O_DA => ay2_do, -- out std_logic_vector(7 downto 0);
+ O_DA_OE_L => open, -- out std_logic;
+ -- control
+ I_A9_L => ay2_cs_n, -- in std_logic;
+ I_A8 => '1', -- in std_logic;
+ I_BDIR => ay2_bdir, -- in std_logic;
+ I_BC2 => '1', -- in std_logic;
+ I_BC1 => ay2_bc1, -- in std_logic;
+ I_SEL_L => '1', -- in std_logic;
+
+ O_AUDIO => ay2_audio_muxed, -- out std_logic_vector(7 downto 0);
+ O_CHAN => ay2_audio_chan, -- out std_logic_vector(1 downto 0);
+
+ -- port a
+ I_IOA => (others => '0'), -- in std_logic_vector(7 downto 0);
+ O_IOA => open, -- out std_logic_vector(7 downto 0);
+ O_IOA_OE_L => open, -- out std_logic;
+ -- port b
+ I_IOB => (others => '0'), -- in std_logic_vector(7 downto 0);
+ O_IOB => open, -- out std_logic_vector(7 downto 0);
+ O_IOB_OE_L => open, -- out std_logic;
+
+ ENA => ayx_clock_en, -- in std_logic; -- clock enable for higher speed operation
+ RESET_L => reset_n, -- in std_logic;
+ CLK => clock_14 -- in std_logic
+);
+
+
+end struct;
\ No newline at end of file