From c90dc055b58da1d8c766686ff153bf2b17071623 Mon Sep 17 00:00:00 2001 From: Gehstock Date: Sat, 17 Feb 2018 12:55:28 +0100 Subject: [PATCH] Change to Release --- GCE - Vectrex_MiST/README.TXT | 4 +- GCE - Vectrex_MiST/Release/vectrex_MiST.rbf | Bin 0 -> 259152 bytes GCE - Vectrex_MiST/Snapshot/vectrex_MiST.rbf | Bin 256483 -> 0 bytes GCE - Vectrex_MiST/rtl/build_id.v | 4 +- GCE - Vectrex_MiST/rtl/card.v | 25 +- GCE - Vectrex_MiST/rtl/vectrex.vhd | 34 +-- GCE - Vectrex_MiST/rtl/vectrex_mist.sv | 32 +- .../rtl/vectrex_scramble_prom.vhd | 278 ------------------ .../binaries/linux32/duplicate_byte | Bin 7356 -> 0 bytes .../binaries/linux32/make_vhdl_prom | Bin 11712 -> 0 bytes .../binaries/win32/duplicate_byte.exe | Bin 97353 -> 0 bytes .../binaries/win32/make_vhdl_prom.exe | Bin 100636 -> 0 bytes .../binaries/win64/duplicate_byte.exe | Bin 117095 -> 0 bytes .../binaries/win64/make_vhdl_prom.exe | Bin 119861 -> 0 bytes .../tools_prom_src/src/doc_compilation.txt | 28 -- .../tools/tools_prom_src/src/duplicate_byte.c | 37 --- .../tools/tools_prom_src/src/make_vhdl_prom.c | 83 ------ .../vectrex_unzip/make_vectrex_proms.bat | 14 - .../tools/vectrex_unzip/make_vhdl_prom.exe | Bin 119861 -> 0 bytes 19 files changed, 47 insertions(+), 492 deletions(-) create mode 100644 GCE - Vectrex_MiST/Release/vectrex_MiST.rbf delete mode 100644 GCE - Vectrex_MiST/Snapshot/vectrex_MiST.rbf delete mode 100644 GCE - Vectrex_MiST/rtl/vectrex_scramble_prom.vhd delete mode 100644 GCE - Vectrex_MiST/tools/tools_prom_src/binaries/linux32/duplicate_byte delete mode 100644 GCE - Vectrex_MiST/tools/tools_prom_src/binaries/linux32/make_vhdl_prom delete mode 100644 GCE - Vectrex_MiST/tools/tools_prom_src/binaries/win32/duplicate_byte.exe delete mode 100644 GCE - Vectrex_MiST/tools/tools_prom_src/binaries/win32/make_vhdl_prom.exe delete mode 100644 GCE - Vectrex_MiST/tools/tools_prom_src/binaries/win64/duplicate_byte.exe delete mode 100644 GCE - Vectrex_MiST/tools/tools_prom_src/binaries/win64/make_vhdl_prom.exe delete mode 100644 GCE - Vectrex_MiST/tools/tools_prom_src/src/doc_compilation.txt delete mode 100644 GCE - Vectrex_MiST/tools/tools_prom_src/src/duplicate_byte.c delete mode 100644 GCE - Vectrex_MiST/tools/tools_prom_src/src/make_vhdl_prom.c delete mode 100644 GCE - Vectrex_MiST/tools/vectrex_unzip/make_vectrex_proms.bat delete mode 100644 GCE - Vectrex_MiST/tools/vectrex_unzip/make_vhdl_prom.exe diff --git a/GCE - Vectrex_MiST/README.TXT b/GCE - Vectrex_MiST/README.TXT index 5fd3115f..e4cebb6c 100644 --- a/GCE - Vectrex_MiST/README.TXT +++ b/GCE - Vectrex_MiST/README.TXT @@ -1,12 +1,14 @@ GCE(General Consumer Electronics) - Vectrex For Mist FPGA +Max 16kb Roms supported + Controls: Movement: Joystick, Keyboard(Arrow Keys) Buttons: 1-2 on Joystick Fire Buttons 1-4 on Keyboard 1-4 -ToDo: Fix Rom Loader +ToDo: Fix Reset diff --git a/GCE - Vectrex_MiST/Release/vectrex_MiST.rbf b/GCE - Vectrex_MiST/Release/vectrex_MiST.rbf new file mode 100644 index 0000000000000000000000000000000000000000..4de020ef735e84548893986f14921c5523ec4931 GIT binary patch literal 259152 zcmeFa51buWdEY&Aburh90{4zD%diM}W_0C@WE)n(AF2>qX0Ar&$}D(gltdwbSB^tX z{>hN0&FAf>^~}|*eT8K#Ifgh*0+Nu42@N)`{l0mdHeL}qs0od2N?Mn+7`ti0+csh4 zCaDP!-tX_s%Q#bDr~@=bZCA&pBuAx4*qK{KWq<@ISxtKmYn0 z-qc=c=qKJOUD+3fEol%LU;Xh6?{;_o}=qUU^k!6|VboX;AuM z8uVP@U+i9$SH&xj@KoW?_g>*U*Lzh?m0olRsysfb&3-qk83KUk+QI%KqkE*^^S=C*6 z)Hb544OICRuQpVEh5a6&HWN$(3L6H6RZxX1ZS`E0r@LgJf{L!H4vMepA$;QFVc>(n zN#F}WRnB7fuK-nCRle?%fLDUb83qOG{htE|03Q%-%JX@k3Rj%o|5FKiuAmCj{ma1c z`^0H*5D?D=l854{*{o<%pAaa#;)nWN)wk4#^e&$0F3|Jt5{i%_>`TCtfTB(#V?y|c z%h&tg1%46`EqbruS4vR0WJ5fa{8w#vD^T@^rTWSjOQ_NcPes>tfXb_As_qpHx>t1Q z*#&L}gi>@I19TT|g;iyo%UxxE01!QDcgeoWSPa&dP{peb?*i5ViV~fAmwaff6AV8W zA>-qJUP2Y8@^2V|EhPki9u=kM3O-$e-Zufde*zF6hGCdD3jbrEiWh(G2C8@6)qe$| zM{O>s`mOGwMeU>VbpH?_QWPgSRbI(~XsKW+xmc`?RQ@oiJV8NGaVu;B!w~Ak4 zv1qHH;`#7h{2vCz4Zjc5pl7xFLNruicK|A{qD`{(H$X*$?s`8P438svuKG%KugY8O zUX@qHi+0tm3KzRXgTg8}8%>I@%CGL4W2?S!I&W0A#!i8rHGcdU@TY)eP4lnrlF17G zq6EF`u?ny5>SOx=;j6;bZ-+r~!=SnkzZVqE(ImP>M+LuDg5E`=WK{h~<>~$qAlmd? zfxB!brimz)L) zt3XkrK_EJ$4~S099ru)=c}Me11&XVlb^q@roE|1R1V3BC`XQ*wAHIuzK@}!CB>RRq zE|OWjS1{a97kZZS<7o;io@WG*rX9 z_*&^88b2%0xGIp2CQ!KEHCO!*FjB%t0liD_(0vym8gv)@AfUU#1foa!V?~GftLLh3 zRLs!3#xLEa4{1yjsIR{YkgofOK&4mfE`542IDL%&6F^}V9o1ds4MRm+MVI*g8-UVO zywdz5J_z(&f!@WJ;rr>&m0XDr6{rtXdYbC4vMM?hr}sijTeC(yx(ra5=3tF)0=27# zkShSiDeRX3=`A||#YZh_RsOZv|aUY=j z?Irv^@XIAsVH(TcQG$5z3xMtwC`!+wL7;g;AbN|CBCKjV@k-CtU3!_G_X4j26!$hj zVbz@ZT0rx;Kz&$xhGbwVsPc**k^{+-WMA`!<`;q9&jy+^1ywrX(O6c&zW}Q7N_T}< z{YH1yNucM;0o}h3kgNzqhwkdjx@(+JnC^NP=viSEC`|1l5Iw4+^k>=P6;$n0y}ulg zPoxT0yIl_`OrZHo?;5Y9M+@})9-w$DuES%i+D_#ULsgz=s-OzfUF8V$ESgk@e+Nje zD$x7s@c3!)1TY*lOoN^!dsX?mt2}|8HHJyPG`4E25vbq)XFy>U=>2RU9u0%~tomjJ zDsLgsLW260x5ZtU=1jSb9*+n~bx=O4|zn9jm zwBdU-D@tl!ZRQ_;)KZptK&HSVKM?mUA@S$M>t*9hNCKq3O<|sIj}8$rGkBB24<{se zJh-v_s-R|B6Hpwr>xTo^v9{N*sgq(N>2?@|Xl^aI|G5@s-`~rW)u+ z9_-c+w;aoQCk^g=aL~!u6F=y0sBNMuyE^`1P;9S1{;rpUiDW%)R<|X*MK|-Hv#yv5 znv^E?I96hLeenx8*ws~;2VR}b{Z2}<*iUO;`GfCK)QZ4)E@>-LN6T9IF0j&sMYQb; zg{MAn-j6_M_TFVz`fCrrY}t7h%P=SX>Vhv2X5RDPUm%8|>_ZaE82SQX@21t~jDeA9 zX}IMFFIqamGGgcWzl=D*vjb_VN_KV7kQHY31Nm0DID;=FPV{dazOS!FMoc!m4fl4UI` zvLFn}un-IkNrv`PEM&F5kiePW`fE{wO&rNYHnvob4Y$+_d%iH3ESrBt*LZ;%_JY|jsNEOTZtJ{MyS4JyJazr(vOCK{ z8Jbe?9{=|%YF#W;&hraf;hFFG5wTFN1qdsat`|ytwy?+E|I)K1Ip_En+lD%QcgHys zX1(^E=brX#DOYl)w7!e{Q)lDrxy7%vjIGqdg|*V-^@?iB=f>M}Nrm(e&L!PBrTLcT zk!8ECm!09e!`J;?Wx_A0How5Y??|mXI`TW79Nfl_{pwC2&-YbZG$9n;{-onvj>ku`#d*y`SR-jwQ_^y^I5a(EIQcz z;;*f=k7CiH&WKo?Dw588{MZ>8o_D|k3hDF4wQ}=&WLq=uJpQ~1IV0owSxdOr~UGYEt^k#`ushhvgio6 z+=$YqUT(I9)1S{%^1>89kI?TLj$N23mnR+Pv-Gdn5F4HPPv-m0%VW)AsbSgqOWBI) z-Q`TOVn-(z^@7j*;}0#y>E(y7v^cL=Lw`5f@ZWL1ZcQ5Ju1%kN%CS=ycA2c;E)(3- z%O4RI%fU#oVq>Lcw&X2V(lWzWdI(!)@rx(FK-l8ESvckb#}?+x;-MEfwm5GVj=8|G zh5530=y}O8okf}Xh&J9Wswl6FDz4)oGyn2DR=F2%xaGyPA79~(FUu=_iPSHG0Di}* z++xp*MDztu_sV&?m*Y3|_=3(XEVz&QwzaeIFZ7{#>W7xMOL;+p3Mv>9zjlrJ@cg+` z)mtLzw}1CL=XBr_)mS=eIg+t7CSqTN5%H{Lo>PMJnXn74?sICw*+l1aTdtU&@q(*+ zw!P2!;exAMgZWB{;CI(KkrzpaMRw2K&3x`D*}d{&`MTS0TysJ3Ub*iF4v*vUIgezE zC7k*2M^n}~RtAdCKCKL{Gc~_x*os(YA-DbZGBYfZ{Cw6HmLPk1Q5Tf>A$NyRCCpSAy4OPuZ&K8Pb|z!h6Q`>y#MDME1Oo(*JX0ax`hlV0!J%gk|x-+gg9 zKz{2=5V>HHGuMB0GX67u1Lw8wZShZYzwGDTFTUZS_{a9$VSYPj&%Qg%kLQd$xm&-U zvnTrveNd2_`T3mV&wZ%;`5fI}>)u)Xp3Y^@x#kCSz5|hTuhI7rzs7Is6t(GI^KAa5 zmFsF3wtz1+sx1_^k`wNEs|j2nY@w!KGHj)7z?E7)zVNk^C7W%b$dzu&h01tdVdpbp zD<-e{g!$lkv1g%7oFsaly7u$X=r5?}3pK$~Vdt|%zo;7Yi;Db$dj3%SzsFGcTT#!S z=kKh{eCfe-#Wt<{@A}otW&hgB%rE`yQUlJi;+kK5#re6`dqF>6Ry!*3O3ySartf?= zeaT?it+rr>6>iG&*PF6pGPcq`3$&v1NVL*k@CO7t^JGIwnhh(nnhkDNR)o*|)|ae=6x!I|tjGNEKtO?i zDC_)3x_B-u6*PN732KX&nZMd?a3;bQ^Ouw*oC8vg9R=i;mGfuiB9y;Nf2%IaqNHxn zmJ~MIgj(@gFc%n`4Pj?#8El65ru*Da{E~@FiWK4j*v#A6Q069!h%-1vqwu=VZT};& zFA`yK#Ycm&V6Mrq^aVU~e6VJA-#(OlmMqg!LlNirc+0=INGapflS45CpBogFR@#I2 za%n1SlXfY<5OxOsGID`iJ{y)<*Zwy{-5KPa9bLt+IojAE|7G!A!jYWLt#hyJEOpk* z!+Ymmp3hT0S5>}D{ga&Y7HM2W<{TK&nR{L0X|4-48#BNCb)__%s@P>}Jsiz5bf^PA4|a(f?}1uW#BO3)?U102;GY%>{hg^m^gwp742~LeiD|Pu zed04O+1^feB_$^&lBm8Nbf8bR6Pj#ans`%Y#&yc=?H*)Vo}2N~nrh?|1EFaW{Mfq; zM!gD>;{%8r?9O319g!R?54T5_!< zvP!!6y{U<+1Z3II%jeKK{Anwex+^Nx9Yx(4ZGtdY;MpQ%4z!DEmPCT-N!e5X=6iT@ z=A&`hg+=D2prT4?)Z=*P|5)hNW{y2<0wHjSO3*n*iBTLdAALb)HGbqgRFo?0vPKv^ z_j5`UE9<#V`_gqxQ;^40TX>66M6Xc#$H$0DoJ3dt3Ybq>6fy{g*^B}~3^YMsL1>lmmS13|P^Cek7Johvxm31*nRlDPJ+;lNkw|H3+lu!p z)w$n;kKNGla7JYF5QyHh0M)J_D<5(ztwr{mcU=@yS>{G+JRb_dhV~%|pP!<7gN-VH z8|<9Fg z#GDy&Ci0>;mf`KMefpxJfpz*yP>^UIt041oPM4^0=l8#4TO+EcaVM^)piJ#by4!b` zD$21A5MNx9!xX3Z-nJ z!O_7Db-($#qTl;WJ1HN~ppnW2#>M`nTZgD6~GvshjS}Xbh4;jxhg*H?+Ss)DKXOxbxj0iqf`?CzDDc!dHMeS=O`);x3#;aNZ2<;qy{)w^#(1J%WFMknKT+gI?I2IEuw4VB8oiL-48DdmVJ#XaVqqI8%y> z)!%8Lpdl)#{Kw`LP^c-!SCgrz`T~|k#S@b%Ez2kZ8BP)WXYanPEW{KQ7lgo4jRjSP z(+Hb=o$X6ih>@dDj__J$H8;vrk+Z@#n|iL!j^W7y6;RrluU_Rfgo!^8nR+XHwhYs_ z^nC@EU}{rTl#t@VBZ0z2r4^m}@VB_>oj*m*0$peLJspl4N>ns#6#&xU+ehntGZ1OU zQw!NqJ2#^lGT@joMTj+AX{0g)4`U}>l`^zLR`IADbSEqDYVGUa@={*;PldQ*pwLGU zRawE9>RgGTU>;_xtU$x6RG4{FH!P%CA^ZvGv1u)Q>ZiX~ntl=HI?bFp+Ak6$

-| zs$~&q1{tN*Xe-PWlocpwNX6~eo$p=4T&6VUxZ$MV-G29VAlP>C_4RY{ha;ChVm|6x zP=!TttTjp{^j8sV?&!YrL-aN~Md`(zwRlEN+gY2A(uu5@_x!IpL|_5Us5mkNvu}zP z2;C?$V%p2tG;_F1j+5$v-(Tg;noAXCG0~FovM?0Yz;Y@LD1$tmeJi-IiAHJ9{M3gG zF7QY*lX0OkXk5ru4DD*3;cr2A$scp$GAymd3mE~hhgSOR&wbe-E~Uka3!4RGDvT&4 zb%eP@tM{rTiZ?@o$|c&oibKF*%oQuJW^M|J&>Z1qra6nUm73Nu2Cpv0I-2cM^NYgz zE##oMQ+9!=2rM{7aiO*8+5h&5>B8_b*XW2(Y-t{)w)eF1Ngn_~d$ezRzKj?A2{a!0 z3{)mZN+`7&jT=y!lFx~bY!+P`kEwpj5;drz_XYnVq}R-ACht$H$;TU@!_29x3(nd$ z?zB>l6rvJk0ZiLiCt-oC=+I^PWf6fgJoWaMY0EX7t_(4wp_gUA*r6g8!Zoy}b9&M= z=z_U@eS6Kw7ysTv@iYDRo8yo-^v&tWtKRTr@3~vMuWrAAkfQv>qD_QN67t|{;A?LB+ zSXm)6KYV=}+D?p~DeZ%Kg#S?PajmqlBCrToai&))kp>IWBPc_#KW=2yPr?|;jdIDe zpIZmj^`RsSKF-4u&ri}E%oQ>7*ZBEpUa{W<5c{p8YqOSY4|d_jFCXy8gAgX}gu!ar75o z{*aYBt;@dHuODm$ovQ~Iw|^>V|3%(9P&?S&);kn38?MW<87CfJ9fhr@yHA!0Pu?9J z54tn+5{}>F76}`dJl*||OC($fV|V=GyRX>N17m1i+1s|;-GA40*0|faJRTp^E(`6~ z=2!M+{4YoM`J>LB--{YQuzTZQg#By!7vKF;AL!NlJ8Em6_{ddvPi1#q)4U#rg)@%{D2j_x&LsmE4;c9 z9skJNk2%+lX0^xrSLIOAb+bET*FDr-Hx*9qYftCjx6l39Wh?!?_SE*|QI@d9k}2-! zX2u}U8)G}NhWr~l+=M99XlSW~kV_%HMkO@~Yat0{zWTGqmk`rc65he$`I-76*py5m z+*3qe1Bj7z(3vhEto$d1K%$(+4Ho2#zZn@0n~g^%-1SJd6hTR~O936K{fpDD@| zPPE|!g=p3w@FybO(65I%F#IVp5eO>7HqVqsToGLmLOk0N z%;W-VAhg9(^=d(t2{IQ`fWgA0NU5v1TLE1C#~*LrxPvj2<*}=p4`Dl1P6i98nUH2w>fOnx3! zmdU8ADWfc%@B$>!)xvmS9c0(SyFOqwJBF6hbgZ&?C4p7ep}QFc3L2}_ID8}$vi9iX zht@#=J}wa>hLZ}~tF$GLy`ofOQ$qd_eqz!hGE;@Lo<^s`$ea1rqgv!-NHSwcnW)GK z0>gUqM$9lmQ?;Q0NHwcnS+fyn?0D+Ni~1B=LY2@=vV^G^Q{{@gRYKhht`{Nhm^%r@ z2%S6>(W#$3YQp0pmTNHr7*1#~BA~D*$^;Z#RH?WUs!`As4Nm=!!kYT@$9}c3ZU3P* zG0h|gkK;1J;rX#rAEAIG1yCUqp87t5qIV<9#1=G5YcnI9Da}Z$sj)MeW&2u<>_FUu zHQ9lFzh+H`!P;lP^vcP2hk8lWIZjWaJ7gL`!K<}Lo4Yagkm8EyI9BfeSCyw0+)@*9q|-;Wy< zLam6*YUv)odk`OhQ}_VJ+(>p2WHtR8S|J8fP``X9uS1KW=uU-);I>@G*INctCt>bb z7&UI|XNUd&{Bv3;!<4cTnSDIS(6aSF6=$tFJ*XT7Y5XUNY-Qz}dn_^+wJ)lSv|Coj z${aFu82jn|fBy2=EF{K4rgF@E?xiS2af=mFpr5<4q-d5CU@L2u+2P=+Z`8&p&PK<; zP0{>O+HF~;7nlVmJOcmkE1SELp)6-UmhFr*R5d6c31D0?2K=n+z_{zOn?k(S7PW)tBLdn$ox0^9YKSkk6bzH3eN$|8pS(s)c7RKGXLS>6dH1HB1A_v zL&#|B9_<5=(e2fQ`8B^anVBve#}3B2lGqzN5baP1YTcepeVJ(j1ios57Ywn^j_0(Q zcihyC-t0u#dYh48Bjdo~)-2uXm8wpjZ>(qRxg2jad)i1~1zGy+4fjl*ggN50(m1Me z;cyUPG=p$1vBh&PEqpUBi%cuKk0X95b<3@ppBXH+o_hD*aN#4-S1$SOq<8TBrR9D* zi9E(Of5)pg6rU`rkbHmn6{n4ck`Lb!egZ$m?yrB?e8uTMya-=$THxr%pWNlqjS6<_ zrp5r&ABVv7Jfu}k&ctCZ+=f!neAS4q(DwcBnIAhZ=`vq9A8{~u5>0<@;!d}x+sr9) zPcv;nFS8V+pe}%pVeo{_X9_-26am&}vAD*&oz`Fto<} z>wod3g$85jB(r2uh?H**D7pZp0E+sXG{~3YEuOd?NGUtm;lc{KxJ|_-*4}?&Bn*e8Ub^r_u%UQFW zF-2?arCM2@-TyYN6DMX_M?>;ttYWx`VpAe$<9a-T{+)`1WCSz9!q=nr5)q;C$qhncLX7|qXAWQM_2*Hos*h{RWCav-OP^MUIPz;{ss7E^T~a$yS2vIk4~%X zBF(Ucwvi%khWk)X(S};o96#<5o@OY1E>y~Nfv0F8QE0mv^^-MBz7aX5C>xO=&TWjT zMXl_W{}fcSkb&5BV(;OK7NVE)@ zh@Bn?tO%Dl7O4^BG8?#V{!C5FdWN{19CRij&^v!v7ZfEov~DSWLnSVXP(7;RCAtF6 z25}{$=huSZzhAC;6vj4Tf?^Kh4z419T0|7tG=7v+mdRBHdxiCXtDEOJ^G#ZdF1~YH z1L5{!SPhF1fLT(MFY17l{x5evM^_T|03xd%QZF(}Qp&Df6llCSJeuaoo!7pTI#SV+ zQ3lU!M(B^Fy-FSPm(f)G(-2f3Q~mQ67X4k)QHG@(lb@mJ7gN{Pvb07&vw%zT)oyu>;tkq**$Q2WX)7efy@;tVcG@W7MO z<4Xj>Rqm!|gJ>Kxw0R81LO6@ms+d%Ic#x`$L=bZ7rvD*|vok3oKgGo=g`}*I*3->x zUU>mI18LEPMAIBduAR^b+fyI=UWUHLIJS2(u-)bWl9^6mj5=SzR_7o?lNImCn1D=A zcQF`any1BvIU^8aSTUlMa}3iE<0d8Uv-jE7J|S{!Oc4kKVOpK;@M!Zoc^nE8h z^~tYOjN5Rg#Tl$b>Ah0Cr3@F`7k>~ZrneaV+r;gmk)GBb&yjWql2B>+uMEWyR|J^a z^JtB@4R`jhQAA#Hs`a@jso$CHJef=kFfsPEWJpdm9J45lI{j8uQ4vw?yG8PTu%m6c zvAfQ>dRKy4>SApU-rwGpG@necR&^Q?WgI-CHP)r2`o8s5)461WMon$7u`tbMNfU>y zs^x6wa4>4;!GWhUJ9uo}>UC|%x$}5jpW1!WIBc8uA@$d`S z2`jM@snI7AwvWEG6?<`lJQfW=E6Xx40MAWU1(dr#4+n2tl|HlYzhri}_eWkv-iZMh zYwBIev`V!wOJudBDzr2f9Y;fwV{9kk^X0R4X**-38M9K)lqlxuLAIElIYc!|ZA693 zKHI~ux5C>o89v~=G>&JO7}da|vS;o@#A&v&ElVs2k7?o2*zB1F{h_w?l^7<(5P(&K zw5VqD%T^!=5U|mhzvFJ^9j9h+@jH*m7rRTgBJT%k61lP?$&NWD08IE-e{7 z*<*7srRUx={p$wavl~VxGt)m>onl2D=`ri$4)!kn#yMcN&oGR|$q`?}VX~2w$mM|I zG#HuA#a2#ZPFov0NR#@l3}sJb$xgPc1K8OPi;&UD16G#yKkKIbn)mF zxR}vlrLkc(6i^$NS;%D?N^PvEt;ks!F2&!-(q*z@4dWB@;Ggq@?x3pH@@uOF6dV1)a!&tfteRKG9 zgdzo5|!42p$K#=bVQ zxZ_YCo(mLKdK`5*p&1gDfrW*JkWx!Eohh0?>>!t701T}IH8!_d*_SV2p6kFpH-Uc{ zvrA4*n492;x?EH0mWH)(s^QO!lkMC)Zk%Z$!ERB#MlEoaO@iM_px6zKNAXjLJ>f`V@ z8Oej#oM1D!v9Az9Kd`;P4}3fDR;5S$gA6Fys`P1wI#I#CrTxLFKYuT}mXl;o65X@b zeM>goj(TgH1kI?))Rj?gM_sA&1n4IRg;ZDnc*HoIwX#P{s|fz4`Fy2TB)hL;UKyEV)o`zX3ww!MKW zwY@RXn~aY~4N);tXB7r!INl90;)J!7uslI$WA!%Aw$a^E-`_(8T-LWS-4Csmsf<;P z1bQ?#txP?%q9JoPwa1`p%ys0xi5h7a2P)%gnBVE}nK~Ri7^ch_Y9%fyO{GRt!JeI& z|B8`$YNitofk}!?Vk7{;E(AYgIA?`HjSQoK(7N-#)*L5~d5+d>vzv`=HtKrfu+)HK z0TN8H;NqTAm=^0ft~1diLp5)j$Kqma92wODa$ApFvI+|_YQ&T|Mej8O2wbybB#4^C z)XK4FUnSU&4A4dhDD_Q!QK~vnI{(!(coFSZ7BFbY$xL9FJ@P7TN`OFE*t}cvuaumP zh8@Kz>m*|4OXwcr9BN#h(hE{jH3i8LRpt3;3&DHL5LFfkIwO3x`^fmHiIjN{W zeAQqA@82(hD6rAbw=iV9gFCXvxg9j6r9rLMeh=m(XMBt39*sKj?)~Cq@#Q zoDYO52En2TvodJ#lLpkvpNNsgST1UmTRf{Mbhkns`9P-3dB=9@P)F z6Z|hRi%m&8$?a`%o4cq6moRWck2u&)u{q4@t=hm0QB)JWX;G+$uPsHKQd>Sd>h!u# zV7`$uiIR3H3m^iUfjFV>=t<5cWOz?(ge)my4Rh2(`!tDZh)p~wF9HenAjGTn*tM%! zX~3D6NKEW~(C2OwdNntH#T1f21kP4toEJqj>&9hQQBs!37_dbIQDtn4u#em~!=N_y z7-gzAQfmn?;K{@@5-1e0cB<3k$*W;a<%2>o;*%~^W0mO!Jke#RRf@;5o}Koe{ryWP zd+ef@OT;~a@VGj#K#NF@3It%{^d{nfi!rv6G()D{BtdWuF-j#t71H8jLg0U(p(Z4Z zh4-hPg&s85oF0Rdl`KjQC@bAisK#(c8ZPO9R$6n!i%@(10d3}0mL7?X}vYGe% z%gG(Mc{Q>oJnxA*Nieti2)vByB1xCoceJVbwEwu_T(E#wQKYvy}mC)shF6jW3-3U2n%5`#V&Hbna{h$^e*upS<=k=@8)?l-H z0Bv`xd*F$DbKA}KZ+1^G{^L8{mbLE2%NpO^PS7>>#5>~li8eL{l9-IgIAfVEl93zZ zk@`3j=AL*&lWdgRQId4jePJ8zWV$hfER=+fy9NCu$ku182!OgY`yGwuIe)=uKUnpK zdyv#ye(YuIa_him*NdM#*~(?sV!rS0F*ITJ`EgqtS~aU-6;S=yI0OpuI{{-^%x z=62G!gA7v*EPMA4!|tIl!9vja|(61U~?&c3K$V zau&F6Z6#xzI^B6f2T+-lwnKPvRM%`ppDKI`7+m|ZxPjq~{WN;y^{q*K3Wqm?E4=(? zM|TcF?Pb63^`mxp`)2n2-u`;*rf-dt>&}dNK;-CWFz7VcHN_ank@c&pN4pZXdSh6L zS=%uk;hMeOFjl74;nrIT9c_^+CX@LOn~*QVHN?yIYSyNY8+@CNUNXucGZh}ics&d? zn~rjk`5AuAc`4PyVjs091``Q$+yosJ)kmbrqE))>NgWq~XgSc4g0=f(J zrRgcsftFF!qFthiKpl8UjD%ptb4=KUWN}Av+T6g+Fo6;`nTBy`AGnH{8oqJW6w8}) zUMbdPXa4Y4=>Lp+qlfS&Fbqj5M(qR+W;Uic`w`%BgkuKTaH(XZgUOKM?$}Ani3AxH zK@v=H%hFS-2h~|q2$(e1hlg7#T#dDfnK2x-XFBf|yG@BXWyd_la9tIpbCMs-0%v>O zYjL8)lF5^NzNz1rbWIEtba*}K zsQXVCMEWPOr_Vm9;GfV|%M}A{k}Gyjr6a zcsTo+#=W|`4V5JBWIGegcnzjkJ4h5u`{KvW6XHF89XrbQAzv)tgK#=exgL;&puym% z2$@5008s25Iips;+h7eny#I-pv&}xUSYC>f>;@j>QqVa7%)!YFI$b1dSCzYg`tp>Z!*?K|(|We5=S(*aaKG4DFGb4{2%#(QJsy35YoI}hZ7p==jDbway z*+(cJ=sdBgO;S6?GQ*n0q3-)OLsN`iGJ+OdZzi3V91Z0rezT%zg|ZH62W9pFPR^#P z$Q{HuUm9#d@!s!$HP^tvk{GMUnYDejMV-;bF*qoTEUw&|8n95Z&=Z8exyEs&`fxP0 zNCK(gwi0R8;<@?_SQ!<<%+cZwtuizI*=EwdG2Y>`9!(3Ve&)XvL6k&Has0AkDs$JlYykqPM62s~P$!X|~f0 zslAPfo)@LG;#9qx&l9w<@Thx^<&OOe?JPCOd=L}(lS~|RSz^6{8F$Mj+X-AN++yVe zR{v{xxZkf?m$?mu0}-*@y&u$4o~ofT$jyku#XQF}9u)B3=mVL)d19g1!74Pjdgfs` zN^^wKB3Asw#_ZhgJ5kNUi^AeE5rLQ)HJ;k@vSyt&+V0j#MFfRf0_ptva=| zB55CR+r_fE5fzTvU`Nc<;N+B|R>f05i}|>oROMjH`hKfdbG=%2S(x=(jW8?Z-pZW4 zcf7YqI1U)8Vv>HaZrD8&_Qx*23%?C&U*_K=@#oYdk^PcK}E8 zL|?9g^~@)+XOHyij=H!TH`ge+@ey%{xeU4#71aVOSB$1E%i2nC_U8AZ7Sn3*7^ho% z(5kVy(WX$EWyF+9ej0{~IQVVIHc3&L8q`3Jy@C;=b^?p1lTtj4G@vs@P@)0&0_)qK zERq|u)}*9_*rIcj9{TX4v@~$E4H6_eas74O{5Dte9kMa zUHaaa$CIEm%Mqjkn_fhFio8w6O>7D*1zEcg7n3?y|B@>;RU4_Sa6@Cu z2woAs7*>vg}l#=E#EN=1;DGBg0wQhF<&5?(2Jobi`n1~TMPaxPZWG!i_I zjriGR4ezsCQ`_4%VCP4TwxVV@8BV=V#ezU!I*1tM@vboMIMSjT5RfQA;qOseLfFw# ze3dN4QB;iK>@T4wW$bSCY8!%n?SV^+pH*(2FhGKsktED|juFNgahNw02sjBT)Hq^>C`i7C`% z(8Z(;#G#HA_>NB%4~Rd$B)9|S$1c0LK&$E(AU^94vLX`TOa!>vqIrIDA{A!k3gxrz#8MhLWf*{b_yw(3e&wA9(O%lq*Mc=KS!@+i0g&;&huR9Jrf|B zap}5IZ*Ui*8$dIIUQ!%pqqX)^x9V$rfL7@WD8&fR8{f)g+{WLA^vFjgH-r3H5t9|@ z4J{_M8PYv<w6{-4*2iVYq<%-E-~=H*N{T zAi$Tip})T7UjN0~fOcTUHbMuNG?L=ED^|(D$+BG%yIR^WoD0}mF-|dd4D1}|f)4PO zICjvEF!wX^8sk9uNBJ9Rgqw;WIwNl#cOBcy_hgxx!)J&JgQ$OWi_<){_fODPWQJe{ z`mN2Kb*lq-iru@WbG8aJZpTx=4l=$W?t~8Uvg;3z;bjH?%{p>5_bUj15z_Wsklq@u<>Hq!SG$+u5_&W`O!Qk)+za zU|LXi@KodRLFtrXR0*=q<^EJ-!xn9x2odB#aNWSdiNaVLZ9%ttVE@a__=HnH>XblJ z9u5Qp@_m&bZj+y#DL_6AI<_MpgMdfq#ZET|_M_ew=eB-Sqcn6n&}-TrB3)Z(9oBHnR$KjII9|=KC|XS@}_6MNeF&vRa&2@y0q|DLYVIH!}ve)i} zUHWu37heI61O`pFo@{SWK7As`6@g`y)o6i@b)4|p3~#vy>@dY`+q=uz7hks}d;?>1 zzOggooMd~piVslTeKn#roohTFSp#0#OLJTG z*^MxnVZY{@w>AB2$b6hd1u{k)KG90k?>)9k=l9Ef3J=FRzrT00*$`jZ@Kd)G=J)B2 zfV1ol;6(q>&l*?Wgwhdk+OGO{T$()C(Yg7#jS810!=C~8di5=VV!Oj>-x8SJGf{m@ zp!y7e>a)PN1QtZH;0gX?d&7G>uX}gB?-lyCTrmuF`d6=1_CUW#Cs>&<9jkM+arY|ms2ES@nM^4K##&9BD%FalA^)q(sBAy z#{$eD42=VKv2QKs+W5$w9-trMg~hct#y8i!i3(ol=<0uKA+iW<$WZq{KtL!M8EkB6%Q zjC`-paz9`Ge)CZl=Zn&$mY{r{r$o?v0Fo{^R!IIb{s*ltx z*7RF_oZECZQXXtm^r*9%f-ve+^-N4RC+f%dIBEa=PJfT@#kG#MS59V~&TYQ6(T-}= z+`94?tc=n!JVTTLdUG1U;wy`ADT27v_Sx70A61M*FC)vLug^zh;9` z!MBfCX+t&($1xfDq<&|xAbs{D5AlZ8mk$gPew z?(gx_R)1%on#5Gr9$DLP*lXCQqSQ@pB1)u~jeCw*AGaNUUEexJ)j7(TN3|;*Yb!`F zWxQuTe6cp`XB3%BYnM6Asu;Tv#_@y2(mWv|wr*ypNsKkFt?tNb>o$L1ytY>ZamyXF*b^7joWp)_{h{v_Ym9*f z*=`%g;+b#~1SPj6A7~0{Tx%b~l=Q)g4oyky3CU>{EAQ|u^_b##(@tG1IY#xkk$WwD z8``mM{$adg^r3{#0D?@?!-fm3`m0$0?M#Tx6Po&QLV0n=whABMPBZ@-2{W&zIS(pl zRHHO{$^HM&_ZU0VxC$hJ1<4h5%cl`D+w#HTjC6bqZMC|P^k^n^xa|G+LQbd+2j;6g@Es)in2V_; zB9O4z8#_d{Kn`_=W18_%-Hu$OfJ_(39v7y173%v(poCKg`T}K!?_dHQW2~@JmD=Qy z)}bfVjGAzCOpLh*$1i`#Jmx~EJ>R-8V9;}n$C1gtva>YrGv-DePA1W0W=s(3LLwZe z{Gt%4*agWS2-)-Aur9s+r6uc0Td);gQWJL{vd;dmXq3qTCzs9xh!|L&9KbZxbE+Al zf~cmxs2(y0k9_~jW?x`47-Y!Dhz8hl<`FBx-w3bN?yTH^vB^$5wUh@cN|5NNm7_k> zNW{=O#wsxuKQd>^&Srl3qv9n01-Z<+@wcZ5vIJ3v_(%hftqu*V7V`1dhWi(kN51cI_{Nh zg-KWl;AmFk1m1uvDdm_mlKZ#^H@6);uyGc9amZMTSCwX>56YC#vVvwS-+e)F9{=8W|H&1(}JyEn$oWcL;M{#bh$C@g+F z+}xh3GnKgeH@BN@MnYy|cB|nv6<1KsjYq-qGsoFzkI;6UZ^&#K!q@O24WSvHx-%5} zb<`L(io_w^ie@|@P;of%q$Afqf_xEH|yE?uz)y`u!DokcsdJ4w7 z>)Ly?UMn{hQwhCUhYPql8M0LUj|1Z!NsWq!q#9$H^ETf-oy%YsA3npjz*_!*Lz?};I=%_y zJ#intgNN8maJcpRui_Xl#$05Z^fTXlp9Du1VJ7QNrjofHZXM@bK%7qu9y=Z*nH%k- z<4=4Z{l9+9_c@|hdz@8Nt+9E+$L<{9 z9;i3h*0baB18&RsmT_rNNwe93j(0O>0y!|Be#XV5q%SM2)($4IBEvTR5}~)Dcw|F1pty7x+$~9 z?g8_;TE@Cv{uJ7#0b5AIYtO#)F*D*53*)1@A%asG4Fm&D)uBCR74W*jqvK9(d)=Y_ z$>CN9r$OjF(b;*mhm#O0vIGjK;eP(8oXVz`YKdQ#r(7oM+$iAeJ<(ItN}AzB9~!9p zTi+vkWlgKy4FQ~7fPe#f)u{qQ!1lxmY+lE8fTP*>W4AW4YhckjBWtXjQKI2-_JA&+ zW~Kf%o$GdOV(Iu0L&k{-xQSaL>RmK- zUEoL89rc|@-}wi`-{BrNa*#kr=C$a}DpXu&w*|{;)@`){}z%f6#)VBOSeM8`Td zHCj8i!L3!*e)xn2N%Cs*fcElF4A@>vP$Zc)t&u@;_O@zs|0{La892&bLn)TX|0lUqZozCwd!*7a|=D2EZKc^q5K zYEfMuuHZV}zyai}TWdwy5sDk^#C8_8kklSEo2HMlOG2ldux1h`%i1h(vDV+Qsl12> z%uFNFXYGGRGd2#+yt`A@IFC%t*lWO^Vb!>i+8s0(wqu4?Tpqoc%*=rb20ZPID|`g2 zGIh(!R|4PP<~|ktjQLF9A3huCJAn^B`s`)qLxF!8SI76uZ3_z^;6|Ia) z3-K9B=!16_3|8JAIsTH^n33}6p`xM|K>q%$6+Y2p*)cxh-t2tPX2i}8*avWIWKNdc z(O&QGiM=e#?0=)l(U%U3_v1juM9y^C91xmw%}^_D^U)ue@mY22_FNZRQo`}4j;bA3v{wLXajiRHH6&PLemkUL{WG4%OlPK|91`)V`4u$Qb1Pnw&oh`oYXXQdvS zPxKw@ZRoZ6BpgH4%~3AQ@oyHQ)rfR#lozuL-RKguu&k1F5BeGU5+q3iqApsjO)Wc3 z+xhlCy$D?sy$Mgu6dP6B_52l$)>!)>JO15-J)|M|4+UFy#U^d5u7J`C}^10SrJKl-5BH^Dt> zh-%l5Ljx8=BLSOdceH|zQmLl>XE)#8=$MY$@ZrJ; zWZ_5n`OeE^zPFpZabck-BL{Nuc(5=_^gAQuMg4)kgGk+$4j*B~Fq%k&hSPxQ?2AWu>8 z;Q{2?@1%C_@%;r~+Y%t@2pN#h8p0;Au`a#trIAf{sT)Mh-1TW#4beBoR@buN9|@zL zBWa_xwT22A$dA&SF3BybH67x80!HdgwjCbmo>U8pKsNJtuQ2F!Q6&sC+nDk2N|@O6 z?e8;kFILi=kOsH24sjb?;XdLklPD$zHW}kvA^FTN{81EwN`{!R{LEB_w8x~XPt~9p z2`M%fK%yAmnd-Mt>}zyqF+{Q_rhJeAh}xA) zP7g=aFw|6NhpK@sT}`-JAanlQ39~dUM&PC;yaoYQ;T|nhMylmBJwN6 zVmSxklYYms1cwIB%yUj@0`lT-h#TL;x=+MgaPUFW5e)5J41S;4CvGHvg z{}SF$p-@u%TdGgQb81`G{cpM$dcmC#2w<@q$)Uka|6!~%m@Gy?qBD~y*Hsrs&DVjR z8a($+TT+NJNL8MB{XZ`=2)Qbg(2lwbQAa$63`#QnChPDdAu+T$9jikvB+Fek5|)f1 zQqxLD#!q_cP458>0-wMfLlWO<=`roXYHa@~sU30tc)NycX3MC%ngJ%R`G`)sGaPAL zIm&eDWD`MW8somBTDr%YOqdg4bIWK%cau{ZA{dV{TzeXZBYdch%t@dA&5Qb(n%nd? zi*>IFet=WE3iIs@A`BL}wPCck>(*v(btj+dy1V;RU0kuXd><67kKgDXv(~q^IG?nc zbRX|CZSymp;V}!^peSU}=ycMSb6ptK(43&ck5;SMX^Rdst;2xgZFb$KuCoWKH#18; z!aD$+IZkGyN@WbF*^dXYyZbVmrbW=-HQSzMpxv$)^q2Z8s6iJ;35{6zH1+ zQ^~Q`TL#m)670fO-|k%Wc-X@9%`U@5kazr>dyU?)dq>$1aPP@Hx^9!7t#f>=B1Wog zf9yQy?%cTknbx5&d%%hH&AibTdk4ZJ>@mRfbeymHI|DzP>TYqSoeel1J`i-X>+b7B zTY3k(ovGC~=hysRZXNTnCiCbwU3=y=Uxo}TM%&_lVd@}MEb10vhQMglIoiUDA(Pee zMO^3Jh772$_&fVp;8wQZ#^w)E5A)9DW?6n`XiYh?i+Q*r9@V!NYggdE==lD`eH{!r zYwDWXL^xGr#{gwP#fG7{9Z8C@J&WMcc;upq&_66%I#f;*Pi>uZ zFW&}$PZ8!A6Tc|H{HKkKCj=Y4hmFYdI%MmLRxK|q&j6(b!%f4MxgNos%s_2rI1;X9 z_4d}15HohMw5t;c7OTpT0v#i4((p&^4mNB+2Z5kFkL>*5;a2Bf)lv1!jjM)z4tL^| zkR{9CF)QEDz)OLd9XZ|Ip^pyZTN_}4@9P77_qxmr#I1&oe4X2#dF4M~_=z+R7Hxyc z%jXC9-h?%Al5osWh+@o>Y0dvpu~Uu+9oW|}+k4QlbDxs)CQYXZKVqgo=1=-4m8(th zKEo4rr@Q3z>W)_0qpz~#;+3b=k2SpFIgkmw`}44>u90O5kLkqVQN}CAEDC0*!}T!W zgp2XN5P~B}`$cowxqL=NTSB}IYZD)t~Oz@$+N2VpOS#`|uK&E=prD zk1=eid_Io z)C}P&ya|@ZO$OOa6FvJZ8hB5Ph}CdbGaU(GNA8J^*}%||G?_YF6(Zp|5gYgf^sSVa zL(ONNe93ZuDxmlzLb)X#1|@FMJe%xFJGSk%J`y+WDnwS7gcAt7Q_%hmRd9mCG^#X-ZGLza~%V~7>%J#`7Y+_B~mqgYXybv0{@ta@QfVXs=7iwdwF&3kHNCs;Z5ke0+4Vj%*^ z31mFV@Dh+qP-Kdn-VIo06f<+#Yfw*fntzrD^uHDTxDsI?o=T^#s1(`zZVe5)c&BZ<9GQWW)9;EAOBgP+$$+|VL@T2!XCAm3(x``r!e@w;nL zJ-x~eU8|c1y%F2;vUY=_ycYS8*{*EUD|&H1uQ$JXA`Zht&Q#a#WUMMWdA_eU)ol+N zxAPGUpG_XxXzXmVkGRdP!F7{yf7(6V^Cx=Ycy`~~{#g3~R=DVfJ$)!53WHY1p6I)s znLp@Q0S)Pi$+Qcfr=o4p+C6bkC;#Y{J@Rp5+nN_&bTI76y31(nx@UNd+swx{O&j{O zwdFpLE30-^p1(>Mk%cJ}BSCIio& z`5&LuQGmFaIrUJQ`AI4mILS1gZ95DnyK$IJy3k##PL|K+mGt$7HA*vM+fY9d97!@?(ozjh?1) zXTGyKy{1Elk5)h0;wL7o*K~4g(sg>1y~sv12CKuQSqr+++FIBD-Mdaq8-nOSovWRC zRI{f0m(>n5Lci(0X)s9pu7r{r`yy*oV|{J!71Xsm5l1(55sWD4ZUw;&j&;cir)f_I zy&bidbt0`#-0R)gvo@e|^j&L5V?)c%o#XvZ5O<=%-Cg+Hex~ze&bZ&Fr^~B1*7XOY zb=A86eVeB9sMgE2-!n+VpjY?)fmFLrH}p6z@xfX!vvx`nCq^y+-eM7Fru7vxbF{19 zFO#4H)Y7Y&r|Od0O*;s9>!x#+2>>7 zwJv6Nmrt}=V|l0BWE%_F@YS-FL>)$BPvqCOH4fChdM4Wtq3pCk$7(BQO2+c(-dMNG zOwhlz6C(Ox!~@jnLc|#DPQUb8dBZ#P#g9Wu;$dtyId_3DbURnulTNU$@uA%6cJars z`2y-&L2Hw(*!A@&+merAZ#NsaHsZcMN@8WL;1xc@bC7K5T4@pOlQ&_H2ADTq2W3PC zbuTcH5QaaYbL!_ibVDlLXu4#_B3@q)8N3d5dsgJGvEm4M$Xc7Uuy;7=Th`6FSQ_Q< zLLNGG#cK$e$;D3VRz+r}Jvx^s^f$tz&%&r)hXM1wYqF^|WZ{%;-h97*Bw$3kfpif^ z)}9lBCKgC+c}H5P)~#1Mn_xz#`?~hkHXOUQcalCx3T6*dK>Yjtk8iVy^pb{U@^f-Q1&Hit%L1ZK*tqn{muZHEsqGNHq<#3p=pwlwJZET&Y_;V2F5zio zTZ5_OSdjxggf?m_!mE?v=38AEAsP*ZN{w$_P2*cupA6}#%dfQ-8R;0CY7g*`j znQcW+v>pt~oR&X+5`08Lk1VGrS`|{3HOCu)m8iU#qqjsZqoH^K$#d>UcQTZm?FsWV5kzRhKEOo~S^kpFRsn&EtNX z>P`11f?n6UH4i1*S>9p#_kG`HV)1It#>Nv}MvMH`TwlkdI=;;XdG2}bEy{HdbX@-g z?5G!2F)Vr<;n6G;b9`~CpK=qz(^*_)$vfg!Cmv_Ja zACwuMNlxB+^|L4PE4$s!5?MB{SeBdf-q!qcgYm5>(QbBoE9V!U{P2~nH^TDEyBlu| zF26bqI&aT^>bYab&eR9Eeb_x3liN^}N2W+yw@9(L8 zsS)|yeeP~cqv@Wi=Xw6`FVFvZs%rh3Z4XUOdDR{17o#`!8vjAI`w#a0dV5c{`@*HI zy|6d*w&(o!j)u(}A3S#8`D1U>(9gFIT!P4Q+8g>K?cK+Yh0XTP-pFrx-{n{@+l_s; zyRs&$n^t-UTeggb;yQhKhTg#@8S>+4mxq1@p*OM0Jsm;UnQ#JgopnLY{s;kehV1vz z=bQdddxiZa+5(2N*JuB#d=eO_vT6^{(x9HE!I>%7omlYKs^J88e@=7*IUrO#U9Bc* zn$l~f3aCx6l8Z~b*s;QeRgg_m&@X@k0Z7)JGwcgX23X*Z3Fl+0jfpeC%-YmU_l!HX z7I247$kQispirg#bo6B0VpkB_cwh&%p4yZ>p$7;)jfAN=D0%55Sm>VrBDS35%xW;P zI^~5kt86^ywn3osV|wPTl?gD$CMvCsmp@_%bjJY9@UCYN>w#35V7DKOHQ<5w?HzSL z74~Cm{=@=bCRVrVmYw`z8>R`*y@5E*YXxu<#N^^Hyi*Vv1HQv75!@eGyZad1R;X4k z-aCZ9FATz9io312-z1m_dbBBUXm!4qJ+VMhZnZjNL?|)#4MQ4WG+$3XD(XLDBriSoTJ%vQc|h@uwiF<* z7^UI_%+*~I1$x0r8s5MZp%4I56Arf?<#$?1_&HD!4N*V9B)@i%4-SmCB3XYY_buU4mB7|WB9##V7O4F|$|)E9z;JxWJea8Sojm zz&j0p>iZ*NzQFPzA6h`eaD0N81f7pz6-=Hc2yLOewl|m|%FLu|jR_}la&@v$K}88@ zl*1cPp)X%px*X+%<5E?amAk2a6Et6a`hS{Fv>NIiZ>G^W<9k5z1D?s&&FPb+^5gQq zE*&iP3_tZ>OyHE0!C4M3CAK6l^+@bOBs1zjBw5*t&g|uZG6_#<%6lmeDn`Q`)L}Rh z-#+#hgKwnf$#8f%qm4aLiF4v3x-&rEkP+VS+pjY&z(9mS z#%UDzEazmj6ct96z#brM%ygwXJr)3Rtc#WSBLMBmO-T!ei>(#R7nsQt*IjOKRY<{# zHGFZ*+#_+yxJ_(t08es;JB<`yw$=b)^Bq;``7`Oh8gF7L0Pp@IM~&s!VW9c!ixB;g z=T5b&lnX=IN;EVM-3g!IxYp2TgS@Jj%rPo_0TMzc2OSQ_g^Sm{k+ec$Laii3RAfK{ zrYoc3JW4&Z8Od2XG(FQ@SXkXEpW>2raP$)obS8np@_9vn2;{#!2BLCVhP>S644EcK zN`L8Jkh}+Za+i$-l8)7_(-W&aC3gW}IImoOXgf*1LpU(ttBiYh+y~#W^z-;8D^>4li#a<}1-wvs@yBu0VYCKfNYrXYRYeev@L<=>bA6 zdS#S(6IkqW#h*Ciqo-#YiQgbM?lTp^7*{U|T^bcmoxdEyWfpmU3^7HRsv$tPSdWh> zsGPwKS3bpaB8j|b=pTfna!At{BOGP3y6^L!Rt0w=dN*FZ1;GHyXb_O|0Mcg#&~h62 zBIx4%K(%nSAhrD zNz}xT0&f|K3-AsqXGUha!Rq8#m-v?xiXqBnsFSa;3#c+MGoE90`iqQQe8O-55@NUn zm!yaM9-snyjq)bGA28qP%Fl(C9>1bP43d4}<%&^9;2J-XgXtp;TzSR*0iF^gqbm>V zpS9}ZGAEf_ZKcJGjZDei`tYPUqJrRnL+8t&BVRUd`%4WcGQ&ypIm(Qt(p;{M&id{B=i9QATBVH+Te;M!eEpekZAjQ>M}EMbCo`H5kW+1Fe_xx zy8ipKzyXuZo;4Ah(O+YNuwqCJCQNYZ^28J#LGOgJZVkgB6(Wc9fwsvubuIkDXRb2L zk%D53LJ2|j4$a*Ru|7ZtCou!*g64$PfW#IzuW4ZpP9aDf5F7c0-4<|Uh*#guL`iMn zF=R_J>0oFx&%sOpRuC;lgAtC6kc6#F&G-weRpw@)roTNe!WaNDDvHoF=x{_phTr)4 z*CG;`1bH(pi<42vLqUXO!8V}~6AxD1m8l7Wk*MSOoP#7<`7b0IKER(%hLJpsc>+g2vD%=9H>2WR-~Y;#rTY?#0XX26unF(kaTzLr@*8k@#2k_j6yrvX zlgUrZU&D283Kw$5ok0CnUJIOoiAyZ9Nac0#2F4D@1KR-2| zVO$xUG_nK1l$-2>=?QAqa3RfbKRLa=oK)RY;fh58L zsQgNPs68~UG|2eKGFi$YJHcr>`DM{$l&@Dw>UY!UTdyP{o9;OSz-K5s#Eu^^J~jU+8t-8zw`YjP$$Wy|zb_!SRv&ia7T0k3p@W8sr4#2|D@{)o{FAp#8fOX;_0 z92}r6nfR>VQ48HVHOAutc!o}YdMqvBx;SI}*PtI?l8fJ-5e9O}%5Z>LQ27Mi5(;9= z5T1gHTtm9%P6VqM#F?!;Z&j{2=}Axe2rRkW9|&>Z*!_AVKJWpc&oN}Eh(>A%UUUxF zk^mhPJ=K&~8%#`sGn34KsF$IlIuMQU=Ev|9?wQlQU&+Pa`-etiB+xyJ_Klb(GL|FYAt_| zfqC*}7`nfT0nICTmaYIA`N3}3PlLo*vlOvNUHE6KnvVZWxI;l)XzXiui-UP0plg5T^$x zA>GI@@yS_MnOp9i@Vg^n(zgmyEa?=0p+ojD=*gGxhVP*hAT3&Sm9~Qh@JAKhJUOOw zflTP9trj8n@<{U;N-hM6!0BrYoPw=L6N|cp1i}2t*XpXcBr<(tWkc)8#V185`Y0JL zeuQ`Z(ZMH9O!$7maho8B-orQzJX8UQ#4f%MuR+8(H<1KPY_`t{QcL_yE}32t%UnW* zy%m*n_pWT^o3E_B=iDqqpK(ZX5sWuro4RiNb|lg@tiS;p3G@%3G2?+8Ng3Yv&s&Mj zmI7>l4XxSBZ>=gh@HIDnASz={#0FXHu%7__Xe@Q=MJBXXOdSj?rw=!Aak8%dX`^dK z!L<^wN9dMn_vgwpB z{cDN1kMCcB2(%b1B61mJ!*eTHB7(umPhv_l-E$tLpy5mZ>2p-BOI zT{#8!%!1b1WWC{_MDQ6G*I(^18`m}|4Ly^K-}u?O;kCh6Lc|HcjozqO45V?5p$*5y zq5-zzt}>UghCFM-;jwWZbvwZJQYm?RtPX*03UY$`hdw?N6;X;P_6;D)dm=@X573}^ zo~CI!LQbEa36zm0=nav>I2|%yIV4sPm2sx%r7U^? zO&mrDlT*`E6a*K}Y@OD$Y%qh6&VV((zX%3EU;n5c-(@V>Mz}C^$S(OddEi8MWO_!`u-+oVhhPV9_YCF&etC11jw?L1)jF_XO zpn!{9u+Te$Yx6x^fIorG3^i1`CRxB6a4oP+@E*JWHNC7>fspW6z(?E$LD0yj_B}*l zKbqiEj`UZzGHIgJLLE}e=cYlf3c?&S-9#MAkUT5G#0;o{QSyF+7HEnb9rP9L1VW9W zOl$4Nomp*+u{nbbnB3GrlczFhB70-LJtXz9F@6s;!5WweHd3qtH;pnG@=$E$sDqv+ zEQ3EDR+BlF!uO!kChK?|><}1cq`D)@o`t zJ_Ic3NOh`HgvddQZ(x5B*1uT%AZV4g}-sgF*l)(`-~#L9KrlRthctHx>dC zVb*Ak*g~bos;9>fS7pgI|-rVHr7?32ol$!k2 zNhUV9w0@L;kJvL=kI|PtXUu2vQu{SVDPe*XRN6WOsdaqIs@t%9cv7B+?6{7t^MGid z4Qa5lXYt@7B|^2BZw-YYP~q2Z-Xfylvg*PJmp_UMn4bbX+DVJTB{M(ZGEm3TE>=I* zu%WG=%K%iKBsVTip^EC6T)bwxu~5NgOi5X)KWLL*)DYMNZHm`KD*x;N?=?NcGRvx8 zcgQb9kA%oYx*1uS(Kl&Na`AupeFg-W+8EbLqak29yxb5FOy0eC6;(jRks>wAv9-P7 zia(~EgoD7(phm7e=$omwz7bw#NCkw%o))Q6vVl{ROW({b&Aw1u-n%msptC`P#Jxn41uLpwD02{yf+wzARk^ja4ZxXE%IXKRLv}34`jH*fk<Xq#m%k z;1rAtg{fv{TfkLQt1^s7@Pv9`!Ulj3TELRJTzyd<@Bsxd4k4JK>@dlj&j3a>xVH|W z2~&=v+~{yHjr#KSm*?6+8;HR20X^U=GK8Al`^Va?VpKAB>e96WUm0Acq&#U@)+Us zlsj7G0w_F=AzzO!QUTEL&Ly@Z<|B(xg+v=x_K#jdCg+HI7@p5_2QpQUJsgxXMvy9H z#+*>J6^Ke%>2!&6|2OO~1DpsYXD|%u!$O40%S=?0} z+#0dvp$;0D;TK-HOf?#52HX}Kig`yKrztiKQB0tWAJ7jc7T96b==!G8*iRo3iD;94 zo0gjae&d@0CDwrLz{3}?!oZQ2>V8FjVqh9z$ zz)fr8U*AIr&9e?Es=Vj$I`(b+9Su@cZg4?L48Sy4pzc1i>d(NQDHXv7i@QjT!2}uU z6g7e|HXc4`NQ0yNGu1J8I~ZIDWmT01!h0qlU zh8hkr$+3r_gA35cAU&1tQ;Fpo!%6T4uxEe>;a6r0OEHaA_Ci#7-=$o5KaEtJV-TF2 zNn`rs!PP(c7HTMeYSLN}IJ~ISMx!WxULr^tE?XW68R3l0CcwJGMzy@BkqxMu5Crdv zJ!ui%_D8SNsy64jVjKXJ4uB#%Bj6mX!D(~ObfHu=lb&e=p4pGwHxP4O95dPq0|!0% zl3e^(pU}h`lxQK?Lm5h_mYkUsujt?uUlf8UZL9@2RC@~gaskSU&}4WcUn&_Dpd}ao z0oyH%iy!Hw64;ILQ$w?mn>8^a zH+zIKAk%nYt~RNM8Ul6=6n>09WqE4>Nfl?oRVp%u)q@U z2oVl<)zbpn5~C16u{9?3*>`G~|A(Wltb;M(6LZr7xWBL8ou9f&GcIFPG%dF7pXO7H z9ri}LQffDxStG%k2u7H}!ku24MX2eC)5abyfxqE@qHd$Q42B#BV=Bo<+yJ>GqDdYm zUvwwBlyqQ_UcsqDR$|dlATX58W@)|YhcB0(*J-fXq(gXH;}{jI2MACFP=e;v$x@yA zs^G9zl|vFwBw>*~=pYpCd1Q;Gmy#mM&yaU=1N;Cy&-#$Wu%jxofC_laa}tcK_=@_u z)Nvq#)Qs;&`~uTEk|)kwWisAAyuk&rKs*P%{6mV%?sWDFM-*( z@yoJ)l$_DA&niGo|6eI~ig@_~0yB zqCV<2ILrmjQ~Yj&+QWzzE_zB1dO)dzm{Rp(CPeR7|L!U|EUxGyDezX|2!f4+*7rUr zcxfePs9@M*)Yd4lnY&VY+9$P26qhvOkP-9^@BD{6uSPM;N`NyM4xuAj={dHXDgX|< znf5G*1}mDIG`PQ8uCwRg=w+lO&C>|O5rOv1+b)N9IwxftUIHhHDqk(-QvaxfnO>6| zuIvq2PNR~}+$6VLAuLWSZM+1^#@GkU@ciPZV7%zJY*$)h4yo4;<}a zriV6(e^Rm^aDTV|zOg0)%z~SJScnMKGr9{7g*lh8^V}>yWUF*I%259Q^#^OEt`0sPvbM<8s zt1?u%BW!Unu2>?sDGO4Ip?W7iCtOkt_BE1>vIHe@Q>sC$dkO|`=#0cARdIF`3E`=& zJlC45V!Cmdh-;`6dD^42Qto1Sp0A7xQ&y*_*-lP}?6$FzT%*w&VlTt!`720pKn7nn zp8n59R!XeR?g+V?-hqKax->?#szLui8I5z+&KiDJv=3hf)b|Rw4>>yHe>V4Igz)8m z%QhR#lHujX#KHc+NLKoi?|v;{Ld;1CJtM#wqtxex3KxS7OY)D50uSUEaD2nz0QZGA z@}P1UAYTpjMO!f|&`L3-QTvt6R2L& zVl=wo{sNim|G}SE_ z!VrV={DHBIX{CbVbz=iPDFI|D6!6by3Pg#n}pBRNQQ6&!s z1ND&0<*wH?cZ%S#vNc#~VA}{SpHQO{#@O%-paiQ2SQ`4O7o=HGg(Fe=0sMl;5%;kI zh(~qOVNW?P6y`f?;B7hBLj~*oGkh-r8De}G#Z(MbYB_Tn>*zOpI+ zLmNol*Jc{_?Bv~4+Q7EuLJADhweT;$*UK668ATBjIauvy;9P+tvQGS&?Xf$+XKf2m zPA|7+yt>>m|LOyF3(Cer=&_L$-b$I(5O*-E8-EN{Nr4TtQG}%kXnJTTE(?+xjH51u z;I336Ka>9s-*U(6HF_>}=~e*`c!n`3g)kAj-%lzl)Y9&m>WV)-=CB4pMC*gXICJxm zVGoaI&S(RWa!=!#(TpJ?Adr_z3cxjwl_TNK&An8q&~U0CxT#)k3@a3`wNnS~ZVsCp z3xC=R&dL>i>0VJEq>>U4(kj5~^&mt~p=dKQ9Mqw&;|dlu{sHf6y*l|?1_zfq>Se5{ zpk!z&y=2IW1O0=sK*@92fLCP`f49*!(=BCMJp+~tqKP6dTC4trceoI4WU0?8n@Q7?s-E>_c4>kyGyVv4N1)v25f-4pcuA`EYGu}$+-T+P1O3JmugIYW`!LET1 zcOCVtK=MTc)J=A${)6%Ovhj0mISgj47?|kf%V1!Id2t)Q6a}trO$?i2+hZdP%j8*i zIhkScjIi350OWCtpsdG!%hBj)3W_I;hZ0KA3^-D^>+~ITGJrTAER0~>D}LQcoZQ-s z8&_OmjDnRaLjSY>T$eCVC|Q~f!GrP41@T_qac~ye#T|4+cZRJrrYs5%+7@D3074Gi z__|>izHLN5g@rK~QCq|UvEZf!L_8zoLIC}af~~1YK-00t>Q-b+3XJ#fHIRf9JJ7>H zA6?q`xnGt^n`Y1|(SvvQWr0 zr+UAbS#+U^m`4lc4UNW5mr<{NH*M)JO}+?DdewI1D=$kB_AdezGf5sL;z8m>(C`dC@26!Bo}}6 z&lEO}Q=02%l8;Re>;QsX9P=xTC47k;VXB z%X-UA#yvgN0ICf~OV^0&@v4<~m#v7d54@F`GdjzHI#TyU}bZx9+ki zI6eB%5HOcWQBg(E158Xo1u-jX_JWG2Hbru=uL$S;pQOI4yhYhN{7`W0UzdJzLCR}d z^v{ct#@mfK0S_aYI5Qb2_VhJF35+}joYF$-hmyjqQV+=o%g}8^p>Eju|AZ zX%Z!nVRcH50S*~whpdME0QB#jr|1u=6x2~)U#(npZK#a!PRhHY!MiFpQGCXkidA6t0NbbJ}{cuuC zkHHr3hemNPPb~)xuqaUvIs8T~3))hLL~b6}He)gm3=gN@>n9D*{f#VY6GJzC?K^ld zT{rn8qk?%KoY53TTtq827aTOQ27B(%5-gVb$@qglJY6wLsp!bS{o(YPT>SS3jY&&H zl8{`{5Ta>X7xxNi!Vu9Ma09LOiSV5w771~0`j-n%j@{6)iM-_Ewhu#v;i&QN`Zh!e zML}CcpM2!7r!h_#dWO2rq{o(K{|vg)^N?~rphS9r{_$BPZ{z-7MS+Y;$_+rta8OgT z$NWXPP#yF$T!0FdHrcPi^#x_An1gAO7}doNav2S==W25C%w2f~0{NP0LuA1ojQy!2 z8UT}rT{Qy&B89*P8q?784Ji6>w^la~Yi6nj?x0por-je%MFBLP$=DuZEa-(97QYUO zV)c-E`45}>yjQuS0N0|j3qMXzh9b?NXPk^7JO7nj{LFjEfCLhqhc~i-O)R(SHX1y1 z6Ot*}t5Q1htu;C@d7@y}i=xKH$w=TvLDL0n;};$@76;l2Z(<~1b2RvkO7bT|!^?uI z;55VlCTdeWN9fF?D90!lR) z4z8LD6ma!*h6vkN6$`RBFfi4y`d#!HzT8$4sSdK-9BLs509gXkbYPPYltUL7roU6u zY~|C|Os%KqY9fBY3lr0d(5x4Z^E@*_gKJ9WH)mtgDrf?dSUO~}Tn&1|M4Q++1N&j- z0<;a6?-N@LK_!bU0djKjUM0%37llgwpb@R;BbD#)rXVml!xiw7O7i?Nf0|M!&%^Uo z>!jYJ!;~Bp?)WdRn3KIuqo~m9MLjaj}9xz4F@R+wZf4+ zu>)BPjBE>GAeX^q@els+-();^$f1`utYLO`W{M3c+|HuyWz^XrF{cdPFe-UHj$DI) z+F)GC>VG^a*5^MGw+V8hchE{k!w)Hf1}d`O#9$+4DCK!wOx_SX{<4e1H2r}p{-e)a zz>tTdayfi?fhW=65K{z|{~KOMCBV-uWFjm*_v9IVMkaDW< zkNz|&AdivCwGM$;C>F9KoG)-&SrYJTuzAhsl5|nVNyoym@r%DgM;SW00h%yrcvV&- zroyWJ0SKk{30nc#aBMW$H*RXJpCdqsai?$(P85ej|Npi_4l8{yG#Mw@A6cKd(gSmF zlIAdh*HZ+^+Eij|Nvk)Tr<&IS{9 z;x<@$<)Jn`%@Ff6uh8e_K!Ij_?K}lRfnWe;xg0}Sh)_<$=aTZRJ_>xwBS0aMhHR4b zXOa|_;z-^C)ab-8f=k0fKelf^M@P|Q^fmWu1(1qzbmoi@0%$>#O)deBYf_3cTSFXI z$a5)r8A+}Sn?X>9^rfy+9tFcG8q=y|>v-b=c1G``_F>XWm7^N<^F{@*vdNnNhX<11!xh}nh1R10ty zBpw5&A=Ay_m|$FJO_Abndi}+x!WGcM*$G7PEDu&;c2eirnWQ<4pZf@jP2AB`<bWIJ7Zo=ZJ{T_u@umV??xq2 zh=P65j?oi*KR&AOp`^x)uY85y^8Q7vtb0!IwEG`8)Jpyd&EI^DNwrD^=01d;T_xZH z8mOj*-%PZ1=Qpul9h(9G?c_XYtK24Upx>GulL`hQk0Rz-2LS7rC9pqK9()qCnNIah zRNVIKoBkXOC|6lXG;|*MuIU#1eC!?xIag@Soc~e@|3nRDt7idEhJmT@NcLEySl{sr zENbE)pkydxd3GGC;gv=k9^nm**XDmA8>O z9);u1AMR;T;hbrKy%?h_oX2QQtic^<2WNf-E!ZTYUi*#U&2$&GW}yBk2vxp6l@lrO z%RnR(;R&Kx5kehAPbs7%YW!e-6n$>|E&wh)@@SQNKg^p_E;-<$QY0LjFLyFHAVm+i<8N3K45TWX!c9uD(6Kpnwod)sRk>@y?1%@2 z(eReo2~?XXIRFAdtW}t&|MK`Nwiu9=%3JgfNfr|Z-X=mee&ILaxbg{jFAvgh&!?H8 zVv$3YkZfqnE+HP<*Wei#x-E50g2x~A`BoUA|8?W@XXW~2O`@g9OPzp3%s}gb{SL-J zI7{V-$3f{~J9@l;DZ};IClfM|JN_fi5`iFRpqkxOhH#n`)O#02k zV7OPx=84c-J($;z&Zf#E@nTb#u<#FmUg9QZ=%Z-n0OHSjiar;#0Unc(GehQ?5Nzq< zi_^11O&`EW&bcr-5Z)V~`HUG{;imT`Cr5Ej%8(X>gy%&QR(#84&r!08 z^ATc)Kujm|iyTyXGJpec?tMay@!5?o&p+V_2z~oBnuO?)kqlzchcDqhckl>={2y?P z?;Kpu>84`=0~l!j>5fPJOLeDEKaI^VDq?DpWQev#eC$tN3uF~AR0iA3QZ5&;ViYBV z)GN%sDIOlB=c^2+SA7f&d=sVy2=gWU`8yIDy#qMJ4zCz{-i(o~0ZiHdg?|sGrVvv> z!EiFlg_Y11$HDzweBxM-P%}&2WHUYFgqDfvGNAqe&61Ks*$2^DgPD!Ft`w=zmUA1| zeX~z0qBYbG#61uhwrHf+hanCVES#U~*CBYXM2z98ZYW z;CO5{S(>+R^1L)ZU=#$I9G)_UQM_S%K*{CWc|0>k7~OPdk|pTOq18>O zk-%Yf@z{6|%L+IrpeZIuKl_(y&1q#4m*^}(MvsE$c45jeq~5EIO-fGGP)sZ^T_c8% zeP@e_C;U#-S zimCmf5@ZV9K96|RgWaZ$6B&d?wZp^bLhN^ukN?7tq2jqJzySd(XkUV+8n=sOh_nLP z`U52R01Ek*kwrmBy0Gyt?(D5r(_h|KFbiB@G)$X+Mn8)j#f9bw zt+3mEQWycQUul30<$l1G{0D3iMC*29vJy=gW}wm3oHxHjmz);ENrUQxuB0>y7wmyt zn_NNWyzybMoi6bKBf^ZE8V)9wMvJPafrFVS7fI86)?h#)Ez2AprmhF~Jf2)Rv%p=$ z!-AlM0IWpnC*EwLEx8zeOaeqaw^p|X>|bzXbCbeA(>r!?49WG!I*&HhHUcZald0eCKS|jvJ)|ep)7vZC=xk+TGIW zwqIR()bfuXaCkSX>sb4uQSXuLNYHXx-KL#1?I^M)I-d=Bbz&2*u#}X|l(aM2wXF%S z=^Sv6b$Ds&zVCdpYc(8R_8Dco*snSf!McT#b;M^z7{%1jYP?tUEm<0sx<{*9d3&wZ zxHoP)wJI-Z?^^ddel|Ce)%|K*%J%z?)mr1tkAA|7T6yyy!^VD!hkK+%=*T=Uf1F!nmRnImN?CzQ|CR2G5yRN7tt-=-)OuCuaxBV ziAxrPF`P`r%I$Z;br7fPEX1)t-GV=r*<3*!kyh?!OeHKkMY93hub?p+igx~`|s!+cqF^` zfJXN2NX<-)N5pYBH$T)K?_Ox{Dt$PvJ)A8q9q?#X&Tju|uUONk>Ho*)#*fXbcVc*$ zzmGB>tuMsF*y4J*o{OV!8i`7P$k$xE=a05T99F~}->a8)+SRNUR_q<|@xW?L$F;T_ z&AO#1KDxT|?)_Q&=2|zkcg{LXwOg6Ow!HK0R{JaNe%_INAxpNorN$#c#pC^;*VUSd zbBn**-SuI6ynPTuY@+X_O2;klJQ&6)4<|kjzq8Kv4Z(=J1m4s~?RjLFY*Z|5DRi&g}GBf1QVP z?JF<&akJZSDi1AHmSQJ7(r&DGkA$s*Oz~*^NXo0)&xNh#!swvT0JNyU+zS0 z3XgZB>GH)_y;kXHT(6d_phd)MO?Ry7>1OQKlB4PVQkq7yQz&+n@&fkk-dbSq@_3&zx{83VM9Y6lY z;n(_^74MA7X>`Gw11W`^G_fPg#qB-YIT~4u2#=S2>ix<|S`BTh&fAC+yLHyJq9FG# z)*d_$5PjQPAdLF%E+%tUd~Tc7h%Wb{=BTq=ZI1Z$Plr4U5FwUM{mQ;}+;L{Vo<-$b zz4*&f?A6vg9xqb1uBm3xvzc#S6P5R;liLnVx^tcMbgfc8U0Z-k^NL_=m%J)(HQ!SM z4xJVpYs%u_74E$LkbJZmkUY6j7My%-E1~nZqwdrTWLKi`R(YjA39)PQ$3ho z&5P^Z(7rlE;T~|;KUyng75n{FZ_=wRSJTy+wNmrkuC?>qK@_YnEmzy&asOoGP4kNT zJ#NZt;1|}z?SZ$;zRt~fY4db@N3hf31>>E}TDLw`tK7?br>{-3e;U2ym#z&G-%eL= zygQ8DPP2NbeTOS@o7dRlY;blh>5t1}2-j|Age{*lPKfT>hUN1j@2KkO|# zqwUV&_8!;wtX*l-y>h`xtvG6)TqoXdlHJ6NDvi9HuuDy``y!R*0Ttl_-Nd)7UF)L|N?a2dCp zNQ3&8b*>iN`M#U%sx?}o%BR7sjq2f95UL%TUZ z3ESUFOS}mLwD*9))#Y|%9kmwmB^BNgourppNiE}ry-OQ^vBRnm zJ{UJz8j!t0Z3A9SnVdBe}NY=C%BQ9D>eaGmRb1JqMJkjST>MH<#mjc>ircQaHOD_K!mVtS!i22a^4-px)UM1Es$nO+Djx6oMbt2))kQCTd4J(#=&v zBlEWNJLWoA5bs$hfm&=*+LfV5Hl8lwnRYzSP{0-~O-zKcdLz%-p;=>|hc->AncHdGtIJ#Kf*Rj*B z^WI{0wpROc^&h0SR_~j?y7LaNQeC>syQ_Nq!O_WUvMeTMdE=MwcH{AE{^sRqDe`8# zleX_Su_&bEd5v^kG1YZ_-`bfz=wj8z2C5Iam52Dt?N&#flSiWrb8#AJ)FoSdxYoM- zz_aJ0hqw9Pjt+IA(QrNl!okjBGm1;`wd>c;-|%Dms&3V*9e()ad@Y^5rt`+bS$cBb zyVYBa4||Kzt@~%cT)Xv}^nq%6!2>V*zG(C-UUuKnv*{=2cV*Uc)S0lM*K5F;o%n+W zacd`xb5EmYI0}@>8Rrf3l`GvWJMEqG{M}>Ts-NwwZi_qdQ?+c?Iba{Kch?r4ZTsm_ z5~bOtXFLAn=$9wm(SPY4YHqysFIw;X4L@6~HRqQe*cP=8?~iJuo_DsIE&h{g>+m&K z)9a)2^WLae_T228w=loM%^s+oxc6w9Ci?H&n(rVZ^y{pJAJW=}N__ZuKNn~kXVzTc zo-@X+*ud3Ws?FGQPq^K?YVYKAsb4!ff8*9y7EaC`KT$ilH2V{=HG3$YTgrkBFI+6U zi^t-3e6&4ReaFYEAD+MFjp)hD7zq-gI z{%}ZV?~j|uswYXz(uLA>^J%(Lz5kkY$vx%IPx$U_N9W(`-(P*eJu!OmvtI}8%NGwH zm~Glm9>N^XQNpcyKT(}q`s1IhdiTM=s(aJ=ljX5b*X#|?``YUKdmHmhi|-1+)oZbe z7aa_k%vah6+44eM8FlJM{c$(QF`lE@nBCN`*|YpQk*!w8-R_Go&Ucbe*T~pT`KT)> zpZ1+49>|> za)8d2*7)do?~C)bjbnc>7oQj%A;&tr#LT30f3;S17Y{#wwLMp@tyHh>z>}3~Y`LIa zITSzc*_DT+{IF6Okug}{lGs+u?b@o@^IsY}MpgmR+s9_hkHhTkp4B3;jLIw33)Wb) z1xl_==GUy|?3HuzcxendH~q(M9GQLR2O$@`>{RW!_=VI?=9iueaqIJO2*r9q%}lRQLPy zu`%HK{BfAy9&MvX%mSwfE=}K;9-$+ybl7|y12L*=&8FWv7$C39nzxVp= z>WECFy6AzN-Adcue2ocCX)dmM&m8vPS7gm@v1V(I<+lzm)pk0~aSAzLfR67*BgD6( zt0Yhrge|qED>f*Z&oG9J5`ap)jh`G-!`BmplpL%V!>ZhqyM@K&D6R2EkcVtzo z^=G4wzm8rgnO2~zO%nn;*o1eWti|JHyN>Z`oz<>|cT3$-qpD({27ZP3CN20#-AL$l)VQv*%* z4v=pu=L8o^&^U{W(-Gpv{?gmu z(42TNGM{fAI~9HRUQX=zzL(uO{n_XPQ_pw4cW?U*wYSpyzGL)$;G?&PFYPd+?0QR( z5Azn}^W9$pG+!7nL{;khGP%k&x3?#bCTLO=VS2qW6M8Uou8^!qAC;JDyH z`p*XbgWl*PW228y{#{ttPnxCIrYDc4iyy2#;@y-!P}}#Q`M4=Pc~|ZE&U}{AI9tE) zRc0l%cXj}L;^tQl-66QeBSGlHqmITM5pG>v(cga@NqgtzDy@6|eBZM{boC(;kn((7 zi>%bk=CavxHa}NOPe!GK9%qf()ed(Me+x_@3=yeWMqdl2f%S!>G! zarfGGb5Hnj_i+2_?=Jqu9=CERi>ykGX=i$_cB@yLi#k`=rf~$BeS{Pta`$NZIgZDF zfr$+z+kF8u-gF<8=+U-uw>UL_F0kB2`u47MfO7X~7c)BAjI8Y1$iFr{5@M@$%*Wd0 zW3S}->0+?2eLlbgf5W}1dE-?T3XYexcDbFsFejbW~LsHnxI* zi#1XkvzY=M?~;JEt{g900f|0Ic*VJJZdZ%adQ_Y5%>DHHJ?A>tJvmuh#ME!~=9v6; zuFSkFeP4FCL%=>f5s!ZNZSwh*@-BP9!N4o!W_BR%ye)K5t?s0kLJGi7vh?a@nKzYr z%YNi7;i^EAMfonz>D5xh6uGI_M6EMBbklmfb|KHRPuhu3oxutpCs}UVu9qFK_pc($ zdNs4NI#XybPS%_0$%~)8n<@^;dh5!Rba>0?Q|@w`2}T-?KJCS6y-G>={(QoS_p6f) zd2g=CXVw=+R(vK;I4;YArDos40Vi%{wi^e`Pp#z#Js3nzND}KPGL|(BV5)$L&l6gB>n@8pEMQwJR1c;R*8yj=wBknI=oa?-VVx%@DOpc2HxJw$ z<$XSndI-Omp0B~zg|zxy_K|JNj+;^fJ6CI!vV~U;ZL^NyVRP=XYnM>Q;z zdo#o9SN>Jw@&}Y=S*yev21ro1Zy}G&nAK7wRlsvo z@4|hiXuRpH!7Y`_fsxuc3_%D*pTOv-I|mqnpUE2Bt3OopV)xdNB2@dr^oiF1uhOL| zDZC=O_(Gy-hR&Ct@!mqR&frsgusUg=n4zt@?*K7ql~nG^0iL5G1ixu$wLbW7uCN-_ zQasnLl*XUSh!!z2WWrL8wDW1S*0gdF@k(P3J5ztrcqF2F09td%ow%6zzF zvQkH#Mgt>jf3?)wLVGG%BNV-UZF)~;EmW6~W6QC|U1CNCXBJC-nzM{yv3$aUfsp^w zU^;Tj^bLW;Xh>8hQkRf_U96S-|M9{RHB7P`4Mh#1Y zLyezn6S#q(k+?+Q>S{e5fywBh5rw#`CZM1qw4Hjd{#7*f@Xm-_c~@F>c17LPpQOqW zovWpB^SP#axoyq|2hwTs@qcus66gzX=-cPlYk|A}!Rs&l`o$Z5oqXc1 z(VM;J*6ikJEtni_dj3&lhr5h|H1ax!!}r%Z<*bQox6cuevvX9Ah{qrV0xR&hE=qj| z)8+&Jh;pV0%SLvkgvLKyi(T)4f3zLNtSHq+4|VF@qutT?j)=<8= z-V;|Y-{l?J(ITPT;f)^djCUi?b5u;)?xoQfMVm6ErP9gO>e+-H4`y!`+rT)>wj%_? zJURC+^{(+P1nZDOf&-Rr(|9EMU<5J$XKSU_A<6|*jwsv)aa3;~x)27G5#Hdx+Pr)& zZXJHwr|$CPyW_FDyu-h>gL2UW;gj1+i~l_K9&Rss+s;;WFMHg5$C9-tJC{vdtko{8 zOvdrK@Iw4Tw|(Gj_08ecA8H=2F7GQ(w0}CgwYl?~>3lYOHuxnkTbg(_i?&emo;dG) zc|P7a`8jKrx%0Q1R2`n4rNH!b(_cKi6g{(4t(~nhzg&*Sm~C3mESa)`O@!~&GpMvw z2{g=IB~dn?`F0OWeb)W?rIqR;_G#UhURc>0#}mi87vhO_=fK&$SvFf5?R=~4WZ6ya zV7qtWwzjhzJsM0uJ)b4(wUyw_(+|{U&-y?1_H*uRDSL9evv~O1Cz#+KUZSM3c=*xd zb4wRuYY}EHQqovF9Ansfyak(ZPc5n1`vrTAdw`Xg0g0G?>BqI^mbf%=x95E_2%>0W zDqN2y(6_Ugkcu$t6D*Ph!O7|;18Q{XM6lOOR~q25aFw>P{r8r#uWla)ucuG^ zhGe-%{EPj?PF$LMDq^k73Q>XWUss)rE8S`dK0HaW%Nd(r z`uLT&VW`j0A54=o@PHpq$tHJ!Jgd|pixz5U7ylC~&z*=lNUVKY% zPEuh-w{)6{-J8P)yyWYTUOs#IX>aaEi^6LP6Fe%WqYj0$UGrZVKU>{p`|jeqo@Twc z>MVZ9E8|{W;@cu0qE0?_EHV$M$-Q<(Y>4xOX(2%`Se{o4ep!yXL80@>s}bZER$2hd&qp z)6(2u`>n6PGk##1{qLu!kWT!0YHx%d zA`VZ*<(pPhKP6#-5w$TVihb|N=i8YbN3KgPD9y-e%Abs8S5HzYD_yk_w+GB+_}u!AKlwMn8jhp?x%@rEeqN)=pS!~t?vXe$=d$z!g{uE zO||{ys5I?G8}I(LBTxDGT*qTp8;>ur_TW-HO08!)h`*!|naF9^xPiHD@63?S50sAb z8qo^aF=vxbyR9^^t=7ZJvcXCL*=jv|i#__>kEqgem#G;Y>)sdLIcaJ~5BF+Ei}!@T zdk1A7sx?pW`8)sXkMF+!?MZ5fDJx~PvZoc2Fl=-kEADk;(eJDobD&Gy=+h|$srj* zRR;dC0fxU=nCkG6Qt!zYDa#yJjfkK7-R(R>;ECp>w>;_H){TDlWme^f2ML1Jj-;d# zD%gOAqsyAQ<%fjZ$HB5r$9XFWT$-a}*{Qnl$e&u?QNle-vqe!Usr@1G8t^<1b;q*LCG=Q>BvySMnffbhc3 zW8F7~@BW`!aqOI(YgVov-DL;tc1W}MM$&L*_WtyWGZy~-Wz)WE$v`|TDR*tWr?bY8CrMqm;zt*2)u@#~*+R75^iLLU@ zWoyBwG{JtmR#;8~=Bw@~I!$z>KH^4GF_ZrLq6vI=Gg@w}HLdJO zy3FGvo<8r!m8Esh+u=5yQ{TG^=ly_NdA@DGkRGHkof3+m<4BqWQHj~Jw>@-|Mrx;> zfJv5pB(2*N@>EVB$cPc_erAeox%agxDY4lhFqn0-T2Q-gU*1sPZt=$_IDU=ffjP>UTGnYhJ0oK1LC|j4;^U z(yTn?g;sRooW~B01Eu;1Qw8s-8l;Y9!AJ!iXBlV*%Vl*ZB|qX`=Y^fjNy5{5LlUY!De=fdq;fvv|p2w79pCU!NI_ni0fAsV5njfD0baQfPkM}~h(1@tSdfS^%cPDEH?y5cN z?>QXbuy@OzX6r!hLv(4K4ZJd50u zcAZ*M7XH#(ET4|9wWEWvwcP2Ly?WF+75uDO=Ev-icC3I!Kr-#?YvE}xIMQ{~J$f4c zs;~J>U`Ng6)WPWM4L&q`wt}XLBIpuOx$>UuF2%JZg-*^w%eA!les6eZLc&J z&X(B}l|Ngt6=x3RtISFsqPDvj5dFD^-<4Zu>e<2XQZOOHo^*ogpo77=6?B_z6HcY+ zgjvWu{8*G_`#!VeSlY;l<@5UX==z%F{pxqV@YmMZc{Y`uqrO}Y{3eN{N^~v99lyY^ z%oAI+a*T_iu)x3xi;i-yCHS5 zxPnjqWXhFZavnx2yTO^XeNg7V#9BC&HaleZLEZ%gM zx*cc7k^>KJ`v6Za;7CS%$aDe#oA($X_B`FA-h1J%H zI9;c*!p_0OJ{j2UY~$bmEN84%?O;1*1AfVGHB(1pR}KaYm9lNoYF((M=rJJo+d#2G zu7hlINBJbH7oeFRz~S^RZu<;=xD{M-Mr*)=wq>Ws^=tR~+;CRVC*k^6E zC+y_nL=0b>iQUv%1P4!7VK5XTp{C9*M79G$x71XyS{`Lvu|IJt=!S_w#v7ID z4%)~MLk4@eqhd!FGjne1+R^fQ%}VReJ-h8)=cjjEaK+%&EcTmo?ZiINO;=sFanwIx zM-97ims`8B_NT0{cV;`a1GV?9^O~U~%KW2|yDut*=zY5HZZAHRwKCh=Gc}j(ICOJ- zGSC)C{2XO9z8>qIW)X!QO8C~D?MIp}4#e5PUgtPX?g~P;{ivU=ewqLNf$p(%?EL!{ z%E|fbuk{ye57ox6cgGK{Eu;YSo9R&=ReqrHo@i%OYqy>8rhVe6+WPpzHCFEyYlk}f zneMk9uDKWXr|Y$Iwb2ZhU9L3SwNi{xpX^rKCAKWqtEm0KfHMs|(lgy|8XW8fzZE>; z9_t2PBN%B;_zyKJ-Kk*5+kyjuQ~3>d^m=Y={50%KcY9NfbZ@XD>;C6!|GYlG7JBK4 zz>ZHiKf)Afr=5;7JxciBOjvp)w4Bf$F;ntl^YCIVV|CTbRw0d&E&uVeOk8KMv$+^~ z2klyRtZQV3pcB8k6dX$#j+;g&y%nFEx?fs|u5ViL{=kXZ!p`ZMpjkZ1=z85<{Mq>@ zzEqBmQdRh5gx}SUgXpGX<7xYyH(s}QyL;oI__|{jyFqRX?Vap5sI66RW7ol{ltNqe zDR!{1HQ}!2f2dNLpssaSOmiw*lod{$u5o|x)!Kz1W$xq3uf{^)&h1f1@}+QkH6Cmqc`uk zE@DK z!5p>eS4uE13a<<1V(q1g-P!nZ(4Ox;vjkJwyuf^!oRY~m6dN+eNVX0hSYN5$gz??w zIWtd$Uk~TwnU@yYLEuMj(6INoquO${-DNfo`eVq%?XK-TwW?beoAs9#_Pw=f9lwry zcGEXQ)Z)R3TbseDRcal}-D}erxN{G0I-Z*8jg~d-v!#t~^4!D4>bu_9D)tt5Bc{5M&Xc;$Sr1833YWSnI@kI1{gT zcDHU-f$TP$5eac-n2eKYfRF~`qa=Eb*E_q30g#+fV@+(ylM`)D&ap_z8D-CALp|as zk3HVcZOR(Y#It|oWFZmH>aJV&{_efM`?=rW@AmgFqDIWOZ- zgzt&Q{1)kFm`+YL{lM^-AQNwf)}V-TwF>8W*Qs1G_Ekm-y)Z6({P3;EPiXw5g>|o| z;eAZvw0rOe5f-J*(Pptk0TX(O@rVQ9s4@nDRDmpDn2BSTrfy|RqPOFW&~tLy`J(Ov z3SAm}!O>Q5^sBcEUWw|xy+-LeT%()c`&oH(*)!^EkeILgRz9^?SR=n9#5-l@!D?E% z&-dyJmxAk?m3D}Qwky_D;d-kT`Lr?c3phcW(zISs*?_Vz=BgW7s-v3G24Ep_cAI?x z{L!o|2bEmD??~g-j;WtT&*eM`L$AjSBkgL5$xx_>E$xFoWeftDyBp16tC>GooEVz2 z(~C|Zzwz_Mz+;s`T^;Ek(#AK7NUxOhI0NJ3_dYjH-gfjR&FhLDbhI;-ub23%AvMfV zjMOx}VXskU$$Nx6% z?5u``ZF^)>;3Xfg{Lg0#pPM^wM8`cB;46YDm^OEg?g}ST?5KeBE2=OB?C9N&Dy$CF z)^V9U;GKC;oqHJ}zWMFW)%Cz$lb7#*Xg>w@N7igDKirQIItSSD^GBM3TiR(b-|+OG zMSEV<>=Y! z-z#kI`<@R;UH}aKcfZq~kNc${@Y>e4Y%42&wwao@hxk190cPN%kv66zhg@$rFb>f_O);{(~$UoE5i0iazwg5n3g z)3xx1ZtCuV;OV{KhFEFx*O74DP)qss_XqN+>4AuAYarqR#Qhi%*DTEI~p^1=3MSRS`Iz|AbW0aY&x@JGJx>d z2}|UqO675E&?q`IOr^itmU&;tw?bT}5I#3?XiS%22+ZX#&1~;+ch}@9VrSVTV znb>t?wqc)c+9yS?W@XIfFNJR@dqw@?mu?CSBN(2Kw?%%^tDk3y>m=!z-+-V@pS6`o zBd~gbe+^HSC0;UJjYzacV4h)zDF-bDi@`oWUpA6k#IF{e9No!cm{|fD0dU9O7JlBI<@bnaq}3<~{vg~Xyd;Jv{X*`w zJSlRgF=pp~EnF)n?C##=dP{2346>gKAo7!i{AAz^7d9h%B%dG(GKz>~3XAnZy%+(I z)Y(?sA~#^EsQC)YHN;1)Q(l4!h&LPcsUl+i-S5Z?UKYM32=FOvs+UZ4=byXTuJ~&5 z%6?u!4Q4w;EZEzu2EY+!<+!@@ETeyF0^e54=Ubw?R?o)D5gUr4?t9k9%pk#4PL@J9 zRXcv=X>a)Ent(0}d;eJZf?2auGB0_;Z&zdC)zSiH^zvNld1Ak?t>3b0=DPH{8zdZ1 zhbU-Wc&tBPY~|*5>s#;K;)cb;h3;e0d#ntSG4eoFq60$?{g@1V#-gfk0a65RDhr(D z^sv=ibAtU~F7~Yj85FwdS&^)jU127N9AlIt_f#Mdu9gXSDCK7%6leXewKHt=`vD4nXHMgEQ^-mG}L>qRgAk*yS2|hcT%`( zd!1aV24NXjN~!-r?ZsWjhzFBzu@ndr-z=E>#W_oEQUwz-$aNORsSG8Cte=&^V$Q;!700IuXteB z*_t`R!_=Mgv!ZKt!$x!Or{q$w()YWimCVkpQ=VS1$bD$}&T{&~hUf0cJpRVNH(9`| zSL3kwbEc}~EWbt%WagrBJ=-Y==b)4Chv5agK6~RV;%DbKW=qk}bjR%3u}{zm0#BWh zm3%&js0CW2KvtGaS+zi#DmsFJO_!pVTdax}LmQj#hVo|AJh4C|_j+z6P$Gr{20$mX zlA9dk`rrCe-;Q{nARhsIt(KkhRl~TbnhY^^S5K+VBN(nz7?p_R^g1761bh> zOR(8zw0#vEPYuIkobG!`(`WQ1w-(wJV&`gMZn0TxGt>)l8}X<{eI;V*@q*=`eF>`i zSXo;80e3x92{%p6ie>Kz72;li-PK3qmLz`$8BliklB6oN+&uKZKi33xMD1dP{KeN( z8on@Tr`rWsda;)d36}QwL{^~HV;VVdIZv73KtsoZQC~wqh(q9-P^)^=7Xhz;Gw7Ly z|2;vMHAdNDFb&s|zwybB*o(pRu84@&wae7^WM)Zr6qr8+p<%QUdmtfosI7vEIx{{A zl$nIeQt3Q2#SILnZiyOWjB!|4g16<4E|kgDY9Y5|c}l7_{8OuAm~meBgxR9|=B2kc zA8RwRV-&q~^45C6sT3(9kDOEaR;yiP8@1&avmSIrIGpb3+Ux?j9*rfq+pxvcuz>=A zfyX$Kf3#GSMkwX*KbWD*Rf6$bncG5%D)EzR-pB9!shq$*85S}dj5b`DLPDBA;VAT& z2n;zK7mS37(BlnhU1!odP$~otifsf-Z0v`U!ck1_rE64wu*E%?#PLCI+>)|k@E5G) z?QiAcsIGag0u?Jpd#+6BW!!$ao5cA6q=Cf+#@azKZ!BbBB6A765L{pGDt*ALYzUGgmtiA z!}Cy$qdxo&#i;*Cc|eCfmSjlN3V`-myFe2TF%|m;Ll`}Q?zAoI{HW{x^B0HQ<+No4 z3M)r?8KL;HL;~IPnOv-G-Am}`^a-4tgdH+=ij0a-sYyHHD)Gd#L%3AmhPA@2%&*+`5x|$ZZqs;*5n1QuoBA+ zuy823zwJ|WhAEtiG7}DA!LHD6$j2hHj}V_E5B^NeBhuXXP3ijT010~wNfvA&fW#Kb(dB4 zK)|t#*8W}ge_A8=<&#TclQuY5zT2CAAawTE*2H9Le)bw$=rF`1Hy z%g;eC+K|39sMjXP%b#5eJ}X`jV~3l~yX`yt##x^s>w(&GK)Qj(T!>d6_`!agpdh>5 zEIUp%=5$LjA$Q_Ac7~l*+i~`u_eaa!w;kU;?Vm2cWPid@T!k3H%5C)IRCgsEl9h+O zp1J=}>5LTh&Qq1vcjIE^zMM%NIJlgiE(fiXX%y(q)M>G-R?pE1oDOJ4_0a^$Q+ujG z=UR$JsJfgwL2JT9@5V(9FW?j0fi<8ek(F}JH&du^9y}p-%Ok0n9QU;jsIj!JWP2gz zIxW7dI6c8ik=wKKzfn!7~tS4C5#va?(Inzf{-p~jA#_})zyW+mG3)j26 zFyMhl>$e|zBDEhC^IEp@zAwCe_Q@PhwhV~ud?uVYbZ+F;a0>*se7mR;H+H=B?Hy~8<`PPNf)UDMy zKdN02Q#S(__n77C{7_4l^ zB#l>d61UH*iH(v+d%jyPd$)^xhetrWCTeCfh?~n3U3`z?7pp@flxhgVc}=Moy?6fC zW25CrQ$6fPXtvnAAP{PyUp!!2Hy*&b43>g7HiR~~_I+?$vHIzUJdEwZ%ElpRC5^Fg z{PsgSOd`O@Y<{(IXzD%;l1N3*i9B~ca>b+$n4GUyu?2Bdt|!auo>7_kayoz9mjnBv zmXBEEVpMm5B|T&HW;9*wxVAl(b4WMD?+w?FlF5Z^U6##SAqXpXaS2%TD) z8x+aBLmdP1nm|dYyC)g(tJi{(PTTdMpALD<|NQN~2x|Er2A=U3KGioo0wy;~!3bNE z?#7;@$FZ4b?F%K>I?5xH6xjC|GuU`H_iI6BRND|!g%3iASBn^KRr6~YV*_|AK!;LH zaAcEc*d70!zw=w|Lc?0U1x4s)f9zxmvXX>kZqg1OO}uLx6LZI1?89Q1;jxb=mLJ$q zTPK>zDVA9D49t3paR?q4@j}^7VZ)HoqsJ%A#5x)Yy@~2G7x-dg$cI^yON`$fU}u6_ z!95#VEjDWOB`SqdEvuRQoxi+1OtJjqH6nlS7quZQ%-RG}nnD7tAKYvnm=m77YiZ@~C~dRtCN*cYo5G+D zF(stw!hGqKM z0>JDYC%iVqcoPLFyA(kPRt>`^g?+C)zCJuz{97SJ9Gqul!Nl-94fe|IFivs*;uV0F$ zR7(HOxlyhH^xVbah1d=E7F0W5Ly>*9rQo6hw5dPCKZmge{1)T-&wqYV1rkLOa;&5l zMq~kDIyrM3PnI~g2D@S~g5(;06T6~TTj9P4JDPslZkIQ~JDn8FgA1RSBWOV^O+67Y zh7^vd)`V9ts)W}f3>YFnh<(QpUvxWX;~a)Hn797*?k!qPIDJj43BUGYpw)x~q<#?k z`RhLtLplikyh}%-9ynMY#C|^S4?8`q-UZ+x(%{op&Ux$(XB#;^azLq>AZ&JTnOD;KTXc5`B;cI-=! zIO8(gVSl(WCNB)Ne9@9EdlK-{lDTreNvO4|#N&?hWh=`>HYVNL^Rgg3akm!$5qYMl z3jweqazJ*5g!cG)HC8h1&LN`L+Uc5qw=G7SqrRv+o1txI2$Wb)W?qm2V=5N70GC*# zLo0v(;7_pge^wk#)o+=19LSawx~9`&wLoZWp0l=iSh4ib8O1#v%{zR>xABEbE$7lU zvwFrPrCgJJr!5cpE=-W+jjGw3th8&w>h6-L%C%nKP=Xjmb*3Ejc106U+I};)hR=fz z%p?Fr+6NTNYk_=a!;hPv|J_?{cSz}Hoef#D&a+mrMWv&7_Don!nvcc1D~442IF2f5 zz0C8j)wK1QXyL4~Q~MmC(3tdg4~UVXGc4DgD$H(Ap_@0Q(;GrMr)UFmBeRTBD)DMH zlZ7OTHn2l?$Y`*fRc-cDGWDJ}Si|0xwzoXJCe4KXR$!IzS=%zBv52Icu9dFH%GWCl zCEcc-Ju1fintk5hah^H5mphsEloM}w`5ookZ8^1Ji~U2>c5aDwd0Z-%TyiC`h$L&6 zI;eGjaL%q565nzySfj;04m+1sYnkk%A^Fx5Q3&A>{uB3E_Q7&q_pgRT{^efioOfv2 z4v?z4>r6uk9`TFqTPQQ4r!4g>LRr_nz`2zCo-gfDd?K$E5r>ys&NiBkwx7}^?1N6_ zigTXDWuB~iIjLXM)M~MMPL!}(Zt6c(2}8kn2jHQ6ef!x1kdORnyZ7Fkf4*#=40U;e z=7AuOT$AXi&a&ERpG7!nS30#F*&SLvu{7CR_nOa~Emy9{gH>_bo_)OBY#mU+C(4!I z+l-o|Fd$OwEiZgC4GObOfB#a=Ia3b=Jv0bp&%*NZY<<0vdss1Hs~$QM&pBhyu8An2 zFdkIZZj6lNn`Jk*>e6cDWWdiet7?7IH(VM&d+)@f2qn$l1v|ehRXt&5V}AFe@$9WV zHRiW^OPSNNi`nE_xp&nQHT9&uS@}d+u3Begs~axNOgVCm6uQ=Mvn>6}hL=6&?@o;R zb;dX}%8eyrq=a)=ZrCsH5Ugx}vNhZh&q+1Z72XBUaGETuY^&C6CHc)xxR~4X2cGim zd01=+0dO`O!58f<1Sm7vE2(O>vce~B1!%0KZ7t0mF7(X0tYPhKly$>fHVuJ#V+hqT zz)dMdQ>Er;SqzIboln7g%YoBVR=Z=N0#t!SD~Ym88-y}B+!0{c4+jHSRTTO_dN9*t z!-X)1mq7UG1+wRfS0Da~Nnx>|(a4N0Pb@G&q9(+J9eM(VQ8}UN0ubF(ZQo+luM9+E zh@DFDanY*0=~=KO7z;+U6o9kZ#Wo?P49Luxo{e5~#_ETO=S^{iWizTQ4O$GwjhBRupWndg`n@%i;Si@ zdzcNS35mWdo78lOXtc%FYJW060#;+J5P5R52+uf)UHtC>!r<>OzbVMo?EgaapcGyhmKaNyvxQFKS4edGr`Hw zEnxHm3KAQ!i18Z4aECF|_e?JP`=7hnVc>961NhORXse9LBQ2qYf&WiLQ`XE-%N~$~+GdEB7KZ)eJp@@OWulpL&vN z6RqJw*J=?iwU`cW45p?Vdw6KQrL?Qk$)cB;mqrThwK8|wZRB+;GHSfxELEOaQN(Zx z*+O|p^Xh_eq8tX-v<-|bDQKoAhMKr(PP_uf+?9FQUo!swSAQm53>2fMs)HZXNX%lvZ8T30xYG)Ol{G(8A8#%~BiikDL7d?O7vFN8lh{u3?N?9=(Q zE|)t~dL$#f3tLdhkmjtW-3vl18Ef!%7!)J;Vk!ealA}J42Xf6!>FS5Wcm^M>87uK_ z;szn3K!Iz7im48}U%oDX9j zi3J_pc9QI>V)D^9(WZ(GNh8Kgu~Yg{d&_p$gB~CdfNJ!aUW{+;+{%O9?P>L+zOOPg zC^hMKuPZ8dGQ5JbFB zm5A?ayW#1zhhiB_x)k3X;@wj0l`g6O+9~K`~V#*TSpRK!Oh9MW@}b>ug2^4dTmJb9+S)JH^n{G**URT6SvjsE2_&3 zl#e_ng_n9)y>zJB^jmj`=~wbysp?V9DBvfo|Lz(ziIDZ+UP#&&*yh#U1bGR zkNL0pW>8=D_8pjNm2YF?u`)S%YtMcekIa1530s^w!0E75II}Y6n+QBZJQ(tt%y=9A zd}DK5&ZK$mrmFvkT`#S^rf1=WSvj$!R)1B4OwAC#cLMSi zJjl1J1zCSBF69u%1QVy6+=$|G+5rc^upHFi?9qxNC%*r}o<0RPR~}}KsDC*ltQ-Fr z!1VXw=U@G)yU!X=WH0PB5%{5Nn|PtM#|vNSSa*wwV_PTl#`A(G&@Evm-l!p_jD-k4C`3#{qGLd-t22i8s8te~g9^78%HmvAkac zDE0U3b4st55Kw`@$TxRp*#Lsw{jt|!mQ@o;UX^P}l^)G(ihlH^-#k>BdGn*I7C)^v z^qY6rqlfEby_q+U{5@}VD|-0pn(^@WTP+pd|K4xEx;Tw8?}44EO;LaC%3M@^BRkeX z!o}Gh`DzDd1im41+SDkP=Yrh?K+avKudynzy)O$L!O4?o@gb$EnovhJ&l(p>-|KBO zL8`Dxb8vO?f@A66!xlBK&s0nF)qT{boK#{q#CmCFbE-k9#~S9tn}5mB#V_`2`oqP0aFLV1{x%%m7V(?nfP8BH71pH}B7pV`}EO0SxKv@y7nw$~Lz`>

f8>K=*Ut_kS;#g<(i+(Oj zDO79j%S5WujI=}Ym?Zdt7*YM)4L$i#ULHE7o;L)~XD6GHu4)g-nDOwO>}2)09MHz3&JQJ@Y%stxfe+r?? zT5I)@$n~R`;BOk&nb~}Z!y`5ylKPXTcgv0E9dqWr*6r@kMHGk^anzS~yF`O|YhcMt zaN4wy^A@%p0}D>fU@G_KI(PWZ;oM?85cm^w|ECm_*lpuj#B1kajas!s+vDK$abmRY zSI-p`OeBTojbfptTrDPV$1%oCClg0I58rHrjc^Ma^^XtVH|x&zru=ZB=U!EV9tLvn zB($^?y#4zfzWfzaefwWL;n>kWFq6h zU=27J9%|H21D}sMGlWu|z0rA2VSNb|%=p9}0nbfmp2^?4|KGMhJ&W0WIwG!|I4^>Y z=Q}vXXF`{ItzO9k2j?hyWDqh?SvX#nsAjEzw00fu=+>-Lu%9Yhcdb7wG_31lc2-+G z?oidn?aNfp>=sjWTmP7tv9TR!#}uHqTD12Oe~g}X@ZG>jA&1Z0lpFeKsM)e4P^EKb zxsjqMo$Jh^&!jx0cs!|Atu|=E3+~f#zwP*ZXs;5$I9qJvn&(t{jIYy2|59%Cc%%I{ z8~r`@EOA|=xnwb9g79qT^>QxE86SOTZsG>q2ph&1nR)LA|Lr2nDaIxeLZC1!!Wct%4d&oBqQc!00#%t&TF`@V3u@AJ!pX+Wp6GH7PGdQ8x3xBGmcsA z;{WlCiN8tr^F`b9O&Nj^UF8?HFNKDlY7%_rU;Edu2e18!faE_yh(DT!?!)YHH)z|R z8U*~?V95V81>L_p`7jfm)@1(w6-oS`3lA?drGJoU+dl ze7p}?p^9>qdFgXtAT*RDWn*&nC&O`jleMjVxO29g+q25rIps+~igWv!a7A?Nyt+K; zhyZHIw)>u<(|#*0$&{UVF@|6UMW$X@xFiZ|8L<;R2(+gAf%%V!q&hw!7A%BlkmegrzNy)nMojh4aQ@8aIuG zpZw|ZplAUxh_N;`W%Nt@bt)h_2+uzVoscP8Cg zXpAFe$WpO-Q+7NjSN*nB4gU>HyqkOCCN@cvJo`5<{rB#F1M|qNM;skKl$frUekVq* z>CB#$I!-!tq)Ez|b+5Xt@_f?ftPvK|ve_;`lh$d#>aO3?dE_MEWBR=6YpxCDw;SJtuHPt*z#Zhg5|1r%91GNsq+V>I=fC+ zXDuY|Ia?bCJ%d4i+qsqkj@4}{TT(){sL*{96J1PSK14-1puO%3lGD9N7;sgk>65K& z7m@MFz!4RHR|dV`1%wj5UfHs{L1*1tRO0ZKlQ&GnoT7WUrI=>c0c$@S*M2RoUx{ay zFYc4i+?4t2Wvr!}M$)^QjXRHJ9ES0A)|J(b@qW_pqxhRF2tLwor0LgidD{yJ27@fYwFc zl%us}*i`nmPt^Cxhtl@f_lC0Iny2VBHa+?mq-ZLOg zR{V{U9D?kT=?S?@-YNbn@)RCn#3((9ovfr@ zA$K=@qg%3zaVSFkP#DWVC^e%(nTo>S0&WnZpEIz4xOOlP-!j}ue2+X4o&vJic7)4} zsI?3JPVGV$sTEz0!f2*Nb_%i&GSk5($$Do9x-#G#&w991l7*17MNS2=9V0G`-NK9+ z%S=x}q4D{5f5t__R1_{MN1g4{sL)e6J*zXvs0)N;ETskq5dg04^xR}8VsYJVEGtqh2@GVR^1dsqQJNYC|*yBGAV@NjNbWpM5=e<-Gq07RuhG2AaT_&3Uiy{ z4VBqmNog>>OG2y_lon`aWPHzqQV`yZ-b-_{eb-~4;*#Z*v>MR3JnoIjkPrgrj8rtG zq1oYROhyO8Ep7St{1?|rG^?>2nE4$u4i%+nv3`5PL9!{Dwvbj*rZVfHZTX( z-CMV51B+&kxvF)2rZVtjO?C)urg!bT8iSp0Dh!v|!zspEC>ky)TP3(ljQ^(gTa0!* zTcDb6eB=ojE;o=ns}%^u*%+==a-OV>K7}(Sak#h;Ql;8&PJXq+D7O))x7YB6-tA-g){MJoUk^MlrbM+f5$H{ zAo19F;Sayp_xko?%7n+2yq%J~HFFBng(ixupN{6j6#-d53CN&zG~PE9ra))mdLFYV zws8UwWH#9sp@yg_p46QLH?!%%CeBS57zN|biQGi+u`I6}51Ul4QpGd#WHBOUtgD$5+s4Q)idd-L}rF14@GnGeYO;utb}Dcu?sXTS=t0J&e?%h{~RN zsC0I5|9+Oy(3nwWrxj=UrgtjT32>ff1;u{YdKiZxrW?*&E5G}NGI73W!95Uv{d`OH z=z2kY~YEIsb&GG!8(<@(z zV|SNGpna&k?A}J0p9<}Tp11C~I}13V-}u@`Y-Cfk4%N0=Y#r8TPADx8S_<2ByF0QqlS-4K0e)<}3lux(SH;{F zIZ=c70aSS`Ua?!|838&vJ{NXL{At5FN#xB&^X?KH6Vd2g2dxF{mbWlY%dV+Oq)xGx zr1&kVpo)i*4FO{4Jnv{olD&YQkg1xa{#zkQ`)B-5Q7RbIqj6{R&?E6yy>YAq0-}0> zOEenFu|Ietp^jT!M6^uSS5w9bN^lwoXRX3fztf?PG^nOgtU;TQ0(JJ}FK-kV4vA!M+;*gT^}g zljg5|p%i%?CB?jHwKx+kbmE79i4brOl-6uFay3HRXeNGv8KOM%{g3_%Rm#d!+wN)+ z9fxaT8)>PaO`niyTT=oY_2{_jPVRs+FTs(I|5Na7Ks! zYcA|KKt%kCQ11&0@mL5)SZqQrBZ8PX|4hW8u+Cjs%+lmC1LZdp_zLFdE02AUu6jqBIL?PjT;Ue?p?0Fw80DQdIZ&Ia0RG2j& zbQYWtC$(Xn&Z(Iq&5R`)^Z# z*`@+Y=W&dMeX0c4JP9fQzGo(ffN&lV2X;t1@0S3h)SXe?L5vV|QjjAD_P~|ENM+WR z!g^K={N>fxSOw>~q%87ODZ?A36y@s8?q;y+oDan#xJR?amQ7)bpk#5+KXP@Kb}igj zgpDkNj>h}z_(b_>9*i(CwjJ>*@U|2aan1}wVflU0AsHfn@aT?Ya!|>VYGWRx=8xCIy3|?sNFAPgf8*!Cz)#4*>KlGW!>3=l{yVgR*Umu*Dfo}0fPR{s~ z*$@BGFPAe-i1EXc{Bg13=8XXG`TIXSH{&mUZHV(VSzsX#(+n4{RpD&2+HutmE<@nB zLYb4+wvWTd?mk|qje1Etz}l^4T#X7iF4_^GIZRi1`jg3Z8pY}V1{jXl7_Mh2JT6o| z>mKKtTz+lv`VT*8_nMDj@Hw$=e5EZh9)5M>ulyKlxBiD8$}rL&es35b|2^x^dZVo! z-0pU{|%){!n{{}%{5lX30n*Qd3-Ft+n%K8v*cwH z#BF6)mGJnKKA={Qr_-rfozK)evYxvNLRb6k_X>ZxR6qOZYk9WbN+l#yl zr^$(c>J&cd^bi+#H=XhTif5&JVh!D6x@wB~uDLJn{p!+pKX%i{Qt!#~%k%)&>{=Ov z+Nx%qYmr*|yzflzI4$J3_Na)0oJClDeDBnjy<;cKlg6+qDv0>ldUVRT_w*nK<6TMl zrpo%s%ndhU>p;gx8-P)x1%(rU2jnO>&-0WsY+H9PI@vX*OfM`p%$QC33TxL;+`0Z! zc3YpXgE0t%c*Vf!dPA9Yux!_zjxCqc-qp0UGKtfD%B%aH1=NakOy7B@-dRrVo(emJ zGjqJboOg*$};$N?%CyHRyyBu8qdM_Xboz4%wZMVGrKAy^N>be1sSeXWU2F< zljF)8^QZ(xXPeQ;@m;Z;XW4r%yx`yMwZ}`105yZUB=T)Y$>}IDnRvDT`jrMwi4`@JnseVa^gma|Ul+CA2} z0^OhVYGK?7t-#JfB_i`Z{M+pXJHB9b>uP;n$xNju$l}qrYs6PQm@E+yd>!9B{*V5)^3vZ>?OxL<@GFhnn|KJ z=n~V&Ie9WXEv7AGul4eI|F+cLzgyma(z-;yyHlm#Y@N@}wvzOabiLY|BeE<@YrhgV zE;(X)!=JHwdQD~j)x8YlEhkpY0No8wpK9jT3)iDR_w6t(z4uXxP-0YGY7i^ET7DA{ z^ccwrD_=N-}Xzs){vjKB6bGV%EMO3=sJ z_sP~hE$4}FNle?(=hVybLYxV)d$@Wcys}rY<-j88a17@|MpL=cB5*w*(!PdpL9bAN z*?!+Q@}5#(t1@ou-~(eY;yzDK9JDD}L5-eVX459<;iZERY z_Al2Czm@K^^wTYccZsBF2Y2OnrE+C*IfV5FowKdkjWP>9baLg!&KIjqnO$_8ima)1 z&rO$AxBPO)Gd0S)Id6DoRrorH4u@UA$eWDI-H=TsCiBc+QVXOKRWvn|$_Vmr>4 zuV4BsSa23g;!w|VO%{hc^oQ5k1H8D4V`oInx2y^;5nGWOgW0n^m+~bjf8E9e3p5|z*BQ^bwqqd#uC4k-r*3(52TxcekGQ}Sf|W8pSsC* z+Q*q{k}NejCE^^=85+ZM$QBF(uO_tF+TKVwk>Luv=#I%eXmff(IbZX^VgbHXK`YC8 z0(2&j!Sf*%GD-+dV#-P}gd&C=DuWk+m)ydF9fn*ZVQ(M*4?mfwZ70g@V(xNWV;~aC zNWjO_R54mF(srH!tuZGuUM!+@P0U1zU7xX=EQYfflF?Ehud1)1wu0VRuX_KAH|%K5jwc z!_+TyPkPqA_*6jIacW>^2D@7p+vVWuuJsH5BZaXiF+|M`1rN*Zm7_*|))L5GsF6Wx z%xSOW2gON5lxAeuQ8OYUi5&u(YM?wcb%krb2k4gGkO*UzC&B~$hiJes}*C^xn$&ET%2(-^QTJCF<96D1nF?Yw1l260@{;S-S%ZrFKS~!B~T8A$IDbD zjs0-)*@pM8l-nS@*_5v|oZp_vtR1|T1(nRw^9|BRUTt7UA`&j+J zutNgD!{Xle-Z|*n#lEKkeWNpaYh~LXmRD0MIA<%8MJHCOy^+ZbzvE=c(MxTzd~eyJQxTYA%Vn5m*JQ>-o%ULZFRzAt5Yciodv zqUz>kqoA_!mEONlFUHZ|+Pv$0u8PRaw?89UG zVQjWaYRBS$^*}T|V4M0gY6N|N3M{m;xAQz~91;pOeM}O`^FVfaka?28a1BoN)vrYx z1PNc!?DEq+nJouX1d~7NlsCQlLYzF_a*8^z`34Eq*Yh3kuEDF8d451fXMh}=|JC6S zz^E2v5~hg?V^hEF`8d4seJ0f47m(3+p83M{a#^3*3OCVmL!x&lE{y$?U*`Ce)mTTg z5_Ekszc+lo`G=sud&7;=H$S%8*{aXlhidb5X|3EsUaE2F zCokzB@`0Riqx8x6;imz#Xx_fN&$=tfuj4=l<^+M~utTutR1Vh+cs^jDJ}m#-4n&r=xf~(;J8Qe9)hGd+%Q8&|;t~ z_UcZFbDMcE9&(ifFZ2zEc@WCB+7XXA2m)URay>8cX&^*f(U+`b^Dk%N){*=F5_J~M zAQbG2)%Sss`Go=N(>V8ZJo7ZX6IJTisZxCZ_s>nbb5tg^(`R$JUu#Vj(0KV9HOTDy zzRv>Ty3bx5VCmifq6P`O0m4B(hfW;|h+hWPPY_A3v8b*BI4f{7;0p#4^-Gt@2?EHh zUJ&}o7z0EGzS3$##E?R3>S|!E8Z;MP^ViWF-oH9WvpfX9>+k8_AgKc}YD5^nZj_Mw zgk%YTww^j{q>spA1dAm}^RXzI>jY#RaTLuk$LYdV7K!4nfOxk-8?c?Aq3D3*LCFkF zhRHmj({)Y^QSEvsfG=(GVp8`2F_*}0dJol&G?L8(p&77kQ0x5xi|a?rM*JmzCcY+riBDpFT}}=yaR<{&~L%=R#lkh`)J=^GkXNEUA`P zsK$OR2e@V?cAnmVu)21yAX@F3lbTVZ0oLRilN-Zmh(7<;&fJxTH4V0P?%mPJBQF<$ z;)X6bHv?5<3GAE$jX1 zSeKiMR+|yeB6RPXd2{0?+gRNKc(;p)G%+Ma2gBlpy9sx zji1VcXB}kJyoYG7d(=Ef1chHn0H_o9kp&?69hh5++kZYYK`Uek;1wa9Px_-yVJb z{aa7)ptQ8iRbsVGJ3>^U_CJlUo0_NdK9Mi@FV}!U`2I|8tM`>#mG~U!dG*{fzD!{| zXLdIPPtM;hmJ39P8)ufa?qimT%wWX_`-{U~spI=Ydp9{9)Cbn`E0!O#GWV2UsPHE% z4nO|42a)1|o*eaA#eY0f{Kv{XFEd}hHt`|socj~7^H0I9zqZWu`Jv47za)13Qw68` zY5r5e>4yOL#|chpLhxHp-k5Cd61B2)h zWyj}<%8B=KK(%kKmJ2~QD55e_Ia|B#F8(HFl+$jYaEx9HGNphJ;Jh;R^M+Mx+u8fh z-}!5X_Eza?0iS;OapU{J_5rOu_-&AwC`ahZ1IYzF@PiME^(Q{A|Hr@btlzm75Z$?U z02@2)E19!ijk=uvBHswlwzBjB4jg`5?V4JXRgvM(f76BqLvz;TrPWvS;QRsS^mwni z_mmg)JOu$_i++5Rh-C9_?`|)u+UMjwIBsXhGx4OuN~p`~xtFm5ZC0)j>9dknP>vB~ zxZ#}&vcf}7XEo^5)thR(wb07yhvfFkqPm}ypiiT=+Zai1dp@S-&Mtla@Xh=DQJ;lb zvqij6IWswuW866z_I6uTY@VxXYUlcVMKwu1I+KFr;ybOA0j_%cEw#Z>?1$e}R^Akx zm}4KzgMFRFbT%uEkM}*+9@RCUD{{o^WVi!}5DX$x?v;jIW`{-85aINT9HJht_-O?w#^zqQ~NfErH)r~Dt>`!lw5 zE{=WT=K&pcsYvQQr(QxXTQoSOvA|;MwAVN*5v0{ss;THy41>$_1Z9=xNCYzYcB|FO z)`6d_z+T4)hHsr*NvD^o8g!eNq_IY=qZ!P+P)5bcCu8P~gAU~{xBNx{LB^iAa}^#U zp$vA<-`$$8f)?ZnAD|MY)opD!`&?bTyHHv!E z&U>YDytm~jV(rhjB4q!KR}@jJL#jV=x0h!R1f0@BsxRg3wh;OuG)~tJWOFgKh)AW? ztsP=Sn0KEnJLEk$C$SHNawv3fMF}Ii>QVcBrWzKXc~u9_lC1MhwVcjR?#91KMgr?p zc-UE4?Oj!ZSnt9h>fL)jF?>V1z$h*)TLDYJMUuD?4)2+P$SLsiVbUt&jDr%$}^}MTjskpq& zGVxU4zI`9@Z{sH?Gb)Wu4e=Lbe?-pP-3udq@9xRQ&I)SR_~-x1V$i@w^P!LCz%JtU z%U3{Yk`y$wkgkgYuj1Zv# zQ}tqv8Cw{MLdM2`o5PHQ0x!1(vAk%Rm02x>?nclwe*Sx|wcQFT(qiU@)&wM4=^stD8Rqh)`|DbUQpx^GnqoH$v;_SAlCb7oL;h$Zg)7JO07~C%u52n z7Jw|EQ9KCao>*$~V~T!xQh1i@72%2HSf=1~!`%#jLlq4H{G_^bS4Sw3fe#sRYN8qC zIb6Gir`_}D?3$qEuIUbmyyXTcEYY9Rumj<*ID6zpRHJZ;z(k%gv!*l+fs<5$PjbFh1hOEG>i-F3pw{Rd{mG^*5h!O$Z7(5IQ^( zm+M5TluzZ9psRtjW`PXVyqdQqjy%s3*b;W7Wwe@H0q3@*_J9SMQ|bE}+z~3mqo|rmCgX03;@g%^>usO}H7& zOO@a~eg=BQ96S3vWE2dzR@AAt?Xk5~O{@WxoDvlu;izq>Ik}d6D|!uY6D*Mgd-|@8N7q z{BR@_NS(Bow~daQYm!h7>CS0WR3>~ZNxnJ&mCZn66v=ju29T;a>q z1aeT;1tQNA`k2t~=Fs(dTi04las3vRYbG^wxQN~vbt~s^QC3VT(Pl(-(s96dj*F(x z)Ug*brz&Xz_7P213p3E%#FER1ZWEqN#CzX?Z0GIIO&ZanlH3$4r=Iu_bU2=y^%T3% zd5*+n@ausnz*gF{_(g)R+wP3%K25D5F(7|IUH6B zJR9fPIAw5cwdQ4Y)4m@UuIV(p5A1oOJ7g#JFZ(M>1VYbfnZr4WSICcu7nis7WO#_K ziwrfZt$S?Gvt!sD15QW+pM;}S7acZ#b|5auXg9rJwt*Wp&VePqi19z$A%%s_d?bM6eJ6{c6 zCy@uoNf+8c%O%vCAN*qlp}$4QufgRFTB&6_$pe3M%?H<_Ry(QKYb&R3jml#v?Dk=b$}9^L2YuyUX=`8T0ly;;8^$d(r-J*I{oDXL_~|*=d^-y;_Q;JySC%O|ou1CG@L`l4gg;Ea-M z{3nr`>d)vJ5r6`yB(P6ckTN9?UgQr1G($&AKqz9y`vTaV12D;RD7CbcH%!EQC;SrG z0glXggd^8&s9e<~q8pDF4d6z}2_Gh3KsY0oRapJL_irh^`vM1ThsmJw_`%X)%j~|mL{FoN-bO}y3 zGn@e0^2ZamHD$UZUY z;qu3?+iQn$c8n0qJphmxscQrFnF`Rz`A z0@n6nLH8t^Aeg<*OtPrQBNYH z*2+?J+1za4!FAX8rm@>XiMJXnEe1WaOcDQI^4>m7j_N${ zt*U86gE5{i+T&tOL{&{QE)6nBErspq#-_WbB}!uflHz1#XK&C9tasu#G2m=MlHE(4 z>KdurY8eSDv2yd_nL)9&UORj_HoM7&8A&+ungmSzBqUh}L7apqz6s5Q*xt4Ga)0mX z=?}qJ?L6y0?tN}a(_LL3=i~i8?|IL8pJW-iX^fy}B}jLwP%v+)OoeQ9kU2sLQ-#dA z>PCrE4a+C1%!Wqa{u9MM#in^Knar{e zhca%!e~AfZ%{}I`7fqZD9c~81fVwy!>o4Hx6#pgU$nZ-rI_w;uVbU|OmLyqHuwP-n zvJAuwLwkRI)|g6Y8vEg7Mwg$&9UD?FYsB#^eq-^({)Skym<50e%l}A-O^t_9c3ZCd zM5g*U*l}Z)WGOrik%&=zUWb zhfKuAV5=)|gV^J5kMrthFT(wlLq^1YL*q0 zwWDlqKbLqj>h9)8XWdUsHpbUA7??Z}{M<>;Un*f;hR)Q2w|8c5ys&lZk!W-9zLSM3 zUwP|SSd6Kz%j2*s7wj}Vi}QitigDQ(;B0_r1P5r-8m|+Pwd-i0@Xw>G&lp(3MzJ|I zNm$)}RSWSW1>=~EsA3G@=h=X)h%JEcvgzgUUTi`MvsnP^c{AhqK>XQ&Xo`tr(M<=_ z+0n0^x@Ign!&om9Y9o6#CO&1#&+KI#HbDrMU(3AY4b6MkU4>U-9B?wu@r=WMZMlFr z@yBYOIu)>RbHW_IY<&UYS&YMdX?(PF1J1vione_^qh_aD3ItQRn;9cr2Wmu87l&~~ z9%r2~`4idD*glKR&S*wrkelWb!_tiJW@<#59`Z2%Lt}ocvm-mDu;g*l>8QZ3J(O951kAFSes1M(Lzv7C&Pk8q!3HON3(N< z`w8n~SjLj42nZ4{YLV6Wh2;6rvjQIGahb_YdwxiA6AzYj#Q zZkUuLmVv*Bpqo*`I=Di}y!X+lHud*HYHld+DS|-t}bU+!Q37& z4uNHe2r=0*Tup9vp2TOi+*CR4gtDO_@iRjb3s)<~J2PoTRoT~I_X!3`1g@I%9$Nv- z)Y45Qh40d-F@;|(vr>FV#H#Ws22rv!8z%B*7kGGd-?N*upZt*A2-N3X(aOP#rDz4qm3sD|ry zJUUxawPm~$#19iM{CoM(xufO>c#Fu^o=*8kOGM3jI5cLGiRIviSAAI#Pr(zR#`t+&2QRD7>{rP25 zD<00vOu^y&>E+;XVb_8epDL&tgx^ShglN*y#mWXWP-JWIK=&ZfeCg}|Fs?08YL;#}Q69@Km*t=J4JVQ@(`b_RyPUxFW|xKaF< zgoA;y;lfNZYQgHt!ns;<{Hk^oso`w+zTp0dfu-RtgsCihxBMwz!mOMU!$-@1{OF}* ztjQJ#U$jXsg5TJ@&MBsDu~1>dPG`0zn>euM#48qjwy4>ZC-g_=RKZdK->p{;nA~9S zEh6V016($(ea4L8T9BP=E$pnIpjvS;zsa5t{^p2RL(-GwY`CQ;@-Zk~SxuAmb(pOB~$oC&P#SURK%|?x{Ss z1PjHN3aW~oaGfJl7YLC`Y?U)A6~FlsQtK$5rQyedWlUTfuOJRWd?Mw#524a}Vx@@Z z!&=5eks3yEJR7ry+-1jjF-8E5y_Zmp6COG3vBa2CefjTSj=ccf)htRQnNImK)Ecme z?JSF_U2BBtKqPufX-d2@m`=!CvmyGF;UxGM$DCy}Yo}NUfqZg(Pm-Rv`TArO6h7+| zGW+L3VCxnvhGpDly^~J>=;5zZ%o0h@j2QlyG&ivruHf)Ht>9clKxNKVeD8|Fo^*0L zDW=t(AwiJ1oDtx5jgW$PS^SYDMfe813?2kg4wP#(eQTs1Qp<2wd?R6<^**6{CQx)g zO4*6PU||o~#Yc1`aGCLX@R#YL93)2aOl;7AZ4a_BMF9Opj}ek2S;B_K?ibHujS~36 zy^S09+3x%FYDiv3{JzL8$Y!UFDk5u1CcOdN`_vaj!p%*g3q#t2fL4Co&=>ZI``o66sM4< z+{wVVe8yfliZP^EcMV^e!+{viK!8~Wg;DEU%|eEaE3l@Jv*O%C9mZBf!lC@P-h8ji z#50~p5^!3QGs>_bo79l4V+oSQsaU|Y5&Q@7U#X;aJD8+tGzvsh5YQDC<2MHj^^nM^{UY9yZ-&TbDZQkl{Hx>@DR}v~2n^Rl zqk_25oZ{pxhvPbcOGMgDYxOPqU;UF^Y%^;|Koij zla9-QIOtF}2HqLdjkiP%Ih_@_&_GHQJ`Gtm z@i*zIh!Eu3oa__?2Tgg41!p4lhHjC1O0~Du#*&%beb2nX|KjtBN&A@_QvOcwblxaF zY42ihs(f?@>r$SHSf3Y7X(*Twge6 zH>mR_geGIgWj-qX(VP`WR?RahBX==27<=|bbasL{+wM{J-;`(CnTGU(h+h3#=Q%d5 zNmveFxPj15*2LF8!RW`GX;>?! z+)3^Il#Dv^PxvhIp)$N>IHU*%qpPv;KH~3(J8Cf_tc>{gKxE*mWhmxhC!*JaE=9aF7^EW)bjmZNXnSo~4(4FY_2CzvVCJ&TVN-)1y%V)Lj zr0HydDULj*WoY)q9$a-Tal~T!1eB0NYD$~gR1w9B8Zfq5I)Kh0=8^UpLU*`VCJ>ss zaYB~x4FLCmhp(8fV1x8nfH%j6Wc%vku*4Y(@}I4z<99pt^b;&WPhWBH9jo*k-?}ko zWjO1#_tv);7TBH3kx1EqIKt{^0{Ig_chc7j+a<+SmA2vBo7;B=r(Frv16hBRVW*YS zT$WdlF|ftIIKQPPNDqu{U|!)wqh*J+W1Q<#>(j^W`GQ{oqE;#z7J|v# zDHA47WQeQAWRsmkbK<}Nge(G&O+0yC^jV3G)0Pl}T-f@tcVGd5`JkOZHm9<60TIby zjUZ;6qoI?zFM;-Q5{-y25?w0ZR4gp<#~O$5A*1a7mQVFj>2 z-97O>ViYmfg`T4KA28Xc!H-W=M{$pS!sF!Xhc8O0R^n8NSKT(Yw@Tg|qfB@vp4pVG z+JMyD2_I{R&5=mDb6rqg@P@H>Br^?@0=od83k0clcpV6<+z`zhYyiQza&{L|Uj^75A?M5p5{k&cURthVZdVP9b(y7_$#Ikv~}B2C9y^ju964Lra#;vU!<5DyE0= z;p%;5i6kL@sA;k`cZaf6I3fLsBIjH+0CO|!sRNZDR|;4E;^m29RM_=LZyV~eY?L_7 zEPAD(RS_rvwDeHr#rP0Mu)B;28q3@rbKyAG0>7-nti-MQsWN0my$l@M^K2%oJjx4O zVa+L10E0RP;*K2wH8*2~AOBaEOSYfNKRbr=`x!a;ec5I6`%=hSF`Osgn3OZa)eJ=I zu=#7A(98@t0mRdlikO)G;R2+CrR$_BW{@LzB20vl8CERJPL!tXaK*t>+{Bgz+4_@5 zT##;=i6N?juIc4sHlGMK!b7csP1sBpf}kILV$)pN|#`Pvzb;X>NaUc`w73 zfVB|2a!$7DlWlUpBJ`|T#C|m$T=`y@F5}!4Z|mv3>Go%%neYZ2nygs#(;H6OwV`qB zQCZNoKT*b$W(}XBnz(4cjMC?c^J!pqHiq^cnVn3=EgyE-6x~8lE1uK6ctb-YZ2W5$ z&U+X8q45(zEf~Vuv}$wX3tm&Dg_W0oLgwm;{3I0W$pBlSawjI}*3vHfY=N&8E&{9)qVYHCMRV0f2~A9I#wp>cR+PjF@Ku>jr(a#231NPt+F+0TG#6Pp>V4@R1P z)^PE2p2Fjd%&S=+mMs!8}pcxBc-O3BKOBxkUUWJBqQl>9jhCr}J1;C7BG_)%OIsv@r%% ztLf7t?@rugCS+UGIf5z2qZbua4K0jPb32 zi;y(0!bWEHLBh#OP1dXjDlKR2W*89YTn+F|HBIz^w@i@2Y$?v+)J?Y_rZ>EiT_h`c z=bnHR+(KzLsgZ)Lp;uqrOhjXYpbeh@PY1v6NfO+BO%Z!tB5q_U*mbmIlik52jAV;5 z@jPz9QATFB*MjDw!E(uN;E5d?pRH{QcG0O@e0o&Ld zjDEo>HT|&%ywOuv?LVMup#GrjYU2PNTOyDPTbWu{Dn z>RhIYGYwOy!fXV81cTFS?G6!HE<9BPlo+xOyNk-wU{NZfyJEcfMb5B*L2#3pGT$9S zyOHw?*CP&;Jg$@`J_`5#D2-lv)^yG#CVC0sjRK?qWUXt_cf-dcqc71AK|z>s;a~;1HltA|fOSsl|O0rxbeM=PsVdO~m^?Put_O$_IRk&{8}Qh$S0e z`4Nx@_~?%selan{x!;8GM6EL?g!5-JeU!&sT(ZnKrF!C@o{GFTQg_{ah_uD6V*zgg z7TY4=d~E&gA7V&z;W`ZEvQtOW4$J(kicOa%ai8-;;7_51icuc1!(x|kDD*O}V3rm5 zCRK|G1L5Pxp>kRlLKArCc=~|9F2s>+2jKbK73;(iODzS9dlMe-veKU_mIwzJ&SDi2 zAgi%S)kWfP6Vj}hY~0&ms>M)vjXiLJn_tHP6Z1+n(jjrzN~_4DXBaNb5-J^^){ndw+5wL>*YaQ^=LKl#4j53fZI~RsZ#dcSK0XiG=tW@#7 zCDVDZ6>XKujs(VD^7xpe79q&y#4BI;cI=t%W{AdMUjW)*#^MbHI%%q4LH-G)LSRf2 zy4DgWY_%c5yuds$OEOAe{1W@Jl~uqjj;0IVm>FeuWBjD{^1GRMv4B-)hcmvDe=C+g zo_;{x#H&qMv&A;7vL7{GKLSIK!DfA@=CaE#C zc&gM;eJmY6&MB)?733SQUhWFHKo%$TN*0 z#~wx$*T6UPqbaRd*o(zrsZ@RuQhdO!Z3&n&_lM82(23WKO&C5n?9?<-`vg>BNw9GZ z6U&EeY~iLNJqviI@Yr< zJQMfiR(zDHxHHA&!yn-vWDDY>aiYM_kWh!{t=Bv_+TIjX$*OPb53gdY$SvYIJR0DF zc-a{ZiJT=<6Y`dS$IM|(2yCvD46MrR($ni@~;|}wP$~=pohL>%JsJ9WenM}O}SHi~Ei2 z<>5ocPvW*5C#$)03#-k8_0FN{`yUDX%E{`sNwU|he0JM>j?krPH9zLFz2X8sJM2}Q zUgYp0!^Id;U4&g8(!L-a?}RABEWxvuV;veh=gqT%GC)?lCEJiN&YFp*XZyqu!E88uV)miL3za*Rqje|zL%Ca``5Yl;KC3;){`P9z zGO{h|?kDHBu=n9SkKyV}amGm-` zna^#Lg6`u9f?y{WJ#2C!+i>v)2~EXmDDa!F&J$muL5bMc-|7$Ks z_3z%uorHzRRhFp(zH$|z`ypuW&`qT!)QxGL!@r)t_LhMp1^5|bQ2wqR1}9KOB`g+1ljL1vD`$qWE9V< z@+G=-j(9y@+{P5fyhKj69cKrVRQzhJrvGFcSm?P?9Dyg;`yrf4XH@gV46xGPubIae z*BSU48CT9a&kyFdvL88nGqHFJ2mQ0tgL@gnP;Xcid8a3LUZ zK3C4-f`(mO+N?d>xH5s$yx;UqVmYTy{3r#{d1CK@-YA^QQ1VdKRfJN`SA(y94nL!X z1N6!HFRH#T;~r%2SG z1WC>p$v%Hlioqv~p&&uoQzw2NCnTP6AH6E8;|V#uCBog;ED-ECm9e9VmA}42x@{VI zV_C)imDy66eI*IO+2lw%nO zX_(ru4wOOzyRzGIxTGyQHetE#tsK6IQ#4}ALrD%Fn$FK>qsw;J4^`=Ayih&&XBjE@ zerLFFdr~ISBV{T1V}7Al$@)t;>M>ZEWKB%JAsg5dbSMtD2?h>?H9rZJ;vZyRMcReZ zCT1~UWI<3PI$(4k!;}59*m`uBKu*d1O$i7Y+26z{70YUjATilq z6q{u!jVoD987Av7woVjSdsYcBCTZh@IbWcQ23KjJAK#I`@xvGY|Jy70ohQ)xtW;-* zP^^aLOTpLD*TqL(`&KeUK!0L4B3DvAh*A|)#X9XXITFvtI!B!N%Wmi zf5vi$Y^|SJH(^dz90(m72SenGX3ZQaNob} zj9fLVWb?@h??{%1)J^ZS%o*6 zit+CiOUsS>qcCzm7hJQ|32#!NVtvgy!mHTz2XpWF#Jj8HA9&jW3ux8CHBI~FADm>^ zgH2T%A}m;z4O_*sRm+u#d3gYvCGkQAh}hyOBgCDS`r>r*E7{s~!Z6xrNfB|xXW4)L z_S!gekSx8Da3n(gGHSk1VKSX8E;Z9&lKRv5yYR)t2}{05?NW58K<-E7eLnIsL{592 zFShU_PMWYS;@&ij$PQFvX;SM?e%WwF*fVtajzsy}_eFXy3PQ@1=P&zLvV72~?Ii|@ zlx6#OZawR;Pkdk$u^xwmMC}M>YHZm~psE=UxfaW! z86r6wS?hItwy|zE5rX5&Ps~XC3KX@m<`0cBj2KN%R1Q8I6V0{k$9aO6F#K6R27N8% zSbJs$N5V<+24S-+Re`p+c{5`ToTa2nOY9@K2|RzyEJu+=NK7^MEb;gNK{(6)YzT4*%Z$Jdw>L+Y_@4L?GOBqrZaqvpNneCH|K*%i&V>1*JS@fk_^8& zi=!lCFR_)F@&k}?G%}3Jh61KlXrX{oJXUP4!496PfG5QY>SGztP!jmVC8I*PO0{~d zZY;P}#(0d+-DTOp!f+MiadSRjAI^IBJ->a~Gj?yZM{?82`Bm^|H-%6ii1zdFXQAQx=TKlsPi zPPPP7DEjTrOX?JZ>FT$lyh>BWV0k3q4tvc`hp3jMHg>dN)G|w$MTw(MKjPf)#JKs6 zK+%N?L#p?J_}O<=Y`;9^9Ip@8aS|!tN3S=my#zts-SiH?bcUVWlr)mCo+d;dI`;ZH zS`D2z&APP-mYg~B*EstoPo&Gk*<0UriJfE69qq`UVGxeDg3v2GTBr^YUpn=d6bP&j zu|P0B8kLXZeKy>$q&rH4V5xL{J>DE0ktEAzEHV0{e%?=fR1&2b?s9~aOf(k(MTR|` zon_%@QZ<67{>-e#NAs>Vyf5)bEH|?wk4gm|wQE$kFL4+|G50)OA)?VxRYc!`i0!-> ziHSENpk0QG`B-&uAaOp}|A@8zgnlU{biloKG0IAy9?b&E!hJ6L$Vt^-7o-=f_!T@B$Op6NKW2|M# zE|Ft5WyNQNgTShPF|iQDuK3mx*Bs9>AQA^wCWNM=SGTS+b_2@Lpy808!tFMx%>7=R z!-&!V!*;5t@aAv^=+Pcr+!;3;%%^aJ<6`S&T@#0akwmmXwrAe>BauOc#hAm192qPghq)kj+$*#+& zm%zMu?^NWX(8Mw0Eg1360K48(#^cB2fq%{cU^<6%y#ILmA8567wtJLAE7CvO7g0ZA zJOfd%z>)F?cD*zDd>VVt+Kbv7=tUwwO~OhlAO z{$Uc+TSg%)(6q_yN6yeXf=es7gVJGdFLjpvDq2JCRSjdAdv&~>ytaCec5X`d0|8Zr z*Gw2YSA4TKBqdJa6He&^O{F9Dz4>)wkMOrUH{qwIQ5ZYpU1eQm$%HAENR{$oAI2ca z)~O8Pa8Oe-L)~ovxRt~-%8OONaBemm4db{=hL5E&B1DyGhWy3Wt;{ruFS>3FKl|0o z)Du}~-)8ia4&UW1_+Y2-mcq5w{iykyf?LlX4?}~Xn+nYvHEH@=>}x5$u;Y<1!{Wbm zB~3TAA2?9RGFDBElt(5I59Jw35e(fL?c)tK=TtM4Ar3F8$MsBINT3TjKp$vHWq;JV zvWVyu)~^V5jJQgpHJ;B1Y{0+9rnk|fFQ5^4fPSJA=?;$%hddsF3&0pzG@5%t78@uA zBI`c?)HRHFU=FSUYaY~%HEvFal_lGzg$x;ZH9r9i_j}S2_mwn-fo0n+hGs@wQLAs2 ztrmA=ODjZ2E-h!uojZmBtf@d=`RZRXu}6u#T3+@7Waa(g2AaB*euS6BR=|hKy)WmG zdolM?u(s>0z9;X|n*0xV($WpbLKsEgnv8Ur=ieK)^u0tH)%wZ-h;A5BXV9v0_DYL7 z3x#rlBBjj|G;zdZ*erY(Epdt9UBg2Il`|U7Tz5KqfQ4L!Wph47QjE5lD@ax2FV9lc zT1R#DVu5c8bTg2LT%uNez!jCYT~8M{67**|%aahmt1o9bsfdA)qWKt%=dK7hdskZJ zXM#Hlw6UC#;xWrCS1~LrQpDS*hHcBJ9LOXPPd23|%sQB^FHqYW3cM#v>10%ew<9=? zZG!+ZD%J4z7a-$Z8-jX0`^{efymV2HRDfgb03%j~t56_pNYMd93#SkP5o>1z7P(Po z{y_JRFHLBhxLVF0kjor(-L+vDIWq1%WWL3}e&m?v7j{(NSGcMA_AtCNWt#U7>bpwm z5!Of@VkfKodM|iOZX3Rhhy7!dofmFNKQLRkb=R|gA#+nboxG=cHf7fZMfd>2p67GP z-MNJ^$Ie|F{?N~QD>IcV{qTkA*#kRP&ZOV>N*?l$h2xLRr0pu6ddE=N&rkahQXv%n z19i;yu-CM|2@WiT`+K)0#nVn8Hv#G0TW;iUg*Y~)5XYcfu4f_3#{JB5C9AOG=uGwP zJ0_2X!^d`{PnMdyvg$A{cIHyuGZlCqCO4;fs}%PHAq!HKwdsI!5hC z#LlsF438K!upXX2hdSi1b9e3Uc^VwkY73`3e$n1Tfk2ZcNN+IedJr>ycagrJt=a&i zlEJmK=g%m^7a_fR#J(j^1e6rtxo8AeD~ndMx=rJOZ$(8H#)nMi;hM8(Wq(64X%c}C zUDI9ZRnq#w_X()l0J5e1qzrD0*=|E#dqOj*L1+r}_liP4aDkQ9T$DC+UyD~BeUV)g zr~_a*?e0Ki7w!p7l^%du$TtzZbjpKvrFZ<~0d93~1n>NPW*-C%%qzA#g*DQ7ZOw1M z0_>q3O{KKG^Awd#_;|zHn!PQ^5SU|=AnKk;lhEdGS3m(#b-?acw-0g^=dk2#MNo)Q*#KbG_ zxGz?oP#4YD9n!>h;aXs5Y3?El5pS%(uhS+?UC^=5{Jw9&n4KHOQ@?OAiy25-C3+h6L<_9`}4*;&X=h`TnrS1W1#VU=u%B3tyHZK-M)3H*y;PHBrxvYQ2iGG?Q;ToNoP$cct%0xUXPebTTXk;4&-~HF zLj6!a8@NEE92aI}5GrI2`5<)qAcn@HGcLp_BC%8cL&0TjeQJUXcndi+kc|zBmv~6Z zp|I{jqxmg*f=}w|-gt05bDUb0B8EiUp|}S~?ifL;3qtTf^1b$wAs&Q?X$5XL<>=HC zzwjZk*QkiXApu}E*en!jC(Z7c2x1lRTSV)kRM4*lSHAL*E_I3E16U#k2XG)FPpkvq zXX&mD+?MI}fb=txYp1NsIGdX=o1sCUx_`B2-{? zD>6R$DYUoPQVNczL)g;;Dsd@HvkI);El0X0a9g#6gfXp78{=o5yGU$X2$)aG$0+IC zTl-tX!-Av$9q8#jq-|)it^r#2YH;iS4wq*5$~@}nwqnf_G57_yzK9UbHLH(l`h`U;*cG&NUyfJq`L-ShP`2TU zUZTzcmB4NSZAuZgTs=4y!gj3*3zTE#_U^1~T z)B)X_$U2M{tsDl>3PqrqX)7p@Z~fP8mF6vx5yUIe-|lteC;kDMz&Hi-0J@YRySRp5U z#4CUIv%qLody4$T>Of9+?yvoggaJZurpTafS>k91Br?Vl_1436@Z5j81aBQE78^kR z4HOAo$ctqI`Sg($wv%vz7O~JmxBB4M{sibt=_Vio;ew6}9a(5@qdI(W6>_aD&48*jkVzH{J1;+002m~MlIOLulJ#?G;;Fm7NY~>%W2zEjq zDBff&;!-~4ATIrGIbaE!j0@b5Iyy(|KmJs#%}N>RQjN9)24Ea>52_)k4BA2g_W+_v zmZV#KFfv;2{EoP{1Q`r_V1)sN3*Af0p?M*!9$O(%s7$U1xAZ72UirVC0>=Ux(aI1O z9U8!Knj)pOB3OISphHL#QDfR9?{y=1_205%n}$9tfg|F=#DsVT3&MGenzei2rhM1} zBtZyCU-?)6%*EJ4@EZTLphcc{P_*_p7M)O$w6`BhGKsdTAJ5~u>)$^F0STCdQsHv# z_+Go{)vJPIxD5ke%eOwN0qf9etW7gI(j$P@r+*URq(!S{2wml1I}fUC%UHBT4_1Il zkBg{BXt~qY;Kn>VLeY)3?IoNiR`P`o%oc63h#W_p_LHmti{8)z6ks3W1~2{OWo-!v z+i6qMk(q)E9u)0r8%XPmUPlB+a32t(rhdYG>(|=`xDYK8O0=GE$OS7Qei9ZCjezE% zy3WIzC*?Oiq#>QlM(eE~YjX!aS=2c^I7np(j|uZx0KO0IB4gnUU<7+C_Eqri8>j#r z$OKh;e0O1N2-9i))rLa1Ab^9{Pt=8AyL;IPuKy(hp|!axWXmXcAon3hbu;@~Z%`+^ zAr*7dIa*H?n4u8y>LAE9=?;QEaF!;*;ml+{>$~aMPnul0Xu$^ z&gHeg^?+I{AC!b9O9-c17A*&}RE@FW_Dhdess%^F{*h@8wh-8YNgT(*CW!19*MN0Y|%|t=LOwct*JmgzyxL8 zTOVH#_qhCrAB6qjLgdPYJ0*??x+D$99OJBWh{{cTp=c1)Lr%Q%$}7Sf`oFdcyFWT_ zuKkS}f@p+ZrDIgqt4cd7+7u!>hAwwXh*#dT5#N(|e8s5JreWvan%}WdlQyYbbV=tC zAz!+K<`NasSH5wJp0F?srtj&|z|l1j*8msDcN@vF7mzRfDNIPudUqcG23}Gz*4m>| z@B;1!h<0QhC_y8M-i9eV2c?Szp$%s(%DOjh`tQOh;#}0cuJO^ix%Rgc zLqwNQ0Ib+A4da!U{(^uGAu2cpSxW^2bcbeiVBHqBy*_ABC71wYz^q&G%Ab51G^4&* z)rWI`5QB)mrjc-faAKzvnl3&rDHd_xy&SLn*yn^`X*T#32x+0!eQT`~&BoBG=4biQ zBUX?jn5Ozw4d1QExc4)c$UuoI^c0vz_FAR)U891|D7|}CMuV{he~3asM0Rfk9~>hT zUK;uFI6e$>? z>4JuCld(kr9{7fBIO}72qjg^aNC{>H>wJqUywIV=uYd!M)O}8*pfEL;IH8X{s%o7m z$jq=%leTb$LAvj)c_L(mt`oh~2X^KWC|}S4R;a9tkl?y!~`5)^j@rNev!K&9WskJf{RxZPnxL@c6LXl?a~ zSHAokC_HUh!Oal&e%O|9OY)+Xrq=aS=xEZ$ECwqjZvSwx|8)H)uxdBH-4~AGiJdy0*QqF^m5I&)S7CtF1Z&1?)sUJ!;n|?=wL2dzdAgh6^$Jo9-rJB5ppt8J|? zPa#@Z~y~vgP|6}!S{RDgU4^V9K32fOpHF6Hyeaz3ZkKq5^(CHqeMiH7#_Ns zPVJ^JlW4T)keL@`xH;6#(BEg7Pe*C=##e-mN;$tukRG$zlZN*TcGqkx6=mJ0f)1iJt zrj`FAF=D^~maBHj4#Gs6?12*H2v>BwA#}yyaZ$ul_h^0fQRcKnjEcE8&{Zm-C)$rM ztkng#mU6;qVnOvPZ2gOWqU>19hrz@j>lb@vic8LEbI*&sM#9dPqK~aBY+e8Yx^PL+20#YU>D4h+vBSUdw~qen?hU z2)r414zd?{QrJ@dcM7NVm=e%DQ0cpP+u@6QPyF-Fq_t2Zy#Td+9CZJWiS|YaGkC=z zHfY`xV?eh}tL<(JfP)abw7=Kdc;%@TQQj1eGL&h63u_QI%A^=j1(^G!l+dy!HhR#5 z{+&-Sa%rm!*m8h%0AK}Ei%^69;O*IIm4)CSTd z*3uDmeql5)cmxlEOikW$+DF;KqEL^ns8>t8GW~J*Ulhpq1}j99xHAP8hL5$>5egMN zbe^MNUApc@uaX;gy$O*4SkUFn76PWu3u}Li$;XHhJhXYD_Y~j)HP{OMeQ5o{9_^SD zyo!%tps@z|3*Cr0Aof6yoix~W4e&{~{qf3w{(ETxn|)zN4d7yVu&q+M@5?1^ocOM49s559)*hy%r3$l3S;B#6pLYZv?kduM> z94#eMQb7kIn^r&~I<@~ry>vPd^7`t5RGHa_KPow>Y?L<@4Q@A01yv* zVdzLrngx(`qA=-$FhsWjGD^_{M**D(^KKQbD^4MwwWkszbN~_%3*smd%*sc*l0MvO zB)~gBa8-7bmVk2xyHD5D|pnr`yNi`cGaA zsALvOn~-{frF(a+6FQ&;zc$LH(Vd54xPqGD3*kS0bgOUu;BCTrbOuQRvgNz;*4p1< z#z90f5b7%jX7M$pwK<$0-71U^uE$YL(Nd3SAYqq5=tq1AP02h;AKG;-47NpD(VJfX z_C{i|YJXGPwX$~GJ6DlI@D(GCK6TI@HOU>#-nxR$^TzreBBwwFY>o3~kbNW?2)@u- zvR@DiDgGNEBrO`OtI(MjuQ6RB?4NfOh+diaX>tC0L%*cAU6R1z|zGu6fj6@`!t>53u2M0A~}G6w_@Y#-(-lUjXI$mEx&s= z*FMui1KJUS@9`_RMOFcR(d&KJTeoe+|ATH=B^@R_E_l#RkP8yTgzRwooP?NCYsl4@B>kwa&!a(oKX1x}{5@pqrMXE&z_My#4lludu6vFc=*W>I4^S729`> zVzu}IK0P5RQU|icY~on#R!jhO@u=Vx$$*j(;84c^00CWryU>vU!|IhL27nAr;1R?( z|Ex~Cp8mZ{1;^sI0m*a+&C|KJ_BZ$yBTuY%w^t6MHux6;#@Ui|OE+%)1N^Nb{uzbP zkAZG`1RNX`!%BUwytX(+`crZre;L=GB!#VvUfcHL0C&2Uoe&P()z7>9#xE*G_iKgV zn^%fgQlr-tO}{oQI#)4!={zEUruG#MGEkRDJ>P6toaFU7ye4XQ~9@Y7RqEb-}owr+0-GHPQ(;3DRs1F>2(@3DBb#J#6TtsM_c^Xbf1VY{8>aSRsv##kP zbI>URgt2(_#GT?M{O>gqQy~$!0U@aap}cy;D|b99vWy*~^tiZleeG|=3n*n=EMNfq z-KU7z5^*EMgJkGlZh6~dh!MDJYY^@lz*fk4U;_w5E%h2HHHnImo^=ZkKKN0##uahz zL7VM~+5uum7fM+)w^xuJbgkmM$H+W%>*q3JOo^t_)8nhY_HF}SdjeExIWP8`QX-0F zgdx_ZNS@9S+;&?=YjKKihaU}c#ZiPvOn678?K8N0lGmA&vcI9r9aZDR!kBZ z%(eAP=kD6yLN*jlA>DGQOAxL{tc%p$eKB6S_bSx42u-a~#Ph-b?)|k-v|Wt+7ya6O z0su*$L^$_Tt??I&h)C_PM0*X8mO_)zj=bM(yG+x-ZBQ(h4#czAyAwP$rXA=a6kuE# zG-?2K+qA}sjL!uGVo|Ls^3GEo&H%~uqD=>@_gwoU9%Y;~^0_lm!p$jtupn+N_Y+jd22l|Lg*j(E|2*W-+U0u*i z1Eh4s5yR6#ia_f^c%rQ*=}#8{LRQp_!~pqjAIkpA4`ZFkKh1Lzt2#(%Xi$g_j?cqA z$_7~|AF?)>s(W=m^KOD7u!jU#AYTW>UKm<5m!f1$A+#hY=p0;a=?`xb0y! zXO|EdOc$wRU=_lC+O@Ul3tajJHX4S)rR^amP3d+oUK#l|d9YDxV)sy2cff6iKE45>zj$^%p$)dC8D(nADblNzz^1?`Ylr)jNc zKQG(8(P$ZC4sss|K7j||>C*~&w;XA=3=o81si$*X|Lhx3qnM8v8f|gax$|AVWoR$< zoT#_%BOqMl7QfF^;;rBPM+xu*^fX+1$p_7|i_Eq}PH55ISH7^Dj5oP`Ui)AAtvA9A zm`T`rSY!iGE8x+H?ddx3;A7Xo88D%Yth$x9KK(o#M#C{A#XUN>bYz6sz>s3UWQB6Y z_z=t3rLG=o%YIi zi>~*YCvuL$x!pclt)TTkOIR)Xc;76QkO-w1?(Vr36BfeG~m1Z~GU%+zhZ zNFObMM5K1F-uCR}8s{RwY=QyQfqsCtTwxaeWYlr~fqdv5?|M&X6C3o#fTr>iy@#Gf zZSs~&SAP0Epiah5SSix% zLF^%XtTj3M=5@vOUwHiMtQ8g$TIg)BgH+Q2ZHA2f*W#`Z;H}&LPY|akd)iYD!f}I; zN3&qLe&yZY(DwPcYh_eNQE0|N1&VKM5s6Myk;|{+xASQFW1~2-By0@4BUt?=waiQ|~H$ZH{9sOojyA7Zh!LL{S^x-$Y z`!82vD`IF+YuopGZG||fO8+G2K0|Vcu~8Cwtdy9ZLM!Xxcmb{@=whF^B)7DeMI~( ze)Igh-|g3HitWe!>t6ZYjR;LKf5ZqJK)CWURyQK^{B{Z}Ae(!*G)OFXG2;@3RQ#7! zV6Urpz=aqLEVm{&Noz7cy+_YiH~C=a`S9=X!d=ML1lzP(;q_ z`9WlaFaLW5xBmRg_zCE?Fv9o93cZ@=F^LldfykeJ!G6{4JR_|YZ`pvB>eArc*E6rQ zRsXdsyzSQVYMBk!_FZg!`Y(|I$U~ujtTTmuMm>E`tZ|AcSVTmf zSGq)W!>)oaR8#Mz?_8>tCJu&ywvLDyIjlaRDg|L&VrJ<9)pI@#`2Y~v$1Y^=7-s~?I zU4zc0A`w04_HN0oU${>kTP_nt5;P7TEK{Npcb)JYv2Y7jdE6Nms+Av%(;9?*deIzrTO zTX*(Q`Sj0Ssu^(AY8yn;;+>&z{%aGb?FQ;KSsW{3Fl%z@LvHKlUv;aN5v6k(XvP`N znJVx{_62b@Bx1m~Hd@|pKOHNOoXHriXNidPCr^>;DdUB4-6|ww*$tU!h}TMDMdW|qoW^xKB@06Q75|m(ABd~@XsVv6#L(A- zIh@OxWR0IG_}(5b}Nlhho?HEV4kw~H|m zWWz&|N8%u(8k>{ZBHFRY?~R$zF{*X<_edfVUGvJ{{ky!Ix5`;kV2~R+2*}}BqrLfI zzj~$snJz>|lT18Sqn^~MphWhaq)J{!qrQ70P2Fme8DSEbbR4dobvCA`3eO`=MtwXp zOhV=jS(3R!mQYS)>@SS^*_~NG8n4zf>3sCuk8Ak~TZTi#uC>OvQRkR+Hh)^jP!C)xKXw^ zk>^QmA%`ouz2-wM$JuH*W01Hei*wxNQTW!2Gf}llpXF(V_M--lvc>ac5m71Bf4p4H zs#Fb^#8PywJnH#ZCe{@*_J+H|FgX@RV_RuowOUzDQ=lf*p3cSDeTO6*fOL-8;l-L?+F9AS#d&+Q z^Y*a0H8ARj!tL)*N#2$wZwrIj@N^~nUaRIkU$H0DbW*nNC>^RyTHnkWV?POJN#$-j8%@Jay)TD_LME~I zSIWUN$u-$sW*P@!cFa1Lnrr~M;8Y%AM$Qag3R*e+Nkybt!*HU%>(sBHI1gZh!c-nr;i47KDKi}tnN=!Z|+ zBh{0(O2<#wr*2A&{Rxm-u+{MmesW-|6DiV{&nq=wIgquzYAN;8TY~B*PI^MErb@%B ze{G#(SK)?zX%+efPg0Engcyj@AAfp_K_}tA-F(ys9N=b~SVzbc9Ku1L= z1IebyS59V4lEaeUs7&W=pFDE3jQp15%yP{UC-BT#Qj5q{X~uUzQ10fS@akJH-5wnq zokYc@Wb-Lkk4rAaB}Y=d=jy6blGL=YV8e38$(@L_OH(XVFQKuXi2HIq@xrsgaP=$= z@P(es^=0y$D~DuyC%sYslnen}JFvzB*i(64Wj2Wu`?xdwqFVG6S!c;&czewcMoM|R z2~r>X+o{~Kyc*ePs_l{ETvg9SRg$);)K(%L;o=%u2sh<-r$F0ik}S|^POEasX-Mkz zz_v-xs478m#)!R{T0rJI$8X?XC=Smmr{s_?ebj0!c%=QL3*L+x&o+}VODm7klDcKr zJnO^LN|6Shl!?s(fJ+~CtdZ!&>B~o|8K-K`WfTvQq|dH4ymXn|?GfmzZAMrp_6G0a ze6nYT#8*rCFZx%Ub%0lGCb_BBw11tnoDVt4SdyxvSJ^d{e9F6}K<>&hYx`))8MQv* zIE_lZ@?sK|oI4$B)N%qfk$W?g# z-rH8XjzpJtsL@BFq7%II`xiUa;e0&6e2&iJDy5v9(+PV`(j)IV~Qfij&4>MWsv5;fi6Gow`yvt6-dg z{4@1*IG9N=n^Z{3HY9~pv#{@KrgBN6iKr|GS@EA3iY(v8)4n-M677UL4)k$-ve{lA zq3R$*x*SX|xENPtV7%|(MaHI};#5eqRre}bBN-?e1y?B*%9J*CQ4MCV99K<}LB{4m zvRI*XMzRsrAii#|#y-ixTq}WTW;FTPBZ9GsJ<+CU77C-j*(sZ7hN>ZuF{9LRis(aQ zyA@3N)`Q=;c$l1!#&DsMp`Y3)yZW-;vAP}*AmO?Sarc1A9%N+M{H5xKym ze~Q#%F$Ke?P_3khbiiU59Y-R0VYZo@O#m`nmcraA0y8GrscqL1&phPOKG9abqcAiA z>a`_1CS~|g0dj*ETMvK0sK`^K10-R3mdC}nr_B&5<%wqHECMM7Xf7Fb$Okz8pP_R52>$D8pNdX7MBi)|9!Q`oJJK9U;>(jW6Sq7feY-{;2Q4%YI6>(z@w` zDBmZ~V2$L1JcjH$DhcTf`bg6oSoCc;+MCD;?LXJJd<3COIm36r$P%q7!ap|MX~nQb z*F|`eYQc;29|=(h)Fs#A%us1F#AJ@fAQqP8r2Jue`kc z?g)Di*$Qw-11K7aW~TH5I)CkosqIu91V2w!z6Jv)g z#Bd!1562q9w$eNdFa*Hkub6c0Du>i`Aa4_#07IaA3}Y!kOCekJ!@|u9Mlfp2Xr^UG zfxx6`jf1ZyKmZ=&S%%*zDg|kQtyHXCnu;nnMtuOk?5LV$lmk+&I;}lF1-Vc%Y8#k? zHmFblXP{#EHUMrp@O*FqbhwRL^JCf+n+$W%jff?R3An>iOQuzT!ludezGnJBE^y|V zFeitpyYjnxq|uXVPqaNtBO2&$`q)4Y+K@4*vz>+=c%Cxl=keeMGWs%1s;i@r#&SuG zWAE6F=>*~1_$%elkVU%~)U4DlV)Me4r=8m7;D(dlxKlTS+E^|sA<*r|Nc~G%;F(%Y z4W$k9T%wkP+R>69)Of)?UGi14SW?X~bHbZRnrh_(pPP3ohx3(YZeKcA&3^HyQ}X~m z@Nn#gG8>gF@^zEbgvhxL@gQyKO6`US1?SsM@Sipo?4s=;KoreAWcx*XeQ?ObDrpuO zJWkgdVacgYt3y0#qKDb&c zO|8_W#Ch+jkG)}cdQRms_T1U4+&%SQ4qnJDY)(oymUg4Y zo`qLtE=ypxKWfg^7q8&CQ3@(8=HkUI#+|6|#GKDH%zzB5cpOG^C*iwbg663SYt(Ex zCCa8deDb_@+{KBs!k*h#C)en_f5;!LoYd{#wlcSDROWNr-(5v4Q{a5=Fip~t7!s{z zpo(|bxx|T`9eo~~4RhSLcT;ojAjV9T-S$AvUBtUYjp#Lbm&rka6i<-dRQW#Dx|VTY zZ8970tsA35$Z7J?Cbs-(WS~;%Aq6PozV~EYfy{8mNi~QBO4><<@GwU2a&Q@F5HS=p zCoBg5Q44~EX2djuyB3AvPLvi1Opu1w9R3q`B`7K5I>0i&MamLa6VFKN01B>laF|rg z=bo~*=QHb_C!7p-$m&Wf1Y3YWw$d_P)5Tb#LT00Xgg56Rz#seOT;OjOfj_Z`^1H8Q zxzAwZZK2qatRL^GgPBTQz_jQe%FP9q@la`!{tH@Yk;rp^K~bPUHygXfY?Zh*vGTti zD_1k4d(KvkIVUw&nYRJbKM?M#2mWDa z7yX-fL7Bd9w7&H7PoF^|R<%mcmq0|*+>oK!Pm@}g?)y!XMl?;MHk2>Xen0n^pP^%c zB1<&DkaFYiEj#b^=N%DK+7T*>lj^b*0obRlbDLf6AFVK0_bk zvE|gCkcQFd#*CWxU;V0eKc)ertBNy{&0~Z)>5)+E*27pL%7r4NJw~2+NApN1wvD|@ z?Ai%4#xf1~8k4pnaZSl0986TsB2-NBq{n^{laVhgUmD5sK<;?xb3(}hI-e@aFMsu$ z`zwDx`PRa9&cVu0^F4l#^OYMuTe-b3lvuV&AYHX%9(=Pf)0h%O!9eEbZU#<56pi1 zN@MtE3n%SKYkBFa{ZwrmRlAgqWW|s?a&mt%d=e)$MzODg;mFC>Do!w+h`o->`36kJ z1pi4X!00Ne;j<;|Dj1F74TdSc1#?1dIOdmO`QcN+kwCdf_#&=~pd9>FVg~7ag(fm) zI9zC;t(@x|ySDP7XNSL5$%vc9@o}XL2Uv69m_dyf)D%!O=I!BnVjiixYAXXCyizvy zS!pCtdlD#uTdui3ahbD<{Vf=a4+lr29QvP1ht*8BadXBM-ISpd6OMPRrE>`Z@F-MV$_*1i9_RYQYB;Z48u z_StgHU@621JULYhQ+BXg*cbTVxT&F8zFBVzcKIOA>jYXJRkM&NGw?ByX_4y za6B@Gbpk=-csabwW!$wQOiyTsIe%abKdKBRSdn>n`;R_QjDon1cjVFh2tDN)beSiZ zBAwzWam$lI4#Pab2i;hp6-_&!-D#=8!nT32a+&tUYb)YkIlMLbxiakxHUa0x+P963 z1i+pH-Y#IXDsCpC>Xvbo+xr?32r;784vq)cAE`LyX!7h0zfc^0A&pOZcg6MkJ@qL7 zl2bSz-sF=}sqP&Eeh^Y5IIPeVDEBN))gIT4C!@H~-bPHFZ-i3+!!(w1?HJ(GT3Ww90uB( zd^pZ1WPVLW0SeO}$FJF3`5=S4bjIZP zG3XsEc(eX^BRm>fs} zGl=RLO$Ig=5~K=m*gwwG@FEvN0FydL81ZK#$I)yUNLDx)<>tQsJLJD_!((Qm3aDH> zRIYS<$`vEk66kNPl~YRA&@+VlPXTmIhK@7}ZEyBLpuF|~ZH=We2}tAkM?Exep*@h~ zXxEui_}oj^4A%3BTZ61RFa{aMtr7scDn}+6cwh@b2~f~v4w1UOfW3xkLfZ%#3d+bb z6o6*|iDMZR3!yMOy0bgvyq#a9 zsZzBPh!XdBn|C~9v&z(z13+IrMgCG@3fAL4qXZ3!*7C<`VgMeRmnJBnUCBj;AOjA) zfg}No#(cNTU;s-%LkdqHy{ed&3X}nwBR=sW-zg3iiO9CTPlbj;8+0$ z(JiEDL?nHKo+DJwP-v1v+8QoY^DvT2i{9U;7G|Qdux#W4k)*<>fVeOSxWIL~0S!pM z4suRdte^S4zai%|RuicSC5}po8izy|@(@bXc0V9G7~7EfX_5$*xCzBjkg1W>*;0tA)Wj z<8%9n;38VnYX_!*uJ&i65s?0td9`t5Y$jsR9y$>)krMn`w8dk{*~GJxPkGObF|4F% z`$;rqX|d0cw>yC*fr0L zP3~>9Up4!9mpPIx2-aw{@s0lx?}@h;CO@&hlArKAi(q|1wik0$-9JE!QlXszSPT#a zK~_Sw>;v|Mx}wr8eC2GS0V07?g1Iaf#RmYTIfruLAu;FH=dlxH1bC&7>-l&JqnQVP zzBCoQ{Nd|9Py$QX8SiHH>!p?!Q~qo;{sNtC;#0s^k1&b~k$S8I$mRfQ9Y+56I^+pm z0?*kM9Y~)j|14hW$X`r)6Q@#~{V)QG8ftc+tJ@AY?l$3i+}_(Bz2mX)=86S}e&;=D zmMM5_ZlW*>coUFk|eLwmw*T&oPW@lW= zqfEKyfF6CqyQhVeQ96B)7&;W9QwFvwi(Jq&AN4&d!mvoeWNxI!s>UJwWG4d0@pD3D zEH=Y1v%5vNHCY=3BiG}igP9CLn5~JxjT0LV(|J)L;V*d3;Xlv$g>*YjzpvRB5#0qC$tiE8MT#`h_qIT*5FRxn{1n7PeJn2~Jnr8Hoiqzg7}6BjSP`IW z_?vh0Pzg|xhbc#NpnEMxjpIDw@o2FCu{Jxd@W6CQe^AI0k6dcy%|a==TA)@1iJaPw zL-K-)j)1qVU}&MnU@QR`IO!&5A1gcCF*Y>RYt*s52?E-XPu})DTksTglaqccTXiQ7 z2a#uBqEmrXA%ez7JpB{c$K2Ch1Pw{BNLs0|bp!08Q$!Z)B45zKoT~oxrnjY-Z#A5l zJa7vfAWq7tOV4VIsoJU_P!v?h;B=gu^APZST`(h$rJN)Un_neT9Y=Cg`yg**p8?_0 zm`@`e8k2|v$J7fW8$g~Ub>R$f48&=$o(`Y8@oGkH1hSfk>y;rUdCb(f`o&BNUqJ9N zjKFfSuj{6P9x?H;aS2L!X3_@|`Y1MiESWP5+Hw7OaKNPD*uj#yc^Gv%29CwFGocC2 zjZvBac@~8^nP}^%H??o1QHmN*d9x{FJmx`2K_h4X!B(;j0H~O5tYbHEd~6)EIDQEW z%O*xw?$8YcPdS69Fq}(769{42#9QSm%HPfu-2Bj9gJt*v21(g4JS2#~D-Ec+ow{tG zwQiP~G{bzM!4g3(?)|H)2fy-NzaGEZ_=JU}_BAX@^}gF*s)NnkP`Mpg>cGAq-fIp` z+#8($rTWwtzlrmgfKV3C;rrFbnGBWM*T`V1H(@5gQWk6*|L&|dobP<> z+FEQ<`8=K0pzmPANSV@^B3Ev^lgc;98f)oK%v=m#x(eEY*DEi7+uIw?RD?dRWiKtt zg7!gT)q@k7F4i+DmBc&5@7W{1ib#e{QmD@R|2*Hi3;RCZUa$Pac-9!~cPt$SYGemn z5MSI$g7_HOrcD>SWOGYFCYV_D=rjhD$u->+zZbK&+-#1gEXNDdJwztpQIs(?_Lxz| zLPK1PKcIPNEZND%DB&^AU@IQ09}GtJnz9Gh!i=2TjkxnFyGEv{Db zcN%bem`EOUL}skpSj5Fv5Ml%2B59V^gemAPrn8$uTHQ;Jcn;QT66Bf#20JY=dncR- zLvUjYTwo-h0u!kx`z{t!vy5%uc#?1u%B8bUbcK!rZKz>`I^8c@Y)iX5fKq%GIb$C=E_fwIvvnTtyZ+c>cp+A{^i~N>-Uc#K6QqWlolO zW>;&GeE0c#-(ljD)QXzp%B1uRWD!>66T*BkZlUHq$f9*^MLJ34bl;VV24L*kWVrojW zQZJ~^h5VJL16dTfwSwhP!017jzy%nVo1Fbgrvb1}z^XtjK?foR|9uM10)arA{;Ngl z<=o>bMW75u8);0WhQ|Hc zZn#FC#QC5AR0Epb%z*g>r3m!6st?9XoMb22+jQDN91(g$v0?z&yq)CWms%J@?b^BO7sV7&mj`1 z>DU5jQst?{MA#M>kOYKMLE$hB!8j8;Xcq~Yr8Gr>F{&!Uj!wCmPUJXaJmI^}6YJO2 z@LTfg-|!)^k|;`3u~nrbE*UbKP`SZ~I;*}EjK9NePDzL%m<$Q7Kp^~28Pb%^q&ww( z=MU*tOu#4|P>8;SgaL+3l!uy~u|=oYwr=(Q289yRl5&U2(XC|B`x28nZ6J;^1t{1T4V})S)v-Dd%TpFn za%sf2LGY4UZ}Qi?QHC6s{>ejWy74tS)E4ZY(+$S%999$N4M9t#7T0x$L|`gIoPQh& z2v=hC2|_>~#<8e*8Y{>oqsUPkO9>6^?PP8RM()Dz*E#B>8Omzv~ z+Tw*xk)O7kd#OLU5JMW`9yEfZQ4^i9w-F{nmi*vEaIoxeI2wiFC@m0;9t`M^gcW+g zmXl}xeQBG^{$oRdyW z)ZQ#6YC1!luu@I5$0Qw~s7%0lB+~!RMx18El+nz5! zH@my$e6WJ++y*B)Y_=7K;(h7%N5=6kpM1n^S%*!0}uq= z5}(+CQN9h^g2X6;wmhyma`y?a7z3+H;rIZ6r zHx%*!sIlb$!z%z%v+2%#@2u0H&r4r$)!9|^-RTm;lg4Jeb-^e=S!g(8Ia8&4#jrRJ zpvXqR5n#F_JmAF^2jZ$|V^!ZATEOy!*A)XKT|x?>o%z|JAa-xve+`J*0khK}O)>h+ zr}~s7dIg$Q1MTYlr^0Hv9zy_=re3mdiAqpLUNiDGzrLIu}@s8_) z>=LsDIvklGEfnld4Ut9NItPuAquYX z4Dq6%cVo`h6G5sdA|d-zEVL_?XLr6lUu%aw$u`s)Cpxkys@=9;)r_ z9iK|1s4Z?lggpKP2xw0e3S}XyhP*@%AW{hg3H=Zf6DHs**@6$u#5;eOgt}l&OnX4D<%%pY1nS%k>^A=I zVel_7u+z7z^)t7>(7}4L7s-~!<6T=`_u9g5Ohrt#h1aCr61{Wlqi;V(=u5p&OD9X1 z-6siiU_yN9=5wiswi4b~+g$lvr zkH3TPDS4K)Q|mDw42u(RLmPDNAUIONZu1m}UiQA^It~UNIS~YvrVP)>GtM`$=?xbw z#WCEX4-!ky^e&Dk8og~AykYh=FqFOV@?fihr|F-Fsh-KTG}8Eh3PmW z>JlCn*J)a_xK3@yL@o1lF19<}YorC1$B+Y8iJrt{X8^)MmNvQnPA>w-nJLYdfG8 zG%VLGfP#0jndvrgVKfo$940ZbQMo-a{$ivx2KXOofnmh>%_mFXY6anTr-<=v` z1h7ipNj8+_-r!0rj^Bd{kTsUExkP5QO&m1>!g)fLrRRR{JDu`4{(FoMnqbf~L*K&u zr3KR_6SOTi1|!7!E{DW0;h7@73O>8MC{Rhr^e{wWF(;r)b>?=dKUjls zzSA9B*fVMM9s zZPY`gT%nIJ2cSB54aap21R|h+!5ZT*R3feeWi^4K3J6Rs)8v!6$beyR)1CWoFVUD5 zrCsmvfg}|(1s-?e$8i4UMaAm@% z-3%!io8d$-1=a}kN?ZB_31Z+s{gt;lBh^!^@EgasVFlpI6N1q^`_zL7S>8CabbY<*lanM! z3ehjoTNLuF%X&i;u>MNg${x#$O~4cACJneD{94_YYSa8p&?(;a5EzjU0-IM&O!t;%}!hc_W=JGoCw` z4h;01WBP>|LLo}8n}x@E>4Rt)d3#ja=C`~kXom1saDO2L6mPhql8gC z5?)ajZ|DnIeJ@9YmMa?JBG z`KEw9)IyohCwoF0=Aa&9N!Mv4)HDGVXcnk07bKc66Wb0>i~vg@ICPfqftTM&tfm79 zjPxO>yhuSv0ijJP)YgW|6_vQ7J_{f*D^Ebn=+qccNU|X}SQu3m z5^<7J;xkX}boRuX*V`V;bgbH0qYiKz5^6(!ENjFW)rKhs0DP$k5*8!)F!6;t(P-KP ztQ*kC4xsOZ#Hb)Qwgb%l`!D$I+4Udv4iN=dtki$PyU!T2V|G33@CS$Y#z+CNGzB1! z3h_7bj@e2%H76zgNGKmxr0pgnF3{hJpo|*w>4#JS@fR$|e8whbn6^Zt9vB5Hr@{!q zuyNDob3r~a!s@C%_~^g5a#-sM;Hd5{Lc9&$WK@k# zumk3X#N9ezV|bjAeZd{Ar^;7>wtRqvYFqyU5US9D>5_ka%ia2LRGKN_Al(h(^2F#z zz&?h4Y_hQ9u@L_iw>LVh$PNF@_CI={@}ZNvT2Kjo;w#1k00Wmw`xu`s%=vfwqXQ((5#tmW(LIO>qt;U@mJz!7{4hv_1IktYZ;fGwj z9@lqzR3G^4kydp<)Bwv;fJ_d*d!6-%wYEo9@g~+uH?dTyFh%j2-I&1AviGt;ASXMK zQ}3VHLZ_ocK?Y7dD~8gDj7J8NhENj_6XIg16Y3;mWsAKLI0`tvvVm4^OBe8Hx>hkKqH|J^~WzqBH%oD1r{o z19k95{Mpqa+y*Clz+qm+ef&4yvAvd`cxkef-!)0^dA`NY0K00^m6X`V6=oILkh?uE zs?`Q4TpMP>F$)y6R7(w@mL(d;MJU7`3qnE8bZ8tT0xbdeaq{nOr9L=C@;S@8EI?)%ml!i`{Jlm1CyI{yjkHd2pfuoWGfFb(y5(R zr!=E-1VGSukl<`p8-Nn1WX4g4&01Mzh&Zu)0%&24NkLP_uz#vx-S6&Y#5jysGU#KEAng=acDs4FX|3N$wh z59xQ{dj!HToK20>`2H-bLct6Ot}QrE-*NS1oHw-^`jlmob#p!QiXaSB@mUX$inS4W z7odmgx7ba-Rn;$|H#5ZQmB4;Kz{O$Sk;F0}X z-jvI-R%4afuP$8M^*37E^@IP;_wrS#J-Qp;9O%=D`K$5QHwpfe!;>YZ7@3bMn9UJ$ zks!LD1&tga$;6o-_~kyY&*z#T{aKQdu`x7B&KAyTn%!CW`QXIcTd|1^b_j-^Bt|2C zEoG{oyvoitVzlILVYDzQ=3ri1!BHUHhuJ&jKXzm(3UL}lLE_+(l?65X7yG5vPS2X9Oxf17uP z&7V$s#fQrW!oMFS@#($)wN}{anekR-G@US?8OH%@6Y6*4Bo9X~)c>!ru=DP9>;SR% zyKkvGH+bQD?@y1_D(?*|UrkGsXy0ur;i{R`%$4IEZ|A|&<1aPxQ>AeDj#H0RXTxzw ziI|kyc8|w%=Fo*7bZ)8N*{ZaU-tFx03b&R{rp!B+OPeMs`#r&Uc=Df2&$3pc+H zdXL@>y`Fbu{Kko~>iwl~Q+i8%?pq&Ty7iWOhhMoTHjFMu4@5NW>rw=^D`fgW-44eL ziKJ^H?ws?Wn?#?Oa3?0S`FZj8xv!Kg&LEOzIZb z<5o(0bgI!)7u3rPc;}G3DQbmBsXEIu=DM9C*D2kLPD*9=G!nPkVhvYPX2lJCr}+%a z9wTC%=MMiQufH&;A11Y)8zn8h|W@h}VR6cdG?&z2kLk(LR#tvv%+ z{$ZcNs&MJ~ehE;_iR!SiH9)2A)4!nKZBTAXGZiYPQ3rir)8*J+)`!iN4@#15RNfi(h9<_>XGdPAn47?M=r9As%Nn*(kA?YSto<>1~>=9qXD|8@x*LDaS zIl@(>gF=PGfRWQ81{i>64+dt!{cOO7U*MUDo`EifpxK+Y6y->=)I%?036BVafNU;$ zyjevQ7-MIMLreW|1DFya9(#CzC- zH^y{8De@oj=wz$RI!SL^6{&OEHfT-Bv8o`?#OkdSTr=qgi(?lNFSI@srYm#Qhz1|lQL(Iu1dRv454`02!~_{BO% z%M(xjA$|!j(eJcy%~ELJod2K{j11snC7CkH8U+A*s1z8FPrMw8l5^8;97T+qZWH$g zR9!+ng_ju_Muq6*Q`a7yO%KH0cH&E`c5}LbfErp+p=zCkH)A_kS&GguZizZ3QYzhR@u^jnEx6LN9oi+qWc=*WEe*q8spK1eGLLHb4{6n_Y?D3-o)&R z8ErP=GuuA3xiWa48GPUR8LxOxeH(s?Cg@0HkLl$kR=D+P#f6QOfUMCQ0QY+omg{PX zI149J=m8(x%OnQN>X^DBl#uuhLRW=CO>P;(0)rpSVX!D|v`ugmp~~6?YSL|A{~A+& zoA}p!$^&D&J=$>EG+zA0fg96!#yb#$@v!O2USsg043uOmMG`fuZ2-QxGl8bgp5Q4P z6GViH*yJW?an?l<$f6GgvFLFv4HND}fI@VjVLt#Mc%rj+{?6B9(VPr-k3lIe1m zgZOUx0OqrPwQH!v6NF?O8qxx@4+A@~+YvyQ_7)<9Cjkl(m|%VWC@Q@oOsqA%Wnyc6 z#1cPlx2|JW0iz4bljkOC}X zY6hwzHDS>ZNs?8+mJWGiMo1ccJyQf-ZlFCtL)3C?TBVp4*d`1EbqRu`{eucypY&KD z7PHt*up#i;CU3BQJbe6X?_m8Qufc|i0$5dn@>82{Pit5@n81KM3*8tlhziRKpD0z9 z)q+#7xiTZi6yp`A2Se6*DV~L0s0eC_Mz*miOyO-?2mDvfpBOi~_1#xF$&(e7JX>FZ zEU^-AUIfl{B3JdYy-PZkQW00Hf)HfJI}Jdfe-z0G<};rryf8-{g=K2VmOw)`D>}RF zVdh}VDfO&^m4F`FdBScbJ9W{iEelhLHc&aRJ`sDg`}4Ov;9(Q1C1@lKE=|e!5gW z9`H;jVnuOVdw5^rJOEkXbdI_C(b7;How#i#rCospQ=m;Zdiv+C1wYH9Z{jZEMK(52 zCM&b-D3GYRy9HE*L>*w!791lQT66A+>jwZ**nR9FuDPZi1@yVL`Dg+kv0R*rY~Vtf z030U-4@(|aL@y=WbolDetef$g7&RJ!2Y zK=kbTFQko|++nLs#Tz_>9Z{^Cj|!G59orX@QJHOqP_JxA#6wPW@VT{}hI%$mT8KvV z>@-9-uyTh~2FUk>9ySq zB=*(C`FC$>8o7I1?;hCi1fyO;sswucZ}ui*m2G?DyY|NMME+#?uE1c@utAF^!lWeB z8F*gVaR!p9NNoakK&5Q$upAToi6(C$U@71hQO>UBod>B2$Oq;%ZtG;+Do5;56Je#b zgAMK`rqr+TImdn#KE@udFRKxnE^|}uvp=<+Si8YTyun&MUu!ZY2+_8x)KWdn z)>Gdk2BU<6@zOo;vUV;p>;zmkW7^jUQy3qKe+2C$<@?R#Y&1jV#_M%VG7K`iNW?Lr zv(bwPeKW7@r%KYVwh)_r_k(s^bWzP?n=fgFNxFhq}O+8AX1hqZ*=qE8muDe zg3U%JHe;Lb(=V>8=6NfLhnd491b;>ih$4d_7(+sB<#a)I^N0{n7DM6FsY8(X^br*R z1CFG|h|@5v%ApQ$HqkBlYl^91S`a-JB01*ig9Ft(MA5q9I<2hiyL95|bh)V_NIK_n z{CiuyPOuU;vI`oK8UF&<_}Tx6%g{-{1$w~dT(gifXX+e+Fd(PHAwM_il7SW3A{rUT z4-b_>Tlj|@gXhY9p?CNc;5jest^aNS;z6rr{#(FwTHZQJfQEnX~aeB+;fpg1h(Bo+_n zb3W(QEXs>hi^VLyEQE^xsRQoIjFeOz)broLZiEL}3yNH|rjqV0O4e zs-{_@4NbQLioe~mQfKGMYih;e5;1hjMq@mGeA@Vp&f80Tk;!}kG?6U;(=p7!+qo}3 z-geF3z*-{aF zX-t3?Q7qiN5crBC<4wljZX!F|Y?hn2Dx8y{l5I7^gku2g!!-4|K}3LrE)L2DK(N2%G0+tq)VGZ!LrK= zzvl>xnIr7L>OG$G_20c}7ye;w`!MtDL+*!%pD8iFD>wLC@$VXJ27H`l1P4o+-A2E& zngUn(cmSpq=D#g=94&o;x0E66kE<--HrtSe9Z%#)+a)LziqA}t2~*T|(-t0jbbM+Y zTDEzogxVbpnSwgv|HTh}jcNbNhh2O{fO4fA>s{h)C=Ngb*o#i0 zu(w1}4PCzTZA;27X~6?WLsXWpj2yrKkx$C`p9nf)O7w%s6`{y;Or0HM~sU&Tcy_^wer%b zq{_+4I>%cosIt$cPHl97L&T6!i!52j7Ic-PkuawPDo@-r0NWhP)VNy8Gh18QFG~wbk}q?(F6@taxs-7lF;O&2c+=Up?L-->m>RjU#6y7)QssD~h^h9(v9+_G)#FjqF{+E``E&BTAD#OzrkOeFerd)G-+Cma6h2|H~uPOEt{Y3#7Dqm$wBK<^Hd z%d?1v9rDjBr<|gldy6-=pzPODc0PL5N$iLOy+2Q#+*K3~Ta)oA-J|--NA;PG>RX*d z=U=5wJI_-5y&o7L3gQd9vn@ov5NivOP87r=`)~gBxMzpkzkUnta66LiaQip^k|>C8 zy+x)0;@q6!DU&3X>~#|*QUiiP>=NLv ze+dvTKB>6WN%oP^Z+)6mBTcA|i6ySDd5+J!T)N$%Jt1EcFuqpvB03xHq1eyJDPXPh z$k*Sv)=mTz1uW^-e4cuT(ifiFi?&*#Q2AOyt##75m*l|6<_?|rUPgQ8p*~-|Tv>Gc z^}(w;vlSVQU0t3%CS}ntS<}K=N!F<8r4b?9*LZ%LTOCGUqB*mJlPRmU27)=XJiljf%OX1bvE&m`^>7#1)CyO6WP- zhPH@dB47P0GynShLB>CJKjaEVAjQ}el2U1#DxEuv}g+oU_C2A_C-1&(K|_a_QQ{;Wt6u7&LCj?xLD4*UnSHf zYi<1=5Eo3^b@zP;ZtyP`{`rH6%pyBJYrMXMMk7zgW}E;um~}brP~8;)nXHDrgug^SziMC#UxvaF6s7yJ_yG~!Myl60);cjic@;T3`qwDt|s-1bS`Kxx*3_a_!RW;Rk@PqGs zD04;>g&hL=S;`i_*0)^IN`Dj5pjz0FUFOJ$4Da>Cuwc&q^}k%38@uS-ST?NEw3nLt zil$!v?B_K1f!giD`1Wi$A9qPfbkf|P{j?;m1~7He?3-O4}mX}yrHs?>0JNl8Ct&`I=g$MK)sel28X#99U=3$s%9 zSd@%i?%H;76wpSNRs_0BEToVxsNx%c@I8xOyy$8F7P1uI`(RhQ^~(j`Ehim*^!!>~ z9pYQCsb4iEiH$wNy-0i>QG%hKR>>=J; zEH`#|;jXf*%)R(;bhxlTTzdWsTiAK6HdTMz>Vq2nDE^G_fQBuTA|FvJpeDX^rt>-zhuQyd5|9e-h z4%0M<7YpD1i+k$lraya2>VM2j^A*#yrLDI85;OT@50yW>Q#oczxqmK;uL^Xt<3^UD zJ~g{Ee_1)t{rG@Q-3nA}SIgh#b48M8+Zr2N(*(-M-) zl|nmEI6E@>in{x%&);3*VD9iksDABjvQ$EeEl8Ya|2xJ9a-G=>9o0}g^V{Dc z^5S#!_2!=75G8#|gKYJ>tTdh2>~u+j`SriG=sNP4@AxSkEJ(K6(ovnXnC$L#eTUBe z7nW^a_GYl)INJZS^QA7halwv4CfQmd!;X7wcaO|aeVlPdOKL>#dsMR^gep)4`wsSz1LQH zd_|8B%Ygn~>W1s8hiQtw^SEW6Z7T=coV;(yV%`aiIm9IKxB%#r@3tmNuy z*0QqyJ=d(3#1ho;-sZqaoiZA@Cf)hHS)+>YkE(QIH8b6B5IpuK&AhVnmTS-3ne9im-S8&-MZ#72fyMqC*RQO{_j&8e)~tO9UfHG9 z944LBR-7xVQlGch2SDD_ zBWt@ZMf9?-Q_IzpvAJ&%7`I9ZAzL*I-TB&(z?(}B(_KMiZ_g|H53k-N%W6yE>NWOT zMWG1v>kkfJuGM}oI~aT;Gk=J|`_qDaj@rzB4uU=PM6}{>su1a$s*qyAJ zRq_1eom{iLFY8mPPW@q3(%cO%uSz~PlQny1xx9SKaBQ`#y0;GCwOmnJ`uSBBvenbq zmFIqQdEvfG*Aacanil$MQ?_~|N$J1;%$kYLa;kS-wQ6!$nS1rOFCxJZMS@+g3^kuQjKD=5Qr6?a{|9h^$5CpA?p8L$~JZso5 z=eOYPlmww^0-e2(YD%P^<{>T*?9MQ}E1+`zv$trSe zfn2PFmId$pZ%>%*IX|*GUA!u-`266iX~jzM6CZc0Z}~8nlJoJ21wwf_Cza<1msqH< zf?Tg;9e~_?qoC+_v1Rs~HS;wP{bC^c3i`nkuk;x_E)oJ>%{fl6L~gI&xq2P9wEtbp z($dvj5z6`}EX=QePMZI`)W!eLyK%t_|Kukxczanl`?SEa-tT?*N>+BgbY9-^TZUt6 zF#56tWlj2*#V#IRO^zu>e$_x)hsoV!)#hW@P;@I8=*`t@ptV33?1{_TyvclXV{-^(?fx+L|FH^1qAMQ@(JCw1SVFLrU~pPl zEc73E@5nbb#syt&b&*VS=Dt}Z0db^wcD~c?-d`fW~{d*Vl`Flsx4=- znrv>zcO_OV_1?lSMJ&Y0UV0KjiY2Ng` z^Oo6pp>OS1bn!}+u`By0i&n(yelM+==$0$1$%eJv7W)a>g|DFnzF|J1tKZ#~Yr9S$^n%HP{kz?Mg{$|&nlwYbE&6Av%G zbP4AR>UQq=xepI^Py8kK$>~1P{T}ZgEdIV}!#6j6JjpMnsB=Dd=;t`fcn~h}%o3OT z1V_z{eSlvJXZpu1@y?}QwtFhg{oH3R_4%IX`jDl&bAS4MJr7;x;mVBICB*i@pZ>-~ zXkEJ4F2RjgzHlX|pgzPchy4q*YM;EEd*Ag<>Y7Uk>O75epa1>&DPXj&?L|5sFt7SqF)$~ zh%n{Otta`_qg~Or)uM1R*xhozb^J&mz4R{EacZ$Ml5=*|rn%{ro$cvxe!8TqSxQZ{ zoJ)9VSIvpSA$OvX3_lt=X{L*&YYy-HOlvp|Pn34GT8;YnNN$fYwQa65@tDEh&v7b*|$I{#>FZ&YF{P4D)liE9%>~C?WD$;rRpA{^Js$mMF zisRIz6HKd$iDRlkwab>&8D@zlL`S|YuaoCE5hs2t&&8xr_cIR9z4phQyQ}cEoKAJI n;#M}SFOlmSVM{P($;*p5)>`t(J~yh8&z>~*JMa1Ws-^!QnuZ!$ literal 0 HcmV?d00001 diff --git a/GCE - Vectrex_MiST/Snapshot/vectrex_MiST.rbf b/GCE - Vectrex_MiST/Snapshot/vectrex_MiST.rbf deleted file mode 100644 index a09f843f6688deb6654c26e55164751fda13502f..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 256483 zcmeFa4}cw2c{hCSFvM%R!o9;}JH!?4+{`XFF_1thqOE0SGB-@(A~)L=x0IScE{LKH zD)r@6TkdS;hK&RhXtdZ`As8&ySA$4f`?X3IHyT?i!P2+%&nLn9x2@%d`q@-%d4In% zcXsb??#|36H-7lCPd0aE&Y$Nz=Q+=L&hwmeX3lfZCBs|(L&CrR=-D6s@JG%6Joe)s z|JRRy^rK_Pkn6wk^q)T_{1CA9*M}5 zjM?{bS#h`x@Bnc*uY8^_5KlYA_~*LD<;C&L%QE9|Ugt{#)3?*W`xyUR*SNemo_UBT z4nNUrj4$goE+?FiU2-0S`f#r0UQL}3SboJnQXxq0qytg&vAHsjp-mh($Ow|q}r*3{gn*OFDQLUs-3~^U81n?->>Y!(uw(^5Xsx*EcRJzA_KnhIHwGI6vdrhRn~f zzW}h!2nPTRYX^qK5Qj5ud>@y`D`g;tn69`EjF0Os z85Wn3<;t?(0U$kWcgjA?m<#$^5XZ9)*8t7|Fp6~YnesthM`*u~LIwx_tp#x$%iq!l z7qy@a;4PzgAH%0wz~>bJUOxsPAKIavHw^yva>~4v1JV*hl3dKyMl8P_SRNswDEf^;qTkQAfKM^M$YRnKL(KE`EBW6J zjB9^xr-Aou_f9m#VYdTVUQ8Qh>%Rdp4ZQL>4chex@8iA_U*q!Ty2j@NEEPjpHw`l*t&r-vU1KHV%)k>|@&i z#21IL-?jte+JSX%e~u`cripZuju`%+1$-urlu`C0mdEQu0Mf?$7#K#K6vOHcK%TLz z814p8U&PNGk7C+7T^|GN0#H5~&+#b^WB9`W;v|o0lMsFmaMmnfSPYCJ4Fu9bdw_Iu z?6|819CtX*#K5@tp4WeA!R#>7L3n2imbF1#e*2a56XGz^LD`qY;fFHI=NQ`iX{UQm zemnzU`^P|f+Q{g5yT1jbg9%7J@i3V5k@xN8#q{vn9zOd%rXg1I>Mvet4^gKP z*w@bn(ANDMKx|j@N_%=Pn62Z#1z=cAM|@>@?GV!z(?!0262LSuuQ>jY4+P%Fz-RKM z{W|+TmMiih2KIs2PGj9!R!j%u_#9bjJw4>n0Dxf}gQ?#LY*(s~l>o*u>_Y(BE$aY` zr>#a_GK@A_+}D_n;Tr($G_h;~`zx=Ekb6IiF|&?>`RUm3B34dtPZL z{w{#`aTuRtpv=WU8H|Bt6Jk23?)V(@bT%EVAIpv*ri(h`egLnxwcsxSzt@5|jJoWX zTR~q}U_(I@w8gR@c#Oa8Kx-5pz0AhW`E5qY{!z=4V;Qh-1yq*W3 ztPn^Cuk6dbQcp09S3VPX&#)L6#&#i)9@dfeGkx(G;`WK3&jfHzBo1f0Z3Hlk!10UE z)K|2l3A}#;AbN^^+jT43j^(#QTpnqPAr9k}+2Ff0Z>rvZ7?4(zk+n=!DwPQZl(_PzEv+7g6L zVGMt&1-zdRwBuX2U*Nz32NpPxl>^j&)TcAj*X453jG%-EeOBDVAC}f#Td3D|KhN98 zcJ<6N$K1)Auir2$(w;GAJS#H!vH9Z}x;;5@TI?-LPESjcIsW)sX3lzi!tN7h2vH)} zmwSPNOHr071M6*KVyjwFD(nL}YEGq<#ji01e&GW;G72@aP>^|Q;05H(P2>*_saLlaeX zy^0hy&v(VroUIQNnXNGu^2h}B^1VgjIp@3X3I*tUA9U4wikc8tqrtWJja%U|#E*~l zD=ScyVavP+D5~n=Yt95FB=d0*ZjpGSZdL-FDq~_Opfs{a6P_rIkzat_E`OPMyrd%Y zm{mtI$E+(yzVb7S>IzIt+Ht&}KmO+Vub+RWxpH)0Z09Ozp5dp4uoo8lkMG5q8=?8D zAJ3dNHQ{k>c{0uL-1<{90!Vd`T9t$Z&5zxi5IfJP<5)J&{O!r7wNHEK3EnRdHebdq zaP0qYj*ZQifH~6Jxm6!Il}7d)#byb9p$J)NUJh3h=k&)uwYzn8@9`NciY6#yhdKrrA(szS8Hf==tn1UCQdhvX4lVw)babmdx-c(Q>Hf8tCI z8m9vFS!ZhJuX(Ap!hHOqhn#YmzstT5Zmm4zm|tkzQu$b~cFnOy`7P$-@A&hB_i9M{ zt1DML?Ht?AJhQIlbHc5cK5w&^71CZFJht6kUw#BN+-F4(558S8mu+|Q@YJUlpFENl z255@z>B__VA>CbqZmX~^ktMpWTNenM7YCltO22Evq~84cm*>Tm#Kg~MmL(ECpU4G$ zF&}Qp`Qo=tbWLDI^JSmt+Bi}yJpM2zyt-yv&t;4k#s2>|H8X4TX^W0&(Pz8XI4>w| za*_si$LtGM_kz_ex@dJ5l&v2)W4vR(pLZZMdGV9)ns>I&8H#pJ?0E_1ni2KftPvU|bq?r2SC5B#aLyY0PSC~<~cPGrB- zDs$@l;)^&X{8Ig*YmVKzUz}Ljd?LGTUd^ZM#Iz)=TejN5E|Wx7cZ}>}zwEAc+iivY!Wl|_x(sh! z?CY&3;RsiZry?7raTJR-C-*+ayB_% z9LWmJFRwZUruR&mKnr?<;HIm2!LHAAbw|y-%1%t&G%A#t}k)~&%( z-1wR9j^guW%$(`F&9ONPns3AcVJE<`uE{Is^%rKm=i35vriU1tcT9O| ztz*bQ$5Kx+P~ZOtr!5%X-7~!Pt!KY@mbT0^HA&NV8vOY7#@}7kHFa5d{8RY|ZzhUj z5@uYd2oueZ?w=7ekB7FG+*`StzkBaI@~0$S7~xYGbX@cchGVmO=5dV38n7_uot0D5 z>AEarU9)?{!kqVXBW1l?c(bybruPeJ?PM5inXqo_Uas^0y|7j-J*guW90$ z0cN_@Px9^*D7jN%zAkJt`Kf)e@+1XxI(v$KE6vLyZLW(xzch{hgzh4XzxbvnJLW!q z?zv;KsX)GLUr?HNOiz4IMV;u)Dd^uPO3KW9&kw@nsA0~e?3Mr5ZSmoN&M*A7!QqM4 z@=ROUiQckfE=+dMi+d@$Y+ks!yZ&>^(GQ7#GZi{o*L~voBNgZa< zNqk)Jr*gJ?;myi@R`=hmj3yJEc`C=_lOMkLMO~Zjp5A71d^z(Dnbu;`EJS`DiEyH@ z|3~w*u)KtlHeNc{t|6RnF<o_~|Yd1qgzMRs<~{GVS?lT`C(Pp2GRm$6QToIsd;^n-VtK(;xubhDP+vN%UE z^AGN(^cRj^H314<;D-17+n{p}JNLHdsQ`9UW?G*2Nt)Olv!7bK8;#bn)RPQc*x&80 z$2ODBUE%~n=cO!XP4LO$X1sRU{L$bE(9k(cOIvo1%n&+*FwuO|*E8gqPLgPeH9xv< zI_1gUb#v%D1)Aq%s~6>8AZ)&T>?X$+%Fxq0R|Zr97) zbO8DGZV=hA$mYiHY;wMb192`eZgt*<>%h&%6PG;Xym8y@@*thf+isU9>GVE1!lQIH z2cP7}1#yvQ=}a7Zf9otAUN10qMThGQ95du;IzNR--1Yoc;xjm4C#sFR{@L*FyVupS zexbEIr*>(dU47dH^?WqVK4Jgso%A$4`^b(^+_~aYpYG zzx0sv^|7~0<9y4QwAC-Z!aG>`O5oW(zUJ@o$t_QqOtwbVXn71KRI~< zinX)pD#T@^cUjtA)577x?o%kvuC?|H=aUN%oKv(u{L{Pr zRDWiNvaqy0y;6UQm$vPr|9HbprB|SCq#rfMqA#N6njo(u%VmGt)3S?aqCJtP>3Or% z+@$Jwm@(t?@lE->6?Y|b>1v|KKpX)@Lhr9 z)%VGu1TQI3V zR=n3NK3W+XvtGJp#c<`d#$BFnt=GP>wCMGGeBgSm^5#8yaC>M3=R1RkD%vIa;^6h$ zmOBrc`-ZF`!`pMky|;y6S|tS2Mhu`fjyU-{mVe{%ab?>Yb7JSfT|*DAI%Qv1Hs=xs zSPKG~^Vr?9SAwiIlro=0)fSj=`}vz^N6scty6RJj65Y7w%icYeaE=?0 z79dI@4d+M`2jBgz#8>u+N7j@#>9Fx=k|*8DACr{E!RDd9wh}w(f;c+H7(aKqUU}#2 zCT&YqFen(3Yn(?&hZ{%pC7ETeq-`x4reU;mTYn`t7ozEKHi2x0f}dElXkMKComxAp z`E)7A-JSajSzlcxt{@`uI4p5M^H2XYzMbRR#<^6X+qflRIe!+L+VIX%@-zEhl+lhR zVFe?(8SJB9yljqwqTreRDy^=~k0&j|u&x1W$p5nA7krS{66?9Bupj?Lj-YdZ-Bvwd z1wtdwxAKx;<9m~iS)Y+P5TX2=6CFEz@wvav__|^3es{MU1ma8M!C)RjXyZo<4AB_b zQPwMsyj@n8t73I{0G*Mw===ubixI1B{FS6iHu3@;+Rob}<4}$G2^;y^c=UhHx-jB_ zE0X$AgP3OG6-1k+j&|L7Mrm$xi2*`T8;`nWAPOjkMe%S0uMt_2qbuj zsxMiRg!R>boDfS~AaJTOS;!RUzABf1QZiMN*WOy>0U9kKA0~0X{3N9n)5^0xnN3~J zIrTtc<1beOR{i#!0#`dv%sX9o2 zv~w_t|KDe(eqh8l?sB2J?I)>2kPH11H4O9$ZUj1wI6zEuQrW!vx`oZrKe^4(t@mN5 z9r>Lh$qA7ZC=;I6DuusRa3sH+m_gq9bq$y|}7BE>h>7 zE3=T-Nu8u}I@eTB4`rA$9W(QeH9fb|69sa)11LK}M55G7G(u(-HzUir@1dPqR~_Mj zFi#Y8HI6SRGNbKB!yl>isP1tsDE3q`giPPZ)&2bc7h$2dDFMilZIP{d1y5I6p&=9n zuvLwb&^CZFwABD%QH~kER{Rv~|87JuFgp^d6MvE1RyP-VRklcg;SUBtPXWZ**Mto< zFhy9%d-llK6t821yjpQ97rygHv9h;S$Us~(+(r#;2H=6iI^nsX+W03#Z7fDs#*H68 z$Q3wpRfylaC=P6~gO9|BM_e3Ym1QBd%rIA@Q6y#Y;#_a)t6g?6CJ)$JEnvk3^4eBt zdvN=`dD-u$923`~Fvb_exmjm7ewey8Z+rXHeXB-O&%BvLy**ji8prw+TNxQ{9G$9f z3z4i91d1&4z-Ld?`~bEZ7ztWE6+wV0I$ODBT#@bF~%U`O^H&Kbel0VyV1| zu+x~cF~)|iWF19)8iiX%nHksNNk8}I1Rvk_p*chVoUM9F6QBc{wxEp{M^iVb z6Y3|q2T4H!{@S{Z2{&6;ZDX*<3xa~@8M+!wI-cD(?9&kKsOzTC+ecUZ@74n=s^T73 z;mJFc2Nh+g1;5XS_&C*k5IREs1@M8wAPlAub-cOz{?=Req?#xt^&W|bN>=e#8$YPp zBZE#Y47}Q-fvD@4PtHHmY-uop84}SM34!deFoN`|>Ei z_NV~sAXmvPE{?pb)hLo?5>Kdk@tyQB;2+>m+U@v(G74d6&+K_ozNue3_te+s^0^{b z7!cB7uQncvgYcHYCr8wFO6H-plHeI(CXFPmHD1XuP#DoL zYfqRs@@w%L2$MKw%V?dV7nlM$E`yOA-e8xY{!u~1OoHn-39afJ`wCu5fzb)bs%Q2ec@KA2!*LS5(0fs)nHu;x;Kon8fw*8ggVn` zZ2+;v?qC#lqyvLGqk^I$+3Ah1$=%(LQOF}7@as66K@o02JpNZ-Fo7MxlWERv-AQFM_ky>ES zXk$lK#+0%ln(ud8^2JUV`G=Bk!U4aKzh5O9lnP#u)Ot?VDn>nhjV6pk8Gz&uyjOnr zhu#%>IT%1>AcO*r|41yJVWNQ`L8Eq@n&fR)_>lWQf zQbgg9ttClA<=}-y5v@ju+PVW;v}_c5<%ejHONPSPg7oUJnSlSGQ1}x}A;aX50#+nK^G{Zk zB7Yr~;&=(cLT>a{c}GMZIggp=XqWWz(Jg}S4b!T(S|D2%yS5hAm!)2>62(+8EM zqxR4WRQ~dUAjnrFW+)6JK;K}WkLf5+R8iCK->lVXMavgtbRe z{vVNVNyD*QmjeSF+aS}VF08yW-_nUFbJoN45>TV9IE6gUn1V=bn+fZ*8-;4_$j zy0hkC!v~KZ1^;T3c!HY=zpN7jxHv-JAzHU-L+ zb=bp)kaSW=M|NBeRWftik1V0(p8)^It`SjJ0=1Az=)RfPtk6Y*;LiFM&RP7P8CFY{5Rg?~k!&jHie@BYu$)HFy&OGJcY zP-s4J*pZg$oXb62U8p14O+dP1E)wcyg3j)NG&Pr4gt&PEZRrE5-zMqWn7Pv zgAe^Sj69y&^5Nt?gCMyapQND_8BURPJg2a>dc6MJpDR%sS{Q(735N>d_&LiDnvQ2Q z^;mR`f1mrUmy9!~Nop7=O&fSCAbrr0R-Lp@V031qw+PFQ4Ve zA4XAyqn~^u?~pQ1fGeJ4R%eMCC4il1TN+@XP4PB%fo5c%Y*Xr-py6b* zcy8o1IVw&LUcxq9;3_DSNGxY|EnGK&Z<}Fg5Bo@kG0h(eK}68-`uc{)Jjob42ews8 z0mcMYglpIhg~Jd`eQO|JcPhEvP%Lo5UVSFm?PELveiL>!Mz_-)8(t?YECq_cf7k-s zOs_CJ28+W9sdznC>DQG2$zlCtZo}6x7hK7~+bb7b75oI{Q&0d6m^;9F9Jm8N@%#F> zqBvWLM5^_)IF#)s-IV}gU`-`Ag$3RuXh1umet< zAJmMJQGE(sFDZ8@n>`QrD9Vv2W7P5rpZNS2WzGQ$~3_?G+7unF!A7xlRM;iUEzmvKLt=>T;P)de z1X-cohssGN+j7YRf$acFBC5$RLh}nZh%tFLg;(N~C>Rdr$fUMPl2Ef*7-e2|lc{jM z`Nr2HbP5AdM2Yw-eM5)__~Z4X?pZ+_ue6arO_e^YJF-bwJ}AGjb(0QV8xMu|)ViXjg8ZG#7l zc(J%G5Vy!q%>|ZWDgd);HfEckE?DbnCQfIGkxGwA9QjK75*5h+x;Bu=sc-x-q z-IfT=!1jt7Ry5D~H@4PHJaKCD8jqs`{BB&JUEow!A$YS_K*J8btOnBP=j*(LM3`2 zPxdn00KgzjLwHpTrhSI4_Zh?fgww!~TNoU~@W(?VF-LE z4uAf|<581B_~Jjvdh3>C$Xk2FcksW8nLA&doIqeJq|GyIndK~m``TFMG!#E;4^JW+ z*WZ>{ZlF|E>v9i1ADo4;dLHgr8=CkiOpf9~BzmO zW0ZykA^Wu~O_obfGa>-gRIv`#2gzZh92|zPgL3KhcoJiamkqGC1<}Snlq(Bk1bP14 z>w3J)eKr{ZRsmdD-brtlcX*_1!AI^>8zVjn5d|3YIv%jFIu2hKVu?S(ai!4*qt8lL z3{oRPz4^_Rln_=!auQ`m1qi2g;O6z1#sFWXAs|p9_2~5u8nD;+53a2;ahkm z@~Iz6f+`xE?*g6)WK=^)!6Yc+ro!WZf$;`OIVZq1%ya+rjA{=^gY3__)(2sOYXv_J z4jgm>%w+mpb2^p+^Bo`vD|e7X@Qbbg)D@?bYcPvEy#lVm^_0FMwHr8xaJq0{B78ge zkf;hZ@@@m!XSt)?3;-K;b}*2C<*S=m>lUt#t|d4WEXU-A%8iS*(PLwc-WNuI8M!Q5 z7s=9RjD;^$w4EieDN~9H7yRm z0mipSvzrM(L<=J4%`poK%z&2img^_*+NMY^B8mnb^Eh;jJu0j#-^dLHAjc7U;4zLr zHv9>tl!I;QFfb5KIEflc0t|E1LU9RAQV-`FP;vxZ|#TvjnUHyw-;{lyaH!%ov(LkRjp(_R5&rkG1_Zo^9U`X>E zNR^=(p6)|_V9Q|xc@PS{W-7vT&>?V42B>;Ev~PKL-wCMIc0z025e+zRC-V z&wC}uSKf3XB2WM~vS245Msjk3;%?!>(j7ipIsCxzT@1@v#iRlRp(Ti|X^qxX*y>8q z@0dOK%6hU{r~iloJT*ip$_D4<4bq}GpryCWAQp%J81O-30TyV%8AQE_I|La!K?GSw z(-XXMkG=B_2DAVMlONyX>OpqN5ikenKr#Pl)do|ErthMl5?fvb&86Q)UTT*Z*tS#m zJrEf-UC_V}83xLvdLTL!)ef=KLA(V&cgrW~lC)AWY>Jr3ltDX0Zdu6WD)!TGRj5T~ z+%!xixgC&0fUuquH~z02?kF(4Q0YXukdQYuAF2?9GYSI4P>83DBDx5m?BZKQA&qnp zpSo(Q>L?24FIz_<;)VB8{eMerZvCVFH8GL6X|mID$w@&MREk})CW0`p*br1!^*Xdo&!{jsZ0mzIJT zB|;otRKq}mf>0DF0|99GzL606XwqxwgT{zI5x}E13?^=(F7rg?f@Yh0n!zB_F0Z179iH$%;k0md5^FQuzBf5R z=S(hEHbxG?+()fLuB%C_2y7$HDqWkJkD^h1x-Rl}6XyS39C96|xb+KaRfU@bkFvF| zQ`lQzS{L#+hTPc6e5D*-9a?g+rSH@*Qk1cn85GntBe1-y!{ea4HV#evq*qjHRciT;&3tECOXut!&yXf9i=b6j)%1{&oc$#_^0o#g$*qqckun zDii($dtVF~gGYA4c!1#2hhu?U4?ZN~CX`k}l z;y%QL9#nEPrh>$p4^NIQBMzbkCs9JDQb0sp3^7DS1$y(dZ^2W`zXqrHq8++Rs{5@n;c zia{#6_ffMPRLL$%xy+uWsOEouMp7YvfEv_RK64RMy-90ez z5>P?6Zp!1d4>6<_3WqXmz{KE6B4$#s!i>=k{FWJD@}(^?BE^v(od#O5VhKTOxtp-c z4zv{_7Z?KvzGJkF71k2;L45;W;+vu>Uf*od8W(-|5K2H|AwK^r>@W4)R3Yd<(=~yF zR0G$Cs(_1%80n#+D#)|bQ9C)PPK+vE`II^#5D(w`Ge4=Fsi$~PX;)4v?Che#Que?v z2u%kFIpBIB6c5_lfprdTPn|7yz{~WsY~i^|a}B*4T^OSR%mGN9hVk;;Z8WMW_0a{3 zY4XO7f?MOA43fGJKM;!<0C|{(-9O~Lq?YzIh_@GmCc*^NQ$ek7s9^oFaFGt}&`?1& zhFTw^M9BG6tWseUwIdf*IBFv!`Uzbbj%1PjQ&5*2`?ZINFnU|ghQH}hZxL7YBP@0- z6SR7UONS;5mSFG$=|IELU3e@4))8*0J|%LlXTb{uitw4MUyMbwsPZ07YFiz(7kemy zT1~~DBbH%_ub`~PCY|dM0_D!Bpb+@w2q|q2p!XzcGLOMZNFq_DmAmqMC}+#ohg>9E zmP5~5OAde$)T>s_s;%Ek$J;bbZ7<$O3%y=HzpOY`%-h;X85RLbYACzQFmpet2a{;$ zLE};_T#YwqX)f$2!un7hd@Mz?{m@cKNN7LO3m>TuFRiHIb2q;j)A0IYTk$scs}q~b z!t%D|YD2|-ucrwA(tya+b4ANylX#<|(c4oP+JgDea=2T!eWhP98`_|!uXX*KJmsKb zTRBWPIAT>f*ALHg$cGyXt0kPk8slDPZRq4L55xz-a67+erCE0Jn%H=3F=|>Jsub2L zwtk5wRw@l;(g!k!*d36Xy?h=#6edT z#%kl%@7ulluv^Vl*N+62ea@gay#BtcLJQ{cus*Bpp69AzE^iW&2SeN zkjuDT7klAojXM2!!?54DCbSyrL?xVTtc5GC=O;aTi(}TEQFooA>5AcnRo5RpqYm!o_yI@uISZm7*{fTvvD1Fz7doVV9kv7lMjO12m9X$7ZpR%Kh?STX8giX%_TK zlR+^Y_(j`t-u`dA$U(=&3YTNaJpFRQ0{w%2Dkazke#L^E!MHYrg|88y&!P)J1)1n( zkYCJC!DA1Mzz$KNf?6Ackqco)ud`K+vM6}0EvI_I@FUNP{vOlByPU#aJZf>GAk@)= zw&lQz)tc=pvZG?8v{1MiLU#;TY*z&Z6QPAo)efnGyrN#to(lCuh%)KVy9e~JE<5&w zhw_m2Uw#+SwX$V_Q=Y&@6o&W%frbM3L~RUnBCIo5Le(1DUIoHq_tYRj@LS>gh+wsWGQv40g;ehrU^<}uLz^95IG6~-K-jh8Ce`~k|U5P3(cc(<^$PF&;?OG z`Frk=YD;E{&F~8q%%5SH!d?Yi1MMy(Z69h*;A|8A+bSv*6c$-lfEGa51k%bGRIXG* z_liJ65!{F%?OoD@QEt~TJi!*tCK9nhu2t^CN*j7h5JLXqll+H^PcV8?DeRb6+#ThFdb;?(E2FdWHV9FU_v@O%?%+w;uRJlkHV|x zs5gwu{_9K+17NwJ4V6KBzdKyUIH6(c5{xtZA?SFutt|T2YM9bNXYKd=YsyyGe^uCk zpRDWX@Of|4v#foM;q8uDD`PK_5>)f|DHC2WIeG1qmh*^lz_9y#eH0pOXR$9|-y8(m z;DyHSK72C5sQSbHwheyCgkWM2?g3iw<-buf3}^{x2fRQ5wd?k(f#@GbS1Jr+tVZYb z7X^q9_Vzpk@_di)ce(ZJ&i(Uo+4a_YL`w24~_{=WE* z*s!t|dh5&}*UiE%vZk?0LWf5Gf zDb?ZYZ2zk3?2$w8_J3VeM^<3k^$ovX@P`KA&fc>RkRWg8=9tb`$~;UO*8IInk>J{=SnFWo$GPzid6!z00k2B#AAa5LsE!l#1` zzGIwYw4T*oYBG$mkh`;vZuy`+yw4QYvfS-UtD5qhh{)vK_t zd1n!|FqdIt^U%0uHr~yo!{hMNjW2z?|4?W&u6Y!R507scFKrnAt3EioJIcLBjZ3ky z`5bL+et8w^;+#FOhsUvWUd?mM@~;1xb4Ech5^phUqt!)?5m0NcIKMTz1~k?mqM)2kb+48^^Re5c<@Djl}{ZbafH> zIl`bAf%Dp4k^};(s`brtlzpV|U%>_Tbbl!xDrj0;8yc@-ocY&k_;%y!_tlFdkBZA3 zal5e;lPd=~zac$hdhsAxj!X_5jU#uS;cZ7{u^6q^z?DWGWn*ptA+Qx|N9E>5~8c+reP=2rv2{A`a3gB{+h{hl$M9kX#_hCu=UrmsS!oVla0o;xmo!v$a z)vv0zbHIa+3L7KC&5iHmG_A!^f!HAl0!?lYeF~g^A8>uM#~xaX!iTP_f$NwnxDKja zUv|^3sqjk&T1!pgQ6$ecIgczI%%HVl?4W$A|c%0-WU8a7Zl8febtV zpyU2zo%AIj?3^emuyt#PNCRqs`gxets_H}Ih`h=}n_>C|T^5`gr)CZ}N6kpt*a_J{ zC84}fcu`r3&X0j-Y0-#wH=yX9EE;11^E+T+^MC(+&4ZM3RJ4c=SP!zz45fBIA0R8B zQ;OiY2&*b`K}(EtsF=WD2l;_QWCLLO#^ShkyJ1lRFd2hdKz6p}&=%NX04_wW!puFa z*ki*z)oUz46H=gu3apW%V7weHH)vx`1obX_bsVF|RlOBZ6JQz-l*^gG9x*8lw26he z5C@7B^AxDS`7j0{Dw53l1{5ATRoL~Mh}(l{#Hwl_b0fdBIPA0ans53lbiOd_If&fw z#aNEl6--5-jV&zvW1%h#1nLiw@rIWxmW3T4%T>$54ssYZCgw1aVDoO69Yf<`KV~v8 z;j{D25IjeK5U-#-TEsHcj$A2cH{6kNxTOCGTbjE7*wW~;;;G@D5VBOynWCmuFyLXA zfW>&+q8O~xut0uo;t?8Zxf8k8>y6iYj!b(d!TE zm%_;ibB#S1F9Ii;d6`7J>%YH_o4?QXzCQML;{Co+reUu&x8j?0!_^#AOZ5)JY)q7etKVpB@xsaeN6lhw=C0kA83|a@Fvfr;H>o22Dl+~ZHeNA=8}qw(yTR$JpE-_dCBlwgwub> zt?W=&S46M6Zej&W9`N#>VP6C(tuI;~YNc&^^zuN(*b|0M>F8%ZRvL1vuD(tYUSJKV zg-H#fI$6zEHlGIy>cjp@wBGs7Iopj}&7e{iZ>aR@L$J}#(ROTcmgH;eR~JoA`*@ax z<&=TKq$gG{#nkf1nu)%^ytU{R4)vAHdo{6h!}@VUj8?8N!m=q=>!aQ$y@Ea(EXiLv zuBm@%dg}rwe_+HIPzv57+qK{iyymOEhPjm@H@`rHLkN=?#SPT+VGXLp5|f@wV^6K; z#GV?Y*T;=C0L}}nQP(XwnAF=;tYcVgxOudV>Dh`npj${&7*~N+bA?!~`JT0A+!+d+ z-~Y0(F*(QSh7x4mJm0|At)M1+mf@)9EhiB>bE0PHX4!*9UCOcQkR``f3Kv79f!Qm# zIeh5^Mz^KWXRj*(!m&Z5sQb>ii&x{hZBhMk`e8`cR++ zD+7<~id%2MgTR&vt)NIH3mp{N+h9NWVki29Fv7|KOg^K;4B~3oCFveYCUiOOLon z?)t2+Sf^GTbV;-9?;a9GR1O-@&!ZKDD3zfhcII8vTyA2pz-A0ZtauVN)HNW1M)mS~ zIoyMW1kJ({!=f0LS;4R}7%W2H4hNtZ+#2kZl3G~?lh1Ouxhg22Zc=;UOYZ zjO(6?VKt9TSV&xHLY9;@d8_216O_jD+AqQAgQVmjQ^j(zjNIP67QZ=kWd&sh;7AG6 z9krl*M_EImmMhBTHTlz!31;im?0P999&}Z`Tv;7n ziM0on%fG@wDX0-qXogJ`ppk{FRnQuZN`80P{N_dI50nKvS1jB3>^itw_f#}8?I2Ds zi2zL@e1x+pP(PU-Lwl?3VF;`aff&iLQ3j}(+(dGQBM*}AIQsBg;gksJl`R3o3OUwC z6NfQ>EID=$2sIFc*S{VqBTBf4g5WjsB{gKbFjr8I;w=9PAa+_9VU-|G*rd`5bI)c6R~>V7%IR>3?H==llAxsYqXRx1N?F_=#61G2|4oXjibSwhqQYP2Rj zWy^weg_;b^mn}e)1%%kBAcFuP0sw{UAs9#i0W$&0^7py-y+pv4 z&!|uZ^(v_WRU0Zp4%Ny&vNd8h&Yf@X~O%VFb4o!$3dBto&^8RbyuH)8##D;ta11-+H}u<=~TLOTBzNTyyiz zL*bhIj-dEi!!i7)i(~I7?p$+dKzWZl@Zgp( zD&nzMpC;Vm061rtF9w^q41{@a=+o8cmL+|28SP@Z=*Pj_QJ9zq+oyA>)A&}0U~-|7 zgG2)zBW`(p-dekD?Gsxr_Li&8o4rFjzi=olJn4^57~8ON*!yMk>}A`Eo3%^NcV4~a zy1?CX@%7&MYu9|(T^+u8@JavDo!Hj%Xr{!oFn@R=3G=MPz2kRYc#%;Y*sCpnqCBwO z-C|uo_~e#foLqj>r>OZ;plnE`BCKU_=_zaDTOWq`B*!sPw<0q$DY6dV#HN4EUG{;dX5so~#C^23}N)HG+ zB_~Iy#PsKVJuy0pBtEoC-86$#K8jVplcmXXWF&BEXe5kk$*}da8QKQyBXy+!;v?lL z1#R<#k0+NZW6{{02hpHn1Bz*Kmc!r8Puc8E6D~ z0^&n`mXi9hEgAF~>^A5#i8KXW^Qp5^QZQOhLZXr7UsC$t+L06+$5Ci7765AtaU5~n zwYmJ-Dp88gXjsgZk(Qp(*ivS?riAY8B6Q4>>AY<q|`BTQT3z^Tg|_5G9Wb2m1Vur4MA#3wa@)fhW>&kk^Ix-;E+PY z{=bhtT9=$`DaHZV6VSCZEAWtHGOVb$T^%+pI zsi7*2IwX^}KoT^+{jQ|wR@^<*lIS|XE7c~^C&F{z$)bWuCaqJc+-dlw^q*&bAxj@e zpP_mKwvyB#)Fa8{HYX<%YtxDZowBRbvP@2YWMc+GIZy;Sv`=fvF9GGMXE=+H2GEln z&d@gK?#VS4&81ny9qlez2w@M<&*_&X6YU9!mx~nqGYViufIPzfs6${ z;AJ0z8li)doTvH93zHK>NTEb9l5=>2wUyHBPhFlx8AHpVC&B7R`%AMmiHzoBUrmZ` z#X%{NI`npmK+34q{P|TGP@<=sIpBpZPB-FSpL{x7R2-~n!5(CATuU1=j{Jx#HEDpE zH?}qvXKJ;@Z9y73#KXzMA2c%LN16&Ls^fty<1dE_>LN4`(57f)(fq*E83=_G!Hfes zIkthL$$6R^vkgW-gyyE>L#a>1dE-ciCW3~8u%opx1p$Fip>o%;_D>hhRXVLqj_fcP zfO4)>QF!yc|B;+P#-f4HgM_r@(guqgZ^%$>VC4u55>qMMe+yn2NCS8)lpppQ2z!v+ zFk=7G3?xGLM8hOUN_I*K$(Wmu{7o9Ah)0`46`}r+^t2X#3X6)V0RM-Q4iY67sF73X zyzQl_NIA732Q+qdIOS z=8z$%P0Bksn#@Y^Dft2X6r?a=0<=2&ximl$lW+aoEaJ(zA&u)!RCI~>MDrKEl$;=n zMQtJKbb0AbC+27#IzKVK6&V?DX!2BqH(r>R~)q($M(zAbK7Ma8eI%s9+ z^z`|n=dMcTi>x;_he_hlFzi8cL{DVb1N|AMv;@5%*awgxjunXr#ZwcVznnKS7w40u z9hl|@i5nkDDNrH?BRNjML`a>uZ2n1kCM}(xo@*k79yd5p?XdF0m*RX zjtpK(JZC`jH%)$;AALu1i82;lFRC@jOmn1~KS(>`SfZqrA$4vJLX=$X!Tp{9oPkLLdmIobI}_uhNC-C+1?*}J z-BfFduz!0Ne@D)}l(=w`YX3E#{nx|-V;XVAJaBSDV@JXLznlRZ5wSOyQqlcU7Id(9 zh>eRSDclneU!P&@mkTdo9hU~vC)&>bOokeB9*}d&Xl0Dq$r+CPRi?3;9<6{lLJIMl zjI#L}FFApXy{A}0_d{2q+@!V5Ew9eNNjabbC-Bv&9aE4t-}Ofs$Q01qva@gykg;eI zni4QnQ0wG6i{|K;GhpPjl5~;L)TnqCe;MvOv-qL+&7++K^m!bB!Iwr zY7czdl}S`h$5A3VW~DU8W51fku$@Mmbk}_ShNS2?PMUR`GESSQcwlV?*E%xA&`Ho9 zskLqX{J+OkBwWEZNFM$;WJP}|Y&`spmn7h8MWLPHQ?u4o5|RI!lo6M*Kc`!di9I|Zat z-lOTnRIHb_oi;TmetL1}l^DK>J+-fYDT`@^R?4A=gHq1jq%_o1nf7^by_$2fu!e-3 z@t(6njHsoQDKkUXxlBUmp_Df4G=F1jVu4X4ykUqDsMLTE?VeiqFZmg;(kh6|HK-CG zPtJ1W-?Ma4NG2(jT@*%jO1E!Tw|0qZ0$@S!fnY+I(inN!z6`q`qmA6CI`w=C z#^$yQ6RQwK!cHZDb=qsPk0<5X_=j2A2epM=j!pwvL{A6WB%Gr8=?^BxN0D+`KnpSvLgR`}g=Eu5xxs_Xd7pJgyRpfp%_%#BFThfm4X7q9=j41_`lwMI8$$Dd?y za>iAwvW&%$dui3Q=J`{l>TB}jU&$q70+|!iB}jrCky4S~^_wXO8C?QGb|bC|rwv5= z-RiH2)gm{)HUo_meauAEL26p+%f66d35w1m9Y3y=q}BPEl^G0oNfi_v zMJR>F=GkvZs{`WUGDCaNG)S}JMDvZ`%zzNa3nWt725DM&*K4zAVa%vWeZiiXhOzm3 z@6AGD)E(hDa^OvA>E<6?odG5IhekoQX~m>qeC)j$6cPF$^e?2^fF4e3YVqoS%|IjC znjMh%Xl^9ucnt51rURviQ?r6=PKnUeHYUcmBB91O;R$A@PIKRqWxtTr5wzMMMbKu+ zB)l|DyqFy=4ekYCAh^iMf@GY{i>%}XGFE!cP!OCwPV0v+-JAg-R3ikNbDwF_*!;6k zX2A!YgC@?>1^?;k4EUmr4KQ9X(x!2J|LXzIRb_yo|*FKzFVVbDWbH$vMtln#D4K2%^$*lu4mbJoD#iDL@eQ14b4IHqhdd z2+f;blNcXGLa5~m0bOpgGMS3zqo2xvlbeh14=tT;h3b#7MNy)(nmLQfDQ0ET@2=QgdBYVZF;g?&(49i+t9K=p*@{Dj=@DY3ARE$8eLVwG427VP0}Q%NO4k;@o#pKpTFNv~ zFfT3L?PMaFAG#?yfsBPzw#Gv^aA>ELM*4h~Sqt>;)?`1_5VR1VlSz5z!3=GW@rw-} zt+a8VNOjxyo|{~3!~xDoQ=CXFP^J>QaU_G1lT!@bgiPly2Mv%2mwh#hS^^5_56DuA zG&R@uCzjTV#E4S|D$f3;BwzK4EaoI#FW}@bme$uFeqEMbvbnM68;l7*2inIhx+pUbj)N6_NqNK5l75q>F)OH6E>Ig2KFQz&fy zddl!5>EWCi^)8V?Atll%nosv8Cy=o%%O`Ev!O_wm%rfwBtQ0{DPBOTNbE~$AqPeU&v8TP*_!@$k0 zv9tkb3eYJ@(K5}y%QVM{jtyqQeo0f5&DUfxTF?dAV=#b0{U%rXu?sRRh0$7pc+>oa zY^Du}%`aw|MWZ@{;*G|`QZkjzd$YWL#c53l4r-j*X~dTvPiBjZ#ef4Aatl1znMUHO zEOs<|A9XHA#WVt%uf9Dk3F2k@f=XKGDNOw5D>C3CStV3EZLASnmt=AmO^!CKbp}-? zF8k9=rc~sMNL`lNsYUZ`7iFlnY-%~BE2l3Kb3E{aWJb4Trs7E%w41m8Tw8{dyv??# z56_sum9Bc~VDNXDyt#U=gm#X8(`vly(^*W29 zr9ehQP~<-hQus&PHaXMX=Vx#LBV;{0jWsuz9GvHmx@Lv$V?kOo>ZkQ_CJ`xd1S#f z1yd>fgEyrnK?1o}hyInGw)v4TJrU;;2{5lx6JK^&mLUUZN;F+kp!sKar=*yQPF3x2 z=?-S_LS$WmH67nA-q*Sclv7Bp_6sP_U%f+`teUqP1weP~W;_>BIVb)K-)DdN2;(SrR zplBYB_5Q4d?zjyfOxBhUmu=6=dxtIE!{J8d?|<>n@lkbr?ljNox&z3++we!0<&MrV-DHZWW?Oa{OsY)h3Ph1aC>fQj~fi>(8;OnXMTQ>lY#u9$m*M;M^_>4owJ6qIo zlE){;`3Y~aWX#;__-OWC;gknlWDP|Ce*)i{4McH35&p|G|Du9gu@{v~I?lVo34NCN zc6}UY&nuP~(lp=4mtBuo`N9^f^w52g3tb1V!AX1|*j%ZCLHLR+K0GX^e9L;JD6U5g zDua)d@>9j=76PC4MT`$#*Ksa_ibHDRv)Rl1Oe}~V$M=i#JCOd^2e-+L$i?sD>R^C{ zI|n?HsI;Oe>m__b8mPcR2N6(S$dc0qzT3;l3-UPveRa@9#5PKs>4`vdssDo&}! z=~X4u3^o@Jm}lK-4qRw1TeK`3F0UQC38y9iydVrhoH9_Mb9nY%nP`H)FSkr{S!jhf zxjGKH@;n|!jgzXk1t!o<6pi9pTeQB5%z>kB*>#s)?D{K197KrI2vw8`oZDy~1*TFO zE0>>z{H+|d>Q9s(3a!dr;r3B~&7tuE5FY#Y|Iwnq3m0i2@TxM;bXTU{wws#!s}b#ZO1q^^VL zJB_vY^8Ud!wqcJA5hA-7T0N> zo;%M9!%5${7U!QqRQkt<(G*JP1uM5q+UkHeW+`IaUw3JFtZsxj?esz%6d-=(bPFFo z9?0wKRIxr+y3@CG%Q5+tXU~JwS0~E0YYbVzk8@gOm384L__0*WO-FZ%GU{b3cO3A%HBVTMVmpR*8fxU7ohyN#dSy^OTXz=Y zfU^x1J7?8#Sjo=Z8k`T}Ros%Q_3!a`HV=*_RUDjliO-kgV1QsbzpD*CoQEWiDDe}+ zv;!Xg@a4{;s-akrPIGr(fJ4x{#noKeO%{XVR zPu&ypLzont%Ocak0I2kzMp~9>oAp$i!KwOT3X4I6V=k zsVKHuU9S|@7nOa16;yA=0ZXe5klw3%YX@)AZdy{wU8~q<)SX9kQN7cc94szXZ1>5E z((mKEkIPMQ*bO#Ra4dRJyWXi70~g~6%(dkqtAUdb#@ywnd39wpEG()Q)pg~Hf)h%@ zXP^3RK|f1#Ra5UD9vGdt&($lA=PJRHYy7A0)4--{DpuIg^r3wPSGfZx$-H4@u=l)) z{vrRsQu_?U0S9l=-c@|EoO`-|y+&@gam7;2?6(|z8NIp%M}3S}dX?qohApE1X5)2Z z-p@Ka9=|@=;`E+D=owo!;(&O;MsBe!Rdy%fh^ z7PR5@LH~L+5G!$3S3`Y3)4UPOg3iFHrG+($XNDn;@+>LKE;Onm_d2yP^b)5BBVsux zoZgFc(<=O;Hd$Ee?a{4Z4Ne8=TdN+>H;uh{xPmhREBN01BG0j(aC6PizZM7dxMSYw zj^~u2x$16y*un98I1tXlu!~cziUl<&4m!FwY4k6~$WROeD1A%3M#J#wErW6&R6VnV zGep(Eo9Oj*^TKn=Yl?ZCyb*e$>`4uIz%V|VvwycQ2r*tiWaY%b6O}v11IH|kpJpH1 zG9>zQ{W^4)=jRU?foHG3*;ho^uU~I!p=s(kX`!SV7QTV6=_4>XaN3TC4(|vYK8xcl zaE2w$L{)b0Y~Z||oUIlVPn3pnO2F`#VeZ!JX3;7xdbwV|vv*z2ukZ2QQZ87Q*Y@Cu z0N7)$gCjGVpS=j@JGqN2PCeVu-1dm?IgTj;@Dhhx)*iw6V`CT!f&d35RdD#zB4|u} z8D4Slsr=fM%`3Aqf3A_r^T~*-Fp8 z_0uRw*TW(X5y8=2IE0`!X5x@8#R(d!{FFuri=oGK3ND8mQ;gzBC7xQsrvrTmz&W}w zgB%M7+*)GvKv|6LM*po5Ss9A8?4QF_^Vy@P0 z)s$9FSaKAxS8V1nF^~>437XUr_o)#9paMte3M<4JYA6WAn4+n-I;E+UQsNn>j zvGP|dn^8hl3D9+wy(Ps0-yHks6$Kpl7# zcsQO3!nRnTsVrM@OjufrEDHxNX+4;M4Kda%@(Kgmo#nEJP@Jib<5)Z#n}ZZM2k6|l zzNB8%JOz@5Qwv;(dqFqcJmdv;IIs&cg##lncv?IqRp2a9H1H&(Lcw{3f!5GGu*_uB zIKY4!Lm@Z;Mu-Z|rO6Ez^-B#8N9qzi>Qu%MTR3)0V7p)kbOHl$&K6KW=oC~B=S8C{ zIuuM0i7G-p9q7YpUaEf-5a;e~SR0C~|Gjm4&4`Xit-^Ov@ z`R=Ya460L8>}Uy(5t`u)2hb9NWRa#-R&|}7<$wZd$Od3oMO8H+DA1;T@PA~oRULS0VBnS*GHTLhhS{>wOA=X;RAfs zG2vH6BZ*CkO)N;1Yl&PN(y!K$Lt8PSSYq>=>kXtwvyrNNbQ4Q_q_N5-W#T|kRTVZ$ zKfI?R)z^jp)U94Re z$n$*&hId=fmFR+<_?D2h0+*vkTDG9xXk|7nKSP^|lGo4{B|gqb6djm4(M?DnF8tL> z-5%N}>IMs>s7614Z>y@&tZ1tVLa{c)2KJ>@;#kZxD)r;@ahLSQWX51}*|y8cT}bI! z3Klumve$*T%41*Y9hAY5*gI{!TDPxcE0x*a{=$&!dES6W_mAx5LaC$~Jot;If`NkM zu(<*T)5eeaGxd@h_LtjD4Qj>VU8r?K3 zN4cIWBxz7Z?;x%0u#Xpwu#Hz4C}_Nb<_N-6v?ze6M>!?f(Q;07$})R& z!Kc>awI#kc#!h!CK3>RW&XR|w{K2KWWBZ@td9h4TuN>Dh!qE7K20oA}4zPHk8Ycfl z!9=P~J+tqT&H|FQHmv&oRjDmUkn$B7Z;`?lpPzRUgp?f-X1Ya+)G*zxI}|s90JE9* z#$v&9%d2S6Y_Yn{%1)@fhOxTWJcV4?Kj@CW1}X9Kt)5k&@fvj($!|3B}kBZQJuDYv>Q^F zhWXi0Lrk*yRjd|?SeWEg%b0$Hx!5iU=4Rt9L4e9dM$P=01UX8P1~`wLzG%IA`9+rU ziUZsw+z>3q3spv`c+l(N(LOAy31NgU6IY#-A@P-|AN?aU%>)lon)S~S@Vh!3*twiAq2 z7nz-vxx0U(dZ=@C6tknN&h}X48SQCSs3lF2*rZ{=X_=EeT2jtu|BVrCiOY@bxIC^S zO>Q{YdI@%jGHh0+t**hAVc`spv6G+5a~38*MP5dO23Tm31v<&1WsW?=NJsk-blDVM ziuWOF@NSP7I~Hr5XC4ojJF9oc_5nwZ693r`irXcA*8^E^+X3$-15H=$yQx0B^D4{t*E zj^ITq^CiB-Wv0Qu`Zvf)8J9Nb74Azhy4>yBuaLsjoQHz7`gybmnmelu8g0p|gpWe= zQ^8~CZswk!885t;K=NWQOupOVPc9}*U)oOo4cscoU4u5;ejLqwsbS%6j4tpL8ZYIk z-$t*EAk#E(|GdCD;@`FPMdW)7)5`DXgv% zviM@@pE6->Okse-9M#0QK5A&a4#p1N1H%I2W?bSIZCpnWC2s4WRq%x(KYu>;A@k<(TrpWH}sdvIbl_?YvGtW3<-Kk0mFY$LbrnT_1Gf4}CW zD*j9TznV^6ZNBpPz94c*FZ+dzwiSK|%c96i+0 z1iT~LaU{S8>SOOZ`}&)<>*4M|4`K)5hemG#W(WWX?oA~{6gKE$;0J3+9Em@pVUaPl zoW(QfLlZnEg8oCk$`TJS(K=k=D;i)NYH@C;!SD+|JN(vvIljUkY}dnk;=M;lh;rY3 zgdh|Tj?*rAdPhDPnipJC(aZ@uv;*N839q*qSJsy|-v60{gZ zH|2wTkSPy|V5`4O9AzXt7?C2V`k7+DumxAK?7nQmWOIR^C~-mDf+Jyv8O%LKVn7US zJ)w;|#$0>AUbWxGF8;7(8;$--rTTTEI+|k7(j1-RbHr6KP)+saY%~2kSEWJaK|N?h zzvAq?7wNZ@pUBz&?Ym#r@VXGmx+Yt#!iWxc!qFjWWMIH$^Pcb}lR>x42d!0)<&Qf` z3};mWB*w63WcHCJ_QZ+DrSxS6P5wp`69x#i+f@rqOsWVbNX0k`Gg_#b$1gO%AsirzOvM$FL_} zA<YX~c3ZGz04q>!_Gx|umijOn%->5I?kN-%OiQDyNk7N(0ZG^`TWgKroa3+w zIc3F+nQpP&PU|p}g)T1`FIcCD=+F;dlis&^{}fwyT8N6*7HpbN(ZqQ>WjVgJ!!mr@ z$$NH5WB{C5=zZ)6|J04OA0OsfInY_`_wB@IZ0&ZLZ;1TWw2Vo0(sD~)y~5^~?J`X~ zCDR2bzhkGJLBctCHE{O}pRO57R^44R6JctVB?6tP$Na($;b*cZv9nBgUfIcu8DAcC z>@P5;I;6^#gBN^htja_=yH)xV3#2(H>4D?toN;e+CepK~*4*NH)_p33|oJ^ zIriHAy(_(~CEMCn%x2={<8|S$$E@Bq_5>Z})+u_}nrf1KV?H{FsxI4J%KC_xi6~hw zan%G$%Lm`_hT?W)jnfW^@pEYUz9_h-FFA;(yu1kY5DkfyoI)4v6_FrUMk+RZ!8nZL=w63%JMN{Ok(SF zEFLo-JA#pSn7p1Yq=Urow^est2@2U4Dl*rkv3p|^65G1Uxws$OwAVR!w8wtf+inl) z$;E#?tUB7mu@Ad3i;WufN-=Ik~Yu`_{kyNv9=Y5&;;xE?W z<@`JAH^~br&`qp>M#%HTi?glTL}&|RAs|KqP>M;yR>6J&+D@2~eDk1qTIC+%^$iJXiY!)O7e zx{y%YuIV5+ETJnH=5SGfc;WV+*ObF?2))r%mMp)FuGhM)&D7RJI7VKnoWeysb zYP>imW7)PT8JQEaxYaqOY^Tsjs#{R*j>*@ie?}qQ^NadKg^$ZZw##DPg%prsL&3OU_lE+kY4q0|d)GIk@ z-@lDUt2O9fecchrcnnU3wv4!M|LQkIP=n(&=j(MtAb)am+(P$ic65{IKo8PYZ4tI4 z)(g-StRq1b6i0N-+n_M9?CV}3U|?)=aTSb-7@$@joB^69JIHQM;77@iOc-2Zdp_Px zv}w;&t6)449y6WcPSHfNY}gNiAli14wmV41 z?6^YjAY1|$`W-hGj{ttEXz4ht#u)H0pN(nx`kjQ=61N@tM0BO9x3rVkYoYAY2Ru*ADbm)b%O~BR+VvX z-u(&K9DaH}^gsjRh6^+x-+syQtTwKrB{v>!DHzwW44LQ*xYJ-{PZ=;sHVhOZ2g94x z9Apzv(1#Qy>;S8dEBj_^;xfl3-k!e$@lvTRn3O5 zJ72?%qEQATH$y?jK>re(@tF!_v9tmbwzCax2ofm8!@Q?Ltr_7lQtdFG!42aXt01sO z4@Ldw`tbC|W9F!B!~ib-VQF-z($&NKVfH4H3dn5>cBW^ zsu%i8*-yS^)@;1RaQj=%5!VEaa?MA(HIB_Jmm&m)u#&Ku6uttKROKM3ptXU|f%l+F zAV#2d86i|8i{PL>28Pm{aehtK2xzP_rO#f_VZiv~mddX5xsfi?7QvZ$-#gDfyzBi9 zTn@!ehat1Y)HuP;Ge%7qDPXxEfk+r1aaUkA8BN?$h6)5+dIsj5(CCyQNv4VbrALdx zwLL&y8qU8lM@Ibc9tg(*_qi{d=j;xzMtVTsHSyNTgFBCZ-I^(06MOtWl*XRLweEPL zH&(f4?AnB$xab^Q&lY>?Uy0wzWe|uxwS(SJd1x>BI&o>74n!JJZ(kORXA-XDEX_V( zm!H3=vssdxw^v73>`Jq$CRu=+bT;Oz&h?Rbdvrv~?WDgD0LfM^6oNr3!n&^dmzP#} z{F=NRkW=wPP0F_ObcJ_(+74c_Gh?3IX(vs&I_Uj<$_|3uO_I#?^*uq7U$I3!X0LQU zeN3~(6bG5G`+_k%M>SDaU2c{d+g=SRU2twle-GK!6a>}`eh{M6(BA6#J{y>Wl^dC7}c0oTuW=!B3OJv}Jlei5qg1*rn|1V;08E3s!p@S^%#t z3c|Lx^%qw5uvxAa6UUEY3AzsRH1=d)FRcenQ9mZKf5!Em9QjtTF056Xj*eV?wcyFW z`JuTt`1RX@`hlbcmr3|jDS6JpKkokQ!#c2Gfd|V5$Bxo(w?{Y8e3IbiCLoOY?5NSG z+kq@U4&!_oyXufEZVC%It6EA*@WvN7EJPVFq538PP~U|TwB z;6q1itdPyrDn8!%w|-%RR%T`Vh)thbBC*mft~ndNx@XH>KLSIW2a3yh|JN4wbgj7h z@a;Dp=b7IWrdw2w|5bHoV%tc)IP*(v=ghf)XZ9_DTMqoKFGEiBVu9lW7L%&!tQA#$ z>Z`#Q$-`%sH<8IR^{)j)*4ui(qfEfB7pt9meW+ng`Va3)#uh=0Nd3udB%UcIS^UPS z`0^DmvR0mQb{CZBy4lwU@X|y4wd^huFU%&3;Acf}q{Sn-$hLwXXeaIf^WgEq)HV(< znTQvs>ib97Z*=F?Jx+0|I?9Vvvv%(`UN6sNOy?~jz*?$ATmD4nB9BG@ZNalPu44Zq z46DR7UqUwFXUs+jP|N2N!HqV$$iVK><5w$%uek3}3aB-eF=wd&Y|EJR>BYiSbjeyK zwIgl&KMxEvm0G$xqyCiNbo%OH+ki-Q>GdqRJS7LnHNTM40$-cnXhM%z7$!Li6 z9LE0QzD=1@rqe4|6URDrSxOm5bMD?mrSrKxMWCfma1)D*#PpXiPgtm?Unj;1t6l^W zPFGkR?O2$qN5VRNe*9&-@CKtOJx1PXYXkAysCBgFOI5oO9{LgtxQrTWLw^dmv`3!i z_fhc>m zh$ar|7SB>IMkbN0N-zb1>u99>DqmA8$R|ux>Rg zuL0F1zeo#CLjS^V<*TjpSg^W`%z?W>537ubYL}jQ{b5kcE3JUf9{6$wc5r6E3{Vji zrirRs4$!`t&C&8y#|;_}wEHd0Drio#9yVtKY+c|!=`Rk9W2iC=?I{hc5QCUf@J1Qp zU2}2*&sV#SI^`+V#fvlJAczXMe(j>zU6=}-(^hcWy5CaHZVX1_z2d4z^`ca1IjJK z48kC&(@pNablCV|&quQuWFYng{)pm~LhVA58GgrD?)z#pV;jCaZPhmuT0hR0tnj{s zrEg6wSwivZNQeNJ5vv>j`mt{c~c~}K|S!c5W6u( z-Vq{IbOijonhUPo$en(+oL29WxR($HTCDrLp zk?7%1`#-SBXoLT+(_gd;+=;(T7 zFhR%Boqio~850faKI)V5c{JE|tn)aMu|4R(lps2;`UN;kPN2mofjc4&KMR@<{)nr- zb;?6+Gz};+S9n0nw71OCwapw`?#`v|da2Z9p#CZ2i@bsHV~M4^#Y;0zTpHs)u;Yod z{A}NI7UQ3Na8qw;TN0qS;{LC~5Yz^EL6=Crj&u$gBpfbc2E9O!X^ zdT~Gl)`e%mT?v>A8$Hw3qDhV_u#_B_;BueHPt6VFrMQWO4CEXy!c=_w3h+nk%yqQMfx8Mu{gb4F*H>yN+{ zXJ}nP#rL(jr#g(~nt>IQM!g&VJ{dyT-+LFr{@w7V^vcKiIqLO7=&`y${;EKwl?E9^XK@T^lI(&(^SZUfKN$ zzO~PMAMd$U$Sd-n6w<#@2w><>7vlU>A>aHbh5XZ+{Ii8T^U-$;!MjnDKfYBHr*VrZ z7l&?D#90=fE!|ez3KTls!|xa&PO`=)ZqxX7&Yg*s@PGWx4`A#sdl3>YN&&_>Fe^4Y zT6DzUAhxlSHYjeIHA(Dsj@~@z>pN}i0Vv5apJC(Zl{tAqDg*BEaPy#Gd&7bczjx>x zzoI}}-T3Vv+8cH%EYpq01U{p~3_A38scQHn>A3Yr)B+C_6FxR}M;lMT9vAwC$$aZC z_}%!>za8}d9f5kbWgY zNo29v$dr#iXO)9JzD%fnLIeqJ6`Z}aqM~Ldp1V)g?f9-3O?*2$AB)j=QLIK+?bu)1 z+lk-d%NBp@LAAF>J`vAkvwcpYlpQS(UCK(e&mof)+Pt7=&f8o6&Q%Via}}5tJCh`} z?o=wpXO7hd`1(P;P4*k3Pda+w3!Y_b*yD;)(9lF{=~)fCfG!!ciMgGKeFoOfND%nT z-bsq~R9P{?ux%P}ED}j<#zucd`G9S-^z%p=kNqdaik&3AP(57t z34T`5&Gk})G@Q&S>{&+Xh!^l6EhCQTU$F!Bobl?*F;921c8~o?RzE0J>jz6Gry;S9 z2bB1k9?CB*sj=!bOf%}nvkzy%@tnORQ`4(a>`#W8)Q@G$o;3-=Sz1EP7>SVnSzKwRKN_?gmMcpx)aSy+{Wi;$_jA^?L38ZrZ2nD}0ij zAj1=bE6_o#sC&0;#@zMbS6WW;%$7U;Bg_*&@7)ROxSwXt`Z_5H2}Y*v@f$FCf&+z` zyFw1hP;lm!?ZCgj>b74=Tbs4kCyD)mO97;UM7cZU*dp2EPmTVWsrE1MHdGqme3iAgqCJnKVwlI5T77Qt7^oO9g{UKsI% zbE&+8ns1ez^8~um7~D6d#z~pT9^jesh-+`IA0y>PYx_w;8Zln6V#)3vNn)QN&EzrO z=e*gp7k911+ePBaQs+Y$py`=zy4_BEMD#c_^Puhh*1gW2iMyEhdQzq<&Ba*uB3(=# zvz;DLJ|WK0LB?N=T__yi?)YSSp|`(>RMWmZFgD5R#?$w0-kxkN@z#|-_WG}nkeBSm zXG%jLk4Gsf(|K*yUVeR{n{S;LGnYH%1N2mE)KDS_mRl10MZL3-Y@+tX;0dVGyQyEV zUJW|eMInHHaE0{Ck|2FM?5_2i@tiF2>(e#w6ko068?k}5Q_jKfHzJy~vb+DN< zw~qAnH=Rj-;1unY zbdK~7`->uJ9-O}ymQZeqjq8{)-9Vq)ffEFuS{H-f`&8Y?40_(?SDKoZKZ#MT?9cJm zY>$)Zhx%A3n5Zs^G?@ab@{DFjAxrCAl0YZaRRj_u+U51wnF3eMVZWnEL2ui(j7c8k zM_faz8@|}XHB8nuiw;d$ZMBFRZhle72u(BCi!AEsiK^wu9rigo3OV$D;5M{fInSJ9 zcNC~Q%b9T6R$)KvW z8mPYgIgd=ssr4m23QaPJuL!lXRV9>I;BMR3u-a|NJv-#@KmmA~rHf;ITC&$sTW-F# z2kWEodo-{l!*^sI05?v$Sw$Nr9nfMy+-HWOIgM5EaUgM~pD;Do)CAcZ1|6W$s^7ID zuz?HtTtpFfWHD+Ea}qOLbYiLyKo{Bp$)MrRprU@B!x<*}*K4{qVc)p%^ES7A+qH() zeXrYUwJ$ntBxCJ^5oh8TLn@hu&60Iij>HRP-8o|!w zgf3x^D1bu}JyaXK4J(>Pr)#>OmYX{Z*?gAKbE(s0QNuxY7#dMc1IFpar{WgKfUYaU4!f2 zKkNj|a3ge3PXuy6c!8IMtsfopF*QXa1j;5mGOh>OKYVvD-WS!~$d-AGe-E8&;l#vA zr_J|T@@TL|Xdp`Wp<)>qVd%}fM*Bm1rziSKa5uvYyRQ5jKrXFwPTUf1gtsjO%-ct z!-<+olbHypY$O`XQ7>D8 zw4C+9`VZZvd<;(~=WUYFx1ayqFu&CBp5%Y!tk6Wfyts@0$`0P#%GXB=$>hV{3AX<4 zR`|n1#u6eJ4$ijCsv)1izHkwgQunUEPct|dNtOIWs}cSXL@1B4$^ZFMs9PvJ$<=WI~u#f zn=ob)zi*H7BizW)leC|_?sdaxi{DkLjV!HxwR4*MoBmxzXVwn3$4}T_{P3Q6c9>gN z&U(AM|M;?h+3~;ec!BxsR|-QnzUgJEUTawnj`ZAd)3L>?#?#y~*GS2Oq#|1$BhBk# zwQd))qVBb|?H|j;4~TlNozwS{4n1+yev;dlmzeig*^!As^5ytQb9*voBv$OLAhG`` z+s#+^QYbsr9-qO0X(lJuhq}|bB0p3V{knM5`b=^!#nPUxNoW`bkCHbe>1zw3S5j=A z>?*^CZYF3C;qq$c)DTf0+8@dP3C>2`^N9FX>WE$5 zeFr~EA$ZM}p2seHl*=o?#NkghXjDf-m}~~iu>h}3jaOvIi4V%rP4|W5_iWEd4WxLf zdsq1Kt3CwAwX2JT^Mgy!ycHgobPw2as?z+<5}N=I5PIBn7_w;deIWAGX}$mfIn*Y%N&9GxsII5x+ZX)}PFQ zgp{V_uO)Ub-qE^VNZqvUdL#r>q0G+*ddcv1%UKJl$7CS!Qpix>Iz{VOyffBd;e6N9 z7H}#1CZ(_Wg=AN8YAx3YEC13~ewP)}xc!`gatE1E^hzNBrB6>6&OlRpqpf}I&p3Z5 zl-C{Ydj}C@z=_{XobBl>yp(yH+#*^mgw0QiS9-oVRe14Z-qMz*UnqQjdt>(x+S=+L zm^flXzdP%!{Xd@9{n>vX$+y}Ym*|$QJFWbogfZ&~z~r?9C2*jJ-`<+o{YQ4e`Ve>{ zP}dB^h`1zw)O1ZSF+kTmPSET>j|)D--f9ENBzxrAeLQuLjc%UwzbeJH&ia+}I>oh} z=>({q0(Jy_#`X9XZCJ1QI)tV58Nf{SBAC}h*+dNp9}3)UR;u{MD2OXAbi`l#wyFUY zjW)W`UY`d*Lpv;{>IPcRd*C9yk3U-cWM-R-qv3o2*G8kq}dNI zgL1ul5$Cmx!u*UWr%uBUC@BC_T)}EageV#`5d?7q8}>QvROYAF(Eif^YhaBV{i4yp z4bV%0)^69&K!2*F|LA?ju zu-=gn^nub&@l=Jew;X3ecj};iF0{^QZm3-837lSf8gqMo3ZPrN4}u9e9g2@^>jA_z zwQU@#*gYQ4JNJY0g{=!$GgQ>i^U2!UZ+YhTPyI~Z`vq={bFXaOZtd35B+u;scqb|U z^37%FSnCYG;fOrgbZ*fvOUsvqPxUbra2=9tMe zI{mfHWR|*Qfrk7wzV3jj2dM1Yfs4TR`yRnqZD{cBV3d=^&ia0(RYTB7Axe&KDZe=y z6rDtTY0H|51G9!ByMBfDdLR3npA`iyD)qqbYX@gXEzbN>r(6DOJFegJqs~MMzWGo% zjblte%~-6~VvvRd4sH$`O=BH5>=QrUmT*Hi^T!>>c(9HOwL#TRO zci^caT!%jdLzO_3pAo(;FoR%pVkpI7N9QjFTElCYr9f7(wrFsXbG$ zwAni3f1#-Z11^E8jn+v7`2Lg!15(R@^L7{+*j$)G>ahjlh|#*9QAr6+Z9WZIY%mUs z>^gio5IR6L&r{EJ=3`psCg-V6{bOE(3voZ^m$DIjh|@?IyR!QRy58=3(IsWe_k}yg zM4J_{om8QXje}nk-wx}9>Y$C3U^t}Z?z0_Vsqm1#P?hm!0CLCSR5akI=aZ6cW4n!v z&x}Ov2rXaShHX*-19tsu5r@>BT7O%lCu4TiMp)IsweaHV()gp|gGHC$izhPs@gX z;gz2j>pCdp9p{;k-Xhk2ev1>oORRVQQ(}!LLhSwHFWrL8|8;{{zvDo{{6DP9kH7Gq zP^7~`FM|`Mrb4_SFIeGwF6~I}|vcrSTbSOf;N3ikn0}vyKc^mj4{DKrcoGTK> zuzZ4OdB_#9i#rSpR$(U5$iz+CnTEQw8(Vh`9NK~MNIOj&p2Oa-#t@3GrsrNVs z5$cZ{Z`G>dborBq_{mSv@S{+(4O{vr&u)wlYz!b9`^lr@-Jdb0LI}O*`*U@9H(AeG z_p%?3tX!QHkR1xe>L=-}P);m6cn7_iBIoEGkY9S|cva2ObfF~@m&0-ss*Yvk2~Qr^z!u4m$d@~MY-{3wZ? zOz&ZWr2ET`zj~qQ(doPUW)(CLmut;k4lMYdzGTqsV>mbVZ%a$*dD?%3T$e>ozsu}z zPrt9^7JQqv71OKR?dE7UvTPSuaE>ErrGuMAkTHgP^4?CI+{$L3b(pV&@3Tt6+O!z< zPr1fAyKS1djlA%(?H!WP1g+Tlr6!Axbkc|Wu$Rox-89icI_D`4y_;!Wr1d>MdatpA zOnNVR_u8GzJH|~7!jRkQtG#nnZsmPfr<-kiSfl?NwVL1T8Ml_?Yg^vl?+b94esX!G zFt~;o08^MDU>X#`V1p4U?aZe1Z8LzR*^I7rT42*#eUDY<7vyXlx#!z zi0rBrB=pX8zmVSJlf=xrU?^hF_ScGS$pb?(g(OVm%;SrqsRiTfS)9sakRf~8R-9uR zk=QSTEcS>_f6D^z>IMp9v%P(sq^&ZS{&aVo)UP+aK#GFcMeUK!D0w|tBr{Ogl(PO4 zR6R!8PSGrlrkZ2pD-KC>qrYdDKPz{A*}3scCoi3}N}Yw)PoVWgK6uqy$M458o1Q5K z$q`HSOAqGN8mUXHN)pGwK@N*h=6(%(ABaXK&9-IrepJy^x93vA}Bp~%?G3Qb-UTkpf2~MN57npNe^*KrE#oy*w(>Uv>^ zPyQw~6UXd=+-fb~eWz8u(6nRrF*15JpRC6)aQ;N9<=0a(Ip026sUM@bKJ3llY@pjI zy^~fUkOuYENnJhSk9xnG{vH=}JC}nuczk+2(7(=SmH^%U0JZ6{8)+;1NNtxo*1Lk! zeUJBFcV4V6&J=@6-*abo*aNXE&+CKa6}o_;6IUEPw&Vqej@qYoIa_P7Gh6Rm^yg5hWqIGAbGxb zVq{4s?z89G9`$E?n-{&_wp_)V?b%Z!B;k0a>~j_GW8AV&CfQytR#mPi{XpAjMAq#w zuYOcytJ2x)^mq3?SE(hZ=KErw!j0$ATo3)2e>qjDWp4f-`$d(IomALtCuPwU8xxFh zvj9EXFdt_ypjm9Qb^+?BNGJDpJELP)rMh5M*|<+s){6o6LS{#omC>aSdjxn&JD0?9 z0&blI+-fr);~>o5?}}I{8vyiRp@2oyj2_5p7fJ%!#HntF4L3a>%zC`vkaP!$bD!K? z`$31n9Qg4VBdy15qobR}`Yh=*8;#ay>76*`PY=YBYK7o91cB7tY2a%ezJ=%@Ouni^MBGLV2YW_PYT-@+|cS?tN@KH8c z^N5Z4OB=L`;W9YmnUW}V8f@H{Hab2n#*I0?D*vY0qSPE*y3jou!Lf|~qSTvFig^6G z(3%q3&;WWjg!Z9^YqvyeI)fcnv*N^Q((!rT)k9`{PYHdxQiB;L`ym8}411o=R6H!7 ziUmpA8!!Zjhfy~?5e4-_YzF}F4!uKvu=cXDBIAZ@y!Gk-qA`w>V02{5s=X88O=4Ct zXmyOFZC6W=-pJ`+B%DuJ>DJ+Kb?9 z4a9KhX^0G-6!4IA5o}f+h4V3{J8)&ibZds6Fql_21fpu82cthAFXjE{lpf6WVTJ_f zR}_%dCppXV0PZ0FgaB7UUkm3OgxEBKEAq_dxGsh86`Otzb?nk(E5v_cp5xHFNc*>q zgQ|oRT40c5M9V)8j0zhC772uY2qM!%SW zvRy`kVV|>p!&k0H93Af_erF-bS&&|b2YqlSsPdR57dvzfx5b z*|^~ZlN_T#t0Jg}vw+Pdgs9S!niIs#tgmH#7x8WqX2<1UjC4CqsgX9r#||!|GaS4g z^Lc=$G@Pu(kkg_#&yD~LIrI@b8toNb!5gSXI ze$K*Pv7<>`8!BtWnt%^U`5Nz-rvkSe8V7I{hZ1l~7l)}-BwEAveaYoIW+dfK5if11 z0ImxPoDWq4?ifVh8L1@&F+Y3bXJDxc3dO$$YM%gSw@idSBFA7&6c+GonB)c%L$uV0 zoHZh?k4z~F?4+E7j3NqfrBJjjTnCx^lj z;6%B}Kj(R54o;Sc_76U=_+qHf^Ye}Id78<3k;1rsBjfer=o304AW>sl*U+2Ls0Y*1 z#vyCr>zV8(yodJtMsljg!4Pqh4x0Q3g!LGld}f3@0wMzVQxc{F#%(AOL?dWOJF?96 z4!`2(m(;i?q@xes$oyCBdMqPbf;^F>Lpm6~ZUe&lq0T?1TdrO7Fh^=TY2I-SK~&RK z3l!T!ob1h4BXl#2WohUYhXHznwL_RFfex}xXFBmYH8AU za)#&oYREDz$q=t-j_*wfJ3g}XD@W{2bE)Tw*=FqW$@Oeu1jh*fY`xx-`ph-28GE?c zIM8f=2Cl%IF=~)YHTjoz)TYGynw>Zq@09zp*mty-v(?=Cj(Lj79#aBzy~)9EtlS-& zD0p}IM3u6O(>DaYpXH8Vc|SNG%bcgX&i4*l_qKhHOdjl;A%C@0#6rkcuLcJ{-sVw+ zO(-ShGI>4ui29B&3Mi)}IFUcc0tA~>H?RIW`@@_}&*|K+cuRK&(ob0xrlf|GB8hmrK{w&=pF$v}Q z#fp5%-X7n>qz?tl{L2gxUidY#K-HaBMN zt=Ayw@h?8dmQ0HwHUjD2|$#Tj`c?WiMTnpc_!%=ugsFr@* zK!rl#weYZXONg$|irS*}lIMYHf6sGHY>7cvy+Ns$*oP;6W-l3i9a70FtCB!Nmx*1T zDyTgpoiEde{@btre9zPVk-gt8o$c9oX7r=K{MKj4J-=Iv7jmO*XX&D~Ap(7K)b>KL zYI&5~K(H4xz0fy@As^nRacE=XH$Fq5Sl$qc(zEmj2oey3$~hE10ycv7?S8Ji)o915 z^NT9=0~((UPD?P}Pk|#IohhHW3m&OAm*Wf0UR$L=NX4iC2UaQLo4=LZN9yH^nb^(n zO_^7CX{rvrtaX1Vklu}wzL$e4@ z{>z@p$!p3?A@&!)FC3ua7jB+;?vf}xl%aEPWR~26kO$>!VHLDsZQlR`L9b``fhT6Np`br!&XVPic=2@@Q*@SG?+jfcGIq9a z!QQ~mSs>nrpr&550>p^`e2owcu_s02Zoh%ouI)pc(~MmNUxrLRyak{I$4DtF<}0{d zmLbAT-|&XmZtrOBMcx;V{7(EmzQpgk-G~)$PjNkp&MHG#3?1w_x)y5z2VCUhn-AzQ z40nhSp!-J?!=C^P1#9>!Vgp>1Zt?RKj13yWl$PAa5lSL8!V*h73BQ4^V1A%Pq!dKt zl1zgIFa+8SPBSeWK}#?zptTXjBdli?Lmh(J`V?o@83ld)r&9c?lvrTj`ra2Vslt|> zH1j}liw~1mS<{8pPyqcfROfrxCC9o=TZRScV^xI(2`zO45h*fRbJ{9{K1FK~PCH9* z=wLWs76lI;8PNAQ%Es-~Wngc!|0}R81sn_n!6Z!A3*8{kdZ6uya;Nz?bs&}z4TLjj z)8Ggwj&$P1JJ|nn?XV5g&kXz0E$+I*faUE!Az^#QR!~h?XD~8h9U;mCF5@v1jGSzT zo=t`ta2`WF{Y=adiT8me6A5~pG5NXU%-zQ!`PE>S`k9&`dYNmw<(bFK=u^fe4`B{5 zUK=RXM$m~wrR-HRp8-{xueY^EUEFy}~=u7E-Z6WDE(l^yRdk1^`L zK42n>;yP5Wdlt3)YLw60aHdQ$iPKuvQkY0!&Lflls4e8maqgBz#zz(*hrymocC|E0 zjLXb%MNa}zJ2kfMo$?&gWs>VrPN;qJKY!mn0F{wS5IEs*)Ga$Y0p|gAPa>d2uN?Nn zKo!ddwhhBlj>C#AXEPIM!w^#AXDyh;-OKPphLLZ2rAa9sQ&C)X}%N z_n)ubQbz+h|3n?#2vyRz3VByz{p06vvF4B)zbCQAL9z0Wo(Th8{Nd5}N$s?m+x3I=DX0q|s?4BM3PHw^5DxY>{B} z8@K_0qqX5$_`HTL=MFXuh-FLhU3=>;3S+}Y!wpRj6dq)d%%{6TH}d+Q&(;U_P_ zGx&Am5t_?54Seet?brVFFt=fKczf8|`ieL3zrmThy)9AoTW!Oh1hJG`{XL5>`hKD2 z`_EZ6;%?4ZW7qP5ygs8tMsbb?>Zm2SG27?Jh)_WmW7^u8s?ZxYd+s-NsZ zfD+M6X0xa49a@&c=z$RpQLNJ^w-N zcF^~F(W&2V?;lCyE`Pw0^L7UVp`@|4C!w+|tRVQO7}qnOut}f85bCOKmxs3KnLe61 z#obLgOO45MRue~yh;=1v0PH$j*TqiU*j)6>V}fKwH_le?pdHfSi4kuRbNnjdiB-{M zbb_Foa}XD0oGZ2NQx(^~oK;WSk^c2CNbIX07e-Zfdex@G2bW|F_*wkL^1qs}K5lOr z^KBrfs9llQ0};HEx7SazwH2IrgMqOq=lT%24OEw258lLfI^UR(IRha|Y_rbLZL&l5 z5$y0MB|F#d{--f{+ZJltNooYOqPE<%6ujqcFnk zM}0pGoTUwWP`VqPmxX+N$(phh0Jn24)w)0jp?nVL^by$UBpLW#ACINUg440mH_i23 zk#pn(>*y~BDc$#H3N<(Tdd*06Lrc^%vncQP`V!|=^2@~AoxAvoP;H+gm%Xbs`AVOf z>g|bpUv?CM7#(MP*K3)3ZSBLA50ICvJM`cgyN5=P+VN%#v&DhhdS^Gi-M5_Jt|j?3 zy4*wW8EN{oGIN#d;*vuk16wOP?a8l`CUftj*eN;)5LkJ^Vn;~1<_7a5*hVNHo|vfc zSgJTSo2>THiL2Li@*wwXBNG4}zf1e)X};D)M)<6^lc#PFv5Q~rFJZ~3T@3g>CwcD! zy#B4AkWLG0W~t&Xh;|rvLE;SAjy9i|7x&x!)vJ#96Jp0!Y$i_zqnj2HH8erKe4;o;{gP8iHonlh7(P`}!7 zgp$${BxZ-KD!*f0$hK8S)q9D|F=-Aj?xmAnte1Apx6je>8k@IsJ-vz}B9K2^i(U?n z*N#x(m4bft3V1O-L`<)*v|bNx5ND`TgH0KGyX3susEA*R7<*E}WZ-3#) zaA-c1M}&4r#-R^{2Q!Ad)b{<7J7#GNo*zW1zYGsGK_rXV@t3KM_|RlVhxj^S`u+=r z=lz3{%t+j6+NHo#r}|_FXS$#llVvd>PYOVzq*8H{z%=3mkyR}^ruwbOT$>|DBjd%O zr-N1MSYU>wdh6FG>?wi(nlcD{jbT#M@nM+g4J=g4-k!IcIUMU@|GRZZ?l+WiJR+SJs z`-#4ofZnohAGZdwkq$js8qp-fiFxZNHxTn+l>_U}NI0!dumn);i{42NDXKR$?*!fp zzE1js+WXS4X8ULkUVKmM8u2<72|wpt`uzK}HYP3aebJ$(e5p3O2x%G)o5EOKhlMv| zHDCls5X#xO#P#4Jm?oK$9`wE}NPzB*dye!*yk;^&XZ#w2pF(pT96#Fyy)t4==-3Tr zHU-gBJka2atT5hXVr)5+{BYJ@K#1YI7$ge_74R2Z-XHjqZTM>tCzLRf_uR-iJBS|F zVH;;6lKNuaJoH&5jSy^(Bd9hk z)7E@W=g5CXB>pjspMi8<~+Qq2&-Bx~9;5N|0z4k?{!(8Zb<^ zk9QzU2aV#O5|gCA+wM3hHLcz0)KL^ebdGlJ46+_rM8J!lF|Kd7-N+!MyP7tw=OpSN zqSvJXv^Zm`oes3sn*+6~##z8kIFR(BJ5}|^Zyet>JT;DEV@5@~n-s#fBFY2cr~-O7 zv{zT+9r|d5q@}RT)3~{ru3+@6Qo0kTb0f}aveR*U(JZU>uoqRz)ZAWs91ZH)x$sh$ zgNR6DL3E^_A=ripG^yb@k{;kJ6bl~3sJkki;G=wVhyEEI0k(+NuM0|c+SAquW&ko( zu+Ld!XIvsY3T!h4^R7?&(A;}JfB7*JLxcF35yP{ zVw~hQrOlL3#-4^BB}a*>3B7Nk7w7F@O&UFHd`k;=WY34+L_A=f$!Mf015Ar9TrGHj z>Jr&$Ax_KxOWON@w{e|kzJt+NL0vTkMk8d5Fbd}I033p(B+`t^sELa?JOGCjBmoel zafRbAigwiPHarW3iA6eiVX zvl~m1yKW!1kyW*;_@=%4j+~}VclYjdp9|>${{R@CIdf*_ocDXb-^Ue$mT^vFG18Q z(rPs~95Eb1)S??OappYh#cHhO^v{6~h9pq@{Zk^#M!fuqO!WiD~c+F+81OvfD%cp^DFp*R8=X%q=0 z(k)!0Mph;ishoFj>@hazDt{i4k*HtV_UZRw$c_56*$@M(Oe#_2Hz^=;t4)xg^)f;o z#{JMqzt6x`sOX^g4NS_VD3g&;%8G<+&;?X`U4nje)3*Syvc=r2LRV?WyO4jjY5b1b zK2|(oJcrfYGRxIs`Plejn{LdF;ig2zmH}scYTfV`LoG})TX?Pi4kl*K_Ydck&GgGw zac^HNJsnz?*I!ldUII=V0$tt>X`$WbBvKn^w6hJZH|w@rYrC~Qu#9&%O*ozE3!P0J zYjuP;RU}7Y?)5dDu8k$ect`iAwWfNb6vQ%i_|x(>on4T1oSwLUJ|3}3*L06La}Z&tPavk`$nM0 zP8LVrVLc6y=R%K^CIPlq0 z;5CL446pIS;B7PwVRqpen!SCEL8-CtlX|nBQF&7tZf5xeBI@TZ0#^t-hVbCO9?}GX9M1+9%sAuj#Tc(*e=g#{Y3Fx2x96C-Snn&^}q#+KjLZFp4Xb< zuRcC-;LouaTFuz@l5bn#U!^?z?QRZ(Bpj=H_RYRx2PC)Lt)${Ply~#CY&iS25$+UE zFNsVesliAW8dAm&H|;KYX4)hymGRtSAJZUin)H>1+4d&5%O0VK$0~B8CZ~bO!pC=1exA3;k$?z);sNs|PYg-@Nr+(w1$$^eW`0D)C zzoswscuhUeAosr3B>kZ4{e8m)&(&&qlI#$aoXg3Vz&M46TM?>KBRwVt2n0uz5H3W7M9`2)hGO$fe5JBgUP@k zz`xUa5*jU7%DcHIKf{E2*((p_nQr6Put(lu-bf%~4PEGx1zxUhV??f)=nZ(kPtIEN zWYbliz12F`wXF~&=gsYJM=6fi(iw6BFpCoWs?-CnSms{`YZ%FYGBCM`{z~%;AP%~Q+bYn*xl>O^)Jq+=LG26?Gf_Rb$E8S{|G zP~5jraG|SmCHI9hoqDB-VD&TVr4Z<6$T(Yd$iyM`7&jn(!}t$OG)(j){ICw`x^r>o ze0eT-hB#i&o+mITKf=O0s-;IUl1>B{hf8e*45$eYDX}HB*Gt2=TD?H#9)7)${Zjng z!`lkK$1bp=`jRgF(*OPQqnaiQD<}epc+`gA%U-g)tb#WTUnB@Wd*%fLH`+|BS;pXo zvdIlW~8gKuW|E4P&(Ox z5Q(HFHJ>AB8~8Q50M4-R5eq5>mN^n+V?e+$%Ynk5z&;Z=>K*z7n>gqh_< z)~tlo*vjP2kdgR1$}qNOm_&)RRSYO+ILq8ZR4>EH-yZ<^NmJ8S(AS$K`nWGzY0lPo zv+op3s5^TRWBHIM-!|$#P(l(waFE#B4ZuI)#Z3+K#wSTn;MMc(}6h=ZOUD!Tm_r-N4)M~k}JCa-4(B<&QDWOl?nxSF! z`od|_l^ndqNNsU++U&CshkX7E05FS@Yz&$jO#k_fa6ia`?-!OWC$1M^HD8rzf|r0o zqK-+KjXJ@t)91bJMSDZn4TJ*>y*oe zRAl7fA=LO32qMHSy5l&xCWuQG{t>V2Eq-t=Vgl|xRB{GVIK=K* z81P((2N2KZAizjvMY0b}QJe`87dkplu84w;4k7jX6QHdk~8~( z`hM&BLIK8!WBL&d%iNGYz@F5#yEF)A`Tnb}k)0dCUb5?O+z3G%p+U!~D605()^T>t ztYg7_fNkm7U+CRPyVeFi+wQb8r7_;Q_EKFR@9IfUcaKbITg>RKg8O~7-gS}~&CYau z;{xs4Z1ny&e%#_$txLkDkh`P`{;d>S3 zvs_Y!*2<31x7@`ZD-H2zV%lEEDjY~ssQjdhj2BWdS*(r6%oP>2yS*?VtB&;H*WUMw z`r2qTlxMI&YS$S+2+p$wD|+mq1(;@5gX;PzAEpYlve1-RQ!+{jl3ABlH%z^z2RGOe zb;*K)XY(a}tYFfM>PXh?y40m%(R4_In+NAO0+bV-O(XTDNRe&PftM&*-R6fa_omae zso$82lMZV3KisWbd|Xv~N)xFDO*@X(;LmrPeU6hTI+I%eXZS^Ky?TkZQ^PmGo~n26 z{hL2NSq^6P4_JE+XIQV#0%C)yt8Ntf4Bn_)Sd-;%7>Gx4Z6P3-yi%g zlUr4#ER3$ESc8t#wM?yVu9s{CU^rQ16&Zd{x}ix}UJfu3uNcAv+%{^1SO5aI>rmR~ z_8YD%2Tq4Z`UZ5nN9(X@V1ix~+%J-LX>^h`^pF;oIdM8Ps-|OC-7dYo`OeZ0X&-Xp zD{inWc`~SNAD+qp{JhYl>7DI`U}n~u)i>xL89*ZKh+I$P%u+eutwyrKqTjIi5aUWs z5S-)MNS0(Aa9=E%qm-9O3I1sJBRP<|<&8KHaw0EO8dBf3Sl)F)3<&-=q!e^(9_L>nw1SGv8@OhR}E*D|KqegC^&-lUKpWB_)wEwKq?N^W7 zU1R6@8pz7YLD8Ij>5!W0vKr3g>Z^yf)t52DJo}2a+qzX(nf4vx6vp`8;60TF)Q{v# zC1m8h-MHx#>*~bS+;r1$hxyy;!D*V5NA_A6I(xT^OLa2FVh})b!+kDXwF?445$zfa z-m2$@1+F5~IC(Q|cLPVd5b?CSB zz5R>Ek!p|hy(qd;g0q`^5ugM zQ0t?H&oB(iI}qmtX1diw_{9i0Y--%yZno3C4#bTd?XPbn_IR;0Nhf5~K5S?c z4m{`3*@ON*VR7;7D0_S7Q2-KFDW#$CVKP zoG6(#ac^ub2~;T4yS0=ObEk$c4#XP#j3uGil*W@s7kv-;11We{sBev5t4n)>x%dbW z6kyL>87WvIfe;e4_62!hCvL^V8bmV*Xm6&{?-OA(4304fXqXwk4Ppgz>p^c zGLnC}L(q!I0+GCUctvhu12T=#IhIfm6dOOg6`R~A)G+W3wM+#moXeqnSwA}*UM8j=-XkrW(k8NKR38hq^^EZHI zg0#|d5D>H=PZZVIQR;6|EkaT64-pZ_|3{e&X*}W65(P)fh(wji2pVG#VoYpzEs{_; zj-3^S(yKHQSj6jL|k2@fDhnsG52{o?K8#uL6Hm;LgiUk*W{&iHKrx z8)uy@NokH5GU^|9u2FI1Jubsc(-9fm=qVOok!$BqihtbrmHJWi+vJT*g2N$w|3@d(Y8l??4ru_#1DUGN5;jW#I@BM^1jN- zD0~sfKuBpzNiU*7AH@63TEJgfiEx;i9E5W_u?$eXWwBOQL9Gt2t|g6F3IzJJFNzK~ zIjlB;2lqHsq6rShRDfhhCBV{Ed!@v&2F712?O~_L1bSR)+;)FR-KaINw7S2cS3>u+ zfkpM91)EL;zrOZku!J1@5KvtrJFv}}Sv|p3-}>y)xJ9msPpN-vs`Q1@w9)%bB94T+ zv^bm?h3AU(Nuz_0vE+58z8t?QcBm6G$n1JdeL(@uD>{0O9f1VxKF10eAnex(N^>}` zUR{_9HTtK`vpdC&7KRo7*G*&b+AuuU>hkE3*tObAeBtP;cY63^q08sZt8*84mTe`M zW)5zRnO1)>$y$oLrjMS8wtp?Rjow^bC{5f=cOCp}KJ`q9_kVNnZ=C-|uduA4pG9)% zcTq!^{(5Ks$HO&_#Xz$*H83%yB|p=&zTOC5VOJeg^b2;9iSF2XHtPvcZ~p(AGTlHQ-Q zd#~BSrKbJY+^je|nuGm#x(C0SrU#4c)fKN$Yx2{t-nF&a%j~6eS1S5D`V({A2U}8O ztanO$ZzZvm7N>!<3doG zU@)eMO11@_Z|!Ml-QL=NaMMSWQ2vpJx=gsRAG+ygmxf>rw>@JxACf0L8c6}D6DS2w zW)p*(;DB2P7IvI_SQAgSmnuhN@_ni{B>p|n?2*0AXtDn09ElgLp*aFIVL1lRm+5_> zvBC5cgx+#;_;M~LUg1#e;eAVO<8x}C^CBC7-uvBkHF}~ev>c-igcFio!ISgvPv-yD z^F~*`^KcECBjoH4uURwr{I=qS+_$Q^|LfQOFsHoI*ird4eb>In`B!F~yS?>8+Va1@ zz+$=5(2uObNjLlUAM-EE!Cke10b}qGP#hP8IkZ&`prt*xFr9ERDp;z{R*<7>Wi;fD}ElfN9S+|$*TZqJb2tKD>h|CXz0)zd#r_O&7u z0LizNzspjOaw(O|W=bCrZP1@fkMR$y=X&K}VZrH^j zxSw4suhmLt9!_2(wBRE0;2oS&2ZPsMuS}4Y2(17d65hfei-GkLKKqv`az}4^ZEIW1 zbC9p67m8qXhqE+%=U>}z6#9R8wRru;;okQ-B9({g3XBJ=-@!FMOeXcf?j4!=CrU?Q z4#7q4b2Duuo}=qaupciTNqv@NCK$x!6sk|(N!^c6JS|n;?d$(QUO#CZ9`Nh07Y;Lj z{P(pRbcURSIJtI6gD76&XCAJVfH|%iZAdK0-q*$7dMz>KYJVi6Bzn?^u^y{ zWP~+RUf(W$2S5(!#K_;M45rODj4a|CVPHFY*d|0q8f~%g5$z6V#-_b>+Ir_puUw(} z19<L1Pvhp%>Z_H-n2hIh>Yy7}DHGP9>u46Ud z`Q}(m^`qN#p8q1%EbK<0r`LSmArV{e3tdpyXudzk+dc-wTh? zv)FVFr_vV?zd+!H$Q)@Ji^tgcVz*h@#0ElxFEn>EXYo61j)9zR_8i*!tLzOUc%IZ& zTb0u+eo6KAGycsbpYgpv{23lyZ4H_qKv8w7_4<1i=Qd9n6@74rgux_JoMwq54Dc5W zBShD>S6Q=MIY12&QAFkMQv0MbVfSnsCgBl5WG0>AiNVW;H|1OmL)AAh+e4q{Sf=V2 z5<+_tMM@0AEnT1E3Ds39kAO*IlSGd1#k{P{z{ocGjP(>HI&Aq*w)o)%KND=B1KQxN zHa>vS;S5}0wV>E)4V(>H`NUgvnL(l63St-rhl0kpaVL3)^MM+j&~3g!FCfsBtF5Q#@>(mAowO^by5JCJFBfsGREPcp6YLh= zb*@WL^Yy>|nYDT$h=`@Sg&AV;CG1Mrx77+GhV(&LZCaH#YTZak*!r48ff>0Neu8WA zSC|53(^f{zH#8wT+ft+QSI9YX+fE;tYYx9-Eyl_3YqQ?bxq0IT1+p8vfqPA}b-wG_ zhiSAE`a9S}n^6C>jcr;%NnD;3n}MnX71EYXnwl%=5k#oumhM(1GAeH%QoyyYAE*kp z>rYWLyxn^(a_5UjFNR@DhoBD-fbZx-$Y5cu1;=`vBYh;wg>lvPpVEf1!9$w96IqUP zL{I~6eeI*LSTSk2wT9@5t%MdPrTQ&mCU;?4mV3b>=hE0hX(t7t0`3&UcR?dAg;O^%z? z?(J}`*hy4s(o|nY?UACG$Y#v4bsbs6375!*NjTCqxFKai5ll@57ED%RNno|WksAp^ zl`O#(pNS=GFA^fb_Md2ir9&1@dyP)`+F?&yvP_X7(y3J3(h zte_%e?Y-iVCv)$`9PqV5Ak<$2m>h8e^UGcL2`w-D;5|Z1&HMUO@d9sq-H+Js6`8y- za`!z%3&N)NH}qo*KeqQCoBRb>-8~}syH9yazZZ6h*XWnOojM$x(XLE)W^HDVh?+Lh zZQBFy1o8bXZPs!U1<^#2x9~AswAeTm_}8%67W)Id32$T*w8ohC5oYVs>SaRTRL??1 ztwf*Be!QC%(C^^wLz@Y95Eq?bMwUyi}SHlyxa%kAYs-=*#BMrgroFHGyt9#)T$xTtbO*VHt1r~yDY9TM{TtCUlZ(f95Q+=zM$2gb?YRy%oyxA>b|dS9sl-l ziN$_BW-b@=qJ^h=HeFJ+)8-baE7}Fx*`$84ySUMeJ*%egmQ<>5P-hQ6arI{{ttq&> zrzyzSgmznNWLQ@xgCQQ=KAJxKP#)|OEy|tXRIoL;YL?L5+eL+U$A_k=)eDhzaAD#z zyv1^?H3$(Dh(4j}|A#7v<`9StDQ4_6sSkD0URc;2XUvddp%Upu45%w`9G2vm@I`xc zr`=VxoO$Hn1->wBCW{LPIf{2TO8Ai0V@?uYO0$WZqn$)Y##r;`Xe%%=)-m;N>(`K+ zp7a}aWCf`ze>zXveF!Er1=skKxhQ^#s}W@I^g{4pU4=Ll+@vLzdOB>_l>o1R)jK$B zeFfDNv$c}7-;w)f>os$~Yh4r8DhR}?Zhu`%!ZeW=Nb$x6DrY+gYexP2k}H$_78LDP zE2Uqj!IDcOdDhBahD%364FV4$p&O}Xvp8o8p1ZPOWbMGcTqvWZmy6CVM?1~NYgT23 zQTw8uoK6Q)!q?~Q4F-q%#IwqlAha8~@JmI@UKoTcwY|>TU2WN>8avG=!P5^7YU;Cj z`-VXrQQWF*qBh#7x-Rxwo8u>TNW8*9418T%U_jS9Q}~?;9)D2tH5=kA<|u3W7!REuc%!ay zUT+fpQ{k3ieXCk2Hll;|%5rhK{Zf{7d?eAc>tw2KN003*vR-k-uCZS2vuv^(;+t02 z){ETmt;DeS%tE^!>(LBre-Ni+sAeE@SQE~p&CZxrtDOpq7(c2laWI!VGyx5b|5wsq zVC_X0q97t8WJ}O^^=WO)-kj^t+Uvv^f1T>9w%Et|-MhT!WU1GG#z{|goBhX*%uu_$ zK(|d=Hw}8#&5lN&)OLwzey#!O|8H?8?AZDNQAm9a2DEOyA5mX^l`Lwjg|EZj+7#7~ z?O^;ua%yWxKAXQ}_qqFvH^*o?_-%1meWuBHHSemGhTf64ZUrM*_2XFLl6MTP`5l$S z_RY5E?X&sz5w*mu&8ig*58B)2@JD(P0tX2Gxnh5gjvpZpb|#12UU>@)cD+;U3Xddv z52qLG$rw)#r|Rj>8S6s>jnQG&f7R}y=_z*k?x;EP(r~?JE_9chd*9hfHg3$Pm&ILO zY;)L;{)kf+fKY{z414T;q1Xn5*B(7_THmUI59DIy0nJW6qz(9agyrF-#T8)L^o>OY zG`i$5O^(VvhREQK5iz*`$|#W&DylZq2tig5Y}*di`kaI|LoI_xRzQVhLhl=A^diE6 z?K@tkb%J^i>H#-k@1y;WD%XW{dvcvbVOH|jtXj5Qm<`y$+`=2w=k(SwHfhMeC%Qpz zS9%L{M4^tsDzZSOv(z)XRoej7m1b=t;8Q`z7gKQ2t8!G4PMNSk*hOap^mUD(dag-I zU@)u@Wi#(+f8Zgw+kh7BvG zn1vdr6>Sxd=0%-0AjUa7>Cdns|9DrIA@u?fc*Y3H&VRgR5PFo#$pzZH0+hT&9M}o} zo@!hnqq3P;Mh_$!`uK%AO33Yo<3)n*I1uz%5jceRVuqD;p`3ym?}b|eW)Z0`Jyh}- z#?3JIOEyi22Lx6hi4wtQoibxG79i+`h_w>-dMPGfG$TR=nd^asa*oPltX_6ZIQ^$h zzE0o34+)vOKq3D>OTInTTPfxrqk9bOt%RhFW|4J2;7B2DARkX4`eI%rjSOv9X-bhC zo0nagp{fkDRdvJ@zv0~%X^SwvGNCmfoYufVDPUrNI!UmmvyvO_p*&&M%^|i-8Y~Oi92e&uhcOn7Y=FGdkzCvEEy(omoG6p$QFfmS6GRkB5X*e31llY!RH{+I@EWRGUOEfg7ugw zozj^wBQxe3!z+<-^p@+7ShtvOc!Qq)3xQHpu2inx`_dDU85|f3rG^9kg2bOU5UpAQ z(F{`_otrDjSG>r9+-vbJ z30=sV<6YjA+_G%^G0?pCRAZE=DvGU3QVu6>554`HzwbHwJtf?mVmU}|z@ZkBK|HdI zMXZEerU>Kt6DeIz@DkQFf}5_)JE+P{y2pjQ?U!0zS&GP9lvPmvP&l<$oky|>Lkvbt zY1NU2aNHJ#a6#*mUM9*Zlj-HSzl=Ba-ucV%E5f3jnMlf%67NI8t$awGwGt}@b5d$m zaio9@cX{$b3#e|VKrih*MlrnA z4UGf`G`7l=W-(SqaE(Gpz|~*_z&ENQP7#_^kzArel*i+3WJ|Ooe@_W6pngf)7!SzkCOL(OC%Ir@hXZW1lmHqfZ84s8aQ^s0H(^nRx4MU@*ZE-%c^CKM+b9&~#$aqGtT zbm&HOh7Nt+9CwOQIE2z4_^!RNI_UzwgE@n{?wQA{69v0@-dsQM^u!ouKU>VPt?8cH z@jG)&9i0CXxOcnn{Hw|#tqb?l=xJ>WOKY4B4kT6n4p$qk^|1IzUayDJseMUD7$cb2 z{^~;i9n>A^8(B-oils5uLF>uf@Mij`>oiiQQ9rt5wT#qX?IO|`jUCZh7jG`P`))FE zK7Ik-Ofxp!z86ELZ%%J1IL4#oQf}ZmB8YiOCF&7!eHd~2EoLG1Oq?V5cD;s#%oj?$ zvOq7_=%t(Xw-+XQ$O*Gr9a*SaEyXRSyRN!!AXWvsv%lT=I&CpOFPr_d#%AYxzw@(e zKl?ai!Wkl{iWHT_BAoN#tUYut7&?~zMAEb7|EdQaj7xp>H%FnzTSHIXbhq0>a|UGl zp=mXCU-XBLFNcnyd^t!iA)FUyi6~fq#Rj@e4wwDS5p2RHrKUwVl&jmwc;^*U=CB*9`Qlrd!K(FZ4p}0( zU7tvvwE$g7ry~V(K=@yW=Ko0X#OiH@0v~`7ym*kd(J}Y6rqp@V*fG}}*|$@LR{o>X zzUKV=6+T^bwt6y)P@k)TT0W({a;sIb`ffoD?o57?H2W5}uB;6HRrLOc-X*a_m%Rm3~!Za%PFy_UA-_MYha)^|$>wc8UD`@c$Z2r8_j z;j_X2y7YKs)2FKM4%qYY^V&OHKp$&VW4|Y^a`Vn_e?p2XV@}1EVNQ=2*`?@Q5}KD` zpL7b1EGQte*?|GTd*9&7$s1Q+fEKrUn>EGbcd?(}iPae3B$pXH^Deu#uf|1Xn4rsg zy@Lavu%b@dXM&-f$J+?vKPxv5rXiep{BaO7cE*5FUi^m`l_03aS?;e35cl=UTWk&x znUr~=GqVrkIwWwui{wDW{`4byA%Vqhql&Y z`gApX=T1uki{3$V0~1L_RTs~*T3%nwrEKS0+{jjw{{iZu1HW));_>vb8CpoSF(|_A zHHg1oXKlPFH3HR<$M0+#myRprH@w1R-WY5QP{;Zzql&hMB2@E5k05@6OBKYo%7=NE*ZdSw zDhE02>eWX}MrQu85_7O_6x(>7n;30pf_;`aASV^rXDDpSO)5rfh09LzCCtzD8ieti zZ3O1|=!5ZGW0A-ient)ZnkiHCM|zSIHFV2h>Bn6UA#i*3i#)QRZRS#-hWUEZ_q`Y1 zPumsL7)2%lH=2F73cG~0i(xEfgFEPyE>I~pFvo82$tg`%=&BB&eBOmNRgW#~W&IDEZ82?}r zX8M5#K$S#qD^6vOORY5?cb!@)4%EqkQ5i~qa)}M(tC2aL;W2`8sr;h;!UY^;0BAN6 znB9$d(U4AH7G)sg06hzafDPWyF49HTsu&lsC9~}2>O@8pGG*IJGM^ZY$2b4GX3p_z;JR{h^$~|;| z%4|S6#Q%`3JcC!0--TFD5xh{G_1quv*`{E_XdqH<5nxQLmeEwz-&O?brp&GvU#2qV z-va;2mdvCkny(($S0ab%@rE>dEk)$?D!tF{_um#x`~8us|Mj)21T|o?C3Yk@t&Ug> z%n4ba)Po)D%Dkn5NR0}*yXGUf$;f&MCyp_sNXe&3A_aSFtCC3>M4cR!k_~2N8V19H zmWEBi zK{zoZH@_i&c7|gjR<^)pOghAec*eAhXxM6`2`E0^M6$1@7*W9y!dDE zxI@5x&+2~fDSQ;l^*v9O|KEeoQK1t$`j6#reCfUKm%smEZzEso_1fW;_4FFz`Q>5e z|7R_^&pBUf{!hL8|Hz*Ci5CA8_RM`P{=GB;5Nv)-OaCV+{wL=B_Zs7A@R0b0C&~Yq zJ~MD+>}RLJO2lkl!fHST_rh{^EUCRy;1Nj{R{9Dr!=1?FvWztxA~BeyM;v1cj7^uF z##+`NXizD6%v8!m<)X`!!d+&7uYw8R0-|pgN-atfg?el$P$ko(3}|uLeE^nxq=_iy z2NLh7Z%MG3A?F5b(twh{Y8tL16dA)};3p3Y{vCnVyrJTq-ZB9z3h&{4i`V|?;Vo?M zKdx-=TWEA>?R;=uX~z*e&(7|1Dycz)whpP+1?p-c#p4KEt%p?EN$Bt2I~_U{Uue3N zU!P&&8S~XFsmQb(Jf0UL!S9ad+zp{;>zmq@?-%9*Uo%=51hn=n#iHz%a4P$Xe0I;m zFIfDt<$r#Ad|h~v>1ObI3)8WFgT)G$CO(5Tk+OkaJ|YI)7JK~Y%;^cS?(&zkK6kEn z?}--o4OhGqS2r6Y(@*ufOX|FteyHb#;I@(Xb%X*SxCeuo;w0qh&tYk2IlA~9{EG;+ zmVH7{=OVGFz*>5UQ2DHEiDwc^9!?4-Xr*0NUc@UTdWwzeIwWAp8nXO zuwde00#D&KC;m524wXw*yRv3C< zh+b+$ADY%fc`;@Mj;VgsYz^d#b6TGwxrv^b|UGwCFrVN8BUB#9&=!`=A*2 zS5HBXYk2~xhtZvmS!--qZSla$)}Y1|XJ+PrIzd~lrOO}tk=i3 znw_MzPNjy`o%Ay!(a?;v(%(t8uEPQri$<)*jSB2zgQny-Bf+ohPc@ZM!V_vhgvq$D z2T}7fsoT@K3~bV+2{<){yg&^s2OV%>jR)!CCNfcrx34IlCXhR{7()^MOh-c)BSX*4F0qii-1$1n5-Ed7cV| z&8GC?XrL!Op4&9W^ld^#@r7osqOd6A-PB4eebijX6HUY4=TKTRW=u-~_>YPWwwB&) zqol#;U5%LFla~x@#AQ7utvM5j{Anf9Kc&}c2=5ZHrbd(a!c};4=harjQNN~zN=A&^ z|8V@9r&Qx?EPInVL)EQfpyN~zg zi>VpbH#%btE%17BL7#PoPHQK$q%2vL(v4s=?;7@oaD{c-C-Tr77u9JD(oM~BsCmdkjH448%m|Th| zFb1AZ_t8iL&?ot#jd->nmJ`*_xM=r@R$sFMVbTa_5F#PX+VI<=_yP)O`^&)o4@i4l z>x^L`e(tOb(-@qRj#?k_)%RF}I6{Zw4ArDO71}^`O9bwG`wvg4R>XQRGaxnl)Phk_ zep|y;DQO3B$+h$WC@gAV&ZuxL6w+1LlmC|)#RE2d&aDgUlri7@oA}}*SM`Xj5`kyqHhYJf|F{u+gh=CtD zg8Q1yznAw>v?!I&1^czZV@X@H7lHu}n~Kj8?G*CXhG5c_t%6|SDo6c$oQyp&YvC5c zg_A&`5a{QK3j{Y3VPwoeUKp0%jJm4i6wV@va_p1l?}u_0kRk23GJlRC{(FL@(TFIA zfQHlGRt(0a#_fMS3mCLz1QRm1)F2CJOddBLzybIWZ1)Z{bct6qebu-=zsClhglaQYsVEYq0Ti8yqW-A}$QM&|0%Yp6Y(PF&tY}p> zW?2HL9Q2Q5)NtE4)Gi0Aa`Uu)s%H_&6aa#(!vB$?Exkefi=ca}3AoTiyWCESgv8`_ zgE2+pzw!$|j}in>XdhRh@)@2}c#R(HhlAf22r^4fbmNlASy_R2j+9wKHH0A0&il7| z<06~TawH*_JUtaV=-~DRMi+7x9J9B;B!LA8&KifOT|m}_46&QYj@c^5=GBBuEh_?| zn)@f8eUMw2IH328QZ3AHG3ry609n?zyk9kC**$FKzYvw+6z`WB@Tu}Kwob6WfqPHG5W*i$Tw}@ zdV7W5tAOSg;7ypyN)|Uh(gcM(@8Dobjas0@FWMr|&F$oAE{Rw9X&$J80xfa7tO##H zgrQkhbxg|J89eCR;9OV*P9sHpN6T`_N+>ZLEhV6XU}_1YhTuyXLkuwpp#Np=tbax} zf|h0Ama#^)cc__=h$w>so_@@g97MeXGWym}xt&Knb8`9Rgl+!PJb1lPk z9LTYO)1H7V8`1`%ns87!=yF{|7?q_n25&R!0wosKnh}51gsMJ*WHS@qiEW?!c`0NE zo0L>@!BHm^2?3l4irM-DIT090p<7UclVWcjE22>E>g1(=LV$))e`){+#Y8VSCUk8a zEEC5a)MufB*Tc)01W8h_?l1evDbcT1ofY~Sb;J!K6p(O>#_Rw7=Ug?4)Q~~c-EH?J z7qrAq>h$`ur%>(@TMJl0nil~D-Dd5@!4XtQ4UXf0NQB`C(cWO*i5kgL3*(ndiS#P( zIVWHepIFiA)3=b!FmRbYjS0B{TR_81I|LC^FqcegoE>zC<2X2p`&SQF6YtPnl7!s3 zfIk}u`cZx~J1b2n7gei;8@2;dl`NG--n1)EBOUvp+0UV4lWE07jZwC zAlK~QgOYM1USQ^Itf^$hPMajA{VDA~-E#?an#-H{y!v>xz5cO!TK3%TAPAjMNm##S zRnIpaF&pQ3<-h$V*S4rz2Da#*V4-E?SZ(RGLf>)^vmGJ6X5Jcl2V}eH>?d@m@gePX zgR?vpA8DkG%1+z1()_gD!h4rvrAD3gi4nSNcBfH_{L_4a*KuApYsWSJSZR!IvFnEr zgnJMftWlDii`nY+7*YRAJNvfznWk9_PJ(rPZfsy>%Ut{3IaFpr7NQbu&|dQUG>FRV z$!c*yt=@X|h)FTAUhf<+7sSZ)@!sjD)*W}^!M~pSx3qedsoiT&Oh4DVr7I?W_-B9r zhBX)ijNBR`_bX*&DHtiC1FqW`C9edBs?lE0GA-bQ)XQyF4ufGZRpp}osy*FE% zimsRZ4yC)-y#Yi_KPk?_#oVR}JjXw!=~Woin(|2Y4}JS4QY*OLpmvz^~sJIgCiCO;8u%dS=b;6MGcGB*G5-e1bEs(k0K zVeJJKVHG~|C|4`jFCXSJtTOo8qbYc`;UBjvPkQKbp8NAQerV#zz<1cH#UG{9aStT$ zRRVMQ>o>Cd+M2Iav-?2l-mx zL&l$6INJ9>^2y$yukz%;`!jqqhoDv2Rf2&w3HAJK1JxB#OA)M@GKc7!cyi$LEOYsF z2)U4&2~6?s9ewRkja-?B(u!a~X($9uS{vOy?`(qt?IEt?G8Ejo&J#hv!?%}`cs(4f zHIKR6dc*CiS|0QLmFg44IS7)Y7wGw}-ghUSg5C~idgb{1>ZjZ>6TS2OR%?!6f8I)- zYyRFZu1Ma}I6k-S#^Ka21D$;&^=qhA8HbK-{gI_q2LDfw67st<*tLq8U!5_>ZW*xV zBIO$e?$s(yh-otsnj@pAj958VeVKT<0KFi_Y_I+RKi#hx>$VZ|__5>o z>EX=}8Equ|&WsklK<>=9>cF9{O4Xw0O%((5N>zuxuTNGBV2*4- za0JKFJK9zFkS(aMWxbmqiQ{zO&d2{Dao1vrWBPCQsq%(i;LVw|1LH-~);Pv2-+^;u zGft3$RJzS^v{CyLj(eirC)sDv?F@wcMDDK#l#Gd{zp1(M83OpuTSx#1_}_44kH@~K ziS6LV)QCui&XI&1KGO8bFBoAUK0VgWHY=!@5dR~9zUyQ2cv}QjHR%-auh)&da;x9Dl&ydZwaahvnz+L3R zQSSw5E977a-ItnXn6jtQ4-P1SE+4-) z>Nd--FWqxT4MZTshr2c3?OrP=Zd=wvK4+_CRt6v1R-%qIm68U!dE(AMLrS8x0!eec zh1&WdrpgINuBTM_N(%UOTX~~YRd9aFqO4TZl{%6n2;RWY5Gd#gb>+oTGjn-X67-^( zRLVZ-l+g4u)uEM@x2mWW`mXDi*|nno_RR0J3`sXHpE7E$JXyG?S7VQ8_bD%PYV` z%4^s&PSuJZ;`Y~2e{=%f8IzN%$e3HvDd6hOsLsl@2+l7TPI^RKVuI8WV7_co*U}YY zS+_sDX-~f);r0ihYAoR&n#QD05BUo)N*<6-9S7 zQE%x|*$9GBBj8p{yz@t|LcP8(J^!!N>z~xd{3-SNUkK0tqk8>65uQW;8}<7C*G3?H zdk%0<0)J0-1~C19DS`iVOa2EN`Msam$f04MH0{p%$GWr6bX!f`7M|Bys~gryK5Mau z?%HcUXl)IK8(P_@P|WP{51|P=Tu`=e@-sAq)h)}Rn@!a81xlQk%_Y(Zyz{;2r z1HX+_&FcQvYKvKIMrfhE^?K2~N8AiKH0M6)y2sqPS-kWmHT>kQJWL4%bYvjQs=rTS+uz4{jm=J>R}*_hPAWbdVs19OBTN{Lr|10r671<_0t-(p{M!JVDas2;FeQkeNG=u>RR}Ez1xPCg-Q}i!e5gv z+SXRWN32}*HYY$lC02@lAF4V^5Xaq|9Z1{#3r#Qh;PKBgw2;whbAvwCJV^~K1`%)( zNmB-*CUl{R(cd%Qa)ZonDzP!^c)=nFI>KEv{o#%83pV?NpxPR3$EYP5%~ppM%DPTQ z=by2%IZ8$J=fG4S=2j*SlNt0Acq`k%^F40aB7ZZ375sxJO22{dE3}SMok4ybmh`-9 z2K37~(NWyfR=MgoY7Ohgi?lpN+q-l=UdP4XiiLk&Gkv43x@3Zvs*}A7{41s-KYvNj zAd3M@WZnO}f4Bl-MMiBTAexo})2e{dj=PLovI3}r!GWWOv?Dt7MxYclE^&LXmg(mg zxm9;key^aKp3szwh@WjS!I5@w<+YpqYT9RwU~$koftsvtFzxI0aR+UMELO>3_1VkP z%716=U7*~!@B7{v5M&^kk%19*HQH=x42Y#ciHb>uN*TwgW}pED(Qt%iKxLz>BP~tY zbZRG*ABoOs5@U7;BGy_~q(a}z^fXtZN+oI@wdA?qk_WW_ye zPW7+<(;+_$LP|$-Y0sL<#AK$qt>^qSX?)ku<#wgQEYQEdshzIcUO#o}ne=B;*2}EP z@^r3Oci3}0_`DP?0Sx;2nyW4A8SoCq#pBhSQtGzi4mcl&MT>_8J{Xg*18MEq3zklG z>uPW&&)RKiH5{ii#aO*ub(E&H+4zK^vfo^89?9O9!PR4#Y?AgDXfqFs)+URZOjP_XczM^!C?-RFJKN*CQl7$DaW>3%B1@e;V-3vpzh({LRnb0H_nr z-FygH^!BHlo|O;6;GIEyn=|ch0#3`@6@=3Z8p}-z73Y9Bd#quhsLb50rR4{TS@xL$ zm=Fq7W$H!-j7i&uuzhvjET`3EV4!&$VZuZFXi4q$!;@lY=loL~noy}ZX`sFM! zn?SU*&$4>ZP5}?`*ZfadQgbq=`Ug?1hy!ydf#i{YjTd9>)|AijafKGz4iD0o#U#r+ z=467oN~*vKO7eq|N~c@+#q;@AYWJ?jqXl>uTkra*>kBnb6Umh@Bv?$fbOdHnYI>cO z$8ibCi~MvBd`6QE*0Xi!aDGzFKQlcn6muDn9bLC2!d#vm%k1DL?k5phwoWgJc zYRQGV&L^(?N!OaIfD}}+TfAs4<&~htX-vhQ?6(06w-0)(9CLPDo~N<`fL1e26uXv& zb39DQYT0~^Bywm%s+1-8tbt>4ZUq)91rvakBMUI3P)S=nx$$;?Jkt&gr4X>mCZa?nqS6z(UElz za5hY^x{c+!lYx;tIAC0j9Q#A0DemR*ckG8jl}rOU|K?Q4*9<=p7z?2T+N?mxlE#!x zdmiM)*YfPmEv48h1bL_nuG(6*Ihrob3EmW9Tjn^sf@kl}U)j@ReJ8ZSb`aDS-Q4MH zMpYGzUay$vww|_vT*QGrwC?iU6ZHcd3y=(kP?;N2ify&ie2QF@cBC6IUvmz-&4q9z zjsh%?1C7tS7SDBg?q@c^>LBYPNq!2^=PDjq%7xiY*@_J;3fbnpgvgNqXzL__KivkV zZ)lj#rp{Vq%X4SSVntNuEB$qxa(PGRMmHm>T=}zq{Gh85GPV+2RTT>zGDudh{2(21 zibzOxfkYvSX_G5khXcR4%u2xdyyeBEfKE$|M}4z7l!HM~tT=!f*axJh;AGq#nO0;6 ztG4AdzwLhkg-y-z=Z@6#P&{{#tr}DOaz}<^oT#NTRScn(kEMM)t`&-*Y}u_|p=k4C z#PBS3`S>AU1eQk*u1}#9!UK@M%gMBCQ63dU1itnsVUef7QGL+(T<@9tg1P(8=Fd%~ zPL&Q_pKC;O&U`Uf`V6Zq&Cdn7U~kE%ZaBBpWa%nu?DTI2^G`pN>0xTSekwS*_XG#; zb68H*na#69utJF0#pE@29f`cTnNQ5TzI2f1MeYb=i;}L|>3ln`%w~chH%yS=Z|x|Zzg+Rxw>q( z^YNqb%JS*f!tHeQkOL6?;>nNvlKeY| zYY>3Cxa%Z`>ZJjGtIoz(OV-r4QC)XL_~-m5KrpL6u_IOP|3JR+?3eP@Q{bV0lRvh$ z@WNuIy1>qv@CTi!U;CsJtZ#eh`@v;DR#e?>`+JQL;PH{O{pqKvW{nFMJHhl@?H}|H?(rgl77O)H?&mIm zj`sUDw`=@cc=toRy)b9D_rEV!Yc`o;JG?u$uwJd^7J9;Y-v0rB&vkx!=7%c&LdR>o zId_A9Uxf#c?oTuD;5nB?BX{A*Ee?JR2Yzrr!rb_XS2!3xrycp7pbQMu3104isnFgU zzw|GKPZz0OtUq0(S~vsD=~id-n9Q*Ce4cIXm*2XsvB$Hl#)TY!=@IKQi%{@0O}#Bt zd2{R|8@X)rp*@W^A$F}<&BawBh=uki!6*7|I{Ny|mPluSAN)D1>TH=_IJe{j^`y43 zQO`pHf3?VymHh(?p?@Ira&Nv!g<*<8UZ}=Tvx{7)MWYkkv+OLabL7CmPW4Qw1Tn()tN%)CUI(xK24G2d$w`(&gJtow8scT>P)g@?{`kT5pnEcBkzSb6j%$69a9&PGphvVug> zdF%2+3@xe>DYTonv!kzqXt_r?lP>h{cdF^e8LQN@8nCD|myV*md{O)TQ@#$aZqI^= zTBWlX-c_4Al=}|jFS51$Xhw)#N@HnL?feVHl){DpzkGZ-s;0F%TnDS_v~0xeVrV~= zbFCSVq4bM}N{w8jG&c`tL+CYk=%&=gLz^2ffz{^V>%p7E+(T1#e_`qlJ2-UTjTTk8 z^K&;j3u|F^;or>7q;@dygT)bu;`R30cD9hKRT zCZU2@iF@=KM@hEOJG;S6JTE;^}Mlj)iy! z!RJShFd_<4>zKY~&*}w46yN;Dw?4{w5N)FLHi>QKq!&j7MWBvF>-?iud=~#r#1r8& zGB*=;fJY))j1o_hAzC64jl;d9*K;WgQ1F>8)`>?ge&L)6+%s8mIu5JAs}##HzLpNy z;8v;@Tqk&Le|q}WfAaa@^~qzT|JK>f$uZJ@{{hh8f7cx7ppw+VS=6J)ATIs)PLcix zHIf`HF*?uMoJ?_e`SIY|a#k=&bAy>0F$P zyt$C=sEs(J&L~v{{h3=VyZ$Wkj1{a@GKHmxho&swp5L8cDYCAK9c(Xea>-A8cfB0n za>^}t$%>p}Oof9}3cglZsy3D%`1ff)P4bWKo$GpjSgBF!6PLPSBRWfLRJWIW6m!d5 z+Ur?!wRx(3DSmM?(_ocWNf=33W=krGLcoT-@LVCNWKJ@mXvr$v*nB$lm%F7MyBt{-N4j5LQ3vP$9Q)JGfwaDoh_Czv8)asdOad+~fx<@$kI90%6dRwUV1OfD?uw2aL8ee96ZmJK@`Q^b{On;DJ1$CJ4bC zkQou!M`n=Q70H(^-6SeBrL;<=kF(TZycmv7!g*) zU&_t7q#@o^cwf*s9X=B_yL11{4fEF%9!+hl{Xi7Q$Gvl*6>y^Y9kb#7e7JD5ec!pz zU-;G4;I8~E0DCizLNZBq+H|z{^h?Fi38P&HYv=RP?tB!)ko+zX-MEbTTFzbnm`9Og zJvdVidSo4qUXg=z3_Cfn|bWaEj&6`*%fv3oX= zvBwp#7Z4(7dBFkgpmF?lmn7F|MHGg8@sGEXBtKjNna$QnxPsef8)RjTfXpYSP1{z( zBdoOIex*_i!~EtPQIr*D(*<%5d2;w}j*D0Zn$c24 zg=dDvjnesiBgU{ZJ;sP~)p|gL3^tqjC1%BB2ovCfb>;GQ-ecy6;swH7&|f|Xh)I2* zw7FDtmNMQvQ|~evzmlT45=X9j)ykQ@qJ%|}p6<37JHpEUtGO-u&p}|$yUa;Mu>9*@ zE8AfZ_?xW&fT4-hgbmq;bm#}bqbPsWco9Q`tPmv$h{eR7bWb|%{cLk>-HsVTr8u}v z2#gam{z9t2q-mzio4UwE9{(}Nn0Gh+#=o%fizVpA9VK6npk%e;bR2Urft$scRkPW@_w?jPZLW=#_Vah)eSi8BF7dy0h?A{p;gfP8Z z@0tNZR02DUo#B zX%sGHodYdI$T?z)d-vw8-T9VnroaurW+)=!$aj+MA3CTp9)#PQ!cxg9l}c^ww5K0R z49}jbfNZ5uk#x!8_lP#uw4Bsx3ZNUsbJ(}QV_;gg#Xf}4I)`k+ zC?+adQ5?b)QlI_)x4||%A%X~dq(K_m!%vo*?KL*EgZc_1KIAP-LSQvt9` z6bFr3*o9a@e%ZE)3_cB+J&U0T5yeKa(gz+UL|8)NZyY&|Oin3KW{5%dknHq)4Cqzk zbaw(63b`}XSK|(NYlmBL?iPd3qIuixl3#>hLFlKaGyCYR7?kg^Ij5iMWd>LB(sO2|Dy~erhBJc5h5cImi-gc@N#+?83@a$pF zaqe02*5-eh!?ACCqmp~I#)E7Ryw_{L@$`JH{;_g?_BY%Qv#Vg|lE+htcXG7XOltM; z;g!R|g8{zk#r%2fpVNM|Le*-^UWp!d$v>7)u`b5RIx&!HreH@ro%|~f$yd&A?&aUK z6)y1vo*f1~ZE9kN=PJVhUY-D=~e%fW?{;$I4b2H|2i4C#aQ6u$FiuqNr z8VbEABvegeSa^8t{!iC4jW@kj@S`4i_DkLQ4{rZH@Up|VQd0NTpD4cKPzKfio6?S3 zon!S!N}qSag+IQ#ax7lp@pjv*2S5FsYgcDEU9w)Cb}p6Yi?`-haywtlJ+>z*%y*8* zEeZlN?pJPZug6q0cCydr_@5R_+c$2x1gRj>5t z_wR4~(!UMt_TukP0kIvS6!Fa^c6?U>tUltY#!w||v*15e(LMW2#%YwF+8$Op2lYGJ z2SdQKSB}nyGnZF5qtRJA_>qUsdE1_}Sc+uLZ%hb9FXt2W7N>K38qo40tf!=eB;?a zU#wVDWCZ;m;Q4LU=^GbHbCg07vH){XL1k{BSPd9MW9HFr#auIdYES=qp?&9`%00ev z@J%{p_dVrv)gCZfdF~ZP%~s!A{&aSmXU(v|>uMf&pi-N0UUcKu5%#wtndvrQTjPVR%fbBqTZ*p-^M|SbYpYhy?an=+`n-D&f37GIc~1$@=0g<~ zHuAi{BhN5~BVUVxx+?UFQ~}2Yo-l3X*`K(+# zU${EAxe-FxJR^zojdk!sOgDk1KvhJA@;x5scjzWWpiXrk$n5=}rgZ9!)}|^Ia;}iR z#R^xMrXuw)L~^DOR(l?D$a5rfAY_fEfaRSsakOlhV9Y{L7E>!I4%lyXD>X zL#3ySC2!{J_Wpewjlgs3HdRj_x#g8-=ZicBu~X-8=&o&T17f2@Gtax5;XH*XzYiA2 zRJ)-a?#ne{hEL^Uu8XXj?jn?8seLs7x;jT0+qrBC9E_RV_9#vk_02lVL{2`tye(B^ zaz<(+EJPRI%s7PisdHK%R&2n=F(A#jUScVR=P+H!;8AFr)7FH2)uM`U%*OI-9>m7R^3VUMIdGQexbZx<%h6lq&s+op z4ue^Gk&)fvMQ6R+9P5~~?5%v9x3kW>yr-QRj%zKJ-w6`OPctt%Gs|9N{&XGk0CzjT z(8u`YZ=CqCf)pAZ(9S9$RNXetc*?AU$VT*k_sjr=rM%!W6RWJPflg@ln&J6~(YQ)z3}UYa|@Be(+M zX?EVm1U6CFi%OU)DgqqavyPR+A3MqddDQbufm6FaqWR|z1m#oZn8Jp8mkGaCoy@Kv z?Xig{bT@u(o-C&w=8oo?0NKk$uL{z3*RJ`99I;HIRI4NPJ*>7$J4nBYo#W|rj}tSO zA6%Z!usC&KCQP4Y{TZ&L8iY)%Hfd^&mHntoaL+SU*g$5TU30FFj~82qBa1?cY-%;K z<0xKv*e#xA!FeroL>^Nbue6E3uML*ifpBYAGv!f?ppVp zMV?=?d9z59| z9UjAX*3GWk1$%l=o}|p#$wpcKmGbc|*)o-yal}`7s!etm3m5+q7utP3w?_+K9{Gan z^mAdjlT<0&cl8`3Xa7tZ7 zYB=LCAF+UpL?LbLxXmD$>BtJooKl^$fNN-p%s)pX+f#6)xeTniWo3(dV=B9qA?>E) z!2jOs%|1YmGF+wzh{wFMTQGhfD+Z{IYyI=TAUO`1h?FJAUgY@2orM&KH8{@Rj)QLX za$hPkhhk)ek&DQP5N|A?hxpZPAgA|WGyL-FC7tm{Q7E&8NGoh<4z0T>a5>#+z3y?K zO9yG`6m491=H8#PixyR`oQ_zux7$#sRjH&b_GhN8msuURg6&S`sS?ZGR(wmG@{8%> zEC`|nfia{$oKW#tepjkki6KA*J-8XN0m(=u@ZZ&Rwv@hUdm*(w({j_xp7p8U-EW@^ zteurK42!eNsoEN9g$x?ty?Lc;wm1%`~V$XSKCxUzh( zSP2})u@l)43cJb%14Wkak)|{3V>Kn{j>kvO3hN;6Q`~1_D(wJm07HF zteg2Dq~)q(mx__oitWHEyy)>b-oh(hkg0G!X#ko0R4BLc;4)*nHF&DTmY-rXKoqA zOBCmd2vygqSU0AMyW5aLzpeu)ShWhhz>p8#gZvFZZ=)WI8S~LM_mea1N1HCZs+LPN~yw*-^_bER!b#v zehC%LdXAUUS2u34%H!`Whp}=SYv7~X*5LY}#hBa97U?v+J+yM%cUQ)$MY}u8R$=3J zE{ZbT?k@}m2Em~r)XAnfGK$&-HA_x@AYEh;qQowC(d=tEMXT9pxdhF(=XIJ=kWC@B zke{&GcO%Z=ECipcm#W!E(ABVTb`MwHnW9U$f;aUA&c%`a|(KF08snR@P|AvhF=KM`YfpbDSovz4Nh8=4U%r1ZJ3&pCs) zQm`MaKu)A7xgq%i=9=r1kFV_bV~Cit7>zXr7p!vW*3fb}$2_K!7($ciS`87oRry>c z-Lfk$6B-!-);Cu?^VQ)ZcaiM8FS!fpvaDmC+Vi;y31{j%?3RxBOVWCwyBsvumBH69H(*=W{d)sU-``yg@fq*CLhE zkk#{%3~io=Dl?|xVcz493I*KvG7&=`rV!Q zu1D6^|+AEfRB>u?J(!KRde^)2#-ap_L`_{_NBgI?y zmy4zEjX!dA&u=oh+>RniYpH@tvPc4!57M6XQa5_ImSbV-2dv~z2VMua9bE(*tb^CL>D?;cLj{-Uv|Blx3A=u zoPDYo!Ij?U2;53h^ zIdwR^ZU0^Wva&nAt@pQ^=J^@_^B4bg<+j6z%RKD_irf zH6AolC9=a$V{A|y$7{J~bI~!klcPXk)jOLjaB8}_B~n#wXnP@#x~7Oo(tXA3j$z*eps%=$+r&&9(mGT0b4(xyOv+5~PNf zSS(Xx<|cFFLWdx%1K)wMA8{X{|Km}?<97yOuJXYL;6W?b5sj>G{8zh;V`7F$8$PG4 z4#Z%Qg2D@Z-WM)(MFPl-H6OkhkGTgeU|=K8n5K|bL9dT7h~vCF(Np3<bc25LVWD%q)|(Py>eIFCuyus*Ck%zFDu%>NkyJJYmF_Bf|Jla%>1P z93UdP(21iqA|J!+J@f=jO=vV@bJ(c43OVM)95_Qk(R9td`r_-2dfY`A8KJoV5W5+Y zqjs{37vgH2gI)U!+%!9yG5B{ZS|AUwNE$I50m4E5nJ7jC094)84K~KR(Fr3BGto#S zxDLoglCsU6em19L6yEoHAeJoA@Ojb^f z_=<&ji?K|*FHre>g6^n5mtc&Alisj4mpTj$D|Nz*_`82STVGgXmNaN)=BE)5&SX$m z)rC0fcG=Noq*^v%@IsxS3F^*3bmv%inXSl`z0}#m8DFT12U(_tit`su9SBK;MlN(1 zg`>^+QTu50n)|kPvlqa9)sE`rH-QJL{A4dnV zMiQWf&IxzrGP4$ocn;w42=2fH)F2(?9(ZP79tx{Xs!xi5+GQ8 zF%G*JZpvU04X&6NiQx@*n11=n+-Kh81{OLlioL8M>0}V=eKdCwA}|WN zH5Zu+#R;SvC&DNuOCbg7vJF=;{RrKz!XMXB;Ll5D3{_(*Z#)yY&*q$Wed)R? zk1nCHA%CF-L*Xn2W5?>X+Ux>!g7ggEw4t}i3`rAbXk%xJDVHi6nRpD2ubjbcoCGU=5Lt?fNT2Xsi8pP0+q6dy|;_x`dFM}Bb6!)N{;LdELt1W~wtVD$4 zj>)^AG1HD&%NS8Z1_Be{xX}uIx6i0*NXeOAy55Q&g zh+$X@w<3!{a#QjyADv8kJObnqlv#n&s_eOEQ)n}o9n;gW+?B|F48hy@<(;_0MdWqR zCP0E!W$@jg%64Hl&xt{dY&18S4e=BCK$@B7@IIK0j%5f4FtYAUP=1&wsfCpnd0RsSGoy!R06YHjfXB{4}~<31!v#vKr47@IK~n3RnN zl@tg$Ffu6h9+vs2NEu2L)W;UdXP857a0aja@%7za6)KM`d>8^KLA%D9Jiy{wSHXqIY_zXl<1h=8fr!Ao&l+=|d=$uy@*d1POc!3mCzwmb2vy~Y?KQkWaVA4_|Musv=K}U>2nFvQS@+U4>kIY%LLJs{0a`I&S;7=EBYH%iCR0gh!v`yo z_q_YOdpY8<5uMfN-N;s8HWW;*p|vyaT8_xzc_{X&ciRDeRWy=Q(Tm7Tsmr3cw-|SO zT_d{UX3{IEGdVkEM&C6zl&pq)}Y? z+JC-5a$tN+(iq_(e|mm>ah+hKDznBYxO3PS#uz@Dz(E1@=wsp|h%M#7{j5b2Jw>Xp z2V*hd2Xsw>{_{K+x#M6ceqxJ~HkMO|?Q4;Cu_H5$m7-b@_o3;IO(AN)uP}$GOVk(* zz)(mzx+dAF(Fvmlw5K$7Gy;TM_AkQm%SvFXM1vIu!4QU#pb>9Wl=KNp9h1@@j~@SpZ|OGH zOmH`}Rb-LmFIXVlMZbB0HS2m3$&Q&WF&16u5&;_zL)gM|uJTyf=;I8bWg`S*E1`Oy zh-3lOCWcUJ^PLC&Y7-&wEX@s^bt42S52L8h0S$FRkvtR^(T8*85I6A~1A(m?^FRqA zzH^`AzI`rvEI)FAqbSHW_X_&Z5BDD2G7(OZ$Adefi`2snyDU2A5#cuN?gfxCH%-?!)kLFfbMPRGb3YOun#9#yF`jq;x!2BFoH$3?=3zo9xMzAJrya~(j;W@l0 zTU00-5~e+PVf{0}PhHnK_N8wnyfDFyEQ0x7HeJj35Q+?ZHV*(|gmyx8RPm*eVEqQ+ zCciKWO?RP#?W8sh1BTuNXoyL33x;bZK1Hp;O1DwVMz$3_f95(e+eOS@GP*%-83fRf z@Cq2LOK^dGV~*q5!%ovT(dwAKhQFA$OG@ZZ(Ei2F#f~u_%HupD9n*zOElFU8Q^M9R zf(?9X-)3b(BhmNM0WqIK9J1RcMjQ|btGJX zjSs_pCT8LmS_XZ|H*dq?ZPS`Cmk)$x^qY)c(=hVQxiR4cFioXjet8Lwi{@v*RN;fsf-jS-Se&whKgcs!v>O_hdoB`SRwyg+LT@UFQcFx4v|1pbn zFLF3Qf;A!z6ZXnh(j!rmc}2?N6`SW_MG7ST;Q!9n z)6k2*$uvUaBC*oV3wQv^s5**KCW3cV-BgJUAa-*X)*Za{D+1WsgE|FN6~hK4vJc2;fj^ z_@c0CCEy_ojI4e212@WDOH-0*Xjw%zoh&t9U+9sp)!@Fdb)%_c@yPmw=_@K7eQcbz z|AOn0En-nQRNzv_X7wIgC)db?(tG?MVe>ZDb@ps$rkK!?G!q{X8v~4V+oY=P#X63y zCtrm@8FfP|3YjE9N^*yv86Dgt7tvQbqu1&}GyNVbl?{l981r$dQac$I@jp934go>iA`t-|;-je=G zEuVJLIzc5KNzthH41sHn?DP~@Xsy9{@;$cI$G?o?dAKg}a|T|gzerBD-XoJIADFlLHt*thW%h|yp%1+Gburpecp({KFZ-w<#spBx$# z6H0`LA9(-?D&Fow#4bk@Yfl(k=|U2t;$tWL9I+{5rxZ-fdJ4qb1Qe0yK^4OSM$X?h zDLev#Y24?oY}|a4)x&;i?B*J&9-%}SbjgLNLCTMYn{<@mh9f}1%kn4 zorndkCDw6V94Tu8CI^tWF_)=(X#oD8Lv|Wm5Wn=BZ|?)ii zfQxvMBf)`%&NqJdTcfH*EZ?b@kpV!<5b^}=S~$B^^1*Gx8DjNh^dN|t>uBaCC(E!< zhH?o7Mg()0utP}}Hp;|6#V{Nl`|-#&t2$Tj{arteIBR9jv}F)G@cym>^_ZwEZ8vL^lq#K zlEWGROUgug3i~%M{ubL0Ato&ix{ya;6(5L*H6qqj*^EPOE{VHF3~33X>7Qibnpjk{ z$r9Wn3Bq5rP%}*eA)|ya4k$r;QT#F~2v=b<5Y~;~J(}GbaPz>pi5W_<=z9@#;zFBZ zaYn;9p!7&2m^4(!O3A-Xm_=pf5s?SU69Nc!sy~GxG9uwcg7H^yf}uIDT}maYXmm7b z9Y>5RNEglO%wnw_lHM=?{hd%dx=%io8DwaBC9}j_C{eK1WQex8k_Q&N5eWT0z|y%{ zgd`RsH0inpl=6$SHeH*R2L1SHJhe;%$Enu30Q!_!NC_qb5PDVYZNz1$%^HR#N?Sa& zZY2jWM>&BOGh2)x86=(@LtpFI2rl{7+U4JRTb~dBs|2Zslwbn_Sn66kA7UJkQhmv| zC#WD1PF(ZYSQto?XlTYG2p22@|3?tAm=7Gfn6O#mOn4?y{r_0X+@#4ZB z_(yN^1>=j3hCUKQJVTF_(^+@1!+O!;S|npWq5Axq2t9hlH@LNgv`|F)?Fu=z*Dhd9jX8Q3^CMqsv$4Czy%Ygh|LZn^@$6PpVUaY183u~bf|9@|bTI@Nq?bt) zNMe{=J?dP55G%DTRxyHYF8Gr4Umh`u%b>i>Fz*j4JaWDkZM^5>up34W(;qAVM@Jwi z)LO+6$r%_Cjfn@tDdKI20+f~!ZIAkvQFaAIls%S@9wtMe)X;Q4E)ifVVi}=%6d#WW z4IcjBbsUm3cBlj;S?c`4#dTya=r7b2wa9Uex-q)0g*=Q9=3zJ{>7cc?M}QA9N918s ztW56(TUWr6jAlW!jD5iMk-0@1m%lShJsa~H371l0V3^ugP?$asR8m9&DXy8jte;A~ zAfghB;Q;Ue8m3Daz~ljW@c5EBEoTqwo5XraS(&(Sn@N)5JOA))U1Ltf8PgVlDI3Yy z7#3BTzFTML+%@V3MdxQY&ujdlA|v8K1*MPWz6Q5R4+;kA92#Hf#leArOMa#d?VJ13 zM4nxRn4{SP*Y|5_z>v7_)>PXy3f9z-0r*Uq%=E9hjjyDMFQa#A+=S&+xpj%n<%uD| z$N-T=QtKw)G=nMJc<-$o5myJy910iSAP)lDivmb5bP&=rjL}4mi7`$9#WRvIHpA!x ze-v>`_hItj?O~Eq4@n>zN`wJY>(WAi%b2F7Y2(MRyj3ajDAbS%G-*Ol_(&7@m+7x5 z5*iIvBvH)62T;%q6k! zaMmG7lqDp^W(#Xzla%i;pn3pdj3!S=AQB<80K;rTn<3FKy2J*+v9TG!WdJKc3lk;} zC?eAhWl376aoVf)%EotYhcTfn>@YMi87ux#^@jxQ)EUGYuot>sY(d7)p+ zFNSiMl9I6?s2k%p`3zG#))SiHT7o3$Nec;~?iHX0^nlRZ^q>?a6SKM*yOa_Uro7{a zH><40%*I?njHG})WbvJFVWB2o^d*Utf}!99+jI>ZBo88j*6@IZTeO8E0L9S_= znIe~MRl_zY*==+lxZ^q|OMEu8e*p`bbdOiYJhoj*dtf^DWB6jW#Ah<*G|y*BTO}G6fJr>F~wQJS#`Xqj&7x60kBM-54|{&5%Tb;jF_2{t^_E z5)Hp+BvMRn;3Y#fexWQ_A=P*APi17RBqr&zS==46Kxsmz4Rpc zSCFcL+#0|3F80p=%OnQ`G}c6f#Zpj8+=Dv`u}5DPkjC z2!QZ#(ZlsXA!u%fLy*=G5LJ9U{+>-yb}LBSfRGfhr32O|GJTi)fP-*COcLTTAp?vQ z4k$1|6-U%Jk_{$2uy*`vPrKuHo5;NyYr@}-~w=_YQPWL@A9g^bC1+>rN>xJ~ZB_)f~3 zx%a-YIbuml$~BxJq8FyM+PhFAHmNq*44^?ngi-%=uAj}Z0HY7Ng2po|5%vfC1}b2J zq=CT2Y8dQA-WmF?~b>nAEvF!*PM)#0~fI=q~w|3hEW>^%)Oxwer;J>tzc?&EV zz7Wt%n7J@BFXmdp9ww34xJ*d?eWmXW~)U z;x>DI5l4T9eaSJI$eghWav6Ot>BnZT1Q=Lp0Feq#TkXiNTa)V=tR4j=%D`kR7Dxy4nRL^XPu ztc-xMc>{8z%P55$qSjJ|@ikv6d9w;+i3YM_l|&_ftee^9MhJ)2#L)_gBnv1s@ufE? zK365}FeETGSe3;@1aUy9URR<*Zt8PcDmsOPz=Lnso&E zp(H{QoWPyLQk>NCZ0==Zkfz|_l!TEX(u?qdQL-R!i=m*F3f>)$J~f-_$_Wo?2y&ec zK#_Hp1R@|6gP`O2$%|up3@ye!7zgQQh%_E2_$KId9Fnt^o$Q&`0||}N0UNM6qLaJ2 zz1g710lTm0Cy^)05U{*NgEfB^^-oXwR$P$m4HJe21Mw3=f+;GHjbY(d1V18S!&F3r zgf@5@Krx57bmO7l%A!md;Gvt-Dn%Msul%rH+u9p*mL5n$v?h=k+EI_vx=cJx20G;C zuv`vK5SW}vunB8s^{vuarDnPx2$T)UaTjwhKo;@B>hl`Y73q_Niw{V!xIOBZ zuk>O`G$MGxt>)HQj~RK@!t2A&Hlildb$`clDmO-d7UKsr}F;^5D(~DQcm_qQzR1iGi)R<=50h+^qa9IdMomdK~(w` zCG@Q+#xs@;e^s?M7WQQmW~mRXE4r8vNaG&F zorJ6WCqXpr@`k;K^1?cWhs0P=u*gBTe5tNkk1!o?d{GQ6Crv)Sr-GV0;uS zpm7sDV_uAP!(m+eg(5DTN`~qhW7(C@{;giAyjfANSHAj-STSzLI1RcbokI1ITpK7l7E`^_#VE5qeW9xn6%wZ$XZK;^t4-DaJP+B@HWHNr(7qJwF1I(x+S=DI7Au%nIwJu^U2s zYVZz66g6P8cqv>M%~wPhgV|1WG_oF#sNos=OYRd2J!3x@LFo2Y1XmK6+{`G_0Qp<3 z>cRzp)iiQUWH4$NS42KTSTb0u9F2t*V6F~mxoQgYaF)aEHd^MR1($Ky@A@L5r2Z3` zKy2y6tmbxnFbOv2_0wJCcT5S*lUbS&Wsn?0r(wS)OOmk7*Z@S@5($BnjTUTkiFD2) z3{&5%nmqpnt2zt0hO@Ej2f6Uz1V@%zv`^*O+3HyYplOss&W3 z>3Pw3hmpRskTcS;=*j1=lciAkpPH3ap`@WFfDo;EX7tY#I0^BvecT7UvYkc&$AAO{-Pp_3+SpfXN zO`C7 z#D>p$20TX+MsvqoDOtjwz^sV@BryOFy`p!s!VMy(q{(qxgH(Zw<^3Y!6N(Yg8?Jl& z2_9QxLfVn0n{D)AZI+rN5F@khZ_KE;J9r7V;wFWkj1sXKf58+jqICKoB_m*`8%G5O zq$i44^upmmIei9%iaY$H6AkiGlgcHEM$C@L`aEf&Jv&c;=|d2kg^>Qm0?Wqv`Szo zPETruSyeU3%|yp|Rq&_B@GWeB#R52N1S=4VB_mS+PBgYp02ay13b^~}U%(WB%g7ZE zd)C6XU<-j~B@DzNi?hB{CqMUJnTyYoFirO!@wx;zMAm_#p|2(IE6G~u%48@2tNZY{i$uR0b z3IWnKi4D*O-WXYuI~sAHF=7c_i#$nqpyrM257rXlnC^+K6%K_x_R_aRD(f}8O{gbV zl+AC&1!`jBHZgVFD3}fwBlF|05Dliz~aBQrF1NLbQqhsieAW4u38oXj}n_oXIG{n}s|Vn}clr1MN&ns4#r zkifcyx&nvHEwYbCPk!O;isK9w4AF3X+Ig3zmXRR=h+%3&N~@8($*DnUOq+0sYb8t8 zi@*Z<9tV<}rdEl=I9NvK13|(Gaj?v&J?@GnQ?&od-=c_T5x<7HNcy0dz|5AuuaT#&i%#vpD0%KY3@CHB#uT=+#Vx6VQ=%eq{?L>y|7ZNkE3Pl>8(K8zM7` z!u2hbVB>%yXbGKGaEGqiBvDKOhTwQu(1!T8o|B17%exKY0 zQPTx&CzAX$>xg!Xcgl zxmc^F$xM+ldEViiQEOex%!iKHe515;-E9GZQz*fvQmp_a>^5H`BrpnAFdi*YtZw|( zN5Q+9FqA_OlGYXS1rU_ANe(Yn=!JZbUM8N#lPl~S&saecZsfs;-9`=t5NOp9aKn0V zPlOb%X<3xyeqU#xP(a9wSpy&r5pY>4P>}^a064s zQN>7?`5_suOuP(%1MU&2P9yr}zrMcBq5>2f%806Du`Ky~eRgpT=rQO?Zxk~Orz`>^ zQ1as=o+Hdyw;IlpBMOMv+vNbPG4do>$$c~-``4jNiTd@-mFd%PmxN-lYJrQ=Ha%@e zU}+_eR38CrCwj$(N{Er&_-S! zE1^vwC@P2Zo4ROx#&Ayt1Qh<20sh<3G5P(v5<20 zkADt9gO?-C^3#ff*cB7@7ixW$G7WktXlgiT^-E4e$D-1BNGLfka3sX+G0v_T9MHo2 zhf9gD2r-R`*EqJ~GQR5_-^6QbyQ>L1Ob7DYiW)H7)LZs??SvhZF&V0@fCo7@2>f_t zM!8u=&{EP2F-fv<|0$JuBy>kcId}eY1pM__?#fTE@;In8L>$O0%vHofu;#ivGD@i| zbQy_)xQ*qM)1#ikOnyKT@!q(?Nc)Wq@sScFJz2;b`Ae9|>{-JkR^I12Kk96z4?cs5 zgO)HZ7*Lk5QT2QXVWgmg@8px^wP1w-9)=(Di^$h8A+XAtjk22!mC3A;6)XvE8VG?^ z{^+&$ZHnS9k=T%V>Xpe-_O27uLZD4K;NgqQm^If<4uyd*@D|MFbOzo5j3Y0K>_oAX z9?!cRkI#DD3HKWxdwUeXoy6(VFd~LUBN}40b{37URiW&JSCZ#~R&ZuATo4!qIh=S} z#XH1ZivI``%}AlR;Q_TZNY-w5)!R7y;}|an3PBSk@loG&Ad^Qar4enIKRGS+Xu^G1 zI+iQKf7q@1X-WeM+R{cC%m@asv55%a0BXgWm02MD)Vtr#f#WD6-zDwPua+}7pQ_$i z?{zWYqZ}j|K8da_r9NaN4fLz&vwiUq*{>PqWy-mYElKW@;31(rRl_B62(JD(Cs9&`wRO1bWqE5sH_%k9@ z4ClI{LoGzyHl3YKOPdD9TaUkyyX+GDQ>K*Wal3zutiy;Cj*R=2fn|W$Og7-kHDSF8 z2L{Km3;a;#N!A#uKZx?`SEy^UWVQ z1FREciZII3j%hC%Bla>T!>HY~j+`q<@kw2E1`E*6FzQE~Nrz5qo!a^@UsuSFvJJd0 z5T_O>LS_Q{(!F#NSYpy8@>+n9HYBbFvSZ zaO3ei$l6RUJRoOQJ!{FCXW!wQ>lklhoUx)R6hMiSuwDc<1Ln;*$<`^N97^g=xXEe^ zSe$J}rl1LlYvD3&=iVPo$){og62kyGqdcn0=xe<=jMP)JBa;E8cO^^1VlMi=H6e=bbE^01_SpsVLxPTv7f0zQ~)W7 z_@vE{6XY*b=dKBw%Ohtwatm9H$#RatRC65L2#=F5r8NmYD^x(pq_4mIdE77!4N-iH zIxaLzAYGpYenx9_Vq`M$jtrjb4RZ|+HYB-p3wu_^6cSOBL)(NLL_nC$LHGsh#4T`X zq>)hMvwwCy@s>mf^-Y@5rW=+lh>Oy6Z5ip(_(%AC})6n*2RE!y!Z`!Z+=pM@zUI%D%2 z9Rz*4fdM1&K(L{*fzYtznBWmv#6p^J*YMZJBZAO?aK!VJ_YHDP1QP+lgcGH(;bo8e zukpWg7Nuiix#8NI=7;w-^NkP(!E{u8r6)g*RA&=%Rpl z_!2{e^;0H@-(Cf;dNxPaH3ALg_OvsQl?qgo-3VysyI$KGU`>p(NGNGm1blZZTO2M_ z7fJ3DqK*5f8}Ukg8Mz&Hk0SCpnTL>IbdZy|EL@D1EKh^@J_!&LN!rXis*|Kb@nkt3Qk8$5l9$% zyJ}2>Rzm)0O~glCxGiZ})yw2uNSbi?upg%3=cEruO2j_nLB~=D+iBY@@K7_Y%_XZg zMo*m&;uS{Ejl@pzikuqI%EnLrAh~WD3JybKEgf1yJKDA81%w~Y8ZM87+qi8;Ya-MM zX%y9jio!~Pq%(n8^q_QnXy+5#)z0A1Rp2a$1G8MbCCmP1hQ$yP%BqYCt4lRM2VT_b zRFQ6F1Po(B!y!4shpRyvC&qx_3;Q6UCcE#tz*wT=lB-@?`7Dq0D zVdG=zT<{L)){j5ksPzI-bI=eGIxioNV0o)-{Ut;a+eYL^%jlJ>N1vFk1vSNyvw|_D ze^aujRG;Y&5?+N<7+im!Jh0oo^ed@8wiUcG89ybn1n3xgh8VPgc&J>zCIP|AnOsb{ z=kSI5XB^b71st0~)hdq&7}iP47@z?n{FA?PgK-WC$8;~;B^40{-|JO1J1V0X$OI#m zo{E<=GhtSw*E$25ZBfh%wMM#7@W@UEBnugEiA^h=k|hb#@CCO`Y)n3){}l}mzmnS!H#ktXEEb+2-O^r4ov?xMo6F?Y7{00D z1$&vk`iGBe`GNZq#_1xJvQZ$(dTXu9TWI=2rzdEOF3TAyWR}#71r2z+#t{<)uM#8U z-2mU&Eoi*^*v3k6H4_{kfF2H*y6UgQxL6h%jS>tz37jVp$NuBss_6h?5Sxr943H$# zBhzoPtic!fHw@5jtc_=5N3>ft`7=lwWY3@u_KigwoiXzIcHAj4fd?^7s632y0XB5w z+IZ9z5uT#AQNLJK)I~>C3ha9Zp{oe7R zO(+=-PUgZ~hOBD~GY3{#eV(G&3$#2%G==pTbtQJmEW#B;YxFVtr#>hHd6_^S-@-YF zYltD_AFhiifa4Z?`{l^<_P7ts5LkkcF`+^8Y*C<8LK`EnvC2Eg{52@5f=ZzG@nGP9 zrgol`L}%L(!E-VyPq47fxUj}750>ewrTwM1enD07vT4I+QMq)lU8^^x4D4QGfRn_= zcEQo{6vZe_6Od{tDiA?UT@4mg?1g69<$pj}&ktPJ_P%U2% zb?PW5ccB}Tz3`i&ed+n&MM!A^GYlE!6kgCFIyl9%3yBlWRM9R*A0gAsGd>T4fAsiP zphNKliAh?~12ZVIi70JaT#LzKgbEJ_m0Y6vUAqnfy>UY=V$mEp#^oqr5tr0#6f`8V3rfD?kQ?-x$VXh1WfIJNehv)}A3KTH$yD=$)x$1M{+0aw1mJ6oU zzZIDjQ^kQtO|DhAnpx4VS>!!>$8T=}B}AGAE;HHlk>$WZwq-ALx*?nylayY}+!>DM zJn9@_)Zvw1Ly?pR91~t_GVmcXZp=1P$F}`59Qe2L@GCX|7E&=LrVwgQsaTIWtAs)t z*}gHI(REQ7f+#E+^$TU>?lBK2G2w!N{Ey>1^%~BXUYK*4Kmqka$?kn!M^>Uiat-E% z1qpd-Gpp+n2WVhK%%9QpkY|}c!&KDXuzNTJScyP@>LkmWCNRZtM#J0)cfhTb)v=n2 zm~leNKm9Qo&gAoT4Eqzw*0HK_LF&Z0y^d7vdja3qeI4+)mczR}Tn zrR@FILD?;W1$258A1R@v3nq{CgawXvfc@!~z{DW>DiL-qhu}#8TM*}2B)=N%Bkv&XS9u0P+Mh6vx@HJY$x1T)5yi;{6q~?15z729ZgTk3YnQGY{>cAY zV9|?*YDPSjATUmAf{BUi$BgkB5`o*8ke_LR8YuBXNG4iLe!TO$I7DA*dGaestOTZA z-^#%iWRmE_q&t?a@x7aeKSLBjVsyi!1k4s(ybYFB zqCA;5CCzK4YD_hL{BI|qhQCC;75n>Tv5je?j33-XSS~b=PWa|S12C{fG$UOTO78yU2CHsbQ&#msBp3!_tEn+sh8g+f$I``aj&pr2?bI-jc zPnw%GeX;udZ%O!B{Q*`nrO5hW33k}Tc01zAg&2)u=xwkp@Z^5|H5i7q7S-B zG-&NDq!ef5Uvux01|XDgnns)egny&9F`$uS;;}k|X%PpFo2gC03@9ZuBUQ^#{qQ#$ zSvjHc89R&2B?2DLF?dPaq}@SfRBDJY(xl#yDkr$d*(^ql-xKVsDx7G))Q74?OV>)n zU53h6OxUq8zns|+(Rl06$b!8H7#SEQT1#X%cMx*ijiv2t8bAs%-n^=*o8u3a#%D4d zOO@T(*mdLl_E!Z;LrpN5a6?lY)v#5E)Bk*Fv8kK$sZgW{ed^RHSQ}=Upt(XGxg_o0 ze4CD`j6%Leh;dDR`gvMH4S^*2yKhbu8DvDhLz;eq@Hj9)NX6?Z9sMXpc&Ld^GB+U6 z7VwoQ=FM`y_GLw1x8j9i)9hxh)h^bDQ(!y7oy=w2m)=`ta&q}iUCfr zAO=NQ?3vmedD^+sjtv}?A^GT)>;d?1PqOo?>P@g$jdPJ3AW+PnV!tW&WJ6i0*Nr3X zDm_{lrHM~qCoL|cU9o6ER{Zd>igMZ=lu=AvwychU;=9~zjC-~5Zot@q4$YFy6Rc#F zKGigsih0a`1-uCbu4TU@YDsh8h$0fVR+jLP1f?=ZeCl$^m6QEXNC9K0B)VS=V#ZZ4RfcosE8lU;xZ;88J4VDU`Fsf{qw)}3K zO&H+fQWG&=PYQeWBO^%D5GD*IG6wuD^ep^qm`Z4k^xn1s1418TiTz0~@#iGxxqGYhbXk4FjYPGvmCA@TnRp~w;K#KzpXp;i)0PsbqN`V_ z1&zo+_?>^~ziY&@h|I!x)yomQtytq^rVb^7$ zjLLeP&bMuNBEq+bBH+oBo#Bo{rgYS(#ZM zFC_K~1F_7$SqE+~Vivp#jU~~$WsrsW`Opv>f1C(KrAA`?kd{L}8E$#T zY{8-0I9PKfBh*yL1U_8hm)Cy0NJfXo&?{lp8UDgJ-H)$`4ml}ui>4HZ2@}DL>mnf< z6sIak1)OWganM>gamy^staXP(R9e>V;rS!rI{LZ zu3`t*J5O3qwfh~MlP}}hkd8o`*18{~DLf~zvf6XcUKPsRc#SwTrs#YUbC6)*F$Eur z6m2911u`p9GvSmH?H@Xb|Bl5d;%nKPdW_+ors0?ASAts3AP`2+Y`zbXDIKj&Auj3c z6selECI?Ed|O5(t|_x?tZX;Mc;naSWn zA-Z$RfNbn}N=g4ZP--JxLi|XISCvlDC{l7U#mz*6`rwI$CZ(5aqackYT9+)U#)Xz> z2mWFSqFL#&3OE;PqL&X!u>SMe5m^L>mur;vps)!lt5u0|OI$jz(F9+MUsYtZ;#=mq zW%hy3*cWJADM54`GJrS=2%pwHV*b@b_|fDO%r)pr!Gfvy1mLOuO=2@l6bk?qPD%d| z=9nt^2pwl4O~xqPh-&*Vep6~oI|#kDsjpe$J$(JPtJxv}12m-@V}FL8182$Z2?HW0 zo03vJpg8Q%ctak88PhJZz=PRjsi`WQz!g?08vWRdsDX|YlVMO8y z&ivVPd~u8ZPT930L{G;EPQrvRsSLwdbN|E$SB1jlz!GhsQFhJ3d|8MKlgWl02*wlx zUFA}p7llM^=uCHtJI)QifFc_T|D|wbRx`(xcFfEw-b#870bOEw3Bko-Gf_C> z57q&@f8euJo7JmwseSq`!E$o!N9qHw*&eDCw(ZQ1BDD5fjvk@?4>782n(xO zji%~RZ%`4(DLrMPCyTj7*|;Am7JWhXnPCiXQi-UWJV(vtVfNTPOT$xGw^BlFTvL(~ zH!X{A`69~GnGplniLMA`Q&k zfVt90G-t(=(XhRqUf@|BM%m8$#Z0G_iOFNwNg-3%;T0^sdq=eCWLHlnb`oviJ+`*N z7_kr8ZlTYKJ4Pedj@)t2T^`xqz=L1+_t>45@zRdP1*6N&?C~-hV_?2)Xx>guE4&(J zG_BW-y_}I7NX*Qs{VG5ILgKBM=A{oydnp@-d^VL95n`8ar{$1}8})z7^LS@)UD5eBsK3A;O!Gq=W_ ze4$;lRvPHvpAWTi=Kf;&3e?N8m5mRsJrqTJs=eE^GFrRQg&uKmU{j``cNg{GgyVK+ z3wC5Ivk#k^tKAl5w8S~GDdQLOBi@No%?v)7k28hjk)cj^*cz>r^VW9H^MZ5ZXX{PO zdxa>hP<2T(cY5MVaJJ_aM9rjQ`aVC>$hPkXf~`uBN0V>f^O1W^b1D@P!nthq_y481 z$4I;o=yKxmKj4xM*RwdrL zXvMmXs0XnANG6Vt`+J`7<2~+*xNX=9;!2;>eaMYgzTocgHg5M&?`6_tIbD8dWlv?# z3TNCZykePaon_ImWv+1T?UHTd_JRA>B^%Z!l?@NE+4I1_xfdUiI<_Y5ZoeX>By`qB zpalssHm3=PThm>yPU@z6a$0@=3c8`SG1FV@4|iHcEl3Vq-I?}*!7#`aGNu?6UF-9` zc6o~pn{TrpkDQ44tBy^E))76kJn=PqTjhQyr^oJpFx@a`{Qi!}_1*ohGuUxTvykxt?H&1X?d3|=Jza^OIb6u*9$lXsz4s3{8hrUav#FSY z@$7=3<-$xTTvgDIV@n^mwYT;SF!Z)%BC796;PJs_&Uf`hW1XpXNIPiyk*^JGF!n`@ zt=wX*4ZmD_L^lDmVu_(;V|H7VAB(5w!@y+J}{trhzLC_7&70Z?=LRR#fG-S@{3w-M-;)m5JL06WR$IE{1Zu- zcS@bT?pU{(CTnLX=eMc9K1D5!Uyg0u=yZPj>A~C zf!>|B*L&Nd{fF~mcX569z>Z$uwGOVo9x4};Fh~sTpeIZcCL%L}?20H8BO#Mm>+&sz z28<8}4MWJIFxOXU5RncunQZ?*Fdkx8$u2gC}xV7A<>|zDc+8hcq*1-Hj(E zXYQ;NJ=bw#>si-ua~*wtcM^^cMf;=T&M4>UQ0}-lGUOpbh7;H?qggu=ii-UN`9$># zj-hpDS0&KOk%)&%v;+!RB7l;dQI6L4Jp9je`;Nu-{dTTxi`CT@;yo*xJHnD}$HhZo z$KdiWnNeG~&U1$Sp5eHEDDnEUpN+b2AGNZ@mHEtm^Qe`Cxl?{IcD*o+mm5y;xThT{ z8}Y5faq+lie(gvUTYlc{$g=RiJF7jrB8)OO=4sKuH_Dj}ncS~=&p^(7-|}taNJ5N; zW1jN!B|m=m-rx{*^4je#I}FW?cF->7%&gX-k1V>zwGl^#6%Yr-zB57bHX7V2Lg%Ci z1+d3p*<5jSdlY0629cbvk5wp?#@|K}#-7;AY%AtQBc;J0*2_*N$Ay(3ZmrzFx!WES zGd75f9mrfWWDF_VS3>ff9a*~8HDGvg!Pb54R=aN_*4~Lwgn1bDI^l62L0^hAfcjpt zaxv^Ul5s#uE0{KV-12OBAX|)jQR+rAelFu9o`Xc^rLy_c#}3=ayaoZ z7Y0{iwi}`ABLb}Aao2^(_GFPiQ*4OY5LE7~&P3_FfivK;4;wfrO& zOH-Mj_Cx9tc(Ku)*~a3+qhT&uBIQA2ir;S(3}5Vh>Q?h%Bne>|zE+a@p)U$zBgTr* z)v;bi_3GWfg$xU}*a+_+Q`WT->~{!0L^;T8+P=qSCx;+2VmMl_-=h}`kQ$YXo6<@} zUdkicq+D)LbyChyW>+8xzz*tOQeFLis3?w=!0r!axPVn5B(0z+JB!SkwSnGKs;u0Q zhbTd|$g9Xrk=u%h0*RXT!cIh3_qb9Mr2|P(4%xKo-#Vp4Yg4na0djFmDa5x$F$DnA zDsHHC7nAJ~LcXvlh*_?a9(_}o?KfPcD|`@og~*F!yioO)mjfY>c-vKSAYSKA zRlKEbqwI%wy#oy$TDg< zEwHG^B%815MuW%dZ7@pE7&UjFTha66bIN-4zx=M?b`ZJiSWccIsQjXvvMWu48s@QX zRi9+3a0bP_V1%0EZ>uDPJle~dEk*|Nwo9=>SC7FYRuq!KI8{`0Y(3<%M<1wC{;|Jb z+F|Ytx0%PUvoU(^wVz)%9KJQ*vFXNGZyzv=ZQ%3d7 zXT7e>wlH|oKI#4OT6@FbZF>eQB{z1GxEOZx0hNu*nLW05M7_Qr7b=!tadp>4nC5y8 zV-uj}$7S~Lsw7ADx-@3Ozat5x*dHJE^Ic!g%LuvN-!ojf-+kwQy=uclX1Gk>WZKcz z!}+!SgVBlphfF6NJ~cw4zjwgdXBwy2G#VDUb*(qD&MW%G{nqbv7xNu23>;d;jb}e+ zha>AO43+$-^~|ahj640?ql13Ih|873ei{ArFo?Q1ku(pUhTk63WlCe;Nms&J=*5ZS zl-I5w+3xIfp09k;I+@pw`&N=X{G}zEVD5fbkGqV(UQEbLcbC@H4byr?zReLCGe1h*ezkG9jR1?<425quq4@|TmNMPKCiopPwwAh9nU}B<_s3! z63Y&`#-Tie=<2eI#}#6!v25i?%jhMx6jS@d-wWV``zoz&cXff ziuw*XpDg~h=iTsuWaLq|=iX%N=#58vhDJxe;eOT){=j{;GL($1xY55oKjvPSZ_nBU zlWE@=PI?Xxbqr+5Kk#D_i?Eq&6KAnrMLSTjRz@fM#B)YIJ&+w3xvMg^V)MR`d>Gu+ zk>6hT*vGEi91gZ?or5>64en|)^LN_!VH@AM{CfQdcZb^NJ9YL$GfJcVN9oizyYACV zT{jTScks&SyP{hlZgF!o-iJf9XWT7~-r)8`S;dspV{Vd+nfs$Gp4g;k z+}R&yd!m6yMZv_&aerP=0o}+l;=rQL*`U+7W!#Kcj+-NQeP+xII=MMe8T#d_ZQpst znAv`fe)Og=9^BED95Em4iuI>&*S-FB_Ng(d`8=rh82!ojM~6m>zF*>hfAJ_(e)e{+ z@3Uq*MY`(Lra`scd7-U+sdA{Q^7{R@qrK-yQ)m0YaHWAewo~_<8~p=E2CWrO3@u?< zl-9pk3!K3nAIyww{n)w{dVf(b{iS{SQDWfHb29fsL3D}JqgF8kuOD42yJ}^urzILp zi~BP@7M{ngI6m_ON8L`;Kp8-onC<})5%VLyj%NtE3<>2VN}%LFl6Bz&gLz_5JnMsv zX*~C@7S|YYt>)dN=ld7G91lMI!NXSRyISdk8g2@ykY|iAY!zKX0!q)i(j4Mrml5UZ zr8Ih`w27$%*iGvU*#cL-U6zN{c#)=I(Uvx{q%`U|f>t)T>ob@r#t7~W&r!|hR7Zc~ z<#5n!$0a(r*52mabjCD0%}g6icGEA=bnSX+i+$YESfMQ(Htr%es6IbX@s!uOC>*2T z!_pupaSNmU?5q3qQYadC{+WBKX)f#@wE8i=5c7-jklu89ulX z>Kso%hd_-?{Pfa;`TnK!lEm)3W0YRGH%?X_3DvXX~6ZkQy8Eh!lun? zvM?7!>7ky#`_-$G*w%8DFfxt)jd3)v*)+@#XG+~gZFS9%KtQw!*FU`#MAey*@^BGHPRYx!IzU(~ez z*uX4)C}K~LokEW!)K2v?a_7ku^IE|;7`d8udLRq;z%FV-LL;}ePlnKVWhQ~gHjNr3 zluZvYduGeC+rq!vaIJS^esB13j@_Tizi{)D;Rp8IpX~CV42SpJKeF5ZdPm2LThEQS z9~qAS^`E?dRS$;^99}tb?wh>Q^Gf(X9#9|-gy-%P80YfIuJzLZIp>eu7RzAZGvD-W6-%d+@l^SOLz4(3frjDA|EY_Fh^ z$-nItAC=qRQ=w5*!d`I=QLaSEDsbDUeI}A}6{Lz5qxSN%EB;8H(OBum4HFq_XYfE% z67K-H>!wN56HPlISJi97<0J1fOI5Cd$`EE-wb{0`brpD zME}~)3?xC()1AlkZ|Jx(V(aE5E37CVJ>)tkl3Oj?D7vft+|E<^;(kJCVisE9)nhW9 zoh))urOz*nJ8{9dIa?TXz3!#4r{&)3Vvly9weF8bEgbh^xg~hL);QLgyARlQN582b z5Bv3zK`cMbv`Z(7NqE0CXy3H>pmnQbtoFmA)pN)`Xm4av?p9at*dD%o1j`|@ddxoe zYtZw^j_}q9W6pinAc~40u{h@&NfLKCP`z6@fcRd#-s?MBS2lLK(19&tafXTE8Q9u` zmj`b^Z_oAdS6&G+!D7>4uY7cJJIt8M_A!GivCML|sF(5nimo~IEL3xZ(W)SiRuv$6 zbJ<%H^HD3_q|1jG#+kc4YI)j995(BVd+@GkUdat%7Ql>(%2hJ=`2XnSD;A-!D|<=9`N8eLbt-Kjr2#Z_`!o%v z>ky_ZnsWBQ>{)=|B~g26b)$f9!il!}8o z{;adgHRxGwkzYBx`4_Z14bN=XS`5UbD?n*;MDwanEdn{ON-R_oS*ERj^A-<7ZWiX)`Ec_K( z|7h_qVymM2ag2tom7M(*XRXg(PL+)Ru|+4`Z)`6F(M#M_x=S1d{!sBR@-jmR1t-UC-Vt-W z>{LR}G76SQ{3RUAUtnyj>~VE}MMkXY>PJ5W(*!$hY+YQDUD;(}a>B;h;V~_%buFer z!PkbzjbKAY8{X!W{9ac|D)0cT7}g0yj5Hnief^AHn@N^?7n@<~6! z?j%Ps${?y941@uIATQB?T6adAHk5=N9~m6ze2`paSkEuchem>r$m)v0OFxkW55DsU zR|~jpykgTS@K)8=j(OT~*YmcyCF)eL+z7zxQ4(E`*EwpFbSxi7ml|I98=jh$u&L76HtQQWT{? z7C~E5gHFf}h^~rPKa_yWy(|M|5Jf}&bANvIaZ~sdwW%F_F^`xO_EE{Nwc?n<(@D!o zAJq$zCp4+5r#$iDm@*9^cpbPcQ`W!eXhE2uF9_}c0%Mm0z#ssYs z6ge*-P}8=Q_ec=Sbwqn7-P85v!H%`&?aSV3TU*Q>x2|2j;>5C*hnDd*)?srSK|IN@ z)F-G!RK!_T`Mz5@>27oV)fBdJyX(UzT~Sn_e7^(6Lh`91EA$e>@?Fn$`^qa^A%en1 zehYLS!yR*6f4$#`A(JOt|;0j{?<1Aegxju0dM&$e6*ABha5&Zq{P?kLhn+y zcx*r68h*(w=sr?I{qNDyItFRjf*y!Cmr``U$Kn49a>>w{_6{i>-;!0vz4Is;bN|e3 zJM+0NEwPiQ?{c;NXviGd`dBwc=N+T=4d!*$#zFIj!OrlGwofF{$s~xzF{-_zWn;|o z^W}=A+rjtU!|ruf^ci$xcv@Ouc8h8Tvt+I*Lxh_famm#zdf*!J0va^ zm1Di9vPVDIhr5V4sx>uoOcc^rMtA7L!+fO zG(N*>zhgz-GRwoxT21c22wmeYg{A-LmEC>3>;pR(a&O?&Nq4aitnn2ZlDHG-_*JP( zVSFt_fgX4>#*i=k(QOR4rU%|8w=YOIdi4oUs{~sgy~%_cZ8zz#gx9s!%G>QuOjBvj zO?u8UN-h>|ms|VKRGK?(mIYKW4iX;UCZLX*cY}G0fx1>$q4&6ZC>?f_T~m4_C)`5$ z^j>h0K0Mafsk>wFV%T=}+y5Oc$|_l<(RS0-4-)NKh?#-OGZ@^YYdE-&ro197Pq!>k zt1*Zo1g?!U%<7A#K*I{?}`>(LrfD6~h$iPrL z%TylK_Qs#Tw&Iw2zQbA+44IlY1`|kj#}BNE2y`L6*JX_}!uD{xpz1wl_ECE#cGruj zq^gqWDf}vWm+^Db?&a~fYh!I%^{;o9L!A#s9vS>q2R~fby|_Dahb}wzV(?JFybE z<>n7=mp-!kKAADvZ^B+>q-{QMVi-stphM)3 zLx?-3b@oS5u#`Z8kX@CSekjB98r~1a1Hg-tub3@}YxkL+lUp4F^lreqJYd+1X!n#J zkYn6JSaKg}TYKP(Q(HV8yN4Hbyzo!OgYZe^#MoX@K8>HP|6o>6#`O)i%7GzqWxNuf zo$M01^v;ai--T*R`{#zuWy5U#xz@DJ<&7VkDH)&KlQ<(*-$xVTQcK5Wl~R==?- zK4IliL_=%P(Ta|zIYp*Ei$Mk;E(#&0u|ar1guW%LDw+~2Q!t{+N32{H-MP%1ta#3R zE$_ynYQ@3mNx#?UgK`yS4a)9t#Y#%?OFv><*;^X;Zy?zp;bq z=fRw9CP)vlrW&<#0Ul;^7~>M9Bq&KSwM92;0NYTQpcEn2!e68&fdNSvWh@Lr060LA zf6`2pQjT@446^{3BB@MS1|n4ugUwoW9|y&9*Yc}_Om0Vr-)fm&T*Yj2QZTQ`?KF#l znYGJ0W!_4+$t4+=!eWbNQXp8SkYVvvj*vr&#O_07Vtk;mPD5@njO4ZTS}2+KISj}v z^t|Ktx!p_F48NsFHVB2GmILpn^$E*W%^uJ)5rN1}2->b`&iBB_rFE!g)}+c42I1FCF`IquLY7vcu&C8lfdN}Elx`m$Wf*t_>snz zJU$I$xRPa$;~0GmH9pFpwr10tUl!jQrDS5lfWRRL^!|!y38j_ectxmqCyBTWSf;MVn*xuywWrYCP35x zA&+RyRHTZSAO>5uXBQF(uCyf6f?4q~QxeYh58MEzFm{f3Ag3!&oD$|HYS2*RXpV!G zYFRas$lEpU1}X)rq$CjM(uW13`h6h@XzzZ9tc|YGQwdKl>Qn}yIw=)~CqJ1axa!A>FRj#Df}y{=P4e5O4U zNHN@p9D&VoFmoBlIzMv7JtEe@ADvN3>O|C7^jHG)l7c}<6xQi}gWi^OpZRZpSI8FI z==epmqk|BVtKTlO%~pqZ!uE=A>Ea+Vb`*@_wkUvhs`W*DN>@l5iC+mAbDWr_(#jm^ z-aWBn_!a{n-9p&bqTINwcW3vzGDDN!ZzeX>9 zXnQ$&-@gz8{+ccQPg+OK(w)7D^VSiq>!`aYdhh?W!eu6%@QQ+KIZ3y7Oo$zl&}GfPo!OnknK zgw0N5gOzA&?!PiDnYk^d*1yGBTSDW%vkjMkc_-FSKX;w>EBfuPyKd;MaIK8%vOvd$ zECo>vQ!BYvWid&)p$_0_72L0x%Fm^q$095C=&rvv#`?pj@cmIUTix;1O zmeGHQYvu3cvyvIDMEJmPnVDBVELVn#skJZ7L7f_jS!zK+S!xuC0lpUNS8_?Bz*y_) z!wGUPljjwq@cq%YY}FYGel02LMasC-)_3$TE`9QY_c?=uT=!=@ryV}@i~UJO;uuuW z1x2d5Dk+;n<`)xNx{uV>@2u$JoJgNZkU%n$?K#Q1pGswLRCMVL1Nu{cs&{0{Ap|WU z4t99Ct;1avC(M*}EK>%+o=TJ{^T*u3^n3YFO{Lq`Dy-ZOA{V4&6cNn9KC%@g)0owf zmH&id7L;28yS(}pzAdP2V8*?__&Fx84ih)zIq1nyTZ$|d9pXiL-CV{k(W$kR#SwPY z3T6hb92AFBgsL4)DqbMm2-InjaCpogbS7Lz3}JU~Me~oHy_)!kBC!Xz+r%*x`+uFO z{{HvwXd|?!=x{CfGL*lgE7LPn?Eiu*zsa~T7QstYT}fi98;i9mO)SWi!3Uv|USePN z;3|LH{lW>6lC1uhu8yU+lw*Yc_JxDUw;LhID(v`^HkI5bz(?! z=@Xve;|6eh7LE1YvdHcf{5ntOA9ug*jAX~$k!LWOM`W^?c&2R6*?~U}M2jO7BGR$@ z6B~BG@DGgOBtdwEN_3kG%T^ZAg(wLV3_Qlnx)m&KquDSXBwCpua*rp-M9gs<8NxL| z3?;=p7GJ@b>n74)U;BOizHG`+fGq0=BY`qXCXpsn-%<*mkUgzv2Elql^gSm3Mb0&6 z$2bQ;xWdrvckWpH2Dicgp`!^lKYG=D5(Yo%-zj^8ij8M8mE53BNOJQ(T1Qe@G!aHR zbwK*idg@PBnWs7GziQcHZ2_SyBlMYHOyXqZTSK(}ji7Y zg!!^t_hB3i-54;rGrw|@m%|#aF-)(lcmXd-#2yF6J93qwkGf0lpn_H)eS{n6^<^gp zB~kAknJF7{c=04Y#1j$Xtak^bv?+vZwzrlK4A_>ZTxzwj{|B`}(}Z zoQoQaf{AAs2-OGh^oGPvfD&~GP)RYbO-^fx8qSg!e@G7X)8v$LIrXX!tkP^V#3W&@ zpr9LIv7;`9h=25Im-(g17(*t3GbI6*(gW6qq)#cqL8&wDxvpQgu`_deQVNkk7GYr+ z4&crREHI#?DDNa!ewtE2Svc}cX75y~De!{cYsnkoSJnVZEX9JZMzUt7!c0(`3Q!;$ zEg*CW&aN4dW)Ae25tM63m;5H>*Hc{`uV2ftta4FeK?kM~SD_=2j!UhopWi7!RI>$H zPT)7Y2FRuh$qUn^I=@L3Cz7X%E57TCa!a7fp?2g{IG-j{w`c!xK(?MZ{a-(&Rk&1m z1=J|T5d48f-4w)`!8*J-L}pcc;VjJqrd|E=_IeRe74|wm0ehCz=pJ&Cv(CO-{K>T> zSgKA|zd`wP)g7doQ}vO}wMzlEkff%l1u3bk1}#(8ul~(2m$fcB8J=KAzn__gR8Tr) z6N(}pyoc{yg3z6S#oW8X6;TK6W&>A$2gz2eHq8UR)e~PSWNQyoz=4RYc_mfv5_M4^ zIpIcNF#GzgKf0<3z?7DTE6d;tzB8pdhCByDxqAI=ODbH6Sdt#YscIvNMufV;lMoZA z+?YNAN5i_4hz>amAg-J{CF^^GY1c_X^Ng`f5ui>{0S~q_5Ep+6s;%o`vI-@H>$#Fk z5I^?pm7{WRB26VNm`|So29nI0@JHgZ{%3x#M@!mu+@4G>GVI2Yse5hqp$NIXA^&`+ zj75~$!itJc2ovUD!Hrz+2{%)4`x4P7#Wx~0ZBFb=O_`Zm!^+GNY((hwu-g?A);{qt zM*wXX@16PK5%6g@#hZ)sKt`SPkGoQ;`lERpr-J_Z3GQRwjag&WGO9)7y30D-zgMGn z^#Vc}f8uq671k+Z@;p5$0o$o|{x;^f)*k9I zZA#-}LqeZG4yyAq)jRIWN)!59?R;}w-gf8svAp9cPGP#LTHo(aMP1j=GDy3I!CWO~uvj2QZ`Pq|>Iiy^vYOYIzk>oMsR^U>d+Or8YSgbTwn*HQi;Lffp0=rQL7F zB*64idVoM{f~X)Bo8CJAv>B$1u7Ocqz7ZJpw}6&I+Un48^2<26CT=LQ(yOR?h_|(- z_NG%iYS2!qFZ~%QCJDmNGMDaDr-OpI|YWFsMb3Tr;k9h;};bIuCerUBFe>iz@ zV7s~bux{P>V6yg~9144`*!awY-a0?3CT*uH#$!(=5w4i~z5Unv&qsd|W|wb2)az{W z*IM0Yovr)5SCfwMq3G3oN3cZ|R{hi_Xwpk14H zSM5wTU1_dzi)RvZKk{b7z#)I-6~~kKaqHC4AY5;nHzwp<=0w}cXoJk={0Tp(tV`_2 z4i9O3&U=k{>Zq7i)8*4vl5gMK`I_M6zh#|zL`yn|`C2_t{m(ZwH`c|SH|@Gn<6c-U zWw=y5n;m?eg=+>g9P7OF4th+gwuxF&OK|Z#QqaWcHPF@T?xKICuh+F#igD}bvqMEs zR~b}Yob>eUH`qX#k*|&slB0fJY(PsIXOm9=C$IwgJr6jDhTv$`Z^_8noaTq$#2a-K zUwbMenN%~R)JxUYIo?bk z*I2(!LvA|%3HmIwO=l%WodlZ8diz}6B7YS4>FR#ly``&LW zL{f&Tk7KjqHt}#u#Wg|l_itCvQ#vZkb0YtoXOsB=6s@yukFk;@t+7-c5cB7KGM@+0 zcx`nyk$OVOy5W`6C#=MYyHm|TpiMR?r^%W#)_mwq39fdGSELB$AiaTkI~Flg=#18* zQjY7`G?(i%aBST5a%T_!FYI13<2%zDztiBF8omD1YP~*k^<`^v0oEk+yYPf!_B;1p z`0Gt?#&%0n5jie%N0P*ryr8521}LM~o~&aBq)G1S z1;G{h$TXHw?f(wSM4V4Y8>($jpeQPTP#4WO5Aonm9So3}<}7f&8Cp@xl+6UemsZy##(ayPF&{8@J$2;;bel;KCx}+< z{$4qIvEb?z&FQBcVnT^%inb>v0|< z<=$Ue$cxkEl?jnrdg+@>Cmas-n+rUqbk!KS-`UODuok5ju=eD7UMyY{qvLZOixQwU zY4;7yc?N}d0a@>H^cDk0OsmPJ0b2d_WmmKqdeih5s9#p==Thr0mJJ*4+q;%c9>{HJ z*$YjdcPqP34D-E8-#+j;W3o*k&a-&FFs9_ooxS$U z+@f|;K?-Pqrk}`&qZHNjrMhIB3}WhC=c!#;laPSPx$0K}PQc1c^n4O|-#UPWA!to$ zf)qgsUaG@N0nY)JNWk|4X@QQH^MI;p1W>@@Is5;#HK=x8zJ=USq&)DGCA`zbC<}ny zXsp@^G~Rp@+we(a)TlRwi1S3RPTA_lae0FvoEnM?K<>Pv@MAvuH%*$nn%uYufWZ@w z@U>9s4Hp5Vf#u^Lxw07)RlX>wzx=xjaufNRP8)^JdO{=6py}^xU%gctHBC*-Qops3 zqo=)d=amijv{d>+DCntvo&N3~&Q5mlFSxGF_;23%W-;KKZ(Rhu*MHHdNMkl2%1lXG z>E;sVeeP+y|39`o0Z5}61loczY-(LXetuGB_-TgGd_F(ikO4R|70;YLv$PZw-N25{ ztSut0EE+U}zL0%nO|v&K-^x%qYt-4oOaPq7*`rPnxo6gWR{~yZaTPdYnu5{hSBFNf zRC&cK9~lRJd=5 z1yCjo_S&je=sdg!u$~O=N{HuoH4d!btB`|N7sh6951P7EEFPVx~#gUw}n3ZPw}o z*Iv}NpR(Pj+9h*d+kVclcjy}kNOYUpeU!km8}qX1Xms1z^8fzkQDVnzR&vWAWvcN+0&vYVJaZnfCjw#XnF(7yuPde;L_mAX_|1}F6(f0X^#KZ8D9kHnuzGYphTGEGtS2LP0y8B*?vkH1^cV&JJ` zH%T>7nP&d+WF?Y=m?6@?-t3>~OCc?&y7eLe!bFR@sv+yW{w`!wGx3^mRh5_>;W{B3 zlQ-25f305Hw8sV^rhTezD%4W^syn|^&qswP^sxHPxsD1wsYVUY>Z5l}7!helnF~bo zbK0Ug+13B!rv!Ycf3^0ddUB_B)tq^{^E&k!&phV0y3YRlL+Oor51zdV8ZW0Oo{HFN z)R>#co>S8^DHI{&ls9I*R47iW_vTO4U6oC&GAoSp9tymAi+pG!3U{_|k9sUT|=3V@C z1<()+Oql{g^-ys930j_vCERM5DDyW|kk)EqGo@oHA-HkCT{J4%OPvixjx}9O)L`~A z>Yk!W+|Xa$q&>*IGP4AHRnPX#Cd;C|w%$d;ikc<_CL)1mpLXC|2Ih((%O{?a%@%S- zZzJT$u^xVRM#56na5G_7OjK)j{CVn#)-Qi`t`)WYIr~jH(Sc>P1x=7HKw<5Lx;H0C z$)HRWtQ7tfWMv9yL1dln`ia&iDrrf^^o1KODAp(i>7TH2Y7l58>-2wIOdks{heQad z(X%n!&5g2vtyzh6&I5Y&*SDu@aB4Od1(>o3Wu8fJQ}Mr0m%64CEIH$=ccv>=1dy^g zl!c)TuK5CH<)ZA;Ckq$@hWQk-$A=bPTABbumaN+B>qnD`nW1Y0uPxllVAsJ?-%3`D zIg{d#x{NhjmL|$3oTS9xJwU6br7zUbU{}8gv^TM;L?AfM-oL+efkwfZulkp*jEQe0 z@JWFdS{aJfo7fonXm9@Fc|jCSITm)x3?Sb_77boTRB<*TX)!qFFTGO?WhiinxIz5{ z0k#FU&ivjhKvPMMIBZmRpC@`L)RK#ggNbWOva{=k&BUf6kpx-MPGoIOy_ESuP7zdN zB2IReY8a27$O1}1tb+)2ze#}C@6L2olLZqG@A)Tc<2H3ejkRht;gY5GiaKdlA=t!A zvz>LFy?(W<{i&f?3qMM6jPwclDzahoC*E*HR5) z3TVkNQDAyj-TwDea;Zz%c-&!oe0fD)Lh)=UB-7<@x_9h7Z)v(xr;}Q$4svQHuBk** zN;B^M=$phcg=MBLbzs(CW$^$%Yr6>8X3)<)ooOltxOI}IB{n~s$N8@7i!?%-6rfZE zhrEiyQg?Nte(D*|KTbS;_- zg=h6*z2NL#@nn?&WiOAAxZ>k%>`LSuWMhipgd^g2CHr})rMY}^4yvj*5S^10oTeBK z7ShTtOsQ52_44 zhp)aR+emph_@v2`M;NzgeTndI9 zgphKO-Dku>B-~61jyyR()m%vGDY>Kws+Q_^>etQ3y1TJ)@174f-fP^G6KScr(nOK9 zoK?PmHYuIgs;S_clxN~XSg9GCd;YQ^f+sRv;90BNpk)&gcJ(NM5VbsR?yPg?sQzu2R%sQqKwtC1n_G+9{C$6O)phqh z&0>D8+_OKY|B4D#h8t@W|E-*pZ)eMXl$1%nzRCO4fZd!66lcKhUmd%2nA@9IUv)Y3 z_-7h=T$!Cw7x46@Z!KvSuNNn2b7$84uvx*lIB43ge?G-Uv4DC_&3a)_ykE4uD#=j>lRQnwH`Qla1D~yy)ZKcghsy z1x@7Rlp-=f3+BImaMyuJyAxd$@~!5=);0D&7J&laTp~5P_3HzhEC_(NW*bR7bTL+s zIOiKXT~_b;@#V?*OEnpPzPw1~>iL^Fo}Y8-o#}MuW51qhsbHO2=7nAt&fInALf@75 zQlESsLiPXsul3W5KVDXN7D~f^G!$!qYu_L`z z9XI#}Sw1C*wZvs|#VW z8G*NoV#-(W@e?zav)~7qWkj;{IZJvGgHx9C0CVLXr;h6ceS@nNVjbCXn8H)u;jmtm}=_<{Ir^4 zmpxt!AU;`JDSTSpmJ6%NEVt8QW@XQ9G^9*L{xvVyLLz?L;o3pUwr zwBZ|;r9lk7nIYW!VuQ%55{!NcfnT~^C$!6-w|RQpnoB`%SyvNT*yt-4djdA<&{K0_L)cvQS!Hrr2eQO+$gp;$j-2%zEn$O))%`m&4FoyViJCW?0C> zH?jo&`SoyLQp_*A&*#$47t#S{&HIKHtFvOhkcV$%88Gj9gmdNGb?5vS!(KEnG5+VB z{_uf$XPq-+Q(NXTbLO5S!>*p$ZPNuU&p0sJ()i#xP+!2~CXy}St?9E~78ujhW#+q= zDK;~0rhn3EiXp3hUiWjEoHEcYRR0g zs%3T4`^pP(08FCy)QiiDL={C~=|Zb$dU=uP#fQCI>YZFJnF~EQ^TPKp>*zl-9X&Yn zg+ndtxEkBSv{tfCm9`gr{YD&e>w@!wD>e1SR_Owl5t~Zcd0oFzdCWfDy47Kq%tD$M zg=fojnfYg<&UDXOMlmtzt6yl*+SQf<1F_th_nx@Cjf)C@Y}r76Swny0Yv`A5uSNT{ zea7V|m${-`TH>z|u^pWG^N-50H3=nUv?Y*a!vgd5Z?wwjZ=vs1FspuHuqCxrK;Ec| zU2{nLWk34-+Pl?$^!b%KcdpAy`rI^{lH-lqEybrY1=WkVY-KHFo#;iNGWpe(nGb-y ztfZ&3rLiw7>66)Pt}94($(+&JrFNrVR??$u?VDfRdT#Ibj||86kKE&rcXYh?=|7mX z#&i9Xq585o$5$(7^2shvwpR{>=kD8Z?Yf&^95{F1Jb?H|y`ERXb9|*dg~9daN3Z&y zT}cd-+Wu8T8ZT)$ntW~hEzKL#rF`vPKTH0?R_Ez`btK_Nob zJEA&D7k`{aPnk1Lf5uXu+ROGsaf`m_Tm9RAXmu=Y@bhcC=9WvNj$IHpG^6+Ow-+^E zUex)5^u!D3O*11mm(ZvVJwNly3w<&T|1T6oBmaU()_7}%lV-&H8S*r4&(&qC&;E-> z-itmF%p8HTGJ{YH3^`T%V3y?+CRY8X(TXvd3n30Cm;FBqN`{iHoY5?8w2{5Esj#lpK}-QY4} b^P#}hrW~EmOZ7Z~@Mlx1es9_9EldADX3iNO diff --git a/GCE - Vectrex_MiST/rtl/build_id.v b/GCE - Vectrex_MiST/rtl/build_id.v index 1f6f3b26..2ffc4cf5 100644 --- a/GCE - Vectrex_MiST/rtl/build_id.v +++ b/GCE - Vectrex_MiST/rtl/build_id.v @@ -1,2 +1,2 @@ -`define BUILD_DATE "180211" -`define BUILD_TIME "171947" +`define BUILD_DATE "180217" +`define BUILD_TIME "124003" diff --git a/GCE - Vectrex_MiST/rtl/card.v b/GCE - Vectrex_MiST/rtl/card.v index 0dac34cf..34faa9f6 100644 --- a/GCE - Vectrex_MiST/rtl/card.v +++ b/GCE - Vectrex_MiST/rtl/card.v @@ -14,11 +14,11 @@ // ************************************************************ // THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! // -// 13.1.0 Build 162 10/23/2013 SJ Web Edition +// 13.1.4 Build 182 03/12/2014 SJ Web Edition // ************************************************************ -//Copyright (C) 1991-2013 Altera Corporation +//Copyright (C) 1991-2014 Altera Corporation //Your use of Altera Corporation's design tools, logic functions //and other software and tools, and its AMPP partner logic //functions, and any output files from any of the foregoing @@ -38,7 +38,6 @@ // synopsys translate_on module card ( address, - clken, clock, data, rden, @@ -46,7 +45,6 @@ module card ( q); input [13:0] address; - input clken; input clock; input [7:0] data; input rden; @@ -55,7 +53,6 @@ module card ( `ifndef ALTERA_RESERVED_QIS // synopsys translate_off `endif - tri1 clken; tri1 clock; tri1 rden; `ifndef ALTERA_RESERVED_QIS @@ -70,7 +67,6 @@ module card ( .clock0 (clock), .data_a (data), .wren_a (wren), - .clocken0 (clken), .rden_a (rden), .q_a (sub_wire0), .aclr0 (1'b0), @@ -81,6 +77,7 @@ module card ( .byteena_a (1'b1), .byteena_b (1'b1), .clock1 (1'b1), + .clocken0 (1'b1), .clocken1 (1'b1), .clocken2 (1'b1), .clocken3 (1'b1), @@ -90,8 +87,8 @@ module card ( .rden_b (1'b1), .wren_b (1'b0)); defparam - altsyncram_component.clock_enable_input_a = "NORMAL", - altsyncram_component.clock_enable_output_a = "NORMAL", + altsyncram_component.clock_enable_input_a = "BYPASS", + altsyncram_component.clock_enable_output_a = "BYPASS", altsyncram_component.intended_device_family = "Cyclone III", altsyncram_component.lpm_hint = "ENABLE_RUNTIME_MOD=NO", altsyncram_component.lpm_type = "altsyncram", @@ -119,9 +116,9 @@ endmodule // Retrieval info: PRIVATE: BYTE_ENABLE NUMERIC "0" // Retrieval info: PRIVATE: BYTE_SIZE NUMERIC "8" // Retrieval info: PRIVATE: BlankMemory NUMERIC "1" -// Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_A NUMERIC "1" -// Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_A NUMERIC "1" -// Retrieval info: PRIVATE: Clken NUMERIC "1" +// Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_A NUMERIC "0" +// Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_A NUMERIC "0" +// Retrieval info: PRIVATE: Clken NUMERIC "0" // Retrieval info: PRIVATE: DataBusSeparated NUMERIC "1" // Retrieval info: PRIVATE: IMPLEMENT_IN_LES NUMERIC "0" // Retrieval info: PRIVATE: INIT_FILE_LAYOUT STRING "PORT_A" @@ -145,8 +142,8 @@ endmodule // Retrieval info: PRIVATE: WidthData NUMERIC "8" // Retrieval info: PRIVATE: rden NUMERIC "1" // Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all -// Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_A STRING "NORMAL" -// Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_A STRING "NORMAL" +// Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_A STRING "BYPASS" +// Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_A STRING "BYPASS" // Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone III" // Retrieval info: CONSTANT: LPM_HINT STRING "ENABLE_RUNTIME_MOD=NO" // Retrieval info: CONSTANT: LPM_TYPE STRING "altsyncram" @@ -160,7 +157,6 @@ endmodule // Retrieval info: CONSTANT: WIDTH_A NUMERIC "8" // Retrieval info: CONSTANT: WIDTH_BYTEENA_A NUMERIC "1" // Retrieval info: USED_PORT: address 0 0 14 0 INPUT NODEFVAL "address[13..0]" -// Retrieval info: USED_PORT: clken 0 0 0 0 INPUT VCC "clken" // Retrieval info: USED_PORT: clock 0 0 0 0 INPUT VCC "clock" // Retrieval info: USED_PORT: data 0 0 8 0 INPUT NODEFVAL "data[7..0]" // Retrieval info: USED_PORT: q 0 0 8 0 OUTPUT NODEFVAL "q[7..0]" @@ -168,7 +164,6 @@ endmodule // Retrieval info: USED_PORT: wren 0 0 0 0 INPUT NODEFVAL "wren" // Retrieval info: CONNECT: @address_a 0 0 14 0 address 0 0 14 0 // Retrieval info: CONNECT: @clock0 0 0 0 0 clock 0 0 0 0 -// Retrieval info: CONNECT: @clocken0 0 0 0 0 clken 0 0 0 0 // Retrieval info: CONNECT: @data_a 0 0 8 0 data 0 0 8 0 // Retrieval info: CONNECT: @rden_a 0 0 0 0 rden 0 0 0 0 // Retrieval info: CONNECT: @wren_a 0 0 0 0 wren 0 0 0 0 diff --git a/GCE - Vectrex_MiST/rtl/vectrex.vhd b/GCE - Vectrex_MiST/rtl/vectrex.vhd index c54cd85b..324aa844 100644 --- a/GCE - Vectrex_MiST/rtl/vectrex.vhd +++ b/GCE - Vectrex_MiST/rtl/vectrex.vhd @@ -128,23 +128,21 @@ port audio_out : out std_logic_vector(9 downto 0); cart_addr : out std_logic_vector(13 downto 0); cart_do : in std_logic_vector( 7 downto 0); - cart_rd : out std_logic; - up_1 : in std_logic; - dn_1 : in std_logic; - lf_1 : in std_logic; - rt_1 : in std_logic; - pot_x_1 : in signed(7 downto 0); - pot_y_1 : in signed(7 downto 0); - - up_2 : in std_logic; - dn_2 : in std_logic; - lf_2 : in std_logic; - rt_2 : in std_logic; - pot_x_2 : in signed(7 downto 0); - pot_y_2 : in signed(7 downto 0); - - leds : out std_logic_vector(9 downto 0); - dbg_cpu_addr: out std_logic_vector(15 downto 0) + cart_rd : out std_logic; + btn11 : in std_logic; + btn12 : in std_logic; + btn13 : in std_logic; + btn14 : in std_logic; + pot_x_1 : in signed(7 downto 0); + pot_y_1 : in signed(7 downto 0); + btn21 : in std_logic; + btn22 : in std_logic; + btn23 : in std_logic; + btn24 : in std_logic; + pot_x_2 : in signed(7 downto 0); + pot_y_2 : in signed(7 downto 0); + leds : out std_logic_vector(9 downto 0); + dbg_cpu_addr : out std_logic_vector(15 downto 0) ); end vectrex; @@ -369,7 +367,7 @@ via_pa_i <= ay_do; via_pb_i <= "00"&compare&"00000"; -- players controls -players_switches <= not(rt_2&lf_2&dn_2&up_2&rt_1&lf_1&dn_1&up_1); +players_switches <= not(btn24&btn23&btn22&btn21&btn14&btn13&btn12&btn11); with dac_mux select pot <= pot_x_1 when "00", diff --git a/GCE - Vectrex_MiST/rtl/vectrex_mist.sv b/GCE - Vectrex_MiST/rtl/vectrex_mist.sv index f1bae9b5..a16fb6f5 100644 --- a/GCE - Vectrex_MiST/rtl/vectrex_mist.sv +++ b/GCE - Vectrex_MiST/rtl/vectrex_mist.sv @@ -45,7 +45,7 @@ wire [7:0] cart_do; wire ioctl_downl; wire [7:0] ioctl_index; wire ioctl_wr; -wire [13:0] ioctl_addr; +wire [24:0] ioctl_addr; wire [7:0] ioctl_dout; @@ -73,10 +73,10 @@ pll pll ( ); card card ( - .clock ( cpu_clock ), - .address ( ioctl_downl ? ioctl_addr : cart_addr),//16kb only for now + .clock ( clk_25 ), + .address ( ioctl_downl ? ioctl_addr : cart_addr), .data ( ioctl_dout ), - .clken ( !ioctl_downl && cart_rd), + .rden ( !ioctl_downl && cart_rd), .wren ( ioctl_downl && ioctl_wr), .q ( cart_do ) ); @@ -97,16 +97,16 @@ vectrex vectrex ( .cart_addr ( cart_addr ), .cart_do ( cart_do ), .cart_rd ( cart_rd ), - .rt_1 ( joystick_0[4] | joystick_1[4] | kbjoy[4]),//1 - .lf_1 ( joystick_0[5] | joystick_1[5] | kbjoy[5]),//2 - .dn_1 ( kbjoy[6] ),//3 - .up_1 ( kbjoy[7] ),//4 + .btn11 ( joystick_0[4] | joystick_1[4] | kbjoy[4]), + .btn12 ( joystick_0[5] | joystick_1[5] | kbjoy[5]), + .btn13 ( kbjoy[6] ), + .btn14 ( kbjoy[7] ), .pot_x_1 ( pot_x ), .pot_y_1 ( pot_y ), - .rt_2 ( joystick_0[4] | joystick_1[4] | kbjoy[4]),//1 - .lf_2 ( joystick_0[5] | joystick_1[5 ] | kbjoy[5]),//2 - .dn_2 ( kbjoy[6] ),//3 - .up_2 ( kbjoy[7] ),//4 + .btn21 ( joystick_0[4] | joystick_1[4] | kbjoy[4]), + .btn22 ( joystick_0[5] | joystick_1[5] | kbjoy[5]), + .btn23 ( kbjoy[6] ), + .btn24 ( kbjoy[7] ), .pot_x_2 ( pot_x ), .pot_y_2 ( pot_y ), .leds ( ), @@ -121,16 +121,16 @@ dac dac ( ); assign AUDIO_R = AUDIO_L; -video_mixer #(.LINE_LENGTH(640), .HALF_DEPTH(0)) video_mixer ( +video_mixer #(.LINE_LENGTH(640), .HALF_DEPTH(1)) video_mixer ( .clk_sys ( clk_25 ), .ce_pix ( clk_6p25 ), .ce_pix_actual ( clk_6p25 ), .SPI_SCK ( SPI_SCK ), .SPI_SS3 ( SPI_SS3 ), .SPI_DI ( SPI_DI ), - .R ( blankn ? {r,r[1:0]} : "000000"), - .G ( blankn ? {g,g[1:0]} : "000000"), - .B ( blankn ? {b,b[1:0]} : "000000"), + .R ( blankn ? r : "0000"), + .G ( blankn ? g : "0000"), + .B ( blankn ? b : "0000"), .HSync ( hs ), .VSync ( vs ), .VGA_R ( VGA_R ), diff --git a/GCE - Vectrex_MiST/rtl/vectrex_scramble_prom.vhd b/GCE - Vectrex_MiST/rtl/vectrex_scramble_prom.vhd deleted file mode 100644 index a903961a..00000000 --- a/GCE - Vectrex_MiST/rtl/vectrex_scramble_prom.vhd +++ /dev/null @@ -1,278 +0,0 @@ -library ieee; -use ieee.std_logic_1164.all,ieee.numeric_std.all; - -entity vectrex_scramble_prom is -port ( - clk : in std_logic; - addr : in std_logic_vector(11 downto 0); - data : out std_logic_vector(7 downto 0) -); -end entity; - -architecture prom of vectrex_scramble_prom is - type rom is array(0 to 4095) of std_logic_vector(7 downto 0); - signal rom_data: rom := ( - X"67",X"20",X"47",X"43",X"45",X"20",X"31",X"39",X"38",X"32",X"80",X"FD",X"D3",X"F8",X"50",X"20", - X"C8",X"53",X"43",X"52",X"41",X"4D",X"42",X"4C",X"45",X"80",X"00",X"BD",X"F1",X"8B",X"BD",X"F1", - X"AF",X"CC",X"02",X"03",X"BD",X"F7",X"A9",X"0A",X"79",X"0A",X"7A",X"0A",X"7A",X"86",X"01",X"0F", - X"21",X"0F",X"22",X"20",X"41",X"8E",X"C8",X"A6",X"C6",X"35",X"BD",X"F5",X"50",X"8E",X"C9",X"4D", - X"6F",X"80",X"EC",X"81",X"8C",X"C9",X"65",X"26",X"F7",X"CC",X"01",X"DF",X"DD",X"C9",X"86",X"10", - X"97",X"FD",X"CC",X"28",X"A0",X"DD",X"C4",X"8E",X"C8",X"80",X"CC",X"B6",X"00",X"ED",X"81",X"8C", - X"C8",X"A4",X"26",X"F9",X"96",X"A4",X"48",X"48",X"48",X"48",X"97",X"A3",X"BD",X"F5",X"17",X"84", - X"06",X"8B",X"0E",X"97",X"C3",X"39",X"86",X"05",X"97",X"FE",X"0D",X"79",X"26",X"02",X"86",X"FF", - X"97",X"FF",X"0F",X"E5",X"8E",X"C9",X"3A",X"BD",X"F8",X"4F",X"30",X"14",X"BD",X"F8",X"4F",X"30", - X"1C",X"CC",X"F8",X"30",X"ED",X"84",X"ED",X"0C",X"86",X"7F",X"ED",X"0E",X"C6",X"B0",X"ED",X"02", - X"6F",X"1F",X"6F",X"0B",X"CC",X"0F",X"01",X"DD",X"F9",X"86",X"02",X"97",X"F4",X"97",X"F5",X"D7", - X"F3",X"BD",X"0E",X"C7",X"0F",X"F6",X"D6",X"F3",X"5C",X"C4",X"01",X"0D",X"79",X"26",X"01",X"5F", - X"D7",X"F3",X"4F",X"1F",X"01",X"E6",X"89",X"C8",X"F4",X"D7",X"A4",X"5A",X"5A",X"1F",X"02",X"EC", - X"A9",X"0A",X"3A",X"DD",X"FB",X"EC",X"A9",X"0A",X"48",X"DD",X"EF",X"0F",X"C7",X"0F",X"E4",X"6A", - X"89",X"C8",X"FE",X"2A",X"0E",X"DC",X"FE",X"84",X"80",X"C4",X"80",X"10",X"83",X"80",X"80",X"26", - X"C0",X"20",X"83",X"BD",X"00",X"35",X"0C",X"6A",X"0D",X"F6",X"27",X"0E",X"BD",X"F6",X"87",X"0D", - X"56",X"26",X"0A",X"0F",X"F6",X"BD",X"0E",X"C7",X"20",X"03",X"BD",X"0E",X"E2",X"BD",X"02",X"87", - X"0D",X"DB",X"2B",X"0E",X"0D",X"E5",X"2B",X"0A",X"D6",X"11",X"C4",X"F0",X"C1",X"F0",X"26",X"02", - X"0A",X"E5",X"DC",X"1B",X"1E",X"89",X"DD",X"EA",X"0D",X"DB",X"2A",X"08",X"96",X"C7",X"80",X"02", - X"84",X"0E",X"97",X"C7",X"D6",X"F3",X"4F",X"1F",X"02",X"96",X"A3",X"44",X"44",X"44",X"44",X"84", - X"FE",X"97",X"A4",X"A7",X"A9",X"C8",X"F4",X"7E",X"03",X"04",X"DC",X"C4",X"9E",X"C9",X"27",X"06", - X"0D",X"EA",X"27",X"0C",X"2A",X"04",X"4A",X"4A",X"20",X"06",X"81",X"5E",X"2C",X"02",X"4C",X"4C", - X"0D",X"EB",X"27",X"0F",X"2A",X"08",X"C1",X"A0",X"2D",X"09",X"5A",X"5A",X"20",X"05",X"5D",X"2A", - X"02",X"5C",X"5C",X"DD",X"C4",X"1F",X"01",X"86",X"0D",X"BD",X"02",X"6F",X"10",X"8E",X"C8",X"CB", - X"EC",X"A1",X"C1",X"70",X"2E",X"1D",X"CB",X"04",X"ED",X"3E",X"1F",X"01",X"86",X"FF",X"97",X"A5", - X"BD",X"08",X"23",X"24",X"09",X"96",X"A5",X"2B",X"0A",X"BD",X"09",X"5A",X"20",X"05",X"BD",X"02", - X"F9",X"20",X"05",X"CC",X"80",X"80",X"ED",X"3E",X"10",X"8C",X"C8",X"D3",X"26",X"D2",X"D6",X"11", - X"C4",X"0A",X"27",X"1C",X"8E",X"C8",X"CC",X"E6",X"81",X"C1",X"80",X"26",X"0E",X"DC",X"C4",X"CB", - X"0E",X"ED",X"1D",X"96",X"67",X"8A",X"20",X"97",X"67",X"20",X"05",X"8C",X"C8",X"D4",X"26",X"E7", - X"10",X"8E",X"C8",X"D3",X"EC",X"A1",X"C1",X"90",X"2D",X"24",X"80",X"02",X"6D",X"22",X"27",X"09", - X"6A",X"22",X"E6",X"22",X"4C",X"54",X"54",X"EB",X"3F",X"ED",X"3E",X"1F",X"01",X"0F",X"A5",X"BD", - X"08",X"23",X"25",X"07",X"86",X"0B",X"BD",X"02",X"6F",X"20",X"08",X"BD",X"09",X"5A",X"CC",X"80", - X"80",X"ED",X"3E",X"10",X"8C",X"C8",X"D7",X"26",X"CB",X"D6",X"11",X"C4",X"05",X"27",X"20",X"8E", - X"C8",X"D3",X"EC",X"81",X"81",X"80",X"26",X"12",X"DC",X"C4",X"CB",X"08",X"ED",X"1E",X"86",X"08", - X"A7",X"02",X"96",X"67",X"8A",X"08",X"97",X"67",X"20",X"05",X"8C",X"C8",X"D7",X"26",X"E3",X"CE", - X"08",X"1D",X"DC",X"C4",X"0D",X"7A",X"2B",X"02",X"AB",X"43",X"EB",X"C4",X"1F",X"01",X"86",X"FF", - X"97",X"A5",X"BD",X"08",X"23",X"24",X"0B",X"96",X"A5",X"2B",X"03",X"BD",X"09",X"5A",X"BD",X"08", - X"0C",X"39",X"33",X"41",X"11",X"83",X"08",X"20",X"26",X"D8",X"39",X"8E",X"00",X"10",X"5F",X"AD", - X"9F",X"C8",X"EC",X"39",X"97",X"C6",X"D6",X"C7",X"DA",X"C8",X"1F",X"01",X"8D",X"54",X"39",X"8D", - X"51",X"34",X"36",X"10",X"8E",X"0D",X"6B",X"48",X"31",X"A6",X"AE",X"A4",X"8D",X"73",X"C6",X"1F", - X"D7",X"04",X"BD",X"F4",X"10",X"20",X"5C",X"BD",X"F1",X"92",X"8E",X"C8",X"00",X"CE",X"C8",X"3F", - X"86",X"0D",X"E6",X"C0",X"BD",X"F2",X"59",X"4A",X"2A",X"F8",X"BD",X"F1",X"F8",X"BD",X"F1",X"BA", - X"BD",X"F2",X"A5",X"BD",X"F1",X"AF",X"39",X"34",X"76",X"8E",X"C9",X"2E",X"0D",X"F3",X"27",X"02", - X"30",X"0C",X"BD",X"F8",X"7C",X"35",X"F6",X"34",X"36",X"8D",X"36",X"8D",X"2B",X"CC",X"F3",X"73", - X"20",X"18",X"34",X"36",X"8D",X"2B",X"8D",X"20",X"20",X"04",X"34",X"36",X"8D",X"23",X"CC",X"F3", - X"12",X"20",X"07",X"34",X"36",X"8D",X"1A",X"CC",X"F3",X"DF",X"FD",X"C8",X"E8",X"EC",X"62",X"AD", - X"9F",X"C8",X"E8",X"BD",X"F1",X"AF",X"35",X"B6",X"BD",X"F3",X"54",X"86",X"CE",X"B7",X"D0",X"0C", - X"39",X"BD",X"F1",X"AA",X"86",X"7F",X"97",X"04",X"39",X"34",X"36",X"8D",X"F4",X"8D",X"E9",X"CC", - X"F2",X"C3",X"20",X"D6",X"CC",X"02",X"D3",X"DD",X"EC",X"10",X"8E",X"C8",X"80",X"EC",X"A1",X"84", - X"F0",X"C4",X"0F",X"D7",X"B1",X"BD",X"02",X"64",X"9F",X"DE",X"10",X"8C",X"C8",X"82",X"26",X"06", - X"8E",X"00",X"F0",X"BD",X"02",X"CA",X"D6",X"B1",X"C1",X"04",X"27",X"14",X"A6",X"3F",X"2B",X"0B", - X"C6",X"08",X"A6",X"A4",X"84",X"F0",X"BD",X"09",X"B8",X"20",X"05",X"BD",X"02",X"5B",X"20",X"F2", - X"96",X"B1",X"27",X"1E",X"81",X"06",X"2A",X"1A",X"6D",X"3F",X"2A",X"13",X"9E",X"DE",X"BD",X"02", - X"C2",X"10",X"8C",X"C8",X"82",X"27",X"06",X"8E",X"00",X"10",X"BD",X"02",X"CA",X"96",X"B1",X"BD", - X"02",X"71",X"10",X"8C",X"C8",X"82",X"27",X"A5",X"96",X"C8",X"8B",X"10",X"97",X"C8",X"81",X"80", - X"26",X"9B",X"96",X"DB",X"2A",X"05",X"BD",X"01",X"4A",X"20",X"48",X"81",X"3E",X"2D",X"04",X"C6", - X"40",X"D7",X"67",X"44",X"25",X"02",X"0C",X"C5",X"CE",X"00",X"10",X"9E",X"C4",X"BD",X"02",X"C2", - X"86",X"3F",X"90",X"DB",X"48",X"B7",X"D0",X"04",X"BD",X"F1",X"AA",X"B6",X"C8",X"E7",X"31",X"C6", - X"EC",X"A9",X"0D",X"B5",X"BD",X"F3",X"12",X"BD",X"F1",X"AF",X"1F",X"30",X"1E",X"89",X"9B",X"E6", - X"81",X"15",X"2B",X"04",X"80",X"05",X"20",X"F8",X"BD",X"02",X"71",X"33",X"41",X"11",X"83",X"00", - X"15",X"26",X"C8",X"96",X"A4",X"85",X"04",X"26",X"3E",X"96",X"C7",X"81",X"08",X"26",X"38",X"10", - X"8E",X"C8",X"BF",X"EC",X"A1",X"10",X"83",X"80",X"80",X"27",X"08",X"10",X"8C",X"C8",X"C3",X"26", - X"F2",X"20",X"24",X"D6",X"C3",X"8E",X"C8",X"82",X"3A",X"EC",X"80",X"C4",X"0F",X"C1",X"02",X"26", - X"16",X"E6",X"84",X"CB",X"04",X"E7",X"84",X"84",X"F0",X"8B",X"08",X"D6",X"C3",X"58",X"58",X"58", - X"CB",X"90",X"ED",X"A3",X"BD",X"00",X"6C",X"10",X"8E",X"C8",X"BF",X"EC",X"A1",X"10",X"83",X"80", - X"80",X"27",X"2D",X"8B",X"02",X"81",X"70",X"27",X"22",X"0D",X"DB",X"2A",X"13",X"C0",X"02",X"0D", - X"7A",X"2B",X"09",X"85",X"03",X"26",X"05",X"D1",X"C5",X"2D",X"01",X"5A",X"C1",X"88",X"2D",X"0B", - X"ED",X"3E",X"1F",X"01",X"86",X"06",X"BD",X"02",X"6F",X"20",X"05",X"CC",X"80",X"80",X"ED",X"3E", - X"10",X"8C",X"C8",X"C3",X"26",X"C5",X"0D",X"DB",X"2A",X"1B",X"CE",X"C8",X"BF",X"CC",X"00",X"80", - X"DD",X"F7",X"EC",X"C1",X"BE",X"0D",X"A7",X"BD",X"04",X"DB",X"1F",X"12",X"BD",X"07",X"CA",X"11", - X"83",X"C8",X"C3",X"26",X"ED",X"96",X"A4",X"81",X"04",X"10",X"26",X"00",X"E3",X"10",X"8E",X"C8", - X"A6",X"4F",X"E6",X"28",X"1F",X"01",X"EC",X"A1",X"10",X"83",X"80",X"80",X"27",X"37",X"AB",X"89", - X"0D",X"7D",X"EB",X"89",X"04",X"9F",X"ED",X"3E",X"1F",X"01",X"86",X"0F",X"BD",X"02",X"6F",X"A6", - X"3E",X"E6",X"26",X"84",X"FE",X"2B",X"0C",X"81",X"28",X"25",X"0E",X"CA",X"02",X"20",X"0A",X"00", - X"FC",X"00",X"FC",X"81",X"E8",X"22",X"02",X"C4",X"FD",X"84",X"20",X"27",X"04",X"CA",X"01",X"20", - X"02",X"C4",X"FE",X"E7",X"26",X"10",X"8C",X"C8",X"AE",X"26",X"B6",X"0D",X"DB",X"2A",X"59",X"CE", - X"C8",X"A6",X"CC",X"01",X"00",X"DD",X"F7",X"EC",X"C1",X"BE",X"0D",X"A9",X"8D",X"0D",X"1F",X"12", - X"BD",X"07",X"CA",X"11",X"83",X"C8",X"AE",X"26",X"EE",X"20",X"3D",X"34",X"36",X"10",X"8E",X"C8", - X"CB",X"AE",X"A1",X"8C",X"80",X"80",X"27",X"17",X"10",X"8C",X"C8",X"D3",X"25",X"04",X"C1",X"20", - X"2E",X"0D",X"34",X"26",X"1F",X"02",X"EC",X"66",X"BD",X"F8",X"FF",X"35",X"26",X"25",X"08",X"10", - X"8C",X"C8",X"D7",X"26",X"DC",X"35",X"B6",X"BD",X"09",X"5A",X"CC",X"80",X"80",X"ED",X"A3",X"ED", - X"5E",X"DC",X"F7",X"BD",X"02",X"A7",X"35",X"B6",X"D6",X"A7",X"C4",X"FC",X"C1",X"80",X"26",X"05", - X"CC",X"80",X"80",X"DD",X"A6",X"0A",X"FD",X"26",X"27",X"86",X"20",X"97",X"FD",X"10",X"8E",X"C8", - X"A6",X"A6",X"2A",X"A7",X"28",X"EC",X"22",X"ED",X"A1",X"10",X"8C",X"C8",X"AC",X"26",X"F2",X"BD", - X"F5",X"17",X"84",X"0F",X"1F",X"89",X"CB",X"70",X"8B",X"10",X"DD",X"AC",X"86",X"01",X"97",X"B4", - X"96",X"A4",X"81",X"06",X"26",X"59",X"CE",X"C8",X"B7",X"EC",X"C1",X"C4",X"F8",X"C1",X"80",X"26", - X"07",X"CC",X"80",X"80",X"ED",X"5E",X"20",X"1A",X"EC",X"5E",X"C0",X"08",X"D0",X"7A",X"ED",X"5E", - X"0D",X"DB",X"2A",X"07",X"10",X"BE",X"0D",X"A9",X"BD",X"07",X"CA",X"AE",X"5E",X"86",X"0E",X"BD", - X"02",X"6F",X"11",X"83",X"C8",X"BF",X"26",X"D1",X"D6",X"BE",X"C1",X"40",X"2C",X"21",X"10",X"8E", - X"C8",X"B9",X"EC",X"A4",X"ED",X"A3",X"31",X"24",X"10",X"8C",X"C8",X"C3",X"26",X"F4",X"BD",X"F5", - X"17",X"84",X"F8",X"81",X"60",X"2E",X"F7",X"81",X"D8",X"2D",X"F3",X"C6",X"7F",X"DD",X"BD",X"BD", - X"09",X"86",X"96",X"80",X"48",X"48",X"48",X"48",X"BD",X"02",X"64",X"8E",X"00",X"F0",X"BD",X"02", - X"CA",X"10",X"8E",X"C8",X"82",X"A6",X"A0",X"48",X"48",X"48",X"48",X"97",X"B1",X"91",X"C6",X"26", - X"09",X"81",X"60",X"26",X"05",X"CC",X"02",X"CA",X"20",X"03",X"CC",X"02",X"D3",X"DD",X"EC",X"96", - X"B1",X"E6",X"A0",X"2A",X"07",X"BD",X"02",X"5B",X"96",X"B1",X"20",X"02",X"C6",X"08",X"BD",X"09", - X"B8",X"96",X"B1",X"97",X"C6",X"10",X"8C",X"C8",X"A4",X"26",X"CA",X"DC",X"D3",X"10",X"83",X"80", - X"80",X"26",X"0E",X"DC",X"D5",X"10",X"83",X"80",X"80",X"26",X"06",X"96",X"67",X"8A",X"10",X"97", - X"67",X"4F",X"D6",X"7A",X"CB",X"04",X"DD",X"DC",X"DC",X"C9",X"0D",X"DB",X"2A",X"0D",X"0D",X"C7", - X"26",X"09",X"93",X"DC",X"2A",X"03",X"CC",X"00",X"00",X"DD",X"C9",X"10",X"83",X"00",X"77",X"24", - X"06",X"96",X"6A",X"84",X"08",X"27",X"20",X"8E",X"98",X"B0",X"BD",X"02",X"C2",X"96",X"C9",X"27", - X"06",X"8E",X"00",X"50",X"BD",X"02",X"D3",X"D6",X"CA",X"54",X"54",X"D7",X"EE",X"54",X"54",X"DB", - X"EE",X"4F",X"1F",X"01",X"BD",X"02",X"D3",X"96",X"67",X"9E",X"C9",X"8C",X"00",X"77",X"22",X"04", - X"8A",X"02",X"20",X"02",X"8A",X"01",X"97",X"67",X"8E",X"C9",X"29",X"CE",X"C8",X"FE",X"6D",X"84", - X"26",X"19",X"A6",X"06",X"81",X"31",X"26",X"13",X"6C",X"84",X"6C",X"C4",X"34",X"40",X"BD",X"0E", - X"C7",X"CE",X"FF",X"8F",X"BD",X"F6",X"8D",X"0C",X"F6",X"35",X"40",X"30",X"0C",X"33",X"41",X"11", - X"83",X"C9",X"00",X"26",X"D9",X"CC",X"FC",X"38",X"DD",X"2A",X"0D",X"F3",X"27",X"07",X"8E",X"88", - X"44",X"D6",X"FF",X"20",X"05",X"8E",X"88",X"A0",X"D6",X"FE",X"2B",X"12",X"86",X"68",X"34",X"16", - X"BD",X"02",X"F1",X"BD",X"02",X"E8",X"35",X"16",X"BD",X"F3",X"93",X"BD",X"F1",X"AF",X"0D",X"DB", - X"10",X"2A",X"00",X"9E",X"96",X"C7",X"10",X"26",X"00",X"98",X"D6",X"F0",X"54",X"25",X"06",X"CC", - X"00",X"10",X"BD",X"02",X"A7",X"8E",X"C8",X"82",X"EC",X"84",X"ED",X"83",X"30",X"04",X"8C",X"C8", - X"A4",X"26",X"F5",X"DE",X"FB",X"9E",X"EF",X"30",X"01",X"A6",X"89",X"0B",X"63",X"85",X"10",X"26", - X"0E",X"33",X"41",X"11",X"83",X"00",X"FC",X"26",X"06",X"CE",X"00",X"ED",X"8E",X"01",X"EB",X"DF", - X"FB",X"9F",X"EF",X"E6",X"89",X"0B",X"63",X"A6",X"C9",X"0A",X"66",X"DD",X"A2",X"C4",X"E0",X"C1", - X"A0",X"26",X"11",X"0D",X"7A",X"27",X"02",X"2A",X"0B",X"1F",X"89",X"C4",X"0F",X"C1",X"05",X"24", - X"03",X"4C",X"97",X"A2",X"D6",X"A3",X"C5",X"08",X"27",X"20",X"C4",X"F7",X"D7",X"A3",X"96",X"E4", - X"4C",X"84",X"01",X"97",X"E4",X"27",X"13",X"D6",X"A4",X"5A",X"5A",X"4F",X"1F",X"01",X"EC",X"89", - X"0A",X"56",X"DD",X"FB",X"EC",X"89",X"0A",X"5E",X"DD",X"EF",X"CC",X"01",X"6F",X"10",X"93",X"EF", - X"26",X"10",X"0D",X"E4",X"27",X"0C",X"0F",X"E4",X"CC",X"00",X"D0",X"DD",X"FB",X"CC",X"01",X"78", - X"DD",X"EF",X"CE",X"C9",X"2A",X"BD",X"02",X"B7",X"0D",X"79",X"27",X"06",X"CE",X"C9",X"36",X"BD", - X"02",X"B7",X"0D",X"DB",X"2B",X"41",X"0A",X"DB",X"26",X"3D",X"DC",X"FE",X"4A",X"10",X"2A",X"F9", - X"30",X"5A",X"10",X"2A",X"F9",X"2B",X"8E",X"C9",X"2E",X"8D",X"10",X"8E",X"C9",X"3A",X"8D",X"0B", - X"9E",X"F9",X"30",X"1E",X"9F",X"F9",X"2A",X"09",X"7E",X"F0",X"00",X"CE",X"CB",X"EB",X"7E",X"F8", - X"D8",X"96",X"11",X"84",X"0F",X"10",X"26",X"F8",X"CD",X"0C",X"DB",X"CE",X"07",X"BA",X"0D",X"E5", - X"2A",X"02",X"33",X"48",X"BD",X"02",X"B7",X"7E",X"00",X"F6",X"FC",X"38",X"28",X"F8",X"45",X"4E", - X"44",X"80",X"FC",X"38",X"28",X"F8",X"50",X"41",X"4E",X"80",X"34",X"26",X"10",X"8E",X"08",X"1D", - X"10",X"9F",X"F1",X"DC",X"C4",X"EB",X"A4",X"0D",X"7A",X"2B",X"02",X"AB",X"23",X"1F",X"01",X"EC", - X"E4",X"C1",X"14",X"2C",X"2E",X"1F",X"02",X"EC",X"62",X"BD",X"F8",X"FF",X"24",X"22",X"D6",X"A4", - X"C1",X"06",X"27",X"08",X"CC",X"80",X"80",X"ED",X"5E",X"BD",X"09",X"5A",X"86",X"3F",X"97",X"DB", - X"BD",X"F5",X"17",X"84",X"0F",X"97",X"E7",X"44",X"97",X"E6",X"35",X"A6",X"34",X"26",X"20",X"EC", - X"10",X"9E",X"F1",X"31",X"21",X"10",X"8C",X"08",X"20",X"26",X"B5",X"35",X"A6",X"0C",X"F8",X"FE", - X"00",X"04",X"FC",X"34",X"70",X"1F",X"10",X"97",X"B6",X"1F",X"98",X"C4",X"F0",X"D7",X"B5",X"84", - X"0F",X"90",X"C7",X"2A",X"08",X"C0",X"10",X"96",X"B5",X"80",X"10",X"97",X"B5",X"CB",X"80",X"54", - X"54",X"54",X"4F",X"1F",X"02",X"DD",X"E0",X"EC",X"A9",X"C8",X"82",X"97",X"D8",X"D7",X"B1",X"84", - X"F0",X"D6",X"C7",X"DA",X"B5",X"DD",X"DE",X"DD",X"E2",X"A6",X"A9",X"C8",X"84",X"97",X"DA",X"0D", - X"B1",X"2B",X"1A",X"D6",X"DA",X"C4",X"F0",X"96",X"D8",X"84",X"F0",X"9A",X"C7",X"BD",X"09",X"E6", - X"D7",X"EE",X"1F",X"10",X"91",X"EE",X"2E",X"0B",X"1A",X"01",X"7E",X"09",X"4C",X"D6",X"D8",X"C4", - X"F0",X"20",X"ED",X"0D",X"A5",X"27",X"30",X"96",X"D8",X"48",X"48",X"48",X"48",X"97",X"D8",X"D6", - X"DA",X"58",X"58",X"58",X"58",X"D7",X"DA",X"10",X"83",X"60",X"60",X"27",X"1A",X"0D",X"B1",X"2B", - X"12",X"9A",X"C7",X"BD",X"09",X"E6",X"D7",X"EE",X"1F",X"10",X"91",X"EE",X"2D",X"09",X"1A",X"01", - X"7E",X"09",X"4C",X"D6",X"D8",X"20",X"EF",X"96",X"B1",X"84",X"0F",X"97",X"B1",X"27",X"04",X"81", - X"06",X"2B",X"05",X"1C",X"FE",X"7E",X"09",X"4C",X"D6",X"E2",X"CB",X"10",X"D1",X"B6",X"2D",X"F3", - X"CE",X"0D",X"95",X"48",X"33",X"C6",X"10",X"AE",X"C4",X"EC",X"22",X"1F",X"23",X"10",X"9E",X"E2", - X"BD",X"F8",X"E5",X"24",X"67",X"D6",X"B1",X"C1",X"05",X"26",X"2C",X"34",X"36",X"CE",X"FD",X"D3", - X"BD",X"F6",X"8D",X"86",X"01",X"97",X"F6",X"CE",X"00",X"02",X"DF",X"FB",X"DF",X"EF",X"CE",X"01", - X"DF",X"DF",X"C9",X"0C",X"7A",X"8E",X"C8",X"B7",X"C6",X"08",X"BD",X"F5",X"50",X"8E",X"C8",X"A6", - X"C6",X"08",X"BD",X"F5",X"50",X"35",X"36",X"58",X"4F",X"1F",X"02",X"EC",X"A9",X"09",X"4E",X"BD", - X"02",X"A7",X"96",X"B1",X"81",X"01",X"26",X"0F",X"9E",X"C9",X"C6",X"40",X"3A",X"8C",X"01",X"DF", - X"2D",X"03",X"8E",X"01",X"DF",X"9F",X"C9",X"81",X"04",X"27",X"0F",X"10",X"9E",X"E0",X"A6",X"A9", - X"C8",X"83",X"84",X"F0",X"A7",X"A9",X"C8",X"83",X"0F",X"A5",X"1A",X"01",X"35",X"F0",X"00",X"00", - X"01",X"50",X"00",X"50",X"02",X"00",X"00",X"00",X"08",X"00",X"34",X"26",X"0F",X"B5",X"10",X"8E", - X"C9",X"4D",X"A6",X"A4",X"27",X"12",X"91",X"B5",X"2A",X"03",X"10",X"9F",X"DE",X"31",X"23",X"10", - X"8C",X"C9",X"65",X"26",X"ED",X"10",X"9E",X"DE",X"86",X"0F",X"A7",X"A0",X"AF",X"A4",X"96",X"67", - X"8A",X"04",X"97",X"67",X"35",X"A6",X"34",X"36",X"10",X"8E",X"C9",X"4D",X"A6",X"A4",X"27",X"1E", - X"84",X"01",X"8B",X"07",X"AE",X"21",X"BD",X"02",X"6F",X"6A",X"A4",X"EC",X"21",X"0D",X"DB",X"2A", - X"0B",X"C0",X"02",X"C1",X"81",X"2E",X"05",X"6F",X"A4",X"CC",X"80",X"80",X"ED",X"21",X"31",X"23", - X"10",X"8C",X"C9",X"65",X"26",X"D6",X"35",X"B6",X"34",X"16",X"D6",X"C6",X"47",X"57",X"D7",X"C6", - X"90",X"C6",X"E6",X"61",X"10",X"83",X"00",X"00",X"27",X"1A",X"1F",X"01",X"84",X"C0",X"27",X"0A", - X"81",X"C0",X"27",X"06",X"AD",X"9F",X"C8",X"EC",X"20",X"06",X"1F",X"10",X"58",X"48",X"1F",X"01", - X"AD",X"9F",X"C8",X"EC",X"35",X"96",X"34",X"06",X"86",X"C9",X"1F",X"8B",X"35",X"06",X"DD",X"10", - X"84",X"0F",X"97",X"16",X"96",X"10",X"84",X"F0",X"97",X"10",X"91",X"11",X"27",X"34",X"8B",X"80", - X"CB",X"80",X"DD",X"12",X"91",X"13",X"25",X"04",X"1E",X"98",X"DD",X"12",X"D0",X"12",X"54",X"54", - X"54",X"54",X"D7",X"14",X"1F",X"10",X"C4",X"0F",X"D0",X"16",X"4F",X"C4",X"0F",X"20",X"03",X"9B", - X"14",X"5A",X"26",X"FB",X"97",X"15",X"D6",X"10",X"D1",X"11",X"2D",X"04",X"D0",X"15",X"20",X"02", - X"DB",X"15",X"86",X"C8",X"1F",X"8B",X"39",X"7E",X"00",X"F6",X"00",X"00",X"00",X"48",X"00",X"82", - X"00",X"9C",X"00",X"D1",X"00",X"ED",X"00",X"FC",X"00",X"00",X"00",X"A4",X"00",X"EA",X"01",X"20", - X"01",X"7B",X"01",X"EB",X"02",X"06",X"00",X"00",X"00",X"65",X"00",X"85",X"00",X"A2",X"00",X"00", - X"00",X"C1",X"00",X"EE",X"01",X"2A",X"D6",X"E6",X"F6",X"06",X"16",X"26",X"16",X"06",X"16",X"26", - X"16",X"06",X"F6",X"E6",X"D6",X"C6",X"B6",X"A6",X"B6",X"A6",X"B6",X"A6",X"B6",X"C6",X"D6",X"E6", - X"F6",X"06",X"16",X"06",X"F6",X"E6",X"C6",X"D6",X"E6",X"F6",X"16",X"26",X"16",X"06",X"F6",X"06", - X"16",X"F6",X"D6",X"C6",X"B6",X"C6",X"D6",X"C6",X"E6",X"D6",X"C6",X"D6",X"B6",X"A6",X"B6",X"A6", - X"B6",X"C6",X"B6",X"C6",X"D6",X"E6",X"D6",X"E6",X"C6",X"E6",X"F6",X"06",X"F6",X"E6",X"D6",X"C5", - X"A5",X"A4",X"A3",X"C4",X"D3",X"D5",X"E3",X"C3",X"D4",X"B4",X"B3",X"A4",X"A3",X"D4",X"B4",X"C5", - X"B4",X"B3",X"C4",X"C5",X"D4",X"D5",X"C4",X"D5",X"B4",X"C5",X"E6",X"E5",X"D4",X"B3",X"B4",X"B3", - X"A3",X"A4",X"B4",X"B5",X"C5",X"D5",X"D6",X"D5",X"C5",X"C4",X"B3",X"A3",X"A4",X"A5",X"B6",X"B5", - X"D4",X"C5",X"B5",X"A5",X"A4",X"B5",X"A5",X"C5",X"C6",X"A6",X"B6",X"C6",X"D6",X"C6",X"A6",X"B6", - X"A6",X"C6",X"B6",X"C6",X"D6",X"C6",X"B6",X"A6",X"C6",X"D6",X"B6",X"A6",X"B6",X"A6",X"B6",X"D6", - X"B6",X"A6",X"C6",X"46",X"36",X"46",X"26",X"46",X"56",X"46",X"56",X"46",X"36",X"26",X"16",X"06", - X"16",X"06",X"26",X"16",X"36",X"26",X"46",X"36",X"56",X"46",X"36",X"46",X"26",X"36",X"16",X"26", - X"06",X"16",X"06",X"16",X"26",X"36",X"46",X"56",X"46",X"56",X"36",X"46",X"56",X"36",X"56",X"36", - X"56",X"46",X"56",X"46",X"36",X"26",X"36",X"36",X"34",X"35",X"45",X"35",X"34",X"35",X"45",X"F5", - X"F0",X"B0",X"BC",X"B4",X"34",X"B4",X"BC",X"B2",X"12",X"14",X"34",X"24",X"23",X"B3",X"BC",X"B4", - X"34",X"24",X"C6",X"C6",X"F6",X"36",X"F6",X"C6",X"F6",X"C6",X"46",X"46",X"46",X"C6",X"F6",X"C6", - X"16",X"C6",X"C6",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"22",X"30",X"32",X"30",X"32",X"30", - X"32",X"32",X"32",X"30",X"33",X"30",X"30",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20",X"20", - X"30",X"22",X"32",X"34",X"34",X"31",X"31",X"34",X"32",X"32",X"33",X"30",X"20",X"30",X"20",X"30", - X"32",X"30",X"32",X"30",X"32",X"33",X"31",X"30",X"20",X"22",X"30",X"30",X"22",X"30",X"22",X"34", - X"32",X"30",X"22",X"32",X"34",X"33",X"31",X"30",X"22",X"32",X"34",X"34",X"32",X"32",X"30",X"22", - X"32",X"30",X"20",X"20",X"20",X"20",X"20",X"22",X"32",X"30",X"24",X"32",X"30",X"24",X"32",X"30", - X"20",X"20",X"20",X"22",X"30",X"21",X"30",X"22",X"30",X"20",X"32",X"33",X"34",X"32",X"30",X"20", - X"20",X"20",X"20",X"22",X"34",X"31",X"31",X"30",X"22",X"30",X"20",X"20",X"20",X"20",X"22",X"30", - X"30",X"20",X"20",X"20",X"21",X"30",X"23",X"30",X"22",X"30",X"22",X"33",X"30",X"20",X"20",X"20", - X"24",X"32",X"32",X"33",X"31",X"30",X"20",X"32",X"32",X"32",X"30",X"21",X"32",X"33",X"30",X"21", - X"30",X"20",X"30",X"20",X"20",X"20",X"20",X"40",X"40",X"41",X"40",X"40",X"40",X"40",X"40",X"40", - X"40",X"40",X"40",X"40",X"40",X"40",X"40",X"40",X"40",X"40",X"40",X"40",X"40",X"40",X"40",X"40", - X"40",X"40",X"40",X"40",X"40",X"40",X"42",X"51",X"42",X"41",X"50",X"43",X"53",X"40",X"42",X"40", - X"42",X"50",X"40",X"40",X"40",X"43",X"42",X"50",X"43",X"50",X"42",X"41",X"40",X"40",X"48",X"40", - X"40",X"40",X"42",X"51",X"40",X"40",X"42",X"52",X"52",X"54",X"53",X"50",X"40",X"60",X"62",X"70", - X"60",X"60",X"60",X"60",X"60",X"72",X"71",X"72",X"70",X"60",X"60",X"60",X"61",X"70",X"60",X"60", - X"70",X"60",X"62",X"70",X"63",X"71",X"70",X"60",X"60",X"60",X"61",X"74",X"70",X"73",X"73",X"74", - X"71",X"72",X"72",X"70",X"60",X"70",X"63",X"73",X"70",X"71",X"70",X"70",X"60",X"68",X"60",X"70", - X"61",X"73",X"70",X"80",X"80",X"90",X"90",X"90",X"82",X"82",X"90",X"82",X"82",X"80",X"82",X"80", - X"82",X"92",X"82",X"91",X"82",X"92",X"82",X"90",X"80",X"90",X"83",X"90",X"90",X"90",X"82",X"80", - X"82",X"80",X"82",X"80",X"82",X"80",X"90",X"82",X"90",X"90",X"82",X"80",X"82",X"80",X"82",X"80", - X"82",X"80",X"82",X"90",X"90",X"93",X"91",X"93",X"92",X"92",X"80",X"80",X"93",X"80",X"90",X"80", - X"90",X"92",X"80",X"90",X"92",X"92",X"82",X"80",X"92",X"90",X"82",X"81",X"80",X"82",X"80",X"82", - X"80",X"82",X"80",X"82",X"80",X"91",X"90",X"91",X"90",X"91",X"8A",X"80",X"90",X"90",X"A0",X"A1", - X"B1",X"A0",X"B0",X"B0",X"A1",X"B0",X"A0",X"B0",X"B0",X"A0",X"B1",X"B1",X"B1",X"A1",X"B0",X"B0", - X"A0",X"B0",X"B0",X"B0",X"B0",X"A0",X"B0",X"B0",X"B0",X"B1",X"B1",X"B1",X"A1",X"B1",X"B1",X"B0", - X"B0",X"B0",X"A0",X"B0",X"B0",X"A0",X"B1",X"B1",X"B1",X"B1",X"B0",X"A0",X"B0",X"B0",X"B0",X"B0", - X"B0",X"A0",X"B0",X"B0",X"B0",X"B0",X"A0",X"B0",X"B0",X"B0",X"B0",X"B0",X"A0",X"B0",X"B0",X"B0", - X"B0",X"B0",X"B0",X"A0",X"B0",X"B0",X"B0",X"B0",X"A0",X"B0",X"B0",X"A0",X"B0",X"A0",X"B0",X"B0", - X"A0",X"B0",X"A0",X"B0",X"B0",X"B0",X"A0",X"B0",X"B0",X"B0",X"B0",X"A0",X"B0",X"B0",X"B0",X"B0", - X"B0",X"B0",X"B0",X"A0",X"B0",X"B0",X"B0",X"B0",X"B0",X"A0",X"A0",X"B0",X"B0",X"A0",X"C0",X"C0", - X"C0",X"C0",X"C0",X"D0",X"C0",X"D0",X"D0",X"C0",X"C0",X"C0",X"C0",X"C0",X"C0",X"D0",X"D0",X"C0", - X"D0",X"D5",X"D0",X"D0",X"D0",X"C0",X"D0",X"C0",X"D0",X"C0",X"D0",X"0D",X"BE",X"0D",X"B5",X"0D", - X"D1",X"0D",X"E7",X"0D",X"FD",X"0E",X"0B",X"0E",X"1B",X"0E",X"31",X"0E",X"44",X"03",X"03",X"FD", - X"FD",X"0E",X"57",X"0D",X"BE",X"0E",X"5E",X"0E",X"77",X"0E",X"87",X"0E",X"B0",X"0E",X"9A",X"0E", - X"C0",X"0E",X"AA",X"0E",X"B7",X"0D",X"BE",X"0D",X"AD",X"0D",X"A5",X"0D",X"A9",X"0E",X"07",X"0D", - X"A3",X"0D",X"A7",X"08",X"08",X"08",X"08",X"08",X"04",X"06",X"08",X"06",X"07",X"07",X"08",X"07", - X"05",X"0D",X"A9",X"0D",X"A9",X"00",X"00",X"D0",X"FF",X"18",X"08",X"FF",X"00",X"F0",X"FF",X"20", - X"00",X"FF",X"00",X"28",X"FF",X"E0",X"00",X"FF",X"00",X"E0",X"00",X"00",X"10",X"FF",X"E8",X"08", - X"01",X"00",X"00",X"EC",X"FF",X"40",X"F4",X"FF",X"C0",X"F4",X"FF",X"0C",X"F0",X"FF",X"0C",X"1C", - X"FF",X"F4",X"1C",X"FF",X"F4",X"F0",X"01",X"00",X"00",X"C8",X"FF",X"30",X"00",X"FF",X"00",X"30", - X"FF",X"D0",X"00",X"FF",X"30",X"D0",X"00",X"00",X"30",X"FF",X"D0",X"D0",X"01",X"FF",X"20",X"08", - X"FF",X"00",X"30",X"FF",X"E0",X"08",X"01",X"04",X"08",X"04",X"04",X"00",X"20",X"C0",X"FF",X"20", - X"20",X"FF",X"E0",X"20",X"FF",X"E0",X"E0",X"FF",X"20",X"E0",X"01",X"00",X"E0",X"0C",X"FF",X"40", - X"F4",X"FF",X"C0",X"F4",X"FF",X"0C",X"28",X"FF",X"0C",X"E4",X"FF",X"F4",X"E4",X"FF",X"F4",X"28", - X"01",X"00",X"28",X"F4",X"FF",X"B0",X"10",X"00",X"14",X"24",X"FF",X"34",X"B4",X"00",X"04",X"3C", - X"FF",X"BC",X"C0",X"01",X"00",X"28",X"E8",X"FF",X"B0",X"3C",X"00",X"04",X"D0",X"FF",X"4C",X"10", - X"00",X"D8",X"D4",X"FF",X"0C",X"50",X"01",X"FF",X"10",X"00",X"FF",X"00",X"FC",X"01",X"FF",X"E8", - X"F8",X"FF",X"00",X"F4",X"FF",X"24",X"F4",X"FF",X"F4",X"50",X"FF",X"F4",X"B0",X"FF",X"24",X"0C", - X"FF",X"00",X"0C",X"FF",X"E8",X"08",X"01",X"00",X"00",X"20",X"FF",X"10",X"0C",X"FF",X"F0",X"34", - X"FF",X"F0",X"CC",X"FF",X"10",X"F4",X"01",X"00",X"F4",X"F0",X"FF",X"14",X"F0",X"FF",X"08",X"20", - X"FF",X"F8",X"20",X"FF",X"EC",X"F0",X"FF",X"00",X"E0",X"01",X"FF",X"04",X"18",X"FF",X"08",X"E4", - X"FF",X"10",X"F8",X"FF",X"00",X"F4",X"FF",X"E8",X"14",X"01",X"FF",X"18",X"EC",X"FF",X"F4",X"F8", - X"FF",X"00",X"F8",X"FF",X"F4",X"20",X"01",X"FF",X"F4",X"E8",X"FF",X"00",X"F8",X"FF",X"0C",X"04", - X"FF",X"0C",X"FC",X"FF",X"F4",X"20",X"01",X"BD",X"F5",X"33",X"8E",X"C8",X"67",X"C6",X"0C",X"BD", - X"F5",X"3F",X"86",X"80",X"97",X"77",X"CC",X"0D",X"0D",X"DD",X"42",X"97",X"44",X"C6",X"38",X"D7", - X"45",X"39",X"BD",X"0E",X"D6",X"96",X"67",X"85",X"10",X"26",X"12",X"85",X"08",X"27",X"05",X"CC", - X"00",X"20",X"20",X"04",X"DC",X"6D",X"27",X"08",X"C3",X"00",X"02",X"20",X"03",X"CC",X"00",X"00", - X"DD",X"6D",X"DD",X"49",X"96",X"67",X"85",X"20",X"26",X"06",X"0D",X"71",X"27",X"20",X"20",X"02", - X"0F",X"71",X"D6",X"71",X"C1",X"10",X"27",X"16",X"C1",X"08",X"2C",X"09",X"CA",X"08",X"C5",X"02", - X"27",X"01",X"5F",X"C4",X"09",X"58",X"58",X"58",X"58",X"4F",X"0C",X"71",X"20",X"05",X"CC",X"00", - X"00",X"0F",X"71",X"DD",X"4B",X"96",X"67",X"84",X"44",X"27",X"0A",X"C6",X"FF",X"D7",X"77",X"84", - X"40",X"97",X"69",X"20",X"04",X"96",X"77",X"2B",X"5C",X"0C",X"77",X"96",X"77",X"D6",X"69",X"26", - X"17",X"81",X"12",X"22",X"17",X"D6",X"67",X"C5",X"02",X"26",X"4A",X"44",X"44",X"88",X"0F",X"97", - X"42",X"86",X"1C",X"97",X"45",X"7E",X"0F",X"F7",X"81",X"3F",X"23",X"07",X"C6",X"80",X"D7",X"77", - X"7E",X"0F",X"F7",X"81",X"10",X"23",X"02",X"86",X"10",X"8B",X"30",X"97",X"78",X"BD",X"F5",X"17", - X"84",X"7F",X"91",X"78",X"23",X"F7",X"5F",X"1C",X"FE",X"46",X"56",X"46",X"56",X"46",X"56",X"DD", - X"47",X"C3",X"00",X"05",X"DD",X"49",X"96",X"77",X"44",X"44",X"44",X"88",X"0F",X"97",X"43",X"97", - X"42",X"0F",X"44",X"20",X"52",X"96",X"67",X"85",X"01",X"26",X"38",X"98",X"68",X"84",X"03",X"27", - X"09",X"86",X"40",X"97",X"76",X"CC",X"05",X"00",X"20",X"39",X"0A",X"76",X"0A",X"76",X"2B",X"F1", - X"96",X"76",X"81",X"0A",X"23",X"08",X"C6",X"1A",X"3D",X"C3",X"00",X"94",X"20",X"25",X"CC",X"00", - X"00",X"20",X"20",X"00",X"2E",X"5C",X"8A",X"B8",X"B8",X"8A",X"5C",X"2E",X"00",X"00",X"00",X"2E", - X"5C",X"8A",X"B8",X"D6",X"6A",X"C4",X"0F",X"4F",X"1F",X"01",X"E6",X"89",X"0F",X"D3",X"59",X"49", - X"C3",X"01",X"6C",X"DD",X"74",X"DD",X"47",X"96",X"67",X"84",X"03",X"97",X"68",X"0F",X"67",X"39"); -begin -process(clk) -begin - if rising_edge(clk) then - data <= rom_data(to_integer(unsigned(addr))); - end if; -end process; -end architecture; diff --git a/GCE - Vectrex_MiST/tools/tools_prom_src/binaries/linux32/duplicate_byte b/GCE - Vectrex_MiST/tools/tools_prom_src/binaries/linux32/duplicate_byte deleted file mode 100644 index f1dff2e906d91aa6738603764f875c8ed747b330..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 7356 zcmeHMeQcA*9X>k_H8gRP5IVPH%%i3ah!}^36pFgye855jNkd@j7`!;P6MHzeWxp;7 z?KCovk0^~6P1A(bX@gDOG^vw1wW`pVy0qb=llX&b+Eh)GN;^6kg{^dDC{t6s=ehGG zZ$in>-`#ufz29nW-)ghjgbsF5B8dFoaX1^GJ1bRAgYbyOqE@UB z%f%ujF|XkWI1mpCP)d>JfRsSGfxCwsPRdeX3iFUG1xg5%rL;SRps>8CQ2EvO2~quH zhf@j))j(J;^X*Wz$gf2{RH&_4UOE*|Sy7Z2CPV~Om5FO&4GS9xf<%-^q z$NX0UUmSA%VCCA*gRzzuH+H^Px3q3C`@I;#I^k=z^d3sbOsI4u7=|?(C|c_e#u8#% zds|bpvEEld6Z5l4^l7{F8a|bO+YsY?P#7v>v2u=n5OJ9_w z!N54EF(FQBOj;Q=I$A*uUNto)*G&yytD%OE@5)_w=4o^9(8RMfLX7053x&ebakDhf zs`G1MJO3yQ=FU0yP6$!hFol{6tETZ}vBE00Aj;^qD=1l5#g;^wckQyoY*Cb5xHc{^ zTNb5@U3*z#wlL};J|!_*8m%QBlb9`z`iYN7%$7$t5~qOc|F(blz1-Cso!wp0@ngv8 z#N(+w(W}@m^1lV)=GdIDd-wx%*>U9YT9^^pCr+=0KI=hmh9+z9eB%>)Zql~toy>~S z*HDMZjoW@oN}>8Fvn74gJL&v|QW~)3&N=q(n;1187Nfs;gnZb~U3Z`Mf{Q_{I>R(X z7GHFDnO3;`yNNM!uHML9_vF6mEHPJ(w3dxjobkXhhr7LwVTU*W^V@|23b1T`67gSu z8JVEv#vLO;TCUo?m2I@$gV18SDXo04DGiV`UwqnYD3OhL%&dl+%V)8*=MC8 zCt74Rv<#dPRL0glU@^J_@zECV7e>0hZZxdyVvE-aj}g!gYV!Z}>3`<@0h~$OSkYAV z-Aug>T5if_KCjy{iUh>ntY-*ujPBg9`>T6)?X4Hc;Y!hPY6ID*QoLgOG z+wZ&AbPR|m9^AZUy>}(^w?N#eV;{XB;Bp?dH)Pa5>Ibfc`_sNtDHQCj--7h8#m0_x6RbFairB zurLA(Bd{<6{|_U8S8{><(3)|+R{7p5gQRi}Cq5R$G>*Riyj@7Xgl|l~>*L?XHv;q} z;3d#m$h{DjTLxj^x#A{HBz%`{f$+W0PwdY@{IJV-Ei}Kcc&O)hGc{hd$tl=Jo04qH z4e0lQ5&fS7znOKR*HG65$R8nph1`NH!dd=)$iooL+t%Ftn5TAkPc~s@*R{tI!F1Bo z;A`}KsjfaN6F00@SO{Ncu-^>!K$~f$qdJCfxkx%Ce2JtP@dcXN>datY5%ndqzMgCh zpUttb@DW9WnW*rE2NSTTw3${p&qUIhSTZpO7|2UU;^eDHDsBp2EP*dcpBWjzvljqa z$#BpN3ST5@^rnOT5hEH#NsWXrWG2%YRHxK8Ldb;4V1F!x>XI;nhfms8c6u^khm!sM zk%XxS>%Y*;!Qnj859B=w0Owi<<}o}3!RMpo$@>gscz|`#=dXGsXx<6S_()>ug#Ksl_ zc?>5Zn#cWQ8V%sRi4MBnA0dG}nsDQz(v8Ws-sfoE&n!H7zXe_c(sag$$*+8(HP1nx&nR5xYn9#vswfcuNWss`>O3bS^#Uns0rBliV`IiqU*D-5SY z;5t`WD!HB&=E_y?MTPlRRqIn>?oim5unraGidJ{m3iBA<~>iZfr@839+#8K#R3x9t7Ci2~u`iFqOazeMKQhXQKTK@~cm$A=5!H>(uuNWx? z_UBE+b$@uv{Ci-1Ua{d6_2+r{9|G&~wBZz0iU#stlAc-x`^$jeEY??LFBiOD)#L5K z&HJOkI&gN|fc5xSpgo=Q@_T@}PqR#waLNA+*7Aqv@kfAp+euEPeW^G}T%7L;)c>M| z?*Zpk3ub%H0eg%5O1oRU4Q#zrzcP>iDKM{OgDA`Xms{36?X3Xz&(!}>;UVJU{F1*0 znCpY{&pZ2iVC#514$Qk=-ZPQk1gwJxkv+hCe=@C#Cx}qm%$V8UUS9|o_RZZLT}FG` zu5R4^8%E0}WMKVl_HGTZ=sP$y&nMR6G_6nh~RC5QkK@nukaum`(== zjYtCj9a^5=8Q9U*JU2)F)DXtD_Kv1NyV0?A>#o*rqdU;l-pa1ZKNX=&){uu#m~7nG z=Ik4M<4KzIeE6FYtWI`H_HteQHZh(Zw*GsJXp z9*S63w;dRef(E2XDD#25pf<>DJA8i9g^)?CL$M?e@b`RvlI)A4{zlY8 zJSW-uTT$=yZx~1t^|OCh#f|o>;?Y@gBa!J2rIVrARvlcI^-EW*LC)$2b(VwJwhYAB zvHsA5k4(Yl9Mj^GKZ`nzX){fZl9mA zohmTE@LW3iJnDt2c625aYT68^OjQ-?@-1qs)?uVgE!7kcr_)+m#FHJfMMN{=Y!=Bk zTecEyqDDf^q_wEfx(!prl3iLt#7r$77ilwv|00&ww9O*cl`$hC7Kta*nuu*p875dt z3rA6tG7@GCUQl)3i_Wl-5KCK@EoxF{hiXT1HE0Da^z?I*{e zEha~xOUW@f%g8af%gNEMg4{2JLS86DfV@bEAUOt7HF+_7Cx_>C0Ois1zq6h#Kg^vreCq46CPtWMv2OA=L!1D+pxw4(CmhgG6rv?sBq5@C$kZ;4y z2m8#+Mc;E*5D)#?q4I|-fW^a`*3U9z3Xk{#EXy5#`QUD1-Z_;WYRKN^_nI?%7Z>-I z_8*7eyV?T2UB1A;(zCf78nEra1IR!7J}Lpr9`N-F8}uS7b~Oj8v)cmIB2$^KF75xo zq3G8C8GW6*b`IpFg` zKQS4U!?`->tP|$>Xj*qz5aH)^CX$`AHEwlif75C?msn!l*y~Z>?`JpK0yT(5F@cy! z6390P&DRrD?gDYSr8XNhl~yg^OZ!{scw4{^r+sj%hFTh^WxY!a*9fPU-(sHjx4S@> zxIm8q^k#El?q4bb16M*T`84o1wgqNPd8RoqGgxL-`rYc3Ghr!k=SK6XUvopJoR7+T=(T>2}p<8Vjv zG!*nNM1}LA@pa~ma;0wS{rEGRN%^6B6yVS-ena^UaOz22qU z-OWmXPM*{BNy{P^H!)>jb70|=eaHJZj^lqlfLXZap(~{szwKrp+Mr9-_Bf==s2dq# z&;JMQ&UmKWJ`XF=|9lqPf$opFdcqBqQ{@JNI$a?51FQc$nx+!;O_wJ3LmB!vK=->q zT>YIs9UpKSq%~h# zyL!NYf*l7>Vvn93s_2aeYWnt<2QVr9 z$kuUL$ld!ZLbHcG^Uh}8${woNuu;AF;K|8j?0tB!w<6&1A^*O4nEU+fnVfmye&%Ak zvnPGIWV(WBhsrNXHm#n3cu0rn->-^qLk+dUOqK_jBp*4EdB z!tr=DF`-1JQ%j)~^(~%9mJNDKIgd~-WXz6qi5vf93Bc`N`w2|mgwP=SXOBGYs07Pu8 z4qtQai0Yu3#KSbT4lNbTBxqNQ1{uMsDj6X5*@)#kpSP5koB=?QXS#O*rzro18u}=g zYXN`gU%4DNO~rWY>;~TkehmCu;3vTOw;7Y?)>h%!=J!l5_WAC@a;BiH0(3w0U;qkH z;$KoyynM<9rmtHpyllahvja0(-vA22#zq=#Eb;FwSTuQ(cm1T7pog2oO&}9*i7>cT z-&j(7r?;`B^bTKRNm+K%ijtaw%}Ve7n| zKL5p_%R%*^C7`vS7-$RV4$ys|$3Xi)he5A_Y#mFRn&vCPHSL*%nYpUPNQ6^Kr7lz- zx_VY^Mi$PgvScAb>7GtA+zxK0EUw!*e%ncPiBKYGYN5tO%VwG3j=a>7$b{N629DH? zs0dNg!)aZFqCE-dvp9}jWz7~Xl{S)zF@lP^lolu6%5=r?+0RJe^fqK_-S~|`Kvk08 zutZ4H)mSRrsi}GtEp17J_+c&$a~8WLf=Z|icN!6xOF|8PLQ=P-r#%gHB-z=CZ*g|8 zJ_pC~rW|v8&hicg1|Y|Qoj4wuz6|1V3vu$U11c2c?PP!ZsYQW}y9;BJ->u{+LLO-2 znjxRgk1vkty?K2c+f2lfR)9FJX_sUD7;zwueK3jPnCE#u$2)ODm>WaLa8B5XSf-62 zdt7r&KZ-^Hl-YLKp0pXndB8m9!cpKhAy2z3qi;;Ng7|fvWt>YvRB*7``iP^P1=%>x zy&6<-P7=p?ZsYDop1#r!=l&j4IPW45$8;yi#+?A}1S{-LBFmVt=m+^?>f=3|6EJv; zLOv14bU(<}$F*iJoZ|U|oou@gqJTIV7vPQpS4|*q$NLMX#?eRpZ#i&Wn}>nB4rO*ChBN@O^<9J0?Q4|r`};m{ zv`@P{1#kWg+=r;Az8Vk{aaYQm^}{+6-wD)Zr-UNJC$<1i3=_HIv5Sh!5f?cA1(eSM zu@4+u9P>_nLooUWM?+g5VCN*RM=Z2%iCOE8C0h!(zF4wlf$NAR)4H`@STY7Z64wPw zwkCl6PV>qY%bMp_y@bj6Y{~3}_0F_pzHzPjX~|rnFuyPlEg6%7a{j&L6?}tR?{IRj zz+^x=iuptC<$WO}&J%L4j2zYz%m+*6Q?bUqCG(Aq_c_LoC0i>t$FU{zO)unlwPb5Y zz;S8G7n8}ofhBWCAOy#mC6`NCUduqvD=w9?{o}>T;CyaA@j;F~=4l!+9x+X>9kzcS zyitNExBc^ACo%^zjvFMt0P(pVvb@D1+g~cygY&KjpQH!&b?`0+-ff@nyi$k#9gqj| z@$+C*-vilc{{hI`^YN$sUqZGM`|~0MyFUW`c@?s~m+@eS^=DW8KOu8IgTdcR#3VS# zJ1`un)1dz%hr@h&S3pkX?N2M1EWQfaj=zFiddpn+4Up~UQ40UsUG*kpuG_Rf4dd%p zSADNb{)tQe1!Ug5Vf(2C7mEFmZGTGP&rt_n0p^bmnf-YWazlRnOf4uE!;qc#;k=GH zPrTxiuci$D!(fb8ldHbXC2Npd^7a^yTOix>jqQ1Xk@Jh=hxhTiUG49J?2PBVkauXSayp~1d+SVL9ybL&GL znyGe0R8!9+Hiz1~1-G=;RYjcR^7`VKHk#b=T9+M1D{6&hL|%v-Ew0I5ha6MFn~~0f z{4*Je&!~CV>+P)yHirRK!|KsnD$FcjOel_kP zrTkapYF0OO_}YVQjltR$UtMi$Yg@>-D&Pxtw)&b{eI*suzLvK7Ku&CIbf#ooS;|fFpd52@&k;gTB)UzK?#;n; zC`W;iFP-x3mHECm4BbpoIFssQFX*WKJh(glNInPnse*6s+jieXqTKfAB)WASpR(Im zS;!8QWO~!Y-SmjNZsNX&kh?Ppqi5>4b(ds%`maY9*pm*ZYyXse+a8GEm!99(uz5Ds z@}i3m7`;~+nk7S_)q9c=++B#Dt$m>d$cxI|e>U<#cmRL>Dr&wbr34gv zKCH$Mt3iUhYax-he_{+uGCMgBd4*c?`?~h0Tg60b%D(^j2*!@x*U;OOG7FMzewgGV zTeJ;&RLD9v#XopqTEpfKVwBJYbW`KEei@l|{d5Zw$Hjl+QUE>M-v^N2xAk!Rb$v${ z0<&!`Nw;fsA?u$WO;MC_*M<-hOrZqb{UX6ZN-zqThTbLlecgZlX#(&UE#0={_Ui8E zyN-YD?H}yT>pu()oVt2zm1FxfE`*%Q^ zuH&AqeVxDBZ32=1QrG)R{FW1_Ob&1Di{CPx_!tZ=LRHMsoTwk*)|WcnC$1brymsGL z$Xhkt$_IBHNl6CEcHj^)>Q6!w0CB<)32jm z*}Y^3#Ol8(1vNdJ`b>9eZ%^rtt$mlzg1+fHDRL_!x3w$X(=+>nM7N~3TfeC%d1u!? zr8|^qf~319Q}17lxbrUE(RuLae^Pr&dk^T;d~6Rf?SBi^u)7!K>Pevv+U?!VZ)m&S zJX6%rZr(wWT?1O6X9jhtt?%ve;ugQ<`(V^Fh8hb^6W#wI?9XnB*%o-`k}vr$wfYNh z#Yd<;sVTR5KN=W79ghXv{~Jm|y1-*bBZl-J*_!Qzye)C-zYjzQ45FeIKKkyz1_rv5 z(Pr~Siyr+l5F(!Ppwww1wTDu#Me3tJp)@GOR{-=vH4YeL7Kpq*4t3DA-*fbV50Uu; zz>toLcmn$0j2H3OBfgjaE$RMq*YUL7zC5mivrrZv)My~35c0qX5Ca{GluDB13{tS} z$G0BlDn_XX4jBX^d=91`CPGn5GDq~(WTruS59-CVT8i#O|Nf_-c@{LslwQdy z{ba1}2N~2U^i4~a9Y7$QM)_QY@Ih2IRh#wKbvzx>nP{?)jTb z$RKwXDp3cK51CTW>VE-R>xyXCUEJ{*>tKn+|zK^`+Z2+y$?Bat-K7BeDVPV?>qau6Xt~+=5O2 z!8Hy7QrlRi+(LQNNS%aOG|O2sV&Kq2U_a2cKl73=J+8CaJHR5;IQx3KWzW8rZa>;h z!z~T$Pk|vO19_Z!;K*!)qDyCw!L9Rmq+2pay7woF978LR+hfR$kkEzwnLIw@ki}7gI?s8Pq?@Kc0-R6vzpnkW_6d6h(f1I;qlaS| zYasp)KSVaYB(t!`>^iD+{li%2?n&PEY!Nao6x<8FJflJrH*Zg&PKJ&O_9R&k%+Gh< zM+(k8OaWarE# z6LI~M@N+CSqP~`N|LUTp)!qBLj+ghuE<-sXYx>rM@!vX*ymr?Bk^f##r9Shw!ML9F zrgo7z^SAL=bRn+m38kygGxM3wSI~v}?}t`x?dv`ezvU&8F?nsz3`+a+KdQ=e-E++Y z^wdSH)B4vySyAn2+B{G9TpfLw`n1k-q9Ue)uBXIHqw+w?CaNZyL9wPcTMw5-Fvd|xYxEKrk9+LQ>m**6+Z2IYX-+lS_yWWp^ zgn;;Oy$;gd6vW&=VfPUph~Lr&EcM^b|KwC%Yf+Z}phb51X_G=Jc5C>ltEl;g}0g~mvgeIR&b$=|WmzsH>=e!vtWc)UtRYS@PJzh+{UfH%} zztw7}Qk`@iwTfpZG+O+Y3sLTErLU55ih9+_V4FRqhj=@b?vH4K9lKxUh3WJ?(vN_! z2R-L)9f;pL5&8CX=v&{5-#VIt#U|O%t;ygI>8vtefg;5}P;EZM%N?T?>s=psdwxt% zsHp1$Unto1ff*V>f}&Y_k;j43BMg82G#`zBpy2NZHUSFxHvbyFw}HvO-uzcet9;bm zdF0rn_y-ZsK+t(fI0hLYGPHuYXMlU>SwE$=-nQgO|83xTEWO7&`KirlGO&58d&v>r z6coD!v0>4#pmG0bCpF2hVOt}!{;4WCRuadjv@xU}XjWd8#b!@ogp&U9pWH@L30KU4 zl0E|1(c{sRbaDWkyXfMO_V&JBt!mchbLm05^DRPOmKLML@95jt{hl1pm*)3XBaH_` zoENn54+dTF4=zaF`d%m_{=tLWN}|*95BJRX%zSa3Tbpmr{AJM7^*9Ve*37qp{rP>D zd}-fcdxPGBXI0D+zlQn1tjzuxnDVao&2`HmFjZ6k#i$DOqq5!P2#A01P8YxRCgTRv z0kwZ4lpX)z;r#cut?;HDi{=WNHS^7m38aWLW^{iIlX}>sA^_+kf532!ah*Ryt%ZF^ z&wt4R(O+m@Co_Hp)!+R%`42)PVIw>epG}#PzV@F0LDzoMa;W?adC}vEm3}v(^AAIG zj}*O^MDNB>t2^oww!%t5b=tqiN_D{9k7vz%GI%uqFmmZWG_=0Mx%DeDC*D2cOG&QoLxbCgH;!E;&)`uHRTzy@ z^QqBV8ehCaD&~1k7J9K~Stcm|{@b4)8_UU2zZc~Xka@H?qR46e>jn7|Uf`a+o2XIW z3(duYwpBCzTLsC~%}-G6cg7#flG8FO^%KcGOZBaXJKa5VVP-<v%T@xIKOhi%Jm=%-3UnYOG`cWh-Uo+|p1EzA(tn{)ysvXN#G;U~>4TQe%J>H= z;fkmxsH1ZZAa&CRO9@)rIUSI;>4Rc|>N+O^(l>ojMNqIa4G;n~p`=Y8ghFv$ujg(0 zAZo3vp||^mV{k2C;GqXx{%pI4q>10!j@*U6>QQvtfs~aZp2r@oMx^M(Fa61$dU6CT z?QTyV>;V;MWJB-3Ar5Y<7ys$0DdNqGI0i~4~+W`e?p4w^oYG9Ae70|O}qbbn$XrIPOZFv-Z`Y3fCqY0a7G zxUz6f$^TdWyZOKG?%(_`66)`VLf-Cdl9!8dG=zG8Xz$3Ml{{8~*kiB4KS=(Hte#SR z+Y-GyrQ|}4A;wBPqeSVf?|@N{5S4vT0V4J^1L`Rist?>0;6z=Np4d&L>@I!BcBP@# zpPfVPzFx>UkdlW0Dn=*HT>5q%WA@i-@Vi)lOZe;~9m)42w}F%_5cQ0C8DUbcmqOEf zvO|QLJqy2R-yV&4{U;((KhHxoJm`8RjV9AE{q4ELg!kzso7*xZ0x689Z9k8v@9qP4 zv}ZC5X(%%dJmR`vKx?I;)sjKFkEHgX7QluoqrXpOP{QsfLS;Q@J;}V=1t1esKq2#P z61jT@|D9_h&j=a1UjT;KQ#O>vBWRd`V^=lM^JN+r2(dnh;)cp%+}UR*=M|D-RmkDc zm>zZJ3!UC$<#K*u&U`WC>wdgv4*Zo|`9(e2CFk6F=9}FMxUb?vl*r~SiH^O9)O)z- zhmQSjxaY@AOjklB!9;S2Txz*Gcz@xtBj+X_09oge0|r$v{n;61igq}*zgN#ex2V6k+Mlg_X1Eb=S7?OiwUn^HBi4ovvAyq}Qwez zbwPi^f7w6d7=JP@Oo8D%_}75}a*Odl($l>#n)F9u9{89aA|N{YJA!oRIvghJ^kW#J zk4gGP)c=AS@OK14{-)NowarcS{`OkDw-1B@LI3L7*81i^kg?{bRgHCD&d#5jQ{UXo z{0r9ls{)Np9iS<0uI=aubf6BZH?)Ro*Za=(P3Z77G&KjnPu$x&L(wd_ieV+dq>!(z zJlpL1t81uK(hE@Z}n#{$31QR;4CNxg*O=z6#^JN7CArx^o06YR01sgkC0<9rm zeOpT{C^-*bR!PO8qO!%4!_gg(v9q~0*c95}%c?G$hd5TTHV|xRZd>OI*0weVtfUo7 zORMIVS6noi)vU&Hhd@VXbI8}!;S063`8rx^o0}2h3n2pSh(y+bdSBL(#U)lM#%kI^ zwavcfwvG;8TZ6Bosj;=Gp$YX?7w~1(lvh+&Bk#)EV5sS&RF#s7v3Cj!SyB<+LgT8$ zbrr6=aV?@c&t7--bXt%RYHA7i8fu%GJA;99qghdXJtXV!O=$P6uI=!(w)vV`Y8wOD z9f7(~Q(G(Z`Ia^XL!GtF7j_1M8+byLp`k74n^5mtwILMf@YRNVwKf;(8a|)ZO6?ti z&iXb~OmkaZE%Bp8BB8drwq_sdvx5*5>T}READd=XQwTM4S-_%gVI6EOt7AbH!cu~I z){KAjNAsgim4!U~#m~prz^s+w_`Lxv@uMG7On-jzJx1; z>;K@o3D-Ti`fwe_bsX1+xYFvd3ub2K!qtoG+qiDSbvLeuaP{GO3fEy=FXMU@*PFQB!}Sp^ z6Xj0Cm5ys7t~^}l;wr&KfB&zPb3aPl4D(+MiwE1&TrKz1BWrjp9CwviOjT$$Ql^7-3 zf-Pjfd1e?&^Y!XLXi2LWS?f#J*9F?i5<)uEEt{=ZHwOaktW2*71Th-ch5}Y4vP!+C zxdWmvt!?fMuuJumGGSlWrIkk75LypQk1Pxqi?ITXs2IuHS_A8wLhL+1je!u2g0;cM z4mJyL6Y3<;x|Wq9++5ezx|U-YYXVG@h1&LZe`rHH`Ud6$gz4;n#A5Vi>@w!Bt!t@o zZe>>^)Y8%D=NYkG%wH!$A2NRftpdb^U*W7#{1#S(a2xEy9f}`g#2PkF^-CGwRsEf< z9QRetzpkmZrS{7h@gLJ_SG5I0?3Wr86|s!{rzZHnpf%KC90mVBX$>^zV1L#c+JjB4 zp$2wLYan%F$LS7=ziSQapzH``>NHFfpDB7vprx*T1J<#~Y zx6l@QCBnPX!Z6LX`%=TOP54FNe?<+9+*HWYaZSg3+1LI>JO8g})Ke$~z5iA@y)IIr z|GzGSgb?xTeAeQ0vIW0Y1Zg<_39KC!iO6=i!_hMR!YNk#Uc_Tjqx~oNZ_Bsh4%bdtoTAKzI|j!`o|Yp@hrWNX+z>mDy(=f;!B5=|Ed*M{7N6z z2|5@E`JZU9;(d6P;fR*y@5FpTFr`-=-x-pBH{2*9{t)6X8d|=uTk&}l3)xK3V4RD{ zKkge={I0A*HqF8RlY-`0yZx1UijOD; zEO%9BQ**uetf~Ob&g6lVjT3C|H>W z58DV`zZMB~{Rfm>cXNDXYQ?l+E}gDlfMzoMrOS#-D{IOs7W=D8iz}*1Sfx5O>Rm*+ z>?wXR&34skQLjNU)C@<&+~!(Ly4C42Mt6@TiWyNekzCC%!yK%~9rf&}M(Bx};c+eQ zY*`fu!X+d;c3^XkWaAB|qbDMoo0A7eEr{~DC~Yz`^(cRF#p0UMWi{++Rqb*A4Eef> z+whdPJ|uNOHfbIomTs|{7-F^j3!x_@PmaOtk%CQ*Grbs*6m3Ge5Ls*&h+iFpzf>+v*J zAE>w7u86(E-H*D`GM-jg^hITh=TuabGd)168$D-vO)1mAk_82dzKFC@4^oeaE)hTn ztpZea9Ujcu5Y+t{w0m@UrAW2zL()FQCA*yHgQ_9A67PVf=omwLM|63`;(7kcnkr_T zgT*H-dPx{jKzT-2F0Cjlf#}pTqKoGjRWbD^)a7_erruAfbUg&+hCJ0^q(1RY0^rqL zJddfb-wi<5HxX)n(c+TwQl=g!UK-Cy5KU30Al~r96I)bM27#)JmX`X9s!EGkyZUwa zW2iK)_&;zT{X3h0<}q^&?U(^QPI$V=U%36mS~Sa zSHpW!M^0-02<#L!s+L z9zW=<_!2kPHBGc`je&8S;b-qZqbiTb|8 zny%{3Rn^kPw2GIGa zLsm9d!pWS1sd*Ak1>D?F%f-x>xHRC}*0#1Wb*jXS0tW9oFe3BXp#BAtKuZ%W!gNWK zDNz_dF(6CiM3HbRQ_uF+qaM|aEMSmfYhWFQUoTlFHDeZwp-LqJ-e)uchb%aXX+v5@ zE%3gGHq$DHi}@Z>>N>?+Z92ECywqycWc6C_Rd{paT2x!Nx~UbqyF-f0LQOQI!*xk4HuSry;ltPx=U(nOQMDYV<5%9}RBq|HZ24za&AAdp^a!EJ3e(6{Y>X1e0B4k}4X6mHUIlrnw&@qW_X$y89rBaYTYX_rEBE zS0tF}eucn4O3}02ej@&p1kZHuBJfoSPH`_H@Xr#=abHVmUz1>-`%239FA|*U&L!f0 znbCCjQi?q)!2IZOr>? zyrn0DG+`S0{kz_%m~b~8mS73^=sJ;|M5E50&Q7TAggMwIVd<2aAkDDQsi=eqor;#A z)Tx*R*;9p1c_b)xDprD0r{W|ibt+zh$wGU)34PpTr3vjxkg-yG5+x|LCrJt^wI^AE zQhQP)D77b5f>L`%NKk4|ngpfxjFcHk?HMIOsXe15D77bDf>L|NNLEsN&XAzgp0Tok zQhR(7tQ6WaPJ+vX_KcU{N})X&GNaW(dom^1EVO4r0vQE0qun;zgR}w7tWHd*Lo4h0 zB_Or50b{ioj;q=GYH`9-qz2XWav_8l@k}j|*)SKqwz5oUX#$yenvM)Mu5%Mean+18 zTaIuBq_Oi7UgIJ(;nx~o!W2xc<`P&jHRaX*;-d2MIYq?_nR-_8cr44(^(_1*D(B-j ziKbXdq<=hwxDsHK6&m?!D4r&BEM)7FuOZMWw&IbZKe1V@`x|0TV{%(hU+rEB{y`!`KcY!w(s%YL--%EuLFZ z#7bN@xf&Bu*>$U!>t>heL(J9OUWEl2!L<<0^&QtWygTT?mION1gxcDf>lTSLfvjl? zVJvjrDp4L+{kmn$wNo-(;IIkJEwD>_3Q`vZxc#j)ZQ zuIp}zEM!_lTeyDc`W-c+1Ib+bdGqMHn+&_D*SB@j#AC!#JijeovWV$1(bM2KOj}yx zFI`kwvs?rhFDWl)3L(p&?EF5RX>_le&UAh+UH@rUI!3eB%7tzJ@I!$uN757?t9P+c^) zw5Y18XgM2AV6h0NQ+P>rX;n$-+_J@`C2R~K#N+fCB6ty%c`SvcwLv}!5pXVWI3GUer^^U#6=16*^;1h}ZEdLd{8=bGh}rGx+oJ2exE zI8xz;%%gK}h}2e?Fhq?~qVZz;WJ~JYTh@a{*DuF!qH-&KlLr0J6ME9U_yN`Jh#$Ql z!DxTw(z#2SIfBjv08mCi8g+?u-Mr=xxToSVguhrfueEKgze6mIXrc!hbH_fAjaiPV zl5XBIH5FaRk9X_z!e1yKnle|}hRMCJy|UE8%u|kIkn*)Jt`Qgv zU&?%CI)=9}4x_44rqJ98kIXftbC@ztS!}I3sR`g&e4$%;9eHW=g9T@JYG350FzS+I z7^jrcvpB6M2+$%7EXQcXu=|*sk6~K=2*$?OU@ZhCe9X;3vFrj6jdEaf4rnqcoGBM4 z`mv}FOD6+Z>OhM+{P4N@`Frt#JU>>h_*XYIVre2%zON|C6-exBD65>$l)IH{0ATc7 zimIew5(SU}(PY+lwl`xDPAK4SZ^uFdv_%I~y1?Ndh#g;BM*ubR_}aA;B&@G?Z8KB0 zD!mxyd`(RG1}E^fGUZB+o8UwAH#w5BA+m{95v0D_{$#P_214JgDCC@1=?dxBrZF}t zu%1>i(yBd*CgoMPvq=q|tzxO5@*RoOCpBOW!<1VjY))#X2UO)&?zLC9dnSbkUuCCq zFG{0gI2^EE)(+Yz{$(03q!zsY_-Uj&20jPN{6Yl)chOU`@~82>1_klhZd&7*K+ zQFD98WyNhRP;#sSqSE||(EW(cl}X9z&Xi}qmIy7}{+*3EO|2z-EW5B1YjT+KYjU=a z!ytE7Arj?~Ci?km6y*pxH0h|0oCb1h!5^W#La3Vw)f&KxCSd+Zjs6Q@@_r84--LMY z;8I?B1trh7!0g{6&V_!8Gm%ap*qm6%I&djW(IM@$@z9o$h@PH=0Gm1szxN{g1tN)7 zrhp{hg0n-2%;v0Sd>g?9Nrh}B5-DdY(;fg^j;OQN1CjkSpsxeUegT2+Q{ayX97JH+ z&k=Z!2z!a}4M1n068N94&xU|!E101y!ZIXh3*5k4U~h6EdkR-RQv3{(okN{kxsj@2 z0O@G$k~7gyc%nl{@H(zbk?0*e(fuM(0a^xbW&#wY;Hw~U_s60}0qg)^w#bxlH+$86F% zKS<9LaKR)h?fLKW$k8q@q&$~|D0Jfuq5}6Ku_`D+@s%QhDmaVci)Ha!D0wN(9f9>8G&=FxmwQF389VVTM(k)i38g^VyTP!&{HhGr55i_6fxDg}nltRWiSsMR7J z9VC@Y?aGlg0_hbHJx|d2=XhDcVO-X2|LMdlC6iS&Y*cW^Tg^JLn zVU#FucqRHI&7t^Dtu7Ioa&jG+?a&cJqeGHrI~2vxUL}k}TMUg3Pl{-b^Z6TMe|sIx zL6{PtuAms2x4n?rQSI#_vVk-fkvbv^PDd-Uy=YUF(K}fERNjUA<-F_ky$!z)i!A8c z1Km_D_Dpf#O-g5IJ}9v!UWT)uaG5JkRpxbgXS76yJ}8*qIKo z7s8vZvW=%IHVzT&=xjfILepxNYtstZ3<&b+mmR2L*}-R6X7Fj378v}kCeudz9aU%W z7ra^%H*DfXuGT>j_KRGjT)bY@HjaS-bLlrzCK}gL(naTyQq-AkKz-^=cd^ zXjrF_aaQsXF2ig1bt|-e(Y%X(3ak)|l5eKS*<}0kG1*Tu&Pjd>+7r#^QPQ7Z(NI;p zj=R2%f@I=>msQcFt@T5rXUb?j+Kwy3i}Ur&I9JA);h18)i<*NMdmYR;PsVzp#p{cU z1Hm@(uP|eljPXVfesN*UmQl%;vv_b}jPsMJ?DADlL@YBZcsavP4mI*A(xqoWA7d0l zJn|`H#sD-L7S&Yo%~1;j8%pRcvvT1A;9Ytpem#67T8R*^Xija@RRuZSdYf*WMQ2h7 zEUv}Pt?VQ;wJeKOuu6PGs~`PMPPJDkQxKuN3zE1q@$93lcmQzRavr31iF+MU%8JDZ z#<$b608_q1)bZ_jZt>p>rpRXk5Z*9rzQaq|%UM%b)J9-WE=U%EYXZ+@FXe)#iv*t0 zUakP!^#q>RUdl_|Zn8uYoEEBfqbvUk;YX1^=}`obGU))n>q&?C-Aw*H?%g0rCMI_w zkV468ko-=_sH{jO!cjYQ>ynuCExA^vr|>U)tjSrR-WodC~K zq6V&`0z(Kkn%r)#IAsMyR+MzA7-h;>0W1c+l6x&UBW4u=c>)-NLAsgdbW`_p$}<4t zu^RI*VrytqF!Bi;=6Q1kj!xkk>Oi^RkueFkx@o=UkY=_3fJAzcB$2;fsG zMFB4{{kgKOu3qT*~pPjs~ksC2^pc+y;4S6FDsCEE;}rdnS>P8Nb{8D zP)9xXVvtXu4iA6-$s5Gb@+yZ=p}R?<=OodTAw(UWZ5GkT+bo^*Ru$~^~_1&dKL({o;iYC&jLfPX9@||Glgu|GXdQ7OaX`MnLx|+OyLOE zGa;nwSp)1p*E2y5*E50A^-MwA^(+9(^&E~0yP5a%W~;`MfiUhD z92e<&rnKDk%mdQ(OyOZ%&xEEHv|P`EjFu&^O+Er_*E6TIT+akJUC#tdUo*L$9iZ)c zCY;mtZ0K)75nT#B2n?DuxP?ntOCwb^TQ)fNZYf@#!s?wEqoTqVN*V#Cc;w>+9l{*t zx6yGDjl;u%mLr6G220V%o*G(mJB$#m*HhV#Pt^zsNmd7%+p%)%^qC@BX#NXa3n0(u zWr{TYv>7AaVT?|og$-ziG)5E@#)v{>jQAbKi0)*J_#MWG?qrPUE{qX{gfZec+ZYif zjS(l|#)v|;F(SY=Mg-W#hyXH1oPvyz2*4PL0E`hQfiV&Y7$c4#VWtU7$X9uF`}Stj09jAqi|H% z@a*T!HrN@Y?S&^AVd za~h*T2FRuO>#<(59xp89dPgNmNyNN~dgA@KV0O-fiEtB5Jr?jYC5fVGdW^Jz*2uIY zdD=eNi;*@+X?e1dRx(rA4tqzcGWK4YMH^ZnOc=X|j3mp#Ebf#hMe9TBL;Nchr)^e5 zds^snLlo8W_PV|=L@j}+mNVx9vQ=!^sY+ZM5?f_YpYbGV?;KgkDoNu1J@Ys{`sf$) zI4mKcX@$dd5@?xD3P+euLVPigLnX|yOefWd({vK-Fr5z2Hl2iXnodJ*ZpWS_HS+_S z(qU;kxnO=sHfyjqXf&cs%NwMPNC6n72-7F`$SdO%(roIsSD@P-0L87iqJil4ZfYWJ02U$tuT6BC}yQP3`Lsm5b6S=C%iNLA~mANRr zw)1@$BDdcUbI^oS$hb-9Y^OV|+=yKb8q6+D*ddcL8cIp4IhYc+T@cdOZld%X07QpL z;Zvn|+t`9q+d(U6V*W#N%>!3jnI0xxTUXbN<4J5%k0jMWiiH|v>25q#OIH^RS*N?5 zUSl_HbRp})5y+pHvD5TaJWc41MZ@yZjyB|Ct0XgqY{EUrguNkG#OSX5Xd7(E z_0n@nh8gBy?>0F*YJfBG7{hJI6>PlWbb~hJHejry4Y_XJjNFiWJ(wDUH{@F4$_=?v zMni7M6`%l{_;#Y_8*&AFCt$H5SHO1z z78`N}yqD5rAJa}=QZ3^?z+ywLh<}JnBsSy<%%h;NH{=RbA5daLu7LORL}Ej(z&r_x z;Wp&vpsx6a+$g@6R{IK_s~KgztbHB8f725b72Z*+7ZATFYey}qc9hrvd;hNxp`UF3 zs|FdlPC53!QmLp1;FYUm|Es|2hGYM$K%oaj?tc|%k3ffav|bU`w}}0(f*fi$X#cCg zrx}Cyzmmw9vSu}MMQq!1SD0l_)48Q+1jSMi6((`pJkz)U=$KLMxUH3Dn zLXUI*>q-!6I*~_{!*#8dMI7@C-Q_wniVTlu*!{0}aYmHhX_zARzrKq|VP`Ikx*B0> zjv@PBD|m$N%-&|AR!98^(V8xP)A7t6r+852DG0@T@dM#LW>bZs8>t}jeC(zQ!!U?l z`0a?-c1XIT6S1l-0pq)LNK#NZBq>A=Nq&bzlJ4Y?@fN=O06Q#FCsQ#FMno~j8UpQ=SrI3y`-IV34;J0uARJ0uBmI3x*_4oM2y z4oLx64#{wo(;;cmgmJ&%O&R%igs8Yfk_V(ilET9{Bnj<&J0i%WLy`b{O2{d#r)mP6 zPt^oFo~j+7{Zvgj=Tr5dDIs1~VCvS?=x@M62Ik700WH<^^YELf%)@Uyt@mO{iodb7 zQ!d@cl4fgi#FW0_BMPTu%`Xzt!yV0e9!KB%FR)7%tG|gwJ#9!=`UtC3r?fTWO*%ip z5H8QhNs3f#g5|5H_YotdG8N0Iak5@RdnZ%&lT^x5NJ@rb#1Ck4_z?Nw6eZ087}|XD zaneyZD*I1NyH4qGm#?ootp~W!xjS^F(X8}ydfe6IdKrBbYDL$5_%#$4Es&gwi|^Zm zBL&M3_?lW5t;WP`-qVhGH%DUmfmtj#5u?i>=rC0fm4~bQh;8!^bBjY0)d^!x{jeLitK~gKnI>I!fCL0P=Ie^ z44;^0;5S+!d8Z*1LqAA#3v%;dEuHXW2Wy);=#&tCiaXQl6*6Z%xE_XWeF!OHAv0Vn zywuxSEEidc<05a@V{7vf#|7GM#@0@?0dH)uqZ!WXcoCNthXtWZ0%fgkuVnFd_Jhu` z)f$vu%Yr_&rVG2Q;#FEK#u3xeUfTCzRF^KC3MO39H3TzDQd@hWnW|w0aQ`tfo{Zkry z;D(~D8K-af;V0|i^t^{+AkXJC12}C1&!DNKrqDshR9_6QrD;KoRa$5VD~zQU*nFxj zAP&XP=7-{+zGk2U443!{nb!lMaJqAAXPtj-t-qO{b(BU+@ijO<>D0auoRC(`0pNA3 z(JZ7o_C}x$SepPHu6Kp<22l+-?wR84HzYvGkOwNt;5bL0O;0XXR18qZ0)_ky7YBKXrFvWI6(aA zPT$zcDSn4v6LsP|4o!C+ho+zOI5aivtT75m3bnfI;!=MNGpTw=>QnZlKL@k6Uz zm&zEdfVX3;gTP&1io2Qw$uOhI$T`MJ-H`-2FD^bbOHFWH^ zYn6o4m~m3kU3GD@!8nGh`AkgQJfu2q7OT6iPN0>?ah0n#bkG^|cCmUBNWM6Envalf zJIC1@2CA{Wo6f|N$5~~$wk2EzyBWQh#y;1#5=MbB)ytx$jymSLCV?`h0uMSN$aO7e zi$fIUihpZ|foq41K;gv_mYOy@?m8Kl?1-~JXMuAYu9xv?;dt5~+RBes}b(3VA$qadX)S!BD-JI}URFg}mir4Lv72<6N zju~Bs@yLsbj+|RlP&jZXL=GH&hXaT1`az1cd{K!j=Pv!nOm4fUpCHAcq5oKIQ;?H(sEX^G*vwR^l^oX%7}w{~YPgG@=La_&TU zUlMEU>-hqT^MsXUi8NcFjmonGLT9S{=#F2E`*^7b>+Q$K2U`4VF?pT+Ph#g$O0OVx z9UEG(rDHI!d6HKmJvLxl&3plyAIlqa;j#tb3Em6;M7;Ugf5@@iV$TsETV%19-{fJ+ z;*ZZ@EfQ6H7d(>-Fgf)o-36I@8=(8@Z(zyZTPr|@-IdC7Vslb&p|*ma*pT-Vl&3So~0mtkwN*_ zAD{{>t-dXyikXsuQxj%kb~ci~&n1|WkL2wo!~D5SMvS67{7pC&Pnn?Tpd5v!5-zRtlsU+C^eCJLuuPfHgV-v{SJhmqP`%*KrBj=@bZT{% zPO6mdhibIr_+mf2L$uASN})MjEv`vWk*mRH48E%YJO%M!amHAv8id{cYw%O5!R9(d z_znO{ZK(~e!PJ$z8+ncL)BKcs73CGs$lAR33CJ>T6;tk`*~U0D$^FzM<8Xw;1Jn`N z_-a&rI|SG}1}8Bv;9_|9w z<9zOFXq9-*I9MyATXJaZMVF$Wgc^tS6RdB2ilCsDKk`4v!1T#taZ{n3pRHzS~KUx5@cWHNU_z8sdDjeh06*ja3l=6^gLp=9rYa2lB(&v8a}boZ|LK>(n-$7{hZp~NK6vANhl9Y zk4g3Gw&(lKqic;!@0FOoMw5$(&fzpBc+Vl>G2O>uF?4jTF;QYv!T_EmVVz()6V}L* z7#F7yN7ovYCDH^Et|;vP!&iWeGbJ{fu-4JF##s{U0TxHs8dD@3M=-L?marEvKf2b) zk(fkaupYt4m2fhrU`C#VQvu7PYmIz~O9PG{U29C0m{GvMKr&+*uMNB!ClY>itubBF zWJ(mBLSxL3$cZB1RA!v*y@5X#WdS3Pt~Ji_{*l+^Eas+4B?8_Qnt;D&!NVP0YZQ2? z>8#D*jy6LK-}S0fytV0@=1$fFT(WVk_YRnzXzS=&V}}$M&vg8`$#Hb8ah;4)V`xi{ zalN;g%dCf=x10yo8aK#zGt5dJSZjP+Mp@5)gU(bmZj?EBW7;XFnS6mTuh%VFkZ9-l-RQH zNnv73{_iA#DG8Q14-*q4FG&(lc=J8JCKuempRk$nvW)g}Cr3qt$oTgXn=G+{)gL4_ zExHUwAi$j-#(zmtqpZ;^QD-M3`;#O)Q*h(L**spAky8YZ$jCp-$Q+Rpr;2y*Q^oDnuStSDk$Nb> zUnIfQXdIw4ZK_Ntjx00!Wih8)?MKzdjH41=AkpHuHCUC`WyCCa8E}$YHO}5Pj!9f$ zw4FIKj>}w2qDz{ai}4)gJk{BFL&naP{NY-vTT>;D*<{9>lHq)3ES;!@HRZ-%Wn_6+ zH0z8oW}J|bm5xX&;ooFjO*DO)_+kKZcAM1qla1 zWc7K+QLXPA#R=`0ccJjsX|YC0f-r)NE4VyJ&nQhGtBPmh=)sn4ZUU8rpB5`l8V~a) z0p}&eBLk}hgX8ENa+l621}A1l6_;&jQY+j6aFH&$vOx5AW_XzMUXuFT=b0j2mV2csT+|W|?-|45|?0=7dtzhfAj# zMpf4&e+^(9AHJ3(Q%Pbgf=$@=QQJJXDcBLJS>4&X25-}OlwHeZiz+K{a70OIb#YZ0 zR*Y3)gJyN|d*Bqm5I?A3G4w9u$w_L zrerJBje5c6H@JGCJkKHLF}xtzR1k761lM9QQ}%B-q>tt0$fa^T3-Yl=RIEJW@)rVc zA~65^$Se`4Avw<3!1Y2Y=J_5$p3UQMvL8sx@W6FOyNo3OIj3+rN=Xh;eRC;Wk>xzf z(m>fnmh&mg41myx3Z(YY2`VVBe7QUi--s2Ds?b>Lm4mX1OVJJqZpXCHp#mz{jpp68_En$vZ!*%lwsv94OMBQ9Tbu$eh<%gQ6EOqGb zE`?ZBBBQV1sshzb$V_m*jiM+~S;ykGR`SP@_o%E@e&m;Pp<_&`2W&#>r*T~l4sl*; z%Npqby_>k$t$7bY$*4;!`bSiwqD}Xb+^n^LykJaulZw@dbmLK!zfw`QQl@Y;QRhmer!hr|0N4)@t#a)> zCGQCx6V%Y2pLhEFCq5K81J{F)SXIEBuWZC>ImL>h7v^3RL}kyRn5QIeV_hBXoH>2c z(#QCo#+3lQvAjJeaqnp1_uJ~d;{1(a-*4k1b@uyhb@2P`&u#Ub7EKF*XerYdtLGeV z_^3jxH+&S1c*94CFILZ?68@d5=V*g6f32s)jKnjGTOW&G6XpWPa<%yzo7+~^Hv8$E zHQ4)Ph5G9yxc0!hECXRQ-g=}`0IPWO3MqLMfXKvjDl8KFl$A($7p`9;@$DdsX+@Vt z%y@c9F%}je2Ie+{fT;k)$~2nPDl?S5NJe%7wkwu$cmh`(i{C@`*z*VgMTw_#ZsK3CU*F7A|?%wr_U_XH%KQ_-`|U_Bw^|ny$#V!K|BeBBZQX#AK(-* zy6f*WGR=s}M{+zrh6$}XBR;@63GI!wBg5|Z6Kqbt-;a*=7a+Lz3D~*c zPhd{A-;che8nNH+BlK~5zu!>+pY63ul)XkoKbs!eBDVbzTYK9dX}GoR?`cHv4{3^R zfM+Q$5P~m4v%ZXZ9Bmn6sxy_Vp}t0W+d7=|kCn;iDpXDt9{_xlH2*whI@fNUXlE;A z&BC7AC=-g`(N@T4Wr!f;*~wHaX*7Iw%6 z#v&e}JF~Z$U<2cBo`Jn2%GBZ%T9anfuY;mm0RJ?L%sG~!$&Ze%SZePZ;kSjkLI~r=6n(2dJN~5w1tZ6u|!*E;$_9M7I zy;x@7cp*>DQmsszR*{Q$`)U?Xxf8&ZOJQl0ICWmN-yRp`_f?e%)V_wcvbvDRM>d`^ zk<`f0Hevn}ky@^i#1ty)05}`kvvUgBNYaK;KZC+5Qz(B$8TA_ivIQ{O5rYF_F=-p^ zh{jp4G>y9lHLtRdP;aL+6~bY$Ep?}J9+Zblr)zE^#%rW^`VR#S$r=lLz?d`an?CG(h1 zzcDom*2TqFPwO|O(h1wdzZfTg;NgUhA+Ny;G&t7ku{lM*SrVuqz=xS&pe%Q-{+-mH z@X;C{X$`j3;S)UNIBukaf5uq9CG|Mxi%(S3>s8^7)Nf6l%(3|PDt+C(l~(J>sGTw@ z86~IHg!(RtNE==OusL67f<1F5u55jOKtmV ztRG|g{W8J}N%4u8wobee)*ndi;?#8H1~rOV`7tf0?@6U|zuh`UTV@VN$n*z!+v5UlZ7XT@y-r8O)`1z^cUKDRk^Mprb*<6rX5I7A+1Q zA9o{S=q*fZU_FgkJ2_~w7=&@yH9$ z)%C>A8;{kBofw6hFCcC#;rl?6k+}ue)i8IXVA~U)268kkPSQ$XH{(it5t!M)h;h@u z?(FH|;$n5Bohwr&i<4=QC}$EL?vZ9QSf=puPUhvsdjL`1$-KPVb^6X3abU_>MEe8E z$E{Q0XpoM~EXYsHcjQ;d^UFhCkK=k4Y2UN+E0p=A!fUx!V7VSWs=fJsLI5JuqSmkus5rZ)h(g9^YSrgzO4b;wcS#&W%sm zv@z-Qxh~pK33R=HTF36EswIo*e3}86D=wx{F@y^-4;Pha`JgR;|gW-^t3QQ6{o7vZrC)8F!P zyw}BW+Dvi}T|MMJCctIBarXUui(7=c}w)nK5qA7={qPL;T>Z8!N6paVnJV;SG9nqp_ z6g0^4Kp!cLH<{NWjhn*e41}mQevAuV$fp5D`SFAIYEfV2cRh+0wZmRSZ^B)v#4zx2 zQpKG-vM_%t);1&8K3V<9(8DEgA*Of%1oInOAAJ;kffm>3Ee zNs4C1#1LKq=!&(83ZkK~0OLd&0!>NOA$Q_1LbuAp zW7D$0FdeUxN2V}hfH9T=7z!tHzUfIqCQdvCY2&zv96ST%qQdiXjm-gHDlu{K@pvEh z(AgC_E=Re*Gnit$;h@Nv!E?+EGZJhj#GsQ+3}dP33ZtoLlfubW6fG-9aEqDb;WTL8 z$ub#GWk~`_3C|p((keKI$b4-Vr1psAzU*I7nm^lM| z=-e=oz@9e_*jbVm$}F(6or$To&UdCG1da+A+C2snY2p5ITIj>Aop+UvxLSi$;fg4k>($&}UD$gTzMGx6raU=#s6>@!?{l^9`><3E>1(dx<$x9SU8f z4Ct0cU9Rhkz-U? z#0xwm!YAb|tgRj?f?Ar9r1cGwhp8#PQRxQ4+` z?o^4Guz+N&JOCqXMQm9Aq3UGlNy%-JPgC8I^&D>M$Wg)N92MMQsYH{S!pKKi>Jd#Q z?9;R}Nvzu=8#k*)WJjl=naYDsLH*t>w8M-Xi=&*C9&L@qG1ge@kiVf|4Fo}CW%H<81ZneQ!{*$#^$ggxtz?NIjcaU>eNiG z>)}eaMnSHWVM8JHdm^Oygb~mxOL)rZoN2~|yAg~+q+)w5#ZHK9$Hd5XOmfZ=lR@g3 zC8nT&_Q0AN9!tfrJ0jeOQ10*~PsEOtvApD?WD9X%G))TA!`#AiI~-eNsTlR3Gty8$ zG}=kEBb-lY+PE+l>klKxZ=B*JrfivxVHJZww2vm9wxRws+9y?tR}}B_C!I-InqgW7;1e_{(!_e4 zY82~KBho2pi=k82(~CD!i4r1}2s0>Wrx6-}d6ZRl(G6uY!p9h{6_`!C^>{CbR*Bgc zpI1@Ej}#NEQB>j7I%47vEh2zxk~8mc`;j)uy64&ysa%d(6b-tTUO9+>9WUFQ=57Ep z93TYC6bdyVTpBT78`i=&%?g(h42C5P8;{6ob!6`jPnDcTh!31(f`*%cgli@`vK)iw zAH#_nYpGitsddEsk+}8&kw67;WiZ0 zvs0MWis46hYR)J4O04#?MtyFd!Ul&|llAZ>CkQb#86d;x5mSL!QRuj^;TL8i@_~)U zh6LLp@Y!;rWv7#@E+5ebPUk>MWIa1u0gW=kVY0czX`%z4#K43rn9Rp%X@fA!Q*JY- z+F4#29A{{04u**^bNITf26KyAH|;9rMiyuE2_p+jAObOA{?17+3XbNpGNF2ijWok? zR-2%P{+)k2xyQc^+My`_L@&lsDF`!yb8>R+|NM1DHs0f9V}(U7E|yD2$kmJAdht4g z$4|}4%gN8J53FjcZOz5Wl)0T9!Q7^^3(n@ToX@nWxp-sH+=(s5b_NJU=3!BsmV9#C zJL=cq1y>zokX;;nj3TaUYHbbWti~%3Cf-kqFwpgZ1`(!%VekoGJAz;VbcE`$XG4VX z5+o4B<~l%l>l>=Wj#v>vwZtwkJj(H>|!mdS8IM!dsTU>@s`G^=E|qEn=UsO z{9JoMY1pN`ak)7w^USF=QwuWBES&zfdQNhou4;etJc;q`mW~FT|8V{VS7OP9qOE^e zi#DIrI+tlNIXj-&^)kqQ7P|lu`-7qF<~_@`I~8+**|ku6`SRY2uhSmgxJ=v6a`KQ? zb>+CUm@7D!&RJWv=qDGRcje~gOLuM6jPQ9Q@d&7 zGXFvIg-sVXp)5b%_-gf~*J#lXo5|*EZPlwDMlsrN!TE z1yutISqgnr*#)GPr>3IJk2l5gQ+}k>pPkVB~0ZEnG$lep?T5a1#GgMJqp`|U`zUvw-u2;M0;hk@1 zFJEnTUNrlu`tzq;4DFevrQND^Jgl{tZ|&Umh?ah<7Fec@zExWvTK-t$nLp81KD<-Q zGIO*MimIX2UO`Q%?3bTYeT6&!CtDjvT~~Y(8*S|9vM>>mot)~9KB%jCl_ab(RFt3c zLhk{HoI1~aC z4C`|b!a_S{8J}`yK?gk0T!Q8$;aLM_7QE-;yAxWUqAb&57iih0?^1L24U4pt=d^n^ zUZMS(nF|h#+r$0K?=e)A1X!o zv*GOccwHfC9)mTdN#BW7QeJGW4~>~3w##w*OsZ<^zuQpb${`w6Em&uDqbkOzr$ieO0bGu}WK?GwZ^q-@T+Gc=2oXi_P_a z%l%2w^Vy3Qb}ZliUeP1j6^|VAYa2orF3(;>Bu}p{$5Tta7PBDNY`)-;N6pGf+J>At z|G1Ip%V(dryjzR%Y3p)UVtQP4-47SNy%;m-#Mwwg4^pm>Yu&=@&9S+AVZ?j2HOmM& z_0I>N#~+V>j{j;Mw`z$C9?4$5T^)s%Sc*3IY|liFJ^!-*`!Z20QA+YuRRQN5-o|fy zUTu6@G{rF*htCWcq%#i7D+ks*s)uCdf~RsfUQk!DV=>kHkMX0H(@*fqNASo@L4}@z zXdA^4ggut$h0l@`ZquQ25@JSv-ckE~v}1tu4! z;ce}{jdx$LWbv7cH17f}h^bk2ZvLXLL5CKFpx zhEyaD@q3wo8yw>336O=8x;zO0j$p8;zaw_;r+NOaAI7qYl)4+;tUR**>@Ddh*BMhEe6O+F?3kjTp`_=-4l^qCvN7gXk++RmJD8sD$DUXB(obT$t71!j zsAe;~@J5==0!du_DH6K=y@{H5{1A-EAM;hh^GQr~JiL7^r#Np#uC=z|=2}cwQ-bz- zfae{5Yi+9f;Nsf&`X$vL0cgQ1UEWGfGjT2!21ZBQQkytf-S(D6oAxidHGW$xw!eDu zqb)nTvFTH9Q`4i%&R#B=ARTI3BK_g4C_rrQR(O7&;i zhZwA!g#%QgA-`uq!A&7Hg?Z-WqSIt=qq-y|$MP%}Xp6*nTO`8Qu}xH}8|z;}#W_X22>Fyg z=h|#7$b;vttkeG3uWCPF)h)^t7&-x z?t7e}p={22a8b%z=OyB>h{`Xmk#N+C7Ig9dKJ=MGpG>($r-b)*aBW{wy+MIWy3mG?oP+vcGH@>P=A56K;5YL(Qkey0YR2`7^e-PC z_6$?YE@6U|&S5hKZVV1&-#B*B&B-YSYX&Jf6TQv!bN$7sb2g*Ef*ZFW2oz)zG2J` zkLejF)ym=5TU5P&qyRC(Lai}fVU27b3-FZ&$FP(;*ZdpJ`3rT-JNfzk z2tK?WEcIeLtzl0u5VWN~vbeQK!%CmQ62_smSuN~Xq3dM~gMBQpZD2llfb2mpEZMBK zw!!C$+N@=(aBJN&SVEbrb{k&C$irO&BfDFN`gCb!KeHHfIc+WvLT)_e&HC+NsF+@U zS-H<%aNPH^W!8jMOU4E6Lg9h)J>{9;)Wm}09AQ^s{pp}~SeLda+-6w0+g=siEIA)t zA-a62jBU;$+a?USn~XAp3QALJg_%LR_FKR5T6EQFEPZBvaF*&@EUg$Sj*ghnmi0}; zMb0&Q6$V)2+{>x87z<PW!mV#M-RI*0ae&^rse40qyp0>D420h= zn?ks{RefM>XcS}Bdc3~fTeIon$gl{bb)uHim6H|}T#=?UQ{)(_P9rIIl4g#LS;2X( zPk?8m@XOj?gmDpzGN^S7@@91gu294EXwbm0br47+4L85JTQB(ATDDkvUip@Nq*u00 z-JoIY``mj=K3hhO{5I>XXcnc>>%T;nsSykosu{}XvT8+quHm3oU@A9R`yNzm7>mR6 z^HnT{4|idCR4j?FwihfLGu}A;uVVqup*Sz9_DXTWt&(pb?9zAum3r_$gM+k$rzM{v zJfrc1=_*B&BJ7oXoKSYEEu}!B5^)6_RqAfcLdCp~s3ofvaso8B;GkT>gOX1WF2&rs z+8a#}rc}NZC;Ys|izLq@kI$%b4Mzz75l5BR`ES+uHW9ZI$P%>Sz-xKWcQu=GsvxPV zy@n%%Z&lP+6P&B4i{2#{9buM*p~^cU`7=s-!x6*f2atwGEQ$$X5{w}7e*mnOEKB_& z4q#28{}U9-s})E-q$s;cd9k9Te^eqRud!0s*^wqJ`@#v=w`!s73){U@R@(IF^OFl(-SmO!rl6;)-od^NSBz(8z6NDE?K2CVE zq?`07lh-kS0NG5`@1a`3AyIYdqnUqv?zo6LVC!XkuqgRBp$@PHkOzqkh4m%83P;Q< zO{>fXeE~qF9{kVXATc2y`zT^&bj<@N{~B-);iyuhAu^Is2UVVsw5pPTS4%zxpi&S1 zr*TjgVV~p^gjvbg5cW$xNw`b$b%d8e0P1#1Wg2k;Msd);?IyqniB~RD8j7-!U+2dBCk>p{(l4qhC2cZuJW!4 z8C?UUN^BVvHK)YSgXp9X5^zfa^!?;GDA_!~lw@&TB{FCAI3nBwcrT7BOrt?X>gdSD zlE0^Lr8wcel1~u+naYnQ2&V-fCv1gbJ+WH$+9xvkt{3oR#ll`Vu7IW&Qn)|8N_m&zD-dDsFhka!h%w-$AJ!c z&k<&*LL^)w+Fi#gYwfNhN39*Vq*RGTZ^l9I><7F9N5oKU-k0ED3==j=9v|U)-iYLD z2=f|G$PgqwfJ*-p|GRNS+V47zs`UzWcU3npZ~n!Ccfo~Ak1&LEkBpp3rZg+aW-6Dr z>Z{%aGPmHMK*D{JSKZ-$$=47bkbIKxW0J2U{ITR42!EpSg#Rh|B;hNPuOWO@@(Ds{ zB#HvYB;P=okbE8Cn{{8wrM;{snm({E{!7`lYD}3x5gXV37ki70QPBGLVYt( zL-?HFlZ4-ud=23#$tMW^Uh;wNg(QxEMa5-pQXMp+< z4h9HetqS&1g793)2f|Z0e~-oyzE|=I!VgM5Nw`Mi37aJ!C(KAbNw`b$3BsJ@13ABf z^BZwQx~@D`!niSFhz&GLFRP=Wt3s z5mS5?rz{kqVi#G3gH9ym41=wQFe&*IA@BQ$Cw!aaa!#kDO}(MX(76#)X@YFhh0`Qk+>e=MP_)kepDzfU&{Qyo6sj7XVdazszYf#>h@W zcJT@CaQ8WfJI}f32+vA5-sBzLc+RMI(Gi}onu|3e4;;_;MsT}<#uhI$pm;SR9C;TQ3#th-MD(1sE22dy} zh7l;Vh)!e48pZ&d1$ZP0-z51uLfQ@Z2Esv&C%jSeNkTo>tRWN|mlA|SLPM4+osa*# z$-x5=uJ*_*Sw;N22B*KQP@M$SIMRmlI4$C!lL_yXyxN+J#v`6kkBBM4|Im2C*Cd|; zQ0W2u=M5Ly5W$aB_F6+3Y0tD^l`T@;C%i-QDO5rwzBc(Gg~KBlz%4j1{~&2jvbO?Asqle{DWy%!g6kD^C&31l-AW+r zI?1l6u$_ioWRf$5EBE4{VF|x1`4r)_#uF|e9mXmRp_m{aPWy6*LyZ;CVU<=pTuzo4nE~G zi5 zy#;M;3l4K@Wy+QFoD0vX!vqe_DmZU2iS3+rxm367x$x%t8}R=x3)J^xXKbj<(fzfb zV&B24-~)Nf5S%zLRe33O>nUgU|BvG|X=v1?PvJiwV6$PwJiHMw#z{dnA# zn2bKtr9e~2mekuog283)J{&zbRDA&PL>NaMj*#XNoK2t&gf!m(hDmegODJH?I)|mc zjWa&vXDKuuq<_W1NBM%O$NB*!g(n7jRq;{&bs8g}z7KD%XbgG8NLZHYdyAYEsidS= zrQ{^?zrGMMV&jfgy*qL>%<^8GJn-TZXqa;a@);^MosH&PUF^+@PYs08s&?Y`1x$-^ zpgxg4N1@ff_iLJ3Me{MxEDA5`9G_e=(f6^c6#k2~kqJ_}rgaM)NuBR#C#+O5>$0ZGkub@n#g}6^>qlp)Aff*lNSH z(0a9jwdi$OC`9Ls7PPKt5m_?A(CGI55E>4hSFtUJ%~b3|!m?!AdkT2*1^>H=ZICTb z+TcSVaN7>sAX}ca!3LKJFl-lW4bm<;92%oL9Hn(PFC9r7vw-RA7E1T!;5nD>(}C_^ z0(E8Gx4aJB`4D<8-G2)b#P7k98o-2!v&(7a_f$o{lkzxdl4zRYc){m|t8qnXiWheL zJ$-&!y(O;tUX8iQqu&HWJ};uwBsxR82N3Cux^Lq8G7<*qY+A|+loHF^t&Pk~53mP$ zX(`RfL0lx&3pT(-o{J>yQACa|#bq-~P*O}8a zEwsptG?2A?$BrGO5m~lQiVta8T3T3(8EI5~+FDl5CQE2`1U^;vp#(dzb?;7@*1ZKH zFSiMB#@2mv5aEC7RvI)MVL7hK2zy0E8ji3KHRlM+aaBgxPUPIgo@5ndNo#7r8UD%= zM_7)lGQu7%yDFy~VW*Lm5%vIb^jw%skMsagdV~#DV+pD7lL+`s>6TKlH`YD2&@jg6 zS-Z||+hOallj*TJ;!E49K%@NL(aKg8#-S~XTwCBgL|LLQ_>+wIVmYqbe2g!?f-B++dG9n_Rz?`| z8PZYhi)vSlB3cHTX+sl?DN>4BoF}Xz|BZKOZ`P}#m-YO)8P`cC^Il}i5-q<0O;^U# zNsv~y{1*bt?=IsYr?h+zvU6GfD)14@|2e2#lhE=5IK=XepkeL9WGv5n6|sC|i5*R5 z#^n>rsx#O}&q-d74cow%bhFv;JLuZPhJ5-8CCd_{cLkcjTyqlc9WvLrd>Xt(_sH@( z5qTd#P>Aj+uTs?cn17ypVtG;T2$&K zSc1Md(PVtVyLDRJPV_|z!-V*Pza6=WHvA*dNc8@-O8^XQcxPZk&MNF=?2`nY*vDQk z$WZ?hTqyRb9O14F_k*KtBNNZ`)m*)3XhYkdrarXcv0pMaTm&f}b731)1e6bNc=!Hu0Ec4#h9kdOaGiY3YX_^*Xo`a@i2P1TL zJ{>Kz%}g|u!PxkVb`u-7;f%Gj6Jz7AfR^^<+ZXj2+t*SM%r!c2-XgxxYb}*=y9O>4 zUsP^8B zG#&VK8%nt1*iKId?Udek6WeJItj}1CsnxG+-Ay~)4mydAaGk3tx6jbEV4`LPZdC%G z3Jqn^*LFG^pYo1srnXG3^w(E68Gr4=nXMOT=&ud1q4)~}S%pMjoC1C>Upx%+(!#N- z?f8#EWr=p?cl2WC<+w_Ng~`}?9CTvm=z1aHlcO)7SW?@$Qa?tHUja?t&?phl0>gSK ziz&?afa$g{F9LHEQ&f?eL~gOZ32Bp zFSl(mUT#C}S2=X_@-2av*F#G;u~lA#5ms6y-}`hEBY}>cEfV-#{bi8JUH_9V6JXdI z(}of){|)saV>_|seizn-La=kMeNmq=qUcvzFIIIA{@W35BI*d}bVOkf)C@NTwbJ>} zwg;WrOf8qh^`MzFG^#Y;k3D5!x`D}`8;zd=Fh?!S$63n4908{DZP8Lkftj!{UkB!d zh50vNdVV2Vss`4&4mFbqH!}y(i}vAfxEHFLn}25$s{!XqvjRPWJOW=p2xPt8h_E1I z^$-|gfB?-Eu)?+0b)GO*pMpcBM|vJ-ZepxXfto}Nv@mH1@t1YO-T(Qd4B_vkwLkat7sfpExa7AJjkAM9B{3Q)`w7v)KO`+&a zv5F$x=(iNm1ihAEXnFd`PG=JdJt(ST%r%5!N-rNDGG6{XaCWOC5xx9Ju&Q{u^8A?| zY{oI02icQ+3GNf`>;PvV$`X4CuB{=KUyiE?(?~LwKLI+ie7Le(gv@(Clx~>K^7;Bz zt3KGYigGAHtb7GZ^_Wt8KCgbcIvQXo;cC(V0-%&>xgv}HV$ViPg~|07%i6k|d)OXa zQJgEZTymtpMu&~Ruw9mi%9SPh>#qZUeXZ=OoU&Ek3txZ?bv{;+hCc1VF_%xzMZYRO zy%f~=D@$x~_XaI)S=m)NrQf;ciTJ$-NIMRV-+u@??f2+%cLC2EV7wE?Uv5){aR3Ib?e%}eCSJAUZyF~ zIM58C*UWzZMBw+UK#jk$#CE~;m84xfjjNOzCKDSgQB7$VymmiIgvt0KkFJ6eD%x59 z67hO`amqwXm1`SHr7wQ@fbj*T+2aLi=!<>OeXe%#EN14?F1E6mm5lEEoL+R7-@mwu zceYSaJ%iExp0m<@=04&1KzFMu!3lM5K4^6RI`Eb&6diSc@O9{ZF`OZ~ZwdIDjM4pK z)JJrWuC{5Yu+A35Pbu9~6@P^x<==p2+Ry~ECMm^exh!O-bEf5@(3~yz^;oR-R%5Y` z!j~{jS)#@MA+XqV*;P4ZtDMF$TdQPGJ04gyi-JIuC5~47{Y&ma@S;nF#8$}_%%oK| zg2Ij%6LqId)On7@f>9>0k5@m)M!5X)=iv|hd6qt+{OM1fbJD*+Hx}SEWf6bA;VqVf$I?`Wq zq}P;VnwEtY(=p#LFX>4~I_Iml?q?j`pL29yJum4sj`Wj`^cNiI&p6VX=OsPmNI&gJ z=hVQmM$>DK^!4+SzSNO^s$5p%%Z~0paHMz6OZux}{OI21F-Q7yj`Rl>Ncu~T^hX@& zCmrc`FOYQJNm)K!^{^xTgd?5rqv=w*#ciLL<)e>%OeKK22?*#kAiK z%}Y8TJXo?;Jy|ZR@sy+c!;bEg^OC;WkfJiI-7g^NS(Fr zYiH5jJYo1b>eZMsRxSHohUytD5+=fDrn$V?#6}gLZrRB^*Ww!h@?2|Xzpp`2+waRw z4TGmxKS%pqN=@eSdst^;cNZ!K`2n>V1AtXJ}D{ z%9r&Lq~Y_JlR>XV%frNxWFoMr9!gK2yK ze3ZWors@2!f7Vu=pY*#O={ZOG0Y~~Fv%j`RUX`h+9>#s!j|cciyD(yw!* z|FP*;gPsi}DxIC*OOEvQj`SYz)pnKDM{ZOOAB@ zR3bdNcRAAeuHHiEe!C;R z$C19rk>0#O()T#hH#*Y$9O=(2kl%Y8>5Y!`jgIsi7D#%ZBYm|az0;A-3bdNoeLCo_c_w{IMOE_=?^ZD^y?hy4UY8nj`XV* z$ny6%(({h=Lyq*x1=79Sk-ox_-t0(UzCgN9Inqmx^xGZj_brg_1CI1Hj`VIv`mZmL z?gt#{+a2k7NBYtQ(!JA>9=WrVc~qlw_t#XjK)O#j(z_kqbB^w>2GV^$qrS#X=sPJ~ zKw5=+5T>90RPHFS^dT_MUD+JL>~v`MX$*M?pTiE#6#3}8n`*V%#-N{8?^BQznEpaG zi<~@tN@+fy$3-)95YA5mGo>{Z%(sA%=d`BO_sJ3|)M637&#*B~z&v8{X$QtcuW^g~b|;z?lA7FwMZ+ zW!0w}7~9JQVE6=v4OmKj6d3Mu5?b}QfLX4u3(dX2$opEXkFE=%b%!F(SW^VCQ%aWv#*7H58W#vUN# zQx6ROwB`8)4R!IE!@b%a_j%qkUO4Ujxn30HZe29Lad{D~!?NuRvpFmGqbF5ZD%Q zM#g&)G)!T;sMZfYL(F-=oC@kp>I)p24+3+@qS*+{84I(6rC^wl^AIpS7R`QOrY+1J zz_14h`?1dfV`fca=cj;q!7BAFT`Kg>KLSIGhSFaFrWpjn*_UlPz0Sa@Aok9~OV(zN zBr%`yZ&L4XhMX@14P#7N6Sp1gV{e1-4ciyLDx0)wcm*)c7G^sz+XLx-ad78wI_C`p z7}h5Xnumi@_`WEIQO1+^*^J){poz>|`ZKwaV%A%2@tGh^z=xba2@F$cpL-q5mw`EH zm3j)8qZa1dPN_2rlZpxT0s0NtC&y0QvQHgo?zT!@49p9bPuqcEOEIyCFQR~19hCCb9TB{2 zaUKT^Q&{Iiz=Zu6uYCp>dO3`iV@|1OfVnP|B}1jR!jg3wH1_ObF}{a*2%Mn^xcgnX zY&PG_;WSd83qiv>%}}oTfjJ#uj^M1m zFWWo1(@$fA(w*6&IUOACA2IoKZ*L(xX3lcl%2*fbFYp(AeFDFlEc;=N)ojt0%qF{I z>D*u+c1%UlQns)j`=aKu1<%LVyM6{6L31e>1_`!{R+W$o8a-yMLcvIQcGHSD=ON(Cku%iVzF~%&~hnkgH%(h?DN)f|| z!BF+9S|hv9`uOhFFJRB>A`F6g5RD2NVzJ+{gE!lT_d(I-OD-j;LD6^`rN6Dw8xRj* z+OJHO13wnTta{wfWk!a_v}qwKgT1c({0QcNbO^?78zCHn{e^c9kD|q8viZ{B2=*Np zKO(kK9ic3w2a8z@%j)YMy7Zc2wy+7O?FF*eRQO~Y8zmP4vampF*S7A~_4Sv57DQ@U zYm_Qv^BALid@w{Md|%zG@D^dDFkYWWAk;T-mE1jgt6%K14*6h^;g0M1?BHdqoBYD) za0y!rgBKzd%b|hMZiEi4SHG(1@}^5bdM(2gYo3Lh3H$!q!QsBncFh?y*7=p=o3-Oa z{dL)rrd&Hx(0iG)!sS<6M^Kw`X6<{j8Q{R9vprgaj^gH#VXB<&&1E}=TSp6p>~Kj@ zguJuEqeI+RnRdzz_7>8Gacsjrgw`F1-L&dRhp)A-edI~c%TtZ*!uj*N{Z$xin z?=yfDvk=8r2NX>>2+Q5XEwLl}CKHcclSg(9vcKSGGm(q4_`NxH8uAmLTzYbk4*Sy_pK+U(mc??9c#VvZhh0LRhO;ue7&i5 zXJMp-HAR{)X`-ex?+FeGSC3{3~) z-kBRgjg~ox-aehPI>px?O+b(gOK{&+4Qb@bjf4> zR^8XO=F-Jtwg_b9u34l7J)t^9Q{9*@me9AMS4J1ql`YL8)R%qFwJOR!+A;V}Iy8rm zc3T=8L;od1oZOe`!ri$dgrc7s%_>`|YIFn*uob-o77x$qPKYFJ*&N2)3Kx9z6#1g+ z4z+X`QSp;I0(oqN;3}juuo@z8FjJ(zaA$T?)*tC-1v9(YREN;ec|mL>_u1uST&SY} ztw=YNzHy}B7e{-G;~4&i{IP7I$N`1deD+UxN~*M1nBna1A&gSNwZX_Wk(eGT?v$CJ zDL<(1LfM;IE|NOV5}b~Er0-^DmMaze&;am6qL5*AMEKxHFYuhdfaMWtu!gaX#eCRmEY8{dEGX@V{=z`%f^j16r*7Q9l8fIyHqE_>cjS!6#Bhs%r=6^ zQ}+UDj8W4Q3`skOhcHxCnL64%!PPG zoV%LZT79y3AJs0*eHcYtEB0lNKi$z;Xay2jHD-J zX`|J~Y2d=p2M__@s^SVV#A8WTFS4Q9l26))z(#eq?1fGVavF(hh5-jBQaQvCU zHjEj%Dh%lQxwGe2@>x4)IhBSi@sd2y)8VJ8CP5JTt$TnEmL+O};Y#(C(P2mewG`3Y zkIuWp@hRwG^mB~B*yz=^s>xiWZ<9ucnaB_(fpo0C4HNuG4oxSMFPWPsV^PlgaGwdz zx_=nUWO8Vfn5m_UBb?v3a}`q-dUvUTv>P`#Ek(?7vdpPr!tSP`1EttfJzO`YEbDe8 zN>%rP@tSqBJCxzBfsx(lQzEs7uBxvIYOVS{-P6ocPhp(L!vG22(3Pes2V)iqFcKF> zN6bLn0gT*$%p5cPsamT!*DOt1jtnr-nMn>qFz?lK>76nUQudj9!lxe_l{fRSFQol4 z1G|c)UAQ5`NpxZsZH4mlzZoFI@QhXxhQ#3MR$kTBJc`nW7z-Mq>DtPMk}`h}bPS`5 zz+B!;AG9m@B*K~#h2D!c#ENs*nWv|`e~%7J7{h1n2qT@WjM+1f$$CzOK^HZb0gbn+ r=GIHAP3^+LR2l;ZTk9r66$eH&0yR|PIfeQG#4k7R9KD+S0Q-Ld`s&^q diff --git a/GCE - Vectrex_MiST/tools/tools_prom_src/binaries/win32/make_vhdl_prom.exe b/GCE - Vectrex_MiST/tools/tools_prom_src/binaries/win32/make_vhdl_prom.exe deleted file mode 100644 index 7dd3525d1be82e7c5a342be5560499d6fd15b784..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 100636 zcmeFa4SZC^xj#PVZFiF_$%Z5lAjk>_nm*XAUbo>BbnlB zY2QD6k5Tje(;L^db%(oRooi!F>%+}W9UYzV@S12i*3%Jg>j+oYHiXxAwnU3ZjY==n ztm~?Ts4=p{xu5N76#0fIc7!-2{6>ahjuBxH&Bf&t;y^C$AzUT6C>_J5lG{L#^2@(L zKmfM?#gOF^WI|g0$a~@@$;E0Rz6SpP@ZT08@<&Kq)+EGVM!>tM;&^mZ96;k~_@P{3 zT!}&;jK9^QZgp`>Qyi>>tgjLTP-X?-uK&tK$zX9z5k5s8<+xa;X}COpperw4)7?!} z0qN>Ik)Gfdv3jt$P4Sa;vl@{BTr)jH2+Djb>Kln=Gl?!-lurUgs6R;;ZCQe^!be%ZU7%yVyp|x50hD9m>~q;z zXadr0(R6Q0RN_bSD^v0nP_6-;@81$6G9c;Bny|2#P8LlfA`zs4W`RX1Bs=FP)eHW2C3yHA{ZQS}Q3xh*HD-dE=0ifP} zGxu(Lh>Q~a3Q7lCub5h_ZA=IKy;-L6~&cb4O4>tOt1p*|rJVo9BUR@7k2PTH#ev$vp{`d8XV@&?X3m~~@5Vj%aBg(2YC-dAn3 zJ+)f&ttzyEw^6Y}w?dlUSA$yzd%nLj07U5vy>A&=Hy%Z0N_gvF){S$?#}Q}|RRiGog`t!b>Ujs?$6aC^y#*NR!&%E)8ctP)= zk@Zk$%iG~=f7AE9-ai;y-kzLQ`Mo1Uh|fsI{Vwa_VUE9W$4(RbV}*GiXgQl ztLm{`LkRchu_Kheu+6@Wy|Qoltq^PI7voUV^Vw(mss{S2ZrwU~?L6q4eGenQkH~FZ zM&I1Rp+`u!e4x+1qd)ha-n~X&yf6TgzQ#g(=;MgH;Hq1Dp7{1J&Hk!^{WhCV>>|^l z-=i9K-ivbe=dpwKg?7pt+HR-J6g9L{c2H#3iWcZUn_X(_o4Z1|W!?CBFzTPk#zNDi z4^<+kos8KQef`S!MXqxDi)_V5*`935t)agiJBB)*1bAp1lTa6A%ml^zI8De&{dA{2^edqbi=j&}*>zjQ=>|2jt)KzW?rhHGgNgM5^FClqC!` zI+oW6d0+&{z=k4?p(Mq_6s+&DtvN7f(`WW9rSe}d>RB4AzT_I{vnuIQPnqeFY5 z9!B2;jmzkEGK#y50!cc^Sn)(~rz3-6jvyO5!OP__0nlGIP+Z zQaopZ=S&gzBk9b?W&6niij=HOm*Y7e?sHViBG5=HGn_UZ@q0eNVl)<(pu&PAgf*4f zv(=gXgJVGS(P2bq4I>%=QKGPe6uc2#3!*`+5_0)6xja3Li+>oGi%InCFe1p9BF|J1 zy--rm&$kyAys)Y;ANSKBMgF$BV|$K{ zAXy$WEm)9R2{VRex*HkoSu~8u8b;JlqKk(SjT%PO3Zg_!tpxG#n%YVNl#lYpM~IIy zm#+@X1w%$kB?%CrG3Nozdl?(^@E!Pp63r7m3vnwGJ-M=V&`Qv2PB@2th)%xe>0!Jr z#lv)fU=O|DcDBLXfZyXSy_7D!`sM1^I4{W-!< z?B!EI;;;AB0#FvhWast1WyM2#*g}|gJr)!L+v3w`M2d8m4@;#+w?7zy3`x3_`Dz13 zhyJ{SO{fpB?LFVxP}(~`RD$6vp1$9a0cP-)nRO$TK(@V9;eGj%<(0^Izw;Jm1yWV) z!gUKdymWz~99edi)p7KF3rzYaa^k{j?g337W->q8^*R#nBuVe9)Ioc|jp@(R*sag( zItrq!8y_T#QB1J)iTDMmfOD06s$fZJP!8H!rLr)kfWyda=ow6~4p%{K_d8_Bzx@u` z@lTG?%ZMenS{!?lk1Z3N|rThz@glM305Q}CxQ%4*- zum|jq_3kUY@_molV*agQ5nnt1q*V z%+!FPH=!|X-osA;Qpe-T4Ht}w15Sf3DZ zmAL0_LzrFcz!Bez2l=me-@LubUP1I-WO(>uNYYCFkKDHq>Ree^-XG{aZ1n!g+34@j z-L|g+nU*W=uRif4NOV$2!=9gpfbD^Se~I!E$Qnqp-+)Fhzd+8{Xe&|0Frm z2PU9`wyi2G^wj51ku5p#slNoQq_VD3(<*N^w<2!n6ZknYDy6=b_kI7e6%BoRdta^T zAGH$YgscTypUC>ytH^6-BM_x;_Sf0x{V0~%zbRl{7C7%mSvT||uJ>`HcQAO~(>*Vt z3k^MpR^K|m!S?^nR&y-$=k{W;u`r1)eX&3CFx1e0T`)cIl zQtw;k@q-{JvGOPgS(j4;DeaN+9cFuYhzXgLwAO-g!UFKt`C&uukSsZCu+t-@!5M$5YKQj~jJ)yq=l zS*CuQ20PGSbwIX5)xMM_xOL}`RjI;{9z;OdgZ>M)9?RM~4f*zW+gsnv+B$*3iU4is zR{Gl@om=J`P^7Gf8UlM{xihQ^yZ7x-|5pgb7xcazj>mf64#dY&P&Dfnut*q4SgCsj}-KWW;}U4nhb1y-?#jbYzoHSh}fj)H*nlP+`}gM0c>k()?YU@ z$2xL+(wZo%vci^CSrOnJ&zx0Z@^@qI!R{gx| z&!?lU=l4Wklb>N^-8#6p?@c|PuP7aCK$;+ixDaTw9*+649=znZ@Sr0$4tuj5o z^qKx8!SkNq=(m;x&ih_0*!vg^L(zG^j}4U$UirSg!|e@v3w%|WCF0??Z(iY015A1E zTY-(2Lts|Z&=sf(^rPyXbOdHSe4kI=26AzO>44h*CO#|c;b%(U+_ox|ehCVd3}`pC~PTw`39K9mix4+W(!xFGrq=XE;cmr(tEkI{b^9|s!| z)c9GBd0)|)IHJ0R;4!*f?dN}Ui`a(Rb_Xz6Q=zXl{yzj;imp+4B`VJgl z-^tvD44sqg9$8hCtM9<@_K}T~sFJ7Q>OmEzqttS0w1MMG=(vh`PLjo+?_XI6%0K?- z+eaoza@6l-rN?LYMRJaJ0EKGqhEa%(?z?)_zacks>`U zW2qm{?O$PUeWu6XzX)a~Ua<9r>xTNT4PL*Wv=sF%w6<;~fThP2LXPKhJY5A_goI8n zCd_-`@U;uKtu%%%Rf_jP>4sbycecE}zNaqhp*pxC8whpxECgh3d3yz+4Lx%KSzF$& zAk^G54UoO%?RrA7o_s(EG{$qbyd95c_P$cG@?AyDo!syT4 zNo;Xz%?FVcNhWw^BfkjQJ1(<@{y8Dt{CM z_Y(BjFgp?M==-p|r~mQ5t^!a)P3HB38b+eBAA}gy-Cl;Foj{&*lB>YGJ* zr5K0fsP{d)$9=2vo3)5N@-p5J&|g{9UuADwZujL?UWzfqS&e6uG+PYrcUll)*>{y8 zVplt${wk&Vz|90s)kW3Ooh)Tv)$0jYI%<8NZ1;~U8IR>vB7lmq$yrK&ql^j2d4y61 z{4STXj{+t~ZpZTGfvA7tPZ6eay%3+gPGAMi5;ufJp*e z-^UL0Um1Y+`Go|xcA2FOqnWUuuNLea1b4J&E(~eBFdsZJ`wpVD^3iI!Al*x;gKSp) zZl}Z&P{O{)^~v}Qm5-#b_vrgR zeIL^Ipr(6E!}%IMs^M4ly-4G2eGlmS>pK2regBKTKc(+?>HClMy+Ehit>Kv({;7rw zHGD|JLc&7-srMyA-}#k%5--n#p}zdL$Bw}Zr~cS4!Z6bOV^{{>`}u#S2H0S1H~!V3 z9bs-H%+cv97>NF#Dad<*{zEko>5j%DZ5peROSGH)tx_o4UKB-N?0Jb4R>sQ~3Pw)b4O=TYD7z)V;GO zo-Sf*1XhAgkB2+Eq8%Vf7aQZEc*D9D@{TpdHiz4y(dY$mP4sj}Ww^LI-V$l=T-(+h zDJ?x`ZgEq4`%Kalcl4}}#(+gUkr$+=i)cr@ExwsKw}Eq4XDl8rN*8I(?N^5(5CjP) zm@x~H*3{Ax8y*wc5N(ck#)_u4gj+f{cEmfwB{M*s#wAdoMKO|GT-tM!r0Igx(T#`W5%Ovqp_l%4(1zeDPj%Im?0~OWo~QL zh1WT0$XfwGtw)v{*O!(kHHt_uzAhGtMb~$3h(@lCZjQ8|2}C3rmB582l#AsZS9hRk z!qHd^R6<17H$m)JtZ0T*J2dpv?xLw{XNIS)oe>Tf#iDVj^n3s)*n-$vC{9NlEw;W1 zl#)ldsIqqHg6d^6lF_V|p7y2~6{@JAdNJZe?S^QqwY_s=IM&p$HtHs=T~SrPsHXO^ z8KQ9=S~A+*(;jDI#ydO1-Rq&6Y)&qGjCLUsSw~yKMa!2}x~Z`4uQQG&Z13#umMxB& zZEI~qKWUDJiyCWc8yb*zT~jRHc0#H;O(n$33(JL7Q7*!`%5iPNbr-Istn*nL&zZ{w znDMst(Qs>1TRRl;{B*GZjR>^}Pwfh?Yw8YnbcWm3H?57%>W0d;b#{nwctu+*-qX~6 zX-^bglnGIW*3KA|G`wbWJPOT?hp7sR3z`IJ+*a!9j`p;4qWJBd%}wOTE)ef*?raaE zKD&vS+EPrNd#5yO+R*RXu8F#|>qWQNAeu!?z<>zY5B%dFpNF>8mCNvZKPOiM^HwM6 z?N%(cY{GI%5AHtT?gDP4zqxC$b{AI*E?9Z-AzXLidH~mBxSqu|gzIfwxy@L%imM#g zN?hx3ZNhakuHCry;Cc$zPjJ17E6}2H%*TBiu5)lL#8r>01=l8AH{cq;bqB6{aqY&n z2iG93Cvp84*CAX(xPFi8ZCqwlh#;<9T&LlhhN~FYIk+ywwFp-ot}Af0;OfA&3D*s{ zK8ow(xcK+~=d$k;mC<%g6DnXSVYAvBw9WXrsDh=74M&RxV^J&`tx==B_=>SO8n5Vy z#b6fe)Zio@I38EsA|CW$8`s66O)Wr**NClY>W){bq2Ov+Ax75 zb8Y5?s3fK#4vX5;Ro&6rxjFiTxS)FxOdLa^n=y@T%~w}+!fp!jxLMtS zl46Q<&2eQmteR-khU3t}vT8b;T6CEqk`5>=QB( zAGEb~M_Nu&uteF#NU^x&IugN*ud^e%sVy!p0JJt5=jhoKTiY$>0d7N`L_0Q!Dummc zJ3BT=j1X;rIjL;w>WXlpgE^$aV0wp=%28X0Yeb}}d3{TJhqwu$_1&0{Fe9-;M4DCT zFCx;)-2!k77?L%Lzg{drxD)o|GX`de%~y-XW<<;Qh8gMUkhu3-k&SH~>zn=!Glg$j zO=~bI6yLL;sECD?v6`c87&!jRQu!RTTAMKrBcESdt(>EZ|F&AYVwm={ieDqt6K@tr ztX8U@c$Ifh{@!Zsjz+H*uUoD0DCWg~v|2Z!Ga#nW=J3yaX4>nc>zlha!&cau9a>Gz z-2_^vJ05FCW@0r%9nI^z#9D^%pRKXM6@kWP^*{Hzq^f>dRn1vyijS;vk>CGq*ZS@a z&9S(gJwn3w{!V2LghJ&BcB>KIQILc=_Z}RdgcF4C1^!pmz_=~tq5#)i%%8(u@3r&) zibg$&LU8?yDd}yM3jO~T9V9}P{NvNzc-jtsGFqpTTjYu)$| z;;W7;|3_B2@v955Pr$=K$^ZCzH$Gf668|2I^NJ~7b>4Mc{(W$xsrUnkzwG$(eb9|B zIip;hry5LhQTb+1C^Ed3rtG1zp;4P@^D3XZt*$AbA(Y` zJUj7UdTZ!Nn1wNTw!Wg9qQ%W(_L`oy_7?TqGCN5)r?{lJR7bX*Q+AF_Eu+txGaEA` z4=MNwZrXVzw_J*48ouUrO)+8Ge%I#&M_wGT!<*HE->!Hpg5YP2JCVfNNP5DL7(WJV zn*Q!}^aKu1j_K@L#VX*)Z~|f5w;-Wye}Tzuzr?4eHUbG)N@v>_p_!aW)yj&hy2k3- zWs&--irV^0QD@FcdjnCv#MHflvsm-2v|mAQ%qgCTMeR+POq+9cjP0L9inG(sLvnLU zAj!dfj4{tiTMIogrv!b=de*Or#^54S9$TR~ai6=EpP`060gOH)${k z@GM~tXfOou9AR$PU@pLOg?Y0E^L>ljJDcJSczhD(E&lI26t2M65M8?%rMXp7SyMi0 z5VHuF+caiCVyb}oxW?QnF_pl4LSsH@_^Mjkgn7G$?*iNn_zn&40K8_CFz?jxy`%?x zmxk{H+zj|`4c`yA3Gk;hyqoE}gn5sKzXrGs@D2^{krGuvqMaJ^4N&N+{j^360@aN~ zyEME{CTc*Udo|{}pxA_`td?kt>vl)%XZ%B`D{IPAhDg7xdfCF-+8SX;sk-S4FK?_8 z_P-ZFfzmIdHrg@vi1bPYbaNH3Y1{B3NqbtOb+&q%LWvY=j=UuBn*DTVnU zQ`vSL$_;rMz{q_3qXgjPT((%4uiOv7wzm+qWWln^nkr$wN?w-CNfFI7W+L8+z%#p` zu^Iw3ELc$$Sx{fKKy;ZO^nVkTCY3za*?w7PtVNjD%4%kpgl8b$)2*=AX{_yQY+9>O zTQmw?l1_)(Ry_W}AYHG~L4|IBSA_2ogn5IGKnH+>-X-tVSo8y6lhikAe7?_Z^DK0!CGOBP;&Fb{%24tlJrj?9YxY(*c|T~ z0Q0l{Ke8h05{Uc!<6$Ulo8%cQkhlb(%py}*$Jsmcyfj)utM2%i3(}e;Bc}IS%DUQW z7@ztE5isl0zKlp^_%2PWMwrc^O;LTcS+-MsTAGZoAygYenYQ_M@FfJY7PY|$vNnbJ z=O9gE)(Yic7Uo}qG+@YgS!1NJdZ8#V-w1vfQ4_K`P%~vmMf-AuM zqhw}Iv8fr&SJ$+yhjYSwOJf7RhMqMI+I6%>n19mvVCX?9XUMl82InZJVXGbcswcN`iGRY>fJm zB>EbDok;c41&ga9ICLShq-p_PJ&1C%Fw}@$JH9C1p5X18FsFuo!ak20k4Bo;w8Iun z(-@N&z|%Es6OOebO_9d)T)v=4zTkjl%ee0a+ubsf2Tcc}}PW^=M8h0tOj&L^opi4beK8 zQ|5^bRw@Z(pRp7ici}W)9oI5uS?GDRnN>GZ%zq%IZ8P3&(?!)aRc@o^nzw{Ll8#1Q z+JrZba95kRYH@|0BhD!nY}mYhO=o*! z)0%cL{e+GWB$>)+@cg8X3i=x0Agyh!mHx`6_9gI`QR{Eld4_xyn5H!~qbs1}wKXH@ z9Xe{XTPNOS)4vF_ za`T`DE&pqbecsL6|3#Qc^Cub%`2U^6FK966U&7d*YB1zq!?Z7IFxN+uRNJbo+|M*N z-~UY#{ak|u{wFBLAq|H8e`N+QX|T}$62V_+(Tn^M68}fF4|c3*DP00hH1iC==X1g(lV0WbVP#X;A7h)JAp=>Gglln{n-n% zk~$U0)@E4gR9be5PNi#5>r_Uz?x{+rf*RC1HA;h8r!qCDbt+4Pxk`IN*@Mz##G-J_X>0A4P9J2aTfSUCjwc4|~UDHQ6{ z8dX3l`Rb2@W4G|_(h*_OdL!=D5rv3=ZnQ6m$75}4dhkTx`;3k%VwChL_&%#qGkqHy z)^%>YtgQnpn}qK_e9F?4pc>qQ&k?@+GiU%%jTF@a!(3KuZEyrHVTvT9NFvZ_ikkqGrTeVPhh#xhT0 zSbM7q8OGC?ZMg;P*(lIn%afp+cF4FRSTAi$23q|kEac>*ArD$ z8L3@(F{W!cZ;PxNFmFrZz9Vtu=Tbc`F%^r%eif)`fXCpwGK}R`4R{(tYMtv7Dm9>p z6zP~O(+W8^6kEc~PSwd4E%)X1Rg1)yEmBobrB(GSP(NF?D3z(HsalK{Ja$ZKPPGD; zE@-#}G>UWM<#km=0EC@74~jU>kcKR1OK*tQR+unEjW^Qq!umu@D*ZQZ0*!57i{H`4 zr|_FI?1xY2IS=3mRQDo&!afAkBXuhltq_5+%YXq;O(36LqQDN^{4?Cg;4wtLFb~|4 zu(4PwDVIF7@j)hV>t2veyc|;{J8<`$G3Y`Oyff#Ee6_ftMPd>#mXD!tsW<&DfhkU7 z`oKNE2P-RrP6Jm?+5;ASIg^^#MVqh2lU@f_lC^LFfG{czSx?3z$SvH3hfzJG8HZ)AKV&hc|QsJ&;jS7R|%UEK}#qgHIVN^A$4bGkL$lO@9P#C8h%iPr@jZr*{ zU*b1jL0%SrumBBD?MwX(qb@1K=|(l5#ko!(%Ec8}X0aB-?l=8%46{nd3Ng76YaE#H zn|=wZ3r z?R-ErJ|(^O=Jw$99W>z#YDaF14P-_=v&x(+#-zH$z71sH~ev3Ou{!#h%;)?&dr+MSbt_1_?hj%&AJXh9{@CKI|4Tm{TT%CHzN{}i(7D*A)bf1 zMo1Xnlgzh(@t1SU#l4`q9%rW%w|8}4Q_;B|N{-b%EY0_c9zyhNos>>@VLbhT(a^%} zcU@Q9)=??PvP*lg)DT4qW%*&`*V!a}>e$(dD8Wmmv%r(w;RL z+AqDEHCo5z$au2 z?9MG0PvR;?if=)(bJ?kl+gT0AkdAATyotUl6CFT;S8!d0M6V|jJ*X0up=ID^CSVw4 z?+1y0XcTG`z^woR>vhaz-~t^Q*bnN!26i)$T}0sh>_7lMhk^xm)=nTWg;upJ$b_G6 zk{H`wi~D(H_b`L0^a3HtUIH^!p6BajPR4ANQ^rH+P*cjWK&zDNf>1}OI`}T zMi}QQIOB65{ceJ^nWX1`GeO!M0qF$_E}PEMUigNLoZt&V%JV5ixgT#34Y(J{s%!y@ zZ!A!#vNIW9p^Lws$*VY%hxmVE%p&SNfM*CS=6u>PX2WvAGF3B?W7&1(f*2U6TGo|g z1&G0-F?6pwh2fcXq>+u1yU2L>N(a1;epIUF9MX)zzgUTdA>#v=Lc$Dq2zOMw^;~?_lkd zc^4kh^R83(HvDT?WWj3}bklU%3&VdumCmukP-08H3>ROOGS{B0%p392zPTyZa_VJ% zRmzNqO`U@Q>pDa$1fysS#E>S#GW`aB(Nrzhg7@#1`waL(5;fn-bt z-bF3Mi@k2)T%cov>FV{x713BH{VT$mr(;6t!(UuD^L13N>nt8#80W%VmR-NLS+09(=bdfDH+AS4eyPYlb+RePo?Ex{Gf^(s2AG-4Q5&j0!=X?VJq|Dhb z?{>~J@*c>25%)e2e9 zN)#{=gLFIRbaVDe%F_Vju^RI*vURMP82J z<}g>IU@U<~>IwisKu)C$1H9DuyB<69@bWIQj(a|h6>I~?Duek>Eyg5IF&t|y;wO2^ zg2~nvU_1qKEUq*Sr1R!Acxg$Vr|; zIu;Kyoa8B~WAV^IIBycgbu6CJh>X389^o#5H82dqxQV`O< zDkPrEp3%rcB2_hVp3)xgZowW4`UINs@b_P`K^&{3?l>xRH%;`cCYpI1QFl+LOZ1#3 zDgu$X9>p@n*;ub}QZpBLKd?G%Q}HQV#>ds4|A8w?d5m{l#`jMfJrkqiKQ;m;t+JJH zJ)7x6=q)y!irV$epmIGkMAx&t!}ZKNUC;6k*E8>QJ@c+y&kQNov&1D_&xEw=S&~TC zGeZg2Gl7KbnLxtzOn|OuNkP}M3c&TO0&qP`61bif0zN4cdR9T@dS=*lJu~b&o2L>;x}FJnT+alx>zToX>sbM=>p2;f zbTjXh%{JWi?D9*xo{5pJXBp70XNE^`J3a5n3D+}mUe~i@zXnD08GH~JHfiuHm#~#jRSm4)?A?=Pgk%c0cVdi6OIj#x1cVXP zj~DY`QPLs_bf!k*;~_xn5kfzMbBFjEy#>n;#Z z5|=PWgtRe|B+?i$lrTmF62^!?!Wa>tF_IKCMk)YfqyjKTk_5&`Az+Log2qT;Xp9(A z#)zSWF(M$15d$7$M9?)x45t_)BD675L1l~>c8w9k31dVcX^aSYj1fU?j2KK9BL%p| zC>iB7MlMYf_Z``ksqeu^C5@2`Xk*0i2*!wLwxIhSOp$40L?B^|B&BPN2zZSVVUIEL zfC*znoYxo)GeABg(t`DxEqGy}*EJw=W6}dKjIc-LFk#|LG?JnSv$&I*6m5!cip#H5 zow8XG_q6cxLlo2X_WC}rM6HCVt~2K%+A6W~WF>Bjt1Ymn&UjMV`>@ldjuQXxnaA1b zhu@pWVF>}J6&}+`&^4V5rF7=C*t297 zzRf8embTLc^F`XM;ohJLhzhvgAZu(Mz%)adKE3zdINhLTv)kT)Zo3~8pTd={ybz}| z4rVq(QOkpYgfWE_vte;G8oNw{QAp%6BJu78D;R_^m5J8_0~seGGR?p{X>Qdw%A~Xd z$d9Jt;~@EQGS4#7(zFM+B4Ff`uVDnQ=53q;MoF3f223XN5W$U%EXV*O!|>U!0m||u z#$5V1OhcGg?D?)zlF3tV~fb=9O ze5!o6jV&lm-CRMF@t>M&3Al1)dXjWQb8|b66-kf=HK`3!EYzT-yZvM>U2`n%p6+*Q zjon$3%0+MBUuWzrdkmf?Y|o-${bs(uQ2cCX`G!Y(s7<#yW1u_1l5e4Y?l&Q)l>wTvuGZAy>=j z=nc6F3;=`&;3mHUg8cX-$~h!n?H_7wpCLr+jza!0LQMt*7Tj#{dAn%V&S;P(+>pJ@N9 z1sSDIdG^1uRO|tG+5f6g=mDwwUlls2(8(RGHzf5fW&f)phuRI>|Elo$ z&hY)O6dC*ToMHQ46?wZzXE&jmX6!)0YejJ6{jd2nz$E4K^(c~J|7$R@-SxBnr%{DL z@BY`-Ahc|fr_Tfk}Tm2T`nLX18qRcZ9$_n8J!o9<$3deTFfGGHmn<^Z~A-m+; zkx;@R=}#YxRc+ZA-?c-MLFJHShz?14heMKgIwa*C4oTkWkmOxCBpFf;Nr_81BnfGU zq$H6JNrn;*NdgInB!PrOk^mi&l7bFN6@Wuh1>lgBBydP71RRnQL5HNm&>_i?a!4|i za7Yr6Q$hwjPt^q7r)q{%o~nt^Pt_`@9Fh#X4oQX+4oLz@ha@47Lz19&NHUmkNGiZ} zNG79_&c}VS*@nLzarq_Rju0aqk}{wjk_?aFkd&!C4oO9(9g+kRQ$k7UK2;O&K2;O; zJXL$Z#8WkK-lyteQ$oC~z|^g6ZKM?o8H8{4+0atUz5u_Yjm7xQ;(9NUV?@?=^ysDA zSkmlHj)XBdazy!DtocPkKHPE6^BDTx&%rKNt^Ov97H&v428lHq@;ck`CS9IP2$$z$ zlwu4v!OGRsd&$VC8-wN4I57`r%?V>4r7~7PQW}P_U*P0$5B+e4k?#Qh$^>wH802iLS!z-V&(tpw8uBF$@*yB+vwjIW=WB9m0ataob)3Yi1`ZQy|-STL53CL4l)d<3^GK# z_tp!QknlUOM!bUzVb37r0TY7^aqlq5_;?&?Ppb%Xuys}vkUa;(rHd-Ht?l?xUX!$zS~?%$m#MAyF$$ZE{aBA* zip^beechA*!8yWOt-z39dxothg}}~Z+s06pM*9$G<$)(lamp(m6yV#KC?}><@SAQ> z-m?(O;13erjogA*OQ$^9v8J|eo)V(YhqYP^nzMdfkHEI>L5fk38Lkys>g{5b6xqm> zB5$`xHI*Vx3bZ{is%cIF2#tz$x5HVTrQ-54u^`mQX4dBRI+2yg9^)?-nkCMswOWk< z7imtq z9cTyECd$M0ZZLjBs$r+{q3`o-Fb8(8rLDDiGoZCq|?dL*`bahh-6Nd%&_=f`nY|oHCZSBhj$e$jeK%!u<#A@+y3O4@J3djYfo#f`sZaA!(ruTx#D;+e zZ13ioSo%1tBHy;`kHBuGFXPzf`&jmPFlN0hZR>6pzMHd|F$+BGgdpE7k}VEV)GPko z9R|Kzbp#5pmasH-CgN_>ak-wj#OEz=Zo|iQe10;X`$O@`8f@i6{3mpLLAr7jV`GCi z`6sjGqui8mB044Y?K*n$aa$35cWB0i!qLY^4XY>Lo!Os7HTi5-yq!2%AuHj)38YtJ zJPKi=qvzHPDhCcjbl}K495}qwfg|s5;P6fd4)4l=!;o^|NL<2!Lr6PtB#Ct3FqClM z5J)(12qYXh1n9t#6m;OI030|f00)jFfdfY&;J}dxI&c(*4jhJ*1Bao61BZZg;4t8s zTN8BW)(of2t%=ZcYZX)u9EM#74#Not4uPZthmgmCLr^<#7)&^D6yQ2=l2KjWVT_Ne7M$Xa^3%BRFt~_P*0oWZHp4AmPA~l&%AZfOl?9*fY2GfQh*^ao)ML zox1WN+nrnc$Nv@zI$F-H{S&IC9RtX@wZDL44JNIl)!f=YQAGzvtGTuRG!-8N%(=CH zl7d4>uIJYNutMd6g_>LYPgj`y(aE{Bf3jj+AWS*8_7|>%OgRDL{AuvMjBaXakqam; zP*zrq=4^o*mFFphElm9po`@Rv@lp@gJA#h}tdDHKkW|kf`>v z;F(*7$!XB|k0oi~Pk&dJ{;q`aIX4r0SV+B3#W@I{ku7MC#IPA2@BNyX- z6HLZLBJzU@5qv|TGqzb6e>IGc0Y4V?90SCWb8L4En=$_SV+Q#p1>>(jgDQypmd=za z7RD5unlKNuvvKl$E@37gC)-Ph<#U;i7|%Q+Z8#Orm}=Od9FL|_F1;j-rgK|(nj+dT z2m56p+jN=Nc!Y|Kg~)Znc$@~X(pVyc*eWVl)m&w;UhwC$*(N@lt?sj_N(B+920vsL zfp>`8yy^|k>6&m&hl<<;J`?f14B#2WgT-l+plT3y`wzg+XaJkr5E0%FXw3Si*wvW2 zN_V5IQF)r5@ql5x1R7nN4?GT8PG2L8uW`0t2J$xmrfg(`LGmd#AaD)>g?E7Y`$7K}CCV|Td>wEE5mWP#)3omZGPhSw ze;W6X06YCT1ipa){^$zD<~BSDc49i&hGRsu^icQb3}ZVord-ccE{gmUDKb=!8Yhew zWVIFL9!jgw{oF~;-8)cZ)$3FN?~mVN*-Z!kWOLZ0AQ@_*WXCOk2@hdf)+ zM_9}H6>}O?z>&{AR_xovyRVyHms09Oo*nhvV{K>!xzFaI4$1Apbd;l*-bWnM`-o$n z@DayJ9Pn(P%X1P^HV#t&C5@a|*`FAcx$-1u=RrxG*howX{1hq((-UC*`V;eg@6okR zVd%q{zNXVf#B(^EsiAWzJf{0NEQUwdI@2`9BnI$w4cmlyCahDWF+NG5j;?iPXk-9L zxT3KC4`1(b&d}I&V%?)_oijBy2rQ1Sb!KWflQ6QJrQr}@d33E)tTCg3!FmK|wuW;h zg>XtVJO;2ny4ESxxP0K`(Y4MTjTsLN45V<*lC^N#HMpMgL~QxF>=?d z&3JdyH|I|716;0iOXxE&J?ZYzwa%?tTs+gs=O)k5wa#ri&dlJJ9_Qns3MsRleBSaN zSnGU3#|M(E^nta`Cv}wj{5R}OMdx;%Qz)a0Io+Yb5f7<#?hGA5^|-~uGf>JQwa)iL z^!H`-lhM;UU+i$_$+XT7+#$sX}+qVksV z(^q(YygC|lkHdDJ3$d5spjtd0cN`acP{&&Ok+_1NEO4H81=QH;JC*^i>+tyqjaoQXL={<>=(L5i&UMR zi0qe|>(umk@{DfphTrUp5WJ-U`{#?P&#Xl zPNfrdD=2zt`xM2`f;)p=m)!3p*eR{0AMqDxDfu*%p)M_iq-?>#}E= zQ&tN<`|sz_&7?(qhr@xLH$oH8%Uwe_theHP3lO{lK0f;$$b?gt{RD{IKJPiI^)06& zy9@I!6y7~8)~U=^Mo>rv*9Yl2RoS$vcqUFCZrK)Pvn2AgSas5Pl0OApoSlUX+!73r z<2mF$TT%>9ES!2N+wn=Uv@E+H34^wCQ}!6lRYwi?{5b=%5Tm@FKWDqdC8}YVN2|!$ zmOULaUFG?6K9M?Cx_unXP6oBfI;Y+jaD0JpyTFg^9KqRw2%v*;S|ypUoOZRX65-0AQvZ zzLw{*B%^9$ZTKE^Q~RPed}6S1T~Ei=c$+Sx617~tw5}EhM^sidRMb~v#aKNyXg1`& z2~Js;;0G0~hTfGj8TD&XXKW)*5yCe=*I`MsaIVlX7|f#7|)=i*_(XH&dT;wWJrIBXr@V_CL7aM(J)IWuI(={1Q1hphu~nRq^a zoh3_aE0^PKsr5sqOD;Yb_6}&Y5rJ84Yr#_RxL zaScFuo<+_RWkF`KAapOpHefN+tRG6qo+QgLo8|a1$R{meu}aA0*97k%So(QnHX5ko za$LAs>V;7u^F4$-+o$4WKaf`Af$Ov`9ZLW?=SexLD2J-PMa))ZxtLixD4WW13A3C6 z5E@a7)M1{Wg7WH@%Zu^tSM{h0jdfo+7;B^yU69~jTuYJqi&6?i%gh8b0=EJO;vj8$b)I?pCM}K!1WKoBV{vB66 zsO~^!iujBRWf{sGW-spChErIB^Iw=u|OD) z(~jDx9Z&ni#jB2bbvIQA)g{S^2%rl=2?>V<&9IPw~pIo2xpOA7gP zJQ;(n1&-zFjI3?%T+`GZ;W=xt_qhi9>y@~6!Mdyj zVLIM=j9~z)cuUHeJPklgn~PQZ4JVh)ev$`o0fPIH#k%v36v{{eFtgiTC^QXpa&1`#ntaJbb^OE3V$}r)9*RJ^2An1t#|UDKN3$ zPl1X3ehN(N_fz1o{eF%V&a>Z-RH^&@6vn&XPhq_K{S+p--%r8G{eBAGfsJ%(zn_AW z`~4K0-0!F0%! z#Z_GFQnk1W-&8TnjMtHLR5N}udxTjobIrW8r>R9{yTB+%6l6|GXD*aEo^S&rH^dCz zz^KI^wt-P$Q#LRv)Nvaa6?%jXj4A>hC}jhq!XCeYQQ?o@z(|ot*ubdB+eMb__t2#f z8@m>6yyHJziVt|EgNr0B1!*=(v4Jt&vw`uqP=<8x2F7~or%m##F{tTvZWft1Ja_m9 zi}3+ZTGI3pH!$8Q88N-r(kAvE@&le+@~jN!rD@kAY{J4Gw}EkijIh1gCzxOZ<4&1D zVo6lM$~3qp&1u;PRYem-`ME-2Ww|Pdh$Z-{MlvR(V~|6Fi-sHepe~>Fgg85s&pWV4e&7n?wmX~YOW92eFE4qbnCMO#@WmnOV7vPl|ySY z55YQzUB%qKQf8r7eyr_oZE9=h2f>WB#tyLNaNLaHxE}1sN`HEV&VKEsGPxMzX4y2E!QZRF&^Z`IbN6w`+f<*hWQpM z#1}Qf#^c48Kp5~O27)LjQr}nu1*vYV#@F*Au5-S!YT@$5B5dD2CJolbCs$9~cZ}f) z+vHz?6F~5A!ehuA@d6EwwH9nnvG3FbCJ69hCKxE!U2ESp=BskF#z$IXoz3_JPYsS6 z>6V`{w(lPEs^p7LRP*(!a!1;q8Z$#;@$FT9-MxdWb#&A{Iw}_>=W0TGheqU&EE(;s zuy<}uj-h9uXZEeGOulvM^p>@Yh!lE6hR-^G(^`ob*;ntF=0QbBSMfApNQ$~ z!5d-wp)tLZnn!N1Q37@E&~o;!F+BI%Z)3C-fsqJ>{jh9XdwAOmXa6r*y5v(#>cb1R zV90Gx=>Czbqnoj7!YHVQxpWU$)p$IGPTB@^I%tFuR&6QL)xqPZ-;Nl*h3SZH;)r#R z1Op<&U__9b4%wO9Z}WxVs8al7dNRi1IGMSAzFuP(L!ih)UU;rk6l%YS z+(h>0fuxbS8`n)RcjIB(M?VGR1X!G$)xchlYxMKL%m+q|n~{y@%uN;-t1A<^3S)*k znHGtP&&0z$(p(Rgd9u7SWO?x(K$UlfEbn%k-#H@(VVp_YFEAg!&BAezPR%SPPt5n^ zS1$7_L0*sH`Z3bJnaHnP=Qjpk%MB`rg{*}oIT$ygjS5vk)U`m#?9}EpobQi*st*p| zY-FOc4uCyP@l&AWBr0zmUX`n_Y6)Yr)LE3wJp||)m9-6o{u-t6epWe-w;#(oKMHOK z0UKN24_^645gf;AM+-2z92wQ&GH%LogF0GF@H9Br@r~m_a~P{J)~8141(4&PMFx&F zi1<;o-V;#M(gUNm5E;{0e8>7J;_>|@NGNVVC7#r<=iT@;iyMX$F$#|tBM4OPo4Yq31NrnbU0{*`!FX!xH-5ipp)gyLB4fcZ}8kS3th9AXtbDXZXt zlc|FB*q$<5>5bm*c-^v6h{6l9 zEZyA;h`el$&HjijAECwA~9_S;(c$0Yx()bw; zoQ)7`<14t}g?tKNS_D6Mua@?2@@}VbQ9JBK`WD=cIt&BvBvs~#Bg;$YU~MyU9n{s2 z41-egOiGR{gHrfR(wN)rpp;)jfl(BdDG%T>LatN^DYev@E{Q8Hc->5X;?wB5w(&DG z`5|zaJ_{p5@$AHZ*>7fHK$(T9K0Xo_UpKqGbM2hs1~Hp^8e7zFi|SvJZccGYajA}M zJE!a%iIi;4nll?l+d~SLV)3%&;ROxhImL5Ii_bC4@CbSb_61E^w;ZC|STW;N%!qAR~i#1E6nIf~YJV3JWk(r6Cw#Drl=r zQOR)fjM)mk<2J7nGvQYqd?0HvU8CAyddTwdB*f0 z+2lBg!thL3G0sa!oI!#ynh|m*hq1O>9vPco1cn88ojfj2kO9V61~3#(lY9$uluVL% zBGP6`5hZvU%EiLVa!o1*UzV6$!ZJRLJ#>i*J-yhtC^(#Avg4tca<P}MmK$%JQ2G)i;ojpe?T8JD94&dfj% z8x-b>Qbf+;%>-Pmq2ijB5+i| z@!exMk(KN(r-VMq?)dJ9&gbnpKDSHyUEL2T-B;Z$(M8jfJ%>#63>sx7A zCUhyG%vs4|qw|fdL)pm$ti932S{=%LR0ecQG&%6Ot~TX)8Xb)}MybMBrF!{F^~R-2 zCKXm{Ivzb_-=Qjk=>*-FiPkO92*j)Ao|r6wLY$UFki#Tz0wUbbDmf5wx-tMGwz8+y zQq76&niI!uET3yv{NA<u@SUhK{i&51cvsmD zC;EFG;ayabw?aJ1t?h6?>22BwrH~p1Ke<;WGLiz)SOo#5*osj}{fDa4&{N41B=4rW zQ|md|)TyI_&pRsklTxWB4Jad@=Bh_JP1w6>XG&}*MmA|yoz#xbp_%1Dr(nPLEA0rR zj>T!-N>6vk;tY2z4(ez*2S^Ug$-2u@br(vli)>ftlEZSc**;tzNF9B0Q(G=CSw}Dn zNq|i<*4suj`7jhG*J$4ICMPvYw|s8-{cic+U0?9%w%d*;?1YIXlVc?3OPmtG%CLxP>5l9)v7=I}F*CL1vW`n64JnMdo`wt?YqLGc(SJs}Rg=Tf z6h=H*>uiR78k2 zCc6=gLaJhiT*b~#ZO756?U>`8CFX+EGfT`v0TTo3nB-WhhTXBrMuc)FCwVG%oQ{uGhwLT zjrM7kk`*QU{0V1Lu4V*W1Mqh=Db>UVy=pYdt47o*ZHu8(?$b*sRf)1wl?XE^Z>Lcj zfO(W#cGV4aGs4H1tQDBeMC-|34y{tNFFCJb#g9`HtnsXHwvL+kLyHJVP4ecQY(Hv~ zu6wCXsmkS}1{MoVx*>;sGI8p;D-+$yBGKJhOlr00W6{B_ckRxACQrV%%UR6(4sb?lYO&LBH@Te8q zJzveF#wlAy$=^HaMZwX0ZYHdUQK@D)(`^&fj|lnLA?TxnuMWNF6#m^KJ^r204#W5} zdNGblL0Aw@_*@Z4b-U6}`p)jwmgt(crjFS-nR0edcWm}?epkFPXz#%m;}hrMQk;@} zW_NYBT#Xl8%|bwSb?`BYxUsFHBVN1?PG_OsPpUA`EzwpL=D{%dgl{5(umZZ{E!eZ6 z!gvW1jbU>gAiVXBH)BVvifHDck1B|>_F~-40!Y5Nb4(G!o0oemW32V^2Y)~RszB#e zft#%>1EZ~98}nva*9_cq&pkV>JFhLieET=Q(X!*3E3C8utNpvy%f|25-o18CVD*#M z9oGgf{cVEy9S0V`wP zJrV1gc~;i_ZqRg~kX6t}Q(Q!?JUJB=5xgnZpYo$p|Kp4{;>|)cHag~T1a{G>( zt;_-IvPbUu!>r5K1$r)<|76RBGp~U5%(L=8WpzJdtq=VEo*j=`1)s8_E3FBivNpvp z|K{2=zG|(0n<%umccto!N0+qu=hynI(~a7O+8fWWS@&ol=gISH0_y@f zk9J>vh1GL|l`&xT%!7>%6wVLim^Lc(8L47V{mWJC@7J>Md{FN={Y$MFu^j}akjLqt zoP9qfO?hf2G9HD%1jGH@gR;<`S;o7ZS@3`d&Luc6NzNKDvyeS^BGhKkFjiWlF1BU` z!dC_6e`2YX_pJ4$>u#`qAOaUZQM1C@eI1PNvw``MrB=y+RX5KnS!vyifjskm;I>+O z#entA>*nFU(zNkTJu#(QU6Pjp+F7Qr=zA7&g|oN*Z-T&2tR5jt#Jsu!*msN<5EMV;Nu`9;^g)2*K8Zk*Teo^jxdgKqEYONZSvyN2EF;-&6ewhmwT-pk{k+ff&|Dk#!78lm+{&mxXvzI;ibI;vWylTztB_w&Orww;4X?OafIq~e}4?YxcKhND% zd&R4tAbs1V?_YDPds4#PP}_;=@$$d<(vp{#Vg`N6r6|K2sS}GQZdm;B_}k~~M<>3^ zUB8;hi+=jVvq~aucD3r^0z#Q zTV`fsybFF)yzA6sdn+nnF?kC1i+?JMIo;t`fT88z{_-i7|)QcOtqG7L{zz2*w{#^T!H;oUFC zcdOfn78Q<@8I6LMb{LHdRPg=XpUjk~jea3V>^SB!kQotR>tIEQM&-lt?oXW9jkr~g z*FD&?cpJK0bPX@N_ix^J`O2m5TH@9$a`Tv)&7O1Nk{jj>^{mOfuj$DPE^~_q+?riW zJC?dc8%=CU{QOU zd-A7we&$PVCZ14tqf3=X79PJPo$!!pp3bIk7AuaN7aqrqgU>o+>Vx++HkdD_=rxq& zWY}Rwr5-lM+$!|6F>43YkKl39H_tfss=FcPPJccoU?Jv>7H{b{-2}%=BSR7mH7WJ)u76ML_*hpQ@pCOat9RVkNGNLeG*e0 z2an>)DbAabYp$-_T#e~!LeNep@Vw)1u1-|kJgvHN;q;0d0GjYfmve!p*>W;A21ZBQ zR2{#$qUDVzEEzswd*v;zJ6tjC;iku$9&du|M;A`_W$mBQ=$wJF&DAx_Da+L1PzkWumfSD@k>rd7umR98OSJe?}U7d%{DiR^XA zHmPj4j}-fm|1RFZ1D2};ZP)_-Tq5F|q+`+afQD-^CxC`!cs{Dn%au7FGq&c z6VER%RU>cc_-&@jd$o;<>|lqv(D!VxsC6 zx2d{jdu3DgjNudJS9ew%oK`&p7+9g|F_e8A)_9abet|B}ZN>j5_~6>j6<56Rgk=)r zEujd%l5JwF`WF#QoT8owngkA>b8ogLon~CrS=1M?b0-dL9;o0^JM)m0!=VS{UiNBg;r&e_7_+At69A=V~5hAZY**R0SX-7-B@9bc$I z+G)gf$~y$wj|lB8w99t-YPhnwdS*p-T6GODkQ#@ZDj&ZRs@6c&DySNVs+9@NgL`yw zdo2*EKA?@Q9ZiTn9m_{E(NNWd8Rg2+P{(51ncjr2=w{_J37-G5Be&+m zJD15+Upm|G_`3#kd);MSvOyrXY1aEXo9!4eYvx97UQcU3`1hwW&JylP?Qbw_wm;_H z8^NZ$!c=d5ptcJR_A%7;Q138i`iJz4lWJx0>n*C@HIRoGVWIYzF0)6rhYk3Oy+hc_ zoqPTb<~+_Z{T~rE&txv=sW16?-T+>_?Jcgu=d}7Ay+P2juF&SzA`Lrz`db(W)@HTv z#R^?7V;FqL0-p`c`3uMn^um_S>eDuOT~V90WEXC&dkR}9bJuRe%NV(TMbE&7=DxHp zt?XwuV=kr5=0L~~PdVehI~XXYw_jH7i*7jXd6^Px!m35%0(+xy-}#R6jDKok!E%nU zE3^N!UpwqeTM%wz?A#sQ72Iq&AKoFlbgPV2)+XB~4EQw}Wd;?Lrq&8GhIH+>F6FiG zuGQH3%>3Xi)sL`@#86>yz=XE!ZyIcJuGy$-+Rt2%~kvmeQ4z z7UW-%rZiUM7^zkxDRq)&jt{ef^F*%zk4NE^w7(GJ0ybq(`xxZR?hM?ahWpW=f#K6Z zAPqI#+|mxc;cs)vX6ZTQTlSGo$!F?%4P(#ax3}cAWz@)PiJTS8rZjs0m(Vsfg26^L zeYtE#?TF7k9Mld>r6!xd5f$sl=J0%d6`SG1UDzHKTjHzF3zm!-e?I-MV*$>gI4`Pj zij{=RC0|FlLgN8c>csyP4$=}Hk$i&isKyf}V~Qq0xK8qwgz}}@Vge*85tqjiQ+Hz# zlJ*gvu8y3BRK8BFS;cMYx?nmY@X(9?N@yZ}#iNf~2Z&>h==8Nl{-#aI&Hq71_cV_1 zr;@KB{8x=Pw1kkR_!4r#1~L+a3CULyHcCE0*erQ)lEl#A!UpR`*dh5i;YTE&Al$9- zgm*~3lJInd0A&)sUGj0lb0l9$xLNWE!Yz`I6RLf6AeZn9f=>|cmwcS?tCFuId_wXG zh*gRE{_Mp8mlBZNbqY86vB!nUPs(+!Y4Li<`(y!((wvYcfhKW6ZkdjJ9pR@no^V9+ zm4y2wUq^VaAs;4%R# z0UZcP8EQ$RIDHrg9ZASpTer6O_DZFh?{5T@u+dxp1q1aNH|DXY04zr zBKbPP4@j=Lg`6|M1NJUo%o-`L0N=p$;SyZlCL7{l6(!}ddbfqychydx5Fw^j}tJO zLCBpokgp+ZkbD)P`jiMPM#v8nQZV5N4#fyeRqDilDu+m;_sA3RXGlZMiGO7IR5;FyIGC>k>0BiT8$jyh;@X)w zAg2jnIgU3tLm0hJJZCRY)*8f3KwJg}tObZU?EQmeS(zV7mX&#vs*FFeKu|buR#*KQ z#4MbiqNse-1zI-3f>N);fev}&US_C5C|p9?t!0(9c5BH|Yq!>D$D*5Y&^yC`x8VpG zip~2%9E@SYddcG@T*n!Zd=+6%;|Uppqz6#xa{TYW5o*6zYE-q8pK(V;`aGa9HwHgxe%vLwKv?XAu5c@^yr- zYCPd@C0|4MJIPlOz9#uNAv6+20bR-05ymAygYYED*APyVd=(*I079MPgjJIF4aQ;R zhl>3Q_QogRa>%=En%}`egFFo=lvk6ILPE}~!Re`*H(|5ns|Z^(9;H-j$N374BOH=^ zoN$B28`>>658nW6)wG2AW}u4jdBN8Z{#f!=goh;`C;W-zecj1>RN;0j_v$=49h(|E#0 z$yXAlBws_gUh;9mtmJ(;YjA!&j!@T?!|4s0jIb#AIN_k=s|YtrzJ~Ax$>ak$ffL z0m&y!1iu~UTX8_@Hh`UyHCJcg^bA1}uy9BpQURmtLijGp*ATv2;{j9}ulr1b0(DIg zu9JM6FeQ04FWMye1b|B2_)lD@I_w`g*8+-Q2@Z@4oA)w9b|F%nMQYxv&WnfCFJNpi zG%w+|%mqM|*e`S1j4`sEkX?M-+1+vC?)DSU+sm^ejyE{F+fE#G&fCiqR&$z0$=wZBg_P&_wOPQ4>%D%>u;UVO=TU%IAQ63J0b`_^;9-{FnZ- zSV{Oj9E=&lRWas+IR;QDDuxj#w1`e)$tuPGn+15(5WYe3GYDxn;Ohu`HJoi*ti%c>=PQYROt--=S>b4M7Y}{vt$+W?>wAds!;6&)Hu|JayTvEppyx2m%REk z7mY_ep&k(vgul^v!aqnp0ie=8{O1i9+7Q9ZDton|47F!kFs2NP#tX$AP(MantNBn zXQJz`qKvtbGq*XsVKix?>rH5D%W#-mD^sqN=R|l;9k$@$tb+3fli1E_my2|}o(ONQ z{~7-GvOsMYzKji(IlBMhkNECj%zr^XVhBzgm|{*s-FnJd^#4b2T4QL`rBC2L7qHnd zTnA4C3~^FW6=3j<$RV7?Bbbyv)1^R@&lJ_uK!U+#=WZOGI8=QAu_BCP29AK{A)IYN z8whB=4Gfd!%!g3Gnsp9J{QzfNhEgy*+d_$nsvCJn-NXXqdAFat)Q5jz@E{ zE_RaQQw3qPYBcfl1x(X$pgy5KN1@ffcWatzMRO}?P6#gQ99J%x=zBMoz<;qeGJcw` zY2E~k8@tEHhchcQ&2&X`7no>7h{)5ZH!7MRl&$minugKo#zygO$z>-vZwVBSm9OO0hniTXTXRq~nRP z1d2(F1?5f7#29I47&mr~x#Yvz>r;82Byfmc#u`@wBi86kuFrTwJ?X5M%MbL?7I@+x zPex&0Vd*6p%1Yr{D`HcwFcYjL_s_iGvE=QH$6J`BQ!pA^U z13|%f!S%vRa7Af~2X?%TX)mds5?6h%#@y)8Z-OD8U!v45bUD!;K%_J3zK82)kKo2Y?Eu|3z5En|dcyDml>b4eoaI6tT(=;c>qk*9fTlQye%*+oA z7Lh5ku3?=6S;2MYG))sNGA0dV&AR5AYe*xqqB}6$XIKo2Igd;4+RT*L1 zk+TzflDUv2t*H)Y_$x^qVL7hK2)n!Fs+@9!9Yt0~*lozsb3rma(mtT{2VkM z5%3w&Ev0O4ta~k?VT{qU(K_30hpi);OpnbGU)oL?8s+!aX11yz4x_Tjm41KhJmdG9 z!HE5PG|}&K(cww2epB?KKBG??k&okWV+s66qb*7FR|XQqUq8iFAW9N_!JlNr7qf6R z%E$QP8@Qs!cl8oqu&s zJ!n|_AQ{W^UPUY)+G0nO858GBB01iVG}(DphZd9x7|qI<$A7j+(#FVF9M6j4u*^SdG_;eQH1__KEHnWT@ZIe$_-TXiJlA!(mWGZDit^zM7~P4Qv?or;$q=9(cd8;R!Gk zxkeLh_zB;J^UBm(<}KeuYmj(ml-P;!JOVVe8E=dm27&nEZ&1P(M_+u=_r*JHLBKGc zkKvGb&H_VA1J81+ubncs#yn0}pzkTs+IXxA_{{2F)2X zw!bt@6E06c(|QdfbbLOoO|;EeG?c;E`1*Vk8@J$$wTmXk#y}D6D7bIeNm60TztV_KkdYE=KxAe zJMFUxfMGiw1*FE~wbP{U(^V*8i(@-|+Ha@yzMa@kJE1#c(N()&MRhmrbT#NCHiCVw z!rVR&9yE!X71&kreJV7RMQcaX@%WT?RAaSea;3k%xy1NuE6$>Nk%s%8SFp!l= z^u=M|C-TJuFt4<))u2Y9l0-Z6J$kY8EL^3*f@JKx33OuT@O~k|%F(?jR-^4)t{)@E zZ-6FeXq1TOfMLCq#T4eJz;r|~zXWFg$=ZX8W*S`N+u8S^NT&zqgI&~Wlk*^L5>001 zvJtSdile?mlr}yJF!)shY?m3mDLR28hX7BVHm%?KiT(T4mD_Z_O{=HKzes?WLH ztU!+-`yd)ZAPZG3lNhTzz)0@(E<;PZ+M;5tJ^_bHkMsi0?8I2z1pf2Ic;ag66ddgp6!pLpjQa0a3zv6tZ98e;ibxEk#MjpYx4PAnhn z>=tJAZYW(hp5=43bLYOdVJ_uRf>`-7lBNo10S{(8pu*ONq9iLLT3_yT0?ZLI4= z(5Ia^Ci3aY7`w%%7l9goC5bKWF2BW{RdQ8M>38mVB7W}#G8%`*?=OK)`#rqfozL?F zFy0~KFS{y=ZU{6W5xKMPI)I_2f@EThCXpD!k3xM|mkD+1etXALv8+Y8Ij!xls2oZ({u(v0 zVXLGnMqk`bVzzB4mA<%ioACvuMaK)$&=*^w`$X;Hxl>KMSk7XR zWOV22^rE}$yTV<(Gx@yg8I10Gj!XBk`-B&K-6K`;PpEt2%|`cc0UvRNqNDEjy$;>q z1!qXVy3FTqGDi2SeBHylZ5k@p>BX7~`EJqD3N8Z&e!Ng?)9@*KzIVVIos3oPlpV~xnFf54Kyaf+m$Wl86qbX591mh^@xl0MUtK4M9~+me2+CB1G^ zexGGY-)BkRZ%JQnNxx)L(sx?YXQ0oETApt>MKBH5;8bID)HO~@`VLDv-ztj8s-Iy= z=d++u=?f<%{Z31I!jeAAlD^TB-aaYm^_KL9N@dlLT7Ku#C{f*4PfB{7CH(>J1yxWlakI~m9-7^ z+@{i!9=D`7SkmvAl=Ll@^tdIx%94JWC4K*-q-QPZ$4X_@zhe2F>$TcqI_e&nl=QWh z^cO7YM=a?tS$*B;q@))u>91MRIjPs0>b~w=OZS75lFp~sBAn-P4J3l8f8Nra&lPAI zZTZPbUua3^FA-7cPg~MQr$~B>CH*N&`XNjD1D5ndld}8_OZpy5`iLc+J2FNs|JGxaG)0Xt3lhXZeOFGvfBlW4TE3Hq%$3dWJbbEhgQqnst>CczS zsy}S$e%R98dD~=G5B6Bn`R-a&_w!5Z)3DXjJw7Svd?q;}YwqDvS@kbix*xH0ubGte zjh1vS0!8XmUs+n8hT1@P{YLYEzdqK5Hxp6aQ0nPV_7OFL0|hY~>?-yQ_OF*`n+0R$ zhck^9kXf3n6`^u8`d5bW)++TH(M4DullsJ%g94$XY3fIS(>2tyuTXESb^qWvy8j9E zs;@WxD)~i~>Y4SiI^*TBY2I^>iH#W7uA|AUi}KkWSr;AK@AFVJ>i0`b4gLFq|4hGA z6IGva{2tWVSS(a$?e|ZNqx+x2?|Xc`&^eSOqs5)Z^5giu()T-8&ZEireGNp2-&4VR z+1Pj9{D}Js44gnwgqdzxCxB~0weCdu->vir@}Ck3rEgf5u?F=%!>=>6h~XiGl3s!| z9JgEiUW=B8i9^Xmf8z%67u|!f(0v7!Mju{XVdc^id60Sfj(_q`wHZ z5zceBSkkvy()p~OE~R}sIq63%={H%@w^-7-m(5g2f5ei$+LFH3lKzb8SN)!Ca=IV1 zq_4H4cUsbqOp)|aOL~VTeYGY1n^PqHc}sfEl3ui=ubv{m@3W*gTGCrA>FFub-LcyH z9hUSvE$Q5O8`Vbd^dIYOUbA%HWl6uolK$%{vixC7deM@;(URUXMbe+Lq<31<)0T8@ zo;ekkzu%I+(30L}N#8z2(jTy-w^`ELE$MrvNctg5dfJlSV@c;;TvK8B7cA);E$KH| z(l<_#bk38s7W)2TrzL%tC7tj7PlfLLE$J(w)x|MRjktq<2`-@0%jspR=TAE$KN+dgB!7 zezzq(bY~}Ru->}+Yp9xoLq$l1zMbdx38j@24D_F_{;~!M6YnZ)+%))FlHX5HPti( zf*C=!67wkhY0TGvF|AT)o&v`BOEAAA1EiqN@i;6GCUBYNa~3eq`*nr`d+A~MO^Uj6 z&!ViCauUGO1j6~9D8&?TZX%{8jNyyPqdsR+_oz~dP^qoJP^W;;r-3;Om_XKkU=qLt zx*q_hDnj!VFb_mz{V2jmw75}yqcq_B3(zo$bk7^?=Vu<}w+ZUb^as$GF;_5e=R@&G zL7nS>sRJgcVJk2PBlTGc%&Z8_I*ZQ`Fy_}=k+qGbkVV6!FS>Gr#T4ojX%;wz%);Qh^pfAtvI%SAlsAp%QRD5s&qmQCMntHZUj@^4A<-R!5{?$%g@v z0$FQ-xd52J7wduHN+-Dr=Uag}8j=1ftJIf(F~7nvR`I4UK90#0$a)Yo0mkdvkjG2= zPA+1@LlGKMA6A&g5T9QH^FlXRuWVvYCbs^4tuWm^P zV~mnT`l)RG`b;oy1;(ta3+57F&WdnuAtq949WeOQzTmrfz5T_m{l0X{$b+CBFsR{& zfJsNJdIy;x1)6>i7_(L>_4y_+QQf}-%tD_JN2_GoapV{Igx2&IECtRY9bfp&W%|+c zM{4*7&~*A3^-11=lq0|R7(ORqxzx;H*;3?Nqb)vXWSn>CCDowqG+3BcU|#d<%u-id zG=0GAiO_5T#yo#0*7zt(Asfj03^3-NT4=ro%+nD*kC6`wN`FY{KL*Ck(4^GwfiX`T z3T8T9vtX&fJ7)kxiw4r?0AqerpncQ_f1QKARVk|#G?)1Gk+yuJdTKb}yb3goF={YZ+Cw(>&P$H#;2bE%~O6Uys4N)t(``{ z6ghtmG@&_CS1LPD$T+(qH1~t%n9ql$9tMUfu+Os==9j>{=$G=k3YpA$#~F!m{yk_O z@oC7hny;!L1)OIoOic)LDKHm+Ca~%W2{2Tnh%V5&H)yK4YTO_7e*|$5;XfFrPc%U zV#M#;$p`i^@rthv0@LP~^3**WX6F&k2SCFV)cFZug5HnUjsU}%11%xe_?=biRJ;q4 z3uMUv>uib0dM9Y2bCSit+zpmc1f0DU*-R$a$U!(%pEPKAFC6H#0hs;1EK+|A7$)5t z!-n{C?ubg)@o=+j@6IM}Y*`MpIW{VbRKKnhC%=V`74QCWBX7UU1rRHoV z?_kg6jF-Zv>A5)-!v-I)SCx&j zy#*Kq^C=n?G{oWy@D@J3sb77jJPkz~FT9AP21Vm(lz!V!e-a(LTJxLeC>cQb>6IT5?T{jr`DAY) zgW+AhZ%CJ}EM)Raa5_Iv_L>TtNZ`}$d7msO(7a+*NAtqki$Mz_wb4FGn?oSf)^XqNjr!x`1$@4}Cj$m4E)5g$7TFGMOf zXau7T2pw9lc5cIa8ZHFs)eKYY!Wews-18Rn_NUwDYtEpFoL{kNsdk*Ge`ThqDHjan zo6>3Qm%%D%wS%k81E@_Yv-uk{Dd0fWK0jQ8*22<(eyW^Ym(8^HHxK6Xnf{`p2zY1u z2mAP?ciJi2yDp#1Z}RxOP)K}trs(w*hJvm8V6*Tk%ushBeW~~PAoKKq*PR_$m&|$$ zAjK>|vDE=Z6ZXP#H)hf-0<#aIvYQkh-*F#U-^>1jFPMccj*kz2{v9l}|GNua$=>W> z9xdg{6<+fIVs>M3IYKxO!N8P$A3lO>mlzN1fmXtx@YZKGiE@4`RD-?psTYinK6K?zq4X7Zcb zGx@FowDbN{MumJPuR03`gLL<#T!5v|HVIkjN?JOWg?dT^HCkpF`Ram~O!cL+{j5%D zud=dVI;gZC7Yll`Fdu}}Zpb9p*P=@v@|NqqwmF+D6fy-M%XiHp&F=}-DVl0qvQR|d zhF%$6(27iP9HAcDr>}~#k9G`pZV1fbq1_gH5g*zUa(|}_cVr6?ihgP^qim%(w)zdQ z84a%&A*4GYlC)&97<0>9@X%A_3aUHQ(qTl!PwpdRi3-7$Po`isL||{KK!4#5@5YQb z(8UU-*0ZVNEnRg%mDcy`rDWVXC=ad3pf7p-K;A11t}AT9@Ym-JW%30MD7@yef5JMh z(q3WuGaLFaO8M7%L)S!NvairBb3;?USKrODH;uSRG#n>5b)*IEk)EBMT(($9qXA%L zBcEb*M0oGOI^@#>=0gPNiZaC|1L?tRMkYE0$+T`itCT3?pPo!I7j};)(Tn?hQ+d=>4<~5ZDGXMOVo^AkqRJscQs^PA@e-wE z2}Zjv7t&-&>(VP%d96!VbTqZKc`fs=Sb3$lq^V=!{N>BRSxf3((y=(RsYMORJ`Y)p zFou#P1yulnGf)Ue40Pz|O|4h`3#$*eV7}UvU&s)BrH2`{SKO2%BertPpLj*QzoECEQ)e%m zYwkmEVfrsY>I?Hnc^Sw{25i-aQ-0N`3#L&S3Q)GQd?u|v6$%|pVlKXjQhM!llOPEE*3m;xO4Rs6oa#cuLze_)D0&bogXN~N-x0bq8Q zEDTVjJ-;z!q4x?k_;%o?sHuRNQHD7+enh*e@Zc)8R15pYlqKDcM5*dNFvhcP(GI15 zMbE$n^eLfQLs!+;__bDjpYCbKsi!c`VF5wHH*lqC%Kl(R0*u~;!2vUPw*n(KEn~+F zf0EZ~PBgQVmLnrics7&8*v$L;Y_ePCPRc$LPZIUwQ)x2~`a)ANS)GKLQ$6bo#r3$O z!LwP!aWOmb!P-Y7-fZ itkN>9yA&1dX!)u|sBseu9qN}DuhhH~baC=)@&5&+bGPjP diff --git a/GCE - Vectrex_MiST/tools/tools_prom_src/binaries/win64/duplicate_byte.exe b/GCE - Vectrex_MiST/tools/tools_prom_src/binaries/win64/duplicate_byte.exe deleted file mode 100644 index 425e90822b2f57da8560b09b172694a3f60cc33b..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 117095 zcmeFa34B|{wLd=hN>`Fs$%&mfyNI2{fh@MOkcCh?wi3D6v9X;HRzISVbt z-~_6w2D9%aKxtW8nzj@Iucd|r64nMvfdUUgp|1|1B`uV#E&hMc%(++Bj>E6KAASG+ z-UlaFGv~~iGy9pDGjq?aI;~GQgb+?VgM&isf=hoT^7qq!x)Gc?<$+9bPs&rr?9wWq zI;MVObEm%}+P)zgZuK{W+uGV={`C=mw5!eE+~zN@uJgCHH%8`UWTfUZ>zaTNjTdH# zhbq5WC(h8s7(}#NgjQ z2|rX$Dm%(y|MQEQb#ofSu`rN*6i|qV@@7^h;qX@?YWn9y72!?_FTq0%JROhi4|FAS zIuxBOBg!i2jLLE-QPlqkg=Is{i`sXw& zezLB+5r{UH<+0I$U;mu4+Im85q#r6YrMrZH>7Ns8k?95ry#o)?oq)&oSAuls z&R2*X6uuJ=)ys(rXa1H5-}1RdizOJl4o*Lw8}Ka0WBZeI^NJ+q*3od*p>n97zG@?a zSJKU2Adzi3aJoS^2JT-Z%KDLX3yzntkLqIw=+fbOsM3>vDDU#Q#}`V(BN#7YC&H<( zWDlo9IToq>y+(D>k8mpM_z~z97b5^wOu~RFkLqRZk#rRL#ozy)8ra<=|K@n7Y?Flw z_Po6)VT@TPM0p}(3Y^MbV<03%us7$o(#d!lPTY7?0>*!m6EDyA58O3@(9aOuvuDw6 z8^400{-*PnUHZ=8VAW}Rjn_ay-D|J4FN=G8Q0bDBfTG1V?-P{3SFcb#;61`*Lp6`5&ceu`iLMd$)fDiS|4|)s*SI z@Js-S%RU59)W7{%@0I-rPXeZ?FW8%Le;N|_E`B)0o^xV|FpDC5Yd}SKj3SH&rmwVq z^(kxWg6F?YvaLKZ>6No6-u=ips=PkmAK3nKF#f{3!MnWMccTU(!Gv=fjr?F@t*5B} zaM1hXNgr)Ml=p+Eq=mu7yG!oXVsnCtWv_J*_D~8?-fasJ_5J}2?ZFp<@xwCKZp1>I zuGfm*PZ)Ot==o6dZaW5q3F9V!+xxxSLV)%fzd2L2Si-o-BpZW(?eBM`QVuRMwh*g? z@ncL~l#BF0QU3!V5Jis-?jQIXih@ch_aO0{<;WCTX$A^8Fx8EpUWiT9{ifN3e`ql0 zp>}w{BLz{y|3C%pA6Np3TAs++ja&q_KO1XBN?)V0Jr+!eL+RU|jTLTtEangP^lRRG zecd1Xzwvfyd|zqLVXgb)siofXeTUuzO}Z`o@cZTYXJ|-O{1Gi4H{wlL_K>&@#&de% z_iq0HK-}00KkD%eA$qH|V8dg9gNX%?BJdk06}{Y)96yQlR|kFx zqVnE#T2;fFmGRdHZkKV&{}Yvz{c2VG07@F{*{uzH6Zplio%dw00sYVU4 z(wN;0<^yk21toGK@T1_Tp?VS-l?23nl?h{&MCW{oD5s)hW6Z9EKW;3;Z(#dl-fa(p zS9!w7k#K)k+Q4y0G+|5v96WzdA3{$*D|BY*85pqL0EG9x^89rN2R}hy_D(4$(#s-3 zJV=9vI>`3d&?xs~K#763#!_eup)%l)2%z!Qn{)ryz`Hl&7B~Y>foQLB5{Rfn9NY(@ zVEmcEoYz|c%_XQe=MF{xEjW7(KS<>Wy&N$Q#z7H(Xy6j~`&51)p9=OGKRKPL{x}MW z?D{(fQlA{%_@(g65KeysPf_?aw(!-#`0qhe60h(Qy^m;|6cP`780Y0_?}O|^jGkq?$DxbFQuiA7kq24t`B;yQ>iHPr*P=fC ztlh}yq&v1E{oVz)khA#R*nwceK_WvR+>cS^2`+xM>yP#*12d80p$E8s7xkMt<@{o7 zw1Cmz0fyuIXi7|uXTV%E=}>kHgYhSViHzp;=vz-hMxlDpt1$`2Hr)>~CkCcqkq3ex z5!^)tiHvgi)kJw65S6{<`2|wG2jjmbbiu&8$Yn55nePwAcMn`BBUOSXZs^3N3kb;@ zh>yaQE|JGyMCBHqz8g#ibFMm@Fz_=nIbH@<5<9fvI5h{6@_H!ok-3nzN^ ze^B2kdR7(YE0hu<2jdTWw@pGwaQm~eeq$+;VDMQv&mkM$DJ5dr72x3A_6Qhk-n{HG z3A_Yg+2!!YzGpTJs8#-!p~66aA4t3x-9&^s*2ms$-y^Squ&yVO5lp6H;B^v(W=0+W zhBD%W8|#(?l#zo`6m{8^l-7o2S5fp{L?@zwTkO%lZHw;Rwv1|*h$M@-fUN#n^zy-X zl`vHOcNr$R{@7j1z@Xo|eKttV(yQ{QI$MaeFh#uV-S#^~mhIb#c`$*7KDZwkRUn_F zI`~UM$Se**7@-Vz17VIAULW+l?H@Q9wSWdr7>CzT*$ znC=E4HRZsC*o{@h`(^D{f#gxhpobAy8SlTpkgB40!MwG!)|>XWl+aiu{03`|VEluM znXmlV4{y=nq2sB2NeY7UQRgQq!9+V|4~`lO22p8#b748@}`yC5sOfM??rzgJaxv{ zd8#AMHR~6X>b0w7-n`oisdHmIV*PshS&#^_Kg$tus+<7L5wSK4bm)lQZJBa7^vCX3 zBVqq8WCkOR;&cCgf4LL0=0>1Z|FBa?{?z|t2fY_vPFbYBAA3did++uzBAI>v_dYYp zCqRdq^ln>@VAb!3B&$0AHL~-2w@*?$WbeA!;_-cx$0ugj8yv!;=>3B}M3niH{r%_M z-=7Bk!Nmwlj*tF>>2_F7J8BRVA-(PI%L041{Q)uMI5-A<-W@HW;sm)J$BF-;FZ<#hX>1Ul@l_h3|~~ zMZsPvU+eQr2KUo?VJ+s9c*bJHJ@{=fr}A3kt)yqMYf~80O<}$e$^I8ieAQE#2>XMH zW~>&r`UXx%L=1|FpbGW|*Hy&-jNV)sZ_LLm(Lw_J>)0Hf2qGybN_elfn$=KyYb()C>nc%e8`aFTHIs^#aRJy=qJ*WpCZK9%y9|t>phOJQSG^<( zt_#Lrs)!#RSPgJsEq?ms_A*(2rK_zPUJFSi(|n;a{%F@2Y7bRArDxLM*@jfmRWcr^ zM>l%Rd+|qr(9*X83>3X-h6fXnJ&S)G&8|$8_yUO%Po?%SVDAMzATRCNqhYOx;MYE;-NPkRq@|fI%WsJy&@j)mF^877-e_`F%qlO zOLr9lLi@-`8x&ao8Mz?-a-!1j8O*u1K{AfDp=+1-eCX_&(PIb%Yp9H1{9`3mtl}NK z7+M`A4|+ZudKa8WN%v0L0%xx=8FA<@ICD=B z-N%oWi5n>FFEIx|Ji5Pe1Af!I7as&sVp$m?LH7Hw$2|dF>}%Dmk0qS9r(G6pS^I0) zBcJ39_O5=VRL<-{?W2U|aL~Fg9(ZNomozyoemDAZBICQYs#h#P%%Ycz-mgqd%UO@q z6U$t14oxuoN6(|^0lRaTF6cxAZ?B6I!ffL(P6wRuVX69`!9>u*?c2M0N9o{mQoPxt;bL?p6!~3D)}n>a=ex&(rDkceHs!f zPn0av<=kKG8RLtC8rR}SX z2RN!uDJ(vKnS$I~q)sp@zyl zkOl)Y!4kFeWuONp!EaygLjEQf8(O00;Iuj)W`%{7q zIo|<{x#4tjwEf=uYFys?g4yV>OOSNWTToz};mO4)y)4-K_1y)BylT9oT?>MX{}!8} z+Bz8j3(39bkq}m2zf+q7S~HsaeaZ-J7#Jr=PGBfMfegL=GBWfedIvIugfgB148aqe z`M9J%_&S16pArDOZD>v^&fD17WZXt^`#@0iEMkuiHW&KYK$8ffOOmvM}>kQv5XSz)sE&ub28x~y$`t+Bc-YsIoZr8`gtQMpyik5o>%Rva^rGu?Hm&(c z3ep1Ed?@CF6zvW~L*=H(dMv=okIGN+QTH<+A9#R-7AoODVr~8hzkcY@6pN158&dm+ zIxG64tn*f4xK=WZ)uGm|B|g0i*1$>p+|`E)-aZ&xB4?C853FO(#|E_zx-t&s5kFbx zz1fLVoRCcJ#L9G}JYoCG=lvnko*vZx8jKH6wbMMS`ghSE?Wm?c)gB3>z6x!LxTr<+ zjpTe>^jPny+Q14LfV2ob7det@x2l-Y{c&qojrZOfDBkJ_>g-w$Nbml54MCf_<^ytc ze_TdTL)Uab&hC$E35s^*0)nSLHoE)cSS+*Wt-|h)Q#QGp`r^MmbTJeSgE==rrGq3f z%iLdyG`Fh-4~fCYupSxAxsSr*#tjHO7)17X)W7`3knKBGedwPFV*xfqqTDJiA=115qe>) zUked5AeXNg%)7o!`9kFk=G;#l62^DHmj5^9~pceoij7I{g04! znD&D4gVc*p_mNrvYZc>W;?kRO7IES6E;qE6yh#{S5mxka{8zy^@k%qL5(L8oxu^kk zPI4P&eL3nLi7ksS3dTEVq3Mws{jXCHlrZuriQbI&R>6tS&LIfv=fRvQ$bpULf1tpF zhptodC1KnK^7s=-*PEO`x{)fex&vW@ITtDRdx$TF!}x@6@k?E4hfYdlEq*CBGZ^28 zWgCk7Sl6ErWs{8Z14K!(hn~aU0bvhexSs{=p;u_U zk$fPnH*?B31k{)ydJ+1)e@Ht8>Bd>gxFJd^*uj5qUtH^WqK zeKb?bzrktGL%l=ge3ad@hPf9rJe+RMFuH{de}Zit@iX1&j6adx6-RNK7=JIvH|f5^ zuo?euhF@iO6T6Qpm&OtPtnw+)f=&EC>voSR8A3Xo5wo|@`(_WN@7|)|9i7UhU4s43 zo>#(~la)#H6weJN{Du2JTLYoaNG#Ocwkh1w+!*Qz zTl`D>(>nc4%`FkoD!08WmMWs_1#WrGi22()B5fc^6`R)&&A)lS$i$XLH*~c|+G763 z_SP_XMWWI6sK21Rx~jBd)l6XpcQ&_e=xPZ^n`2x31$7lG5hnktH$|dNE$y5A(Qw;_ zh#9?lO`vu~W%VgDMNK#wYYw;gTiQE2{q0Tu&gKnm%}ve7WkbYYP*Yi5S0_2ux5ti3 zRc}!VQNIz_*CL%=Eipf?w#C}p{hh7hmKONr#kNQX0#TeuqrYJFs&X?ItJ}_QYv0VJ zllcy{hGQF1*n*j|DpB%jodwf2%<@m$Fw^fZh(=;)f@J_mJ&OHKI<|ci@yx<=0-j1d zp8#Kldf7aC^TPRbsV>&s8u2%Un_Ie~ktK+TztYA=t;4@D-05#?_cynOH$-N4 zMjB$x?QO#EU(+0ob%k3_?utaW%E-uj6WU-}qksL@SftY*j`_nD7s(Vqe!p3!j?PF| zV>>FPrM)3cJn6z;ti7SV#gDe>B*e7FIVv}w9&def4DEAH#7^HTI>jc@Afh6M>t&6i z1^>SI`xn*#))J(67wd%Z!Ci~T3I95{=i!_q9sX{(cV^>y8T>op{t8b4{B$St9Xvt! z55uh(gL``L*T6jwPYnKUxCilkooL{`i|3p0i?O)!hv(by``~8d>4V=7Hy_Ux@E5{; z3C}g~AAtKFo*nQDtWU2QC&caW?|^&jc;pNIopA4;i1QTq`{54ac@lmx3FW}^9Q;1G zZ{v9p{=;zJ#WO%O&~=9KybXT`+?S>Z@ge*N;J!H(@x!2pJ0TBwgx?Rh15ZBu!4dR&(e+}GjJSV_U?z?!(;TO|{n1QDf{zAB4$5T%}781Ikap)p79@bFiGJILYWY92?xPUT-N+Wp;=Q$iU(_sEc>ZpGwbSHz}y9jsPFMupQKZ)D+O~_r~ zM*91EkHTheQ|>HwOW0k*ZVS6#XSa{tTiCsa-KW`omEDio9kpHYpUUnD?AEf|#BMjc zSFrmNb{}B(X?9;>_d|A5FC1FB@eCKUTf%M)yJxWb6?V^I_uK65VE0aTcd`30yHB$F zBD)9JeT&`q*!?@ZF0>2%WwM*guAkjw*)3*w3A^R&u3~pByX)C)VRsX|-R!E=g!rO; zRZaYFZQmC}d87r~y)x{wutRF8<2}L$B7hxDbU0c(sjZB}%DSRaY(dP(QtI@DY$atkXxl1>^s||t+bDn%&|HC#8De-K|WV>waLv@ zeRzFKL<|zPEZh;prmUM)5>#nG);H&O_1$yT>*Zf-+8-QcW? z#8$VdJ$PeaOGBiC_E{(;T1DL9tZRuxI>dd>`bf03xh))vn2jMGbk?_Yq6*f8Te>3R z3FlFnh=Wc}6mer{cjy{Tj4ZAY$BR&?EY#T%X`uacQX{Ym_{Nr&_O{5D=9oAc(1u8i z_VVHAhE7onxEXaGY1<^~$lty`)P(vG;xs@l4ef24WH@a&LQ?qSg$Z$ zohX;uEu-~CDBRH6*wQAp!q?ilAtaL(2@z^gzCVai6TMX?#7s@HMkTe1J}rd(>DgkT z9^#z7s)xGTB<_2T@cQ;>Ox)u@rw0bB8HeK4?`Ud(<^a5&a5RxlLpWDVC#hS#g z9Zl4c#dG9>;x$LpW(*wo(w(FdAU@g7)<|nZ2ikR_lcR}ZC+Q%<*v77KcE+MDU@9ue z*VfS5A)1|=%mlDNhrIb8cuoq`t_oDnE1rX9Bng*|H2?p6T01v2L}O-dC`VuX{ZH3` z&sQ>HlIu+T*pb6c{c+8hk;6x1`UUderUpuPsCFx7_lyn&m-H$3-zKA9WaM8`1GB(Y zmz;5GPk%h#-*GB%_^+Z5PsdBCGRPeFw+<&{SkcdzZPE*tBc^_Mcr-=-c#%o(V|u6% zM*LIs3y(MH3z>e;h}1$T`kS%RkpG6z^5&jhYN4Sil z_#d8BBB>lB&=N|~_nv9ei^(OD^36}L|F4+zho>s~gGWg~@t^W_lm5VTMSm1>&d&jh z{xH-3T;}S?AH{z%Gz6-=J7*~VPkd_q%)iv6@1CjXXQ)niWCCAc{-rhWe7-gQwUw(@ z`^)_E=PaC4EVMau=34);%TR|-v$0N_%THuWWKM&ayS}TrrBVGh&P@^)&ncW!#DQBD zEetK3Plr~sCBeMnxmYjTh`})_c(PT8pi=9at6^g}Ds+z<`;84a)Wc6#8?C1!jj$*Y zLx_x z_qx+PG+n34(NLu3v0#~Rr>6mNjZk21S)iuAqIy-RHc(bwTQ08Ai&Ms-$Gfb}T^a3Q z^m!>a8%QkA7OfdyogZ#N_ zih}LfZR>HDN2UZ!vBG?dF&86%buA^^nYj5vW1D}cCy z5hoI2B@kCKqJ$82KwQO$<*oo{ke}};>uG$8GH%ZWu*R8o~8v*ZN_?v*&1HPHz zKERzFLcfLKYbYG>tqkv=@MfWZm*Lw1Hv+zm;hlgN3H^Hv?gF@2=-+3sA7BxReLKVZ z2u8W@V0b^lDEOTWzeq4jeiy?B2%azWA22*X@B*R#km19Cn~~eQ8U9d9JstfL#nxSG zpsi?+))zy{486gRi zw*1ukfN*}blKiKTkZ?vxT&0yOp!0Yd@J=T#KZHp(#nH>tDr;1%&G%8C*IXE18A!g>jt(Yt~d(l%qS4lu9cLmevaWrz8#~ zBccDyi`+Op`56AlL>>6*kDfq4uyj>CkkaQ;Ky4ou0cul%b_TzjL&kDz0t60*%x28~kQ!f5oqN*v|}P*}EUP z0M*|R?)x3QMyRT&cu^_L2DP~g-H>gS?VE+(?rH-8$>Cu0hK)k+aGfb(k8mh*{i`kk z>Xgvhs;W?3>6$>Ov^G#WuNaZ^PS=B23rWF+Zg@(2v=MJMvj7n;Xfk76oeJB~ z74AG+=-rH+=vqO2*c`zSujk7e!>r?4+uCZwU*LKgHR;GBVa2rpq0!@dRbd?>i<*pR zMp37AE0{th>=jv5nSV0jkZ=rdE`6KpM6ejWL(_laItg;b6@-qD#L7=4NL`>ZvLW2C zRp|HFa|z-1GudHe?vQOX)4hy<>;wXJdmkepE`dNz?P3JvBM>Od{fvM>1OgK90Y*Rq z0)g4#KNtbY2L$GapEIIQA|RuG!H69a0V(|;BX&vz$?0D*re9%@*M7$AR~TgWA;ug~ z807Y0#vE1{WOp}Xx*e1mlGu9~1G_=8F-T0y%GY2CN!NcQg>%k|mRMbQ6UOyU7qtdp zbO43FtP@v!WTxEgD8b|64jO!gAKA8&N|4dpa##knXDbP<_R6u}J@GdQLj}n>B@0 zVm=f4`{@gTrBop(8qQuGhB8|JKr*A%o?Ycpnz`An0~D6G`6^k?LB6%iwMq3`AQDM{D;#!44er1Hx5Pgt9)vi z?{sl*t#7Z}+Pc2IMIEu}S97>0$y5e|=QSLZ?yAF~Uv*QpJUa`wm~#DEPSfWq!?rNo zfT4ha*W7@p*KyD&vrg$`y9&EUl?5L{yT-f z%itOA8z}C3vRU=Kbs~%U(Jg3WUc;Efa9CHsT2ue4Z#U?io~w`EW3Zw>th=*zj!yO& zkCFg+LiL#xxk%FUxX+|A$bBX)i$|jBGwBR+pUGg5`%ET-+-JNDW~<)e%TkN#T-7_W zIFx(GCd>s^7}o7(|S&f4_2(It1n$u z76^re)44w_2N!v}+HldJQ8@R@t!EzXT}>(=CxXQ3Ar_9B`s$icWnfL9(zYzZ)POak za61jkhNJRRi40>Z*cl<@p|*Z?O~tAe)um#i`#RT$xDD-USTEey^WI3fS~|?Fj&R@L zT8?tiy04|9Jkoh~ti40HZ)Bto$ol3OcFXSXFe=y8xOuH`-^9%P08bU}9Sr7EsGNn| zH#4e$C=}`zM$IBBdBcU~$4=qCl>-Wi)*kR(4w#Ps^o^F%SS;GSzAJ_d-Nr$SC`c+W z-QQ!>39ij`8{0RZf}1YbX}iDAd&d$~gIVxm;l7>G%Wd@m^c}8WpsDq|plf4Ytg)i4 zqbnxdce<)&E!9Y5byrMc?_%s)iB&g_+&^ICI^h`77VaOq&PEH_k;1)4HjmRY0g`{> z8tm^^t*#QzwA2T1<$Thb`cR;%rv6mrUA4NhQfP#%fLNC9eBmIscD`^*cabp2Ejv}X z$i=iD5N`4vK9>{Xu1Iw->SNk85)s)H6Eu{qVpRBS*Y znWaHlrZsX=D7yWWb5$qZobOX>11m lpY(m?GR)K7P}>N1s;ft6^%!9m$`Dil~% zT6Yp?6zBR=YXXD-DAGbTYgVigp0T%~_XDUPAh#R9WT)q{chE^EU?(7NMtLr`WL&6I z-K1-#y@cnA=Rh{)IIKr-*inY7+>=7MBt`eP)SbCTiLqcD1EH6z3tlFHF8mbVbCUzn z9U*jI=n7MQ;vi+=FKIx<~yxI+mBfY+ui!Wa-mJrR++aO>m%4lj~b`tAuWf~LrJ166|`@r z8)T8jvi4TIEEmD%9k=v0M65k^pP@;`=MKb}3XvV7`+4$YrO%MKz!r#A#K6ggL37ts z;uL`b8rwaE$-^sUn{bXHgV8SE1FPvoIy47IBz+|({T?M)qOxf#7E2s z(XM#I<`7b%Qs7D*T`>&d94I93Vl65RAw!{!(0xKtpxvoy+LDQ=yrznppwNDxeHj2I z*&l)#dA;O8PKjnlV^>EDE|bP0p^gq*azi?uLhAvCA0u>XxPbubjZ?#$$V=F~@TL}_ zZP$*$`#pKhLc35Bq=g5k%z^DP~n=b%4g*x~*w74|7YUx5)>%q-}zP-stQpa~q89{5I4b6dI8 z*q_{mTckq!6&(-eV7D`;8#ySVu@aKECA9-IEN(_xr<%x^>^@M*8DS3#Nk00s|(Hn{uabtI6Sa0j*wRng1_Tug7MFp zVLuSs8?*6-*Me@K2SKu+9UjWlqSN7-L$9I~UIyRsC>M0U?ky=TxrY(hExCV|?lDj3~OK^hO4Q*QXC!=i_6;(7Bvd%GqIqR&0VK>5vc5&YMvAOy zU!v;}+KIBW0eTT-)4pUvKc%`WF(GI|QIiZE+2OR~Y#mN3R_vGlH#*#qzBWQ9D;&9# z{hxXkh5ystX-H2xdLJsW^`R8Uj;$rAA|Xm_ohil9XJT!=D#dXPV~4a%DX%UXXyGWd z2n`zzt=OmdTEQJ1ilB*lI0!sUu=Mk2S@9r>po=P!2cP9;Q-~MMpXc2s^OB_LJ`N$H zn+XZc{Q$Cx@)vS9cc{Ba*x*nJ&i$+<*o+HV4dH0xzp@0Qktscd9XzKcH2*JDAxef7 z;$ciby7=K|Ed)O2(%IGVuPDO1V@i-yA7+)#VMxd8LH@lv^Q+vD|Yzi*F-_DyDH8#WeUXhw(N+ zwyPV4y1Jns?Yf4`^ARGrwGowg#&OwW(fwVXNi;cY^YEMULy)K7<4?*bQdv1k%0KIf z1^cxEV|KQNR!ftMQ9fd+ZmJD$mZuTMoa}wzfwR-JKwIOH!E-s-lV*igv^7Sy2&0fg ze94e991kwX0cS$d|CRvxqvg16#7tei{TW&r%?~N!E>YQ$*V-S)1bOJRUKXSfXj*?vttQ zq}2L>^2ro;Qi^X7C{x`@sj5T$AW|@26FJDNX5zU260jN-LEtPLqL1o;r;9vagNKgj zN7IUL^yT=;CJs9}=JV`oXXFe=jiDHBD166ItS`YcmY9tt)?+Cl{N`JO44JxnyB6n693Z`k}|4zVM(sBUsQJohmU;-xSmJrr^ z#eb8O69Geofi)WONpY;@Sx(`x4qTz|>li;Fg!OZ%s$OVSls8SAWJ@>2VZNX-$(Df> zN6C&7&_Y{d%SnpEe5qsd&@81m7I9iC0C|`v^P1wQu>z={Nd>vK;8|KhrZ@zrF=YzM zaYQb`%HBOg^lIID3?QLhG73`w#V=HOoI+`-l(8c1SSVAns+@l z17&qVr^=dl@+!?c`AG9FU1;9PCC$5Zp?N2lH1Fgp%{%#&=3U|}%{xJ?d6y(o^G-fX z^G<-Jc_+Zqyc0m0cS%8-cjbZRU3s8+mn6`Tp#eiF-uihHBm^uGG9s4{P4ZKZ53+(A0va=3SA|bqr|U39vNplG4NbVro2j)09Jl0Z|M3RJgcZil|3EtB6v$+8Akp7P|BE6jbJ$Tlp-g zN|CdFKSAa2{8OUpsN%_K6Hl=IDh%`$7Ek0=;)#4Do}>%$L@tRZ=|Vh_OX7)KC7#Hq z#FNBX;)x&@Pm)B6C-Pb1i2zGH5nzcY0!Tbb3KCDs1M#Fh5Koc>;z=POo+N_AlfsaA zBA*gZ&R0a84X$0nW#G{qD7hlnRauy|5lC7#G{iYM}0;)#Hycp}Iqo(N>|L|#ig zDZms@$)Kbt+9R87xOg)8B@YG(BgK>Suy`W>2;xb`wuvW2#^Q+pOFT(RQ#=u17f%G+ z#FGuQ#1rA{;>o3j8gW&%5hpNw)l(a-Erh5tM`SjSNC>4$bXhmiHsYNrp^YXoEOo&m z(l(UEILX4#QO!XO7T+QkGWIx*?NKttGVGvD`J)nZ9p-ZC=YVxVFARL9<8QV4EbiftDmDoLv$-lmf>w_S@*8DVh?CY>q}dMq2}{IecH@;oAkR z#gEZ@Q^m&R| zkz0b&;g8+Bk*R;b)$IS>TGnAnP(4*gGdj_oS%Q*RNl@~U1eGo%D7hp-r3(p4E=f>w zl>{Z9lAsc2Nl=1Vf=Uu8LCI%HPy#FoN`NIn2_Ok7DM*4U4{ZfDM86^Nl*fk5|kjD1SOCq zD0wXjssK}hCWDgFa*u4b;cHowUve!=7%4%ehb1WaN06X0wrwq|$XJ3BU`bF(X-ZH6 z>=Kkq`T!n^)VFpSCSGJQJtapJHrogZ(!hD{Q3^OP;xyg8R*UDy? z;X<5=;HXKY!Y~8foJt#Nm?4V%d6I@1{>Ir&8fH)!k0)uEL1BEJq+tey$@L@+GboJT zlQhhrFa@6Bh8a};3q6)$28EdKfei&^m?0taw1^POFoQxYA%rr_pb#ezLK$XIh!Y8+ z3^OQ12_fq6%GOmbg;?%M8fH-GmV4MRgUW9ZAYOaD)}_E2fSAOtV{k3Nd1RPDg{}iA z4KpZkBS2}GL4h3}Hq4;FEgm+^Kp+`r@UUS91%DH;GR&ahKETQ_gMzQ2aJ=$%t4y2T zCPuh4%%H+=2doS;D0nAeX_!HQy8ueV3<~TAs0=eGcpt$i_Z`fAKfx&YoeaN7FiL(G z!v_e)%OpQwcz|GOm_hM73|JXvQ1FLZ`U5!1Bf|`yBMmdWt%9(dl5e0}_80as_Z=yo z;f5I$+uKt-BN%2-VLR<%mSKe_nB^`NY7Jk@FoTNRKP=da{}jiEVTKHK-0mDpAG^+A zvkb-LXR{1%LV{$NW*LacT6SfyJ%&vWpf5N*=ixWQve7`ePdg4#>B@zNQA`q4rGbNQ z<2nhNHW^4jWhf&GKhu!>5HkzEMnv0Ly*`VD@li}GxNY_iE+Kse+dr5uC6(})_7CzT zY`1?90w!%_`v;RSD@gfZvwxt*sqok+OmJ3BmhM4aQg>}KHcJtk?=K^AekPzL}1=Y|GG=@@JX!Q{()kVZLz@M`3AEX zW6HksICic=SoRMT&xxKR?H^E$LAZPHR{aqB2eW}!GQn>DfIgZItV3i{lM#)VI*41r z6e?k_$fSC!GU1W!AKVBQz8z%$;7$xzkNJkzPbEk*Uef-7EtiF4|A11Lxg%o$0`?CS z0wM|s)a`wYfK&nkHMNTo5JNyf&fL!k$Q>Z0{R71Vf(8g_|3D!iV1SVJ4-}$LBBcET zh1ekx(*A)$?34&)|3G2-6$W|jH*=ye$m~OARul%geV8$a6$aVe&6sWnWrhUf9>(-> zV~{kIm9L2`Y5#y^;3%8@18NPxHv0#J86CloYQDdl9ERCH7>!Zy4%Mz+Meo6cR;*rC zMz7?Hbp2UZE0zYH<)j+0)*8R&E{Fw-br(kH&oS2NQMQKFTfDzvEJpVc?Dgke8Q`Az z7CO>Z@W`?q#qa{1=8kN5;W5N?dMLhq%{9dELK+kwY6*36%8x*Zo|n>2E2`QQsu8TH z?A9KvsCr$>uOxH&mEHXWFyi5m?bI*HiUJ;0vvF%DnYgy0TFs10SN7Ya#V>?k&EXSy zt%++=_UPASDLLl1f``PumV>8yhF@&buVcoz*u?e$hSigPebz2ilhZ>L@AT|}KS!hY zPM(9$H62LA{FGNJT~6acP=5L=l`g{|c4I7!g(r08VdsK8ViDL8Veg}X)Fk5*H~nPLLDL4;R-e3uAXqu zrR!q3=o7H^RgS7$Q4L+@xU+q@UBMy()>Ech0hbIlxtV5-=r!X?FtjmCKw(^(g&ShDAJ)#G?eKMYw_;)d z>X!8_&7F9qOy*W-XVOCUeS}RQL9d-fGh8haomk%7*%6N69l$7WGI3pzXzxbgBwVwU zZ*GMt@l;gg(V@cNznw4GKYv%iD0ee3uV5JRgD zeaoYWH)S*4P(Ti#Aeu+KptK9Y4^6R|v{nd#hnym(h$)fQu26^KJ-ZtC6i0tY z3CKZ8z4TkdNVh1`Ip;{ylBgo}pG$SV>qAt6rX6Dg@HPQ+6Zausx(Hz||4hn!`4YkB zY-`js;%mCJb}+SA|2}FfK0VT$C!*$@o^t#enyUy7owT^WFB{2&tDW*iB-aWcp~cMY zQ%#}*aJAFT0fn{z`2vZD1H_O3#7N-)9|ws2Bp}3tpS9^)Aum2p<)hhLZ%kMM?K9>p20X$Kfyg)Qiy+X24h>%P=%N)8uAZW(GcQ`GZ<9Da&tvPHDX`U5Nun~ z*g$JVLpb}2#z;9ihJ2)}T9B8cd@9?Vjj$V6QgzWxH;4GvGffdIE>8-7!@HxYgXd};Ip zEDaBOD?+VLqT%LFvg;fhv#0I@k{+)ygQ<0Q8x5TON7tL3aoJA=DhU{8@)*rEV0tr z#kHv>%1V>aCQ}hJ$0IjcS~B}Tm8JDDxhe39Kz0ws>rl%AobXd!(5uR$WQ%AsWHA5> zZ)a>sRi=Lhlz35~vjl!ZdIk6`BeOw%!rx9FYG&r__MCi36q(yqcP;(wi3*XUbE zRC7WbC2t51FEzS^*iR)FfBUR$qQ1yGTYi!E^K2OFTLe=}sK`Q$fG0O*dI z(=^Pin@;HKz1*p38x{A{R zp?YClnS(u%uGqP5BX%%VVwy}IYC$q3iU_F_2-Y zv}ZB(W3DqY=M(chky=XDGo=v|xv6oT$srzFi1`sQ*IAkLE+2$`Ds4vF+vFbB70R40 zndPRE_CF$A>zK@+YQ+pQDnGTJJ_hDm&v1b-j+%8>L*{8AEC~ zUYpGvuJ&{FWl{bz?P@>Qr4nbEL>s2gDsc5?-3HN_D%F0ji?fagW2zUa_H$j9MG0fl zOC6^6b6qakLhYA2RPE=wf&+Z1mg>$_`?;>gJAiouL_4n5vGL zhpPQt*JRx+o5ybj4~cy(2Tx5MuJ&_X$Bgswj_8Q$QP=fZyHQPU4^_O^(#yG1&%o6M zAC?rniY2eo%aM=ta?*ufj$G2qNf&xKa!D^ouF}hqPwC|(&eF>f#CkbNBK30Qv-ENV zSb8}EEWI27q?eNvq?c13=;f3LdO1k~y_`ZoFDDVCms1$h%aKp%<;Z90_i{Sn>~9#S(&7vC6CTa^yGla^$!4as(vxas=7*as;woj=Yv$P64J~E*X^6!R?XF zHvA@$$uD`6h%izwCq1l}BmW3`IT_n_lSq-VUXB1uFDEI@RV)GaRV=}_RjduPR7h;PCGrs%n{z1Y8=EMjxOI7%bb z42!`q-Zd4J8KgTr!0xm&iyZuuAhW_)Wx@D-llK zn}M1%8GR19zVx@C(l3S0MvuU%ZwjrMa$3XDv$0x~YED_V^0T7aPc*F(G+eJgc@w$v zuNT@qG4$o9IXs>4&|eNLZ{Zbl?9|$^j%$W-H!dl(*)M2X0aQ9vhTl>dsCX~Q;-RBbKNZ@` zvN7L-N3#B!s#74q9p(=$(PBBY1CRK-429m zztyJiCn^_e>OxS>@WMm&JwvL)F0DkwIs0gM&ZXX@X>-0uKp_MFML>}PCS$Km)sJG| z9Y@LV1~u1)+e7kUGG1Lqt&qAMBtKFWwvI{u$uz_RS?J;7L>vlyrhR4y?mINZKF{6E z;i)C44v(X_9xf^jr zUn9I}3M3Z+)_o*H?M57%z6GqyQ%#X9>ma07Mg`b69=d(wVbB#Lxq5LHJxxg@HzLkr zswS1DqPY5T$(z3QV*Kpy6lhrwp>%9Fl3+bV)v>u%8TPRkH|4SvUED)tZfjj`|8#(n z@B2B#UMeYk$PT(O%}19HXju;Pd-Ul5V>)AW!T_GZu#;f2$!`=e#w97#rvr?cjPw8r z#gh6+fN?BiQweK+I>0!NvFX5e!cfR8hBFC9lCv510hXT*Fy=636fn3(XUt_dTT%$4 zkl`_a`O^VL5#w@!lb;SSiWxH=7>HA0%#*c&PX`c*{B(dZpK0ik!@V=dkGY&=BERUD>%E@d&z4JwoeBbS8|x1HUD$FNQwP&Wf>}K5T84Ziz>&UzeT92F|Z zh$qV&BURzAv`4Y?J3A6JKTu+%WYM^T8F*}L+l~lLIk-K2|S`ERNt$h2@@zEnpM}U%0y?TqHSD^Rs_ zG_q_aJ63U%nX`E0aNsP(V`$(Q4xFQ6;)^bw@{2B3?6FKxsA3;Ukjn(csW9U>ub3k$ z%df^bF6Ml02${Gr#xr^mqt)dz_qqOGvZVZTbEkd zPM>m8mn)1s&izJ90@Q8_&bXF?r}Biu%#M2D&bU6S z8TH}vPz|H1>$7(N^vc<4bv8-p%<5<}E{BF&Ry0RDWAz)m+Rlc>0~uu1az#~5HSA86 z2kOddD{w!r7FHnYvd3dv;G@qvVQHmi+O;wo^{*A}(akV3h)X&f@xqfZPU8^Fv2+}0 zoX&7M`e!oPGZ^bLr@12&HqMkKF#FR81ZQQRj*PiHl)WtLvkmld2gm-4fE&dhcW~^d zH8b*#<*4;>2gm+vWMuj}l@Y9}E?-?45RPXk-e@fZf!PcdLL@n{Xt|o)2d7{UlYJrv zx|Vw`DmBM$gM9p7u__vqPk|HOLXXes!06*4sZGoRMvVO-5->L*6Y@%cLe^kE0c>-h zM%XX%p^W$~JnzCY{t!Il-^b4ciYJ(UJiA)1j52c?R13qy#uJZ86kn^IL>wH^+?&8+ zvKCr_uP)RCczP7tY>ftzpLup9^W?zZXo@WAY$|FclJQ43;rh|+mjP-gA0taTmrB}> zuwy=f$k7Uk*<}Q-H2@Zo>C_hhimAgAUcOa!5UormZzh|OUjkYo{)Q+$NFS!!sT*l! z1WcQO>XjJ^P=-|cD=7UogsAjaQu>z?DANy8`Ue4`pTxl;pUkr%D|}wOG7{r@KtJZC z2X2wcEcrMqL3T3=gm_P82^4)``wJjcb{Z)=(`4O5sBT;{kCKYKz9+N}je2AaGwx=0 zCSsN2a+~5AC7!A?by5+JsKCG$x^s4c%&W=bU1rq!}D;mX$Oszj)N}fhmA#?aTyB~nlIk4Gj(S7Vqbo|HGW` zAIvDBN*4!Z{y+N%&XXtL`cADMjQ+)M&sxf)Ptz40^*$TE?~6W(dyCeIpd)pH6WdrE z&+v&LdDV#^`RGJYx;POemrewwixWX|=|qrRbs|VUbs{Kn)`=iNd?F}G3 ztP?>3tP?>3=tNLb(21b(;6zY)a3Uy4a3ZJ>I1!WxIuTSDIuRtFIuRtFbs|WBJP{<1 z?L?43^F)yRLrw$f`n;}bywtP?>=X`ToYU_TKg*mfdl z1FaK5!r4y*J!E1fBrR8^b(7%4+5jg~8(P}ehg(8q9~SdNwq}moX*_PbAT!(0gHv&S zGlo1c++A3Lafm;BEQ%0A7?F{CF!F1K%%G3mhrsAzkf*gF51^Pj5t-;L1OnnYkAOP> zs4H%?z}6OMW>iy#K*D8Eif`k|6yC4_37-Bs08R6@6Of^RRLe|41cqXYKLXnnM>kIs zM~^0IF=6Op1tisH68{@ILonV=)9vReKzDMzeQjn5@{d_;6f$RCFgofLB7OK3qJOox zw65SJ1?SMaIBfRx=*9;O>m3c#_`tW&me|E41C&xjpP!;aoUUt#!ZJRPBs5pH`ofgI zNG3i*DO0U4=HO+qMp*!X~gzX@0wA5d@~ zU}bzj!Pii@G(Mo<9TYB&4=DI{z{>c5f_DOz#s?I*3!pSUpum2B%J_hS_YsV8-yuup z$lFga3VtWUFA|KB-^K6&f~D~R6@P$WX?#GzhXE_&0}B37OOc1oFg{=$X?$RPs;m(h z)s(6{oACh^dq;{f-1vYBxIM)f!T5j*+i4H8j0Zfy1=yuRt>J4KA5f9|hXq^lpW^s1 zK9I`idvu#2mCyH{gRo0A&-XGA@M$ZtRBPUM7T!S-?WcB01T=omYb7Wd6L_5j?M4Pt z*~q}3!6%LU3O-KTO$f zLlE*jB%Z!prs%YpJTTlUw?c1sO+*wDvZl!cVgWs(NUJI;UQ{adueyE%jAvw%2bV*2 zE2WanAI694-^ld#3oss%hcHx3k=zBFmjA3anEz9 z*trT}nLJR~iN=v852(g4r5JdT-h6QpV)z2rt-zB}0V_F3gdW#V71jaswP-S;$)Yaj zRxpK1*eiTgZ@W!6B+}MaRbidICV+)xpp?Fvyv;QlEJp3nVDi8ZAz`qdPXDO{sS6}c z9@ugzO&(C{GI#X;KbbsG2na?X!2CW&Ko$aln%cz(2tOcDmirk2X$OQfd7yYeya6Fi z9w-FF8W7UtfkO02gfw}e5IZD7nmka5of4r;9wRVl z!XUf58Pn~c%qWuwoEvTolEJd_H8C2S(I2@;@_KD152!T&+e{u1Ci7+dsOF2B9L9;X zvTBU-we>=OT7C*Lt;U9+7et*Sn?QJ%8gjS^1l9SlY#L$$L1CT7P!k9Wi_u;)q74MB ze;l66k%(_M`d1!6S>#NS7Fb&rkY8yxw_^I@l+z)k(`t?kS(>s&GNSlS+XB!sXW-Lo zQf@(@TF;%FqHGE%$r9NTtA$J&$VC8&y<~`wL!2Vb;^N5CegVFztLpLb-1^mZ&~=kI&-Q3jYQQ|F zDHiI4jfF5SVI4z*QzBx~t_Emlrc&}asj3%R-ZXf}V2^|wK3z}@Zk1QELJjThXE#IN zTdbK2{VfG0hy&Ftwn2{~&RCOV{!fwYUBz@y5Qn5x5^VwHz;Wr$^Kx;5+tfhDj2F@z zq2tXQN{cV*ZRl(YH@DD-t+fqWH}f|)6xYrZA`Wf*IC)H2#`)Pm^TO{Dlxrs6d@YD5 zEo7)I27zBwCbYAkL!KtgM=qONH>lTtCF)e5a&hNv*t^d%Ouw{dEb=PPyM@+5efV4= z-`Lhjl38f2a?OtWfj%lRCCdYCJmoWYWnIWxYjD@lrcvL*=5C9sJEGymvPm(Wh8teY z$yU~JF?EUb*5N~ZKP_LYLA#$P3qP%F@#M{Z4t$<0k5GWuy(j*%Rvcy>N zbF?X5C(ZhdyGneKq_yGm-BNbXRJCNfOGYDS*7sM^+4^%0XWX>@d=lmWUHs|4k^k7n z&-b%jX1>3FoGQl!l>dLbEwRJnG%vB~kw2jEopNETN1q|TTG;BHskE>)U!!sIEj9hT z!vDj1*O^@3I*PwX4CRv4`LjQfpD&>~-zGLjsIsCCdcjrowWq>#=v~@jAYCh3a1lm0 ze;^@D{;uT;@k2&md+#%Q7&Z)yhrb>a^{A+m;c%o8 zQ2}RQKn4K^7+@gu%rJ9?nK(0ZoO5OXBO$@EvVx?vd%X;%mK7#-sr(STl;}k>wR^p0 zMSb3)vOX&^E4z8~`>pp~YrlJ+_sjs3_jaG2yPuh}*Z%GGuC?B^*86AwS8}5zs(;bf zpNe;nU!>4@iRu+_pL0?lJmHiV?^C9is6#OIRoUV%j;AXS}rCqqm-zome_z!D;Aj%XJ zuda~2I5|v_E53KUgOh7Rz4I^;@d`0I#a~siEzXa^#~u3T+zEI%c$`RFhs+tSzgcxIy@C`mAKr->ffG58UO-AW<(Eci#|X-F zm`KHkdJo}uV!dQ&K$qmygP4#i_zHfySd7FMH zk_&cSTt$VPD^d1-s2HdG@HXE&L@u^Sd8A+}^Q+%zT7>!&=UK-}MF%O=5M*cdp zF?5~MN#@{Fub0rXsBh`HX7fL~1nlTIFI1~hkx1839SqO7{f0c!eXYCB1K1|4wnbDQiR>qn(D4^&O!-`{X--MohTX|LLKscAZt_9Mb>)!8)?IppVcnW-z9Y~kFAngWy+qbfKJs!Awyqb2HN zbTW+%#1@%0PI6(qB-!aY2V!B{RH~{RpTIdzNr*a}5ey<_08ZFQlIk!|GyoMiD_DSl zv(5giM-Xt1?vo0}G7uYaeX(?LL&CjCiS!~vgAwygo8$%vjl=RyI2qmOzQYQKh{BTo ze1{vs#5Yt?@1)e`Go2{i11<_y+>ABiuM(ZJL<3q!z;p)Iz1y@IWn)aHYmT%D)lV z^c zC|k}6?1gvYX0J}3bA!Oiq)O0#;grX;O8hxg{CRRMFwmmHo->&k_MFV$*mFZA=RDoB z4GS7M+{C3+=Tm4{FmnVN7y^z&Tk<#IIcig~7n{*wuuSKT!GUKvc?0?AKyifSMD4<# zEEzFSTCh~Gt}Z#k^=QUeY@8}1s%m9wM8%Xh;4+!&`l*bmuBt0HsZt5+2np-RVB(h< zZn!BNz^J7DsNhUf+7gEkQ{iAYGZr=S2k3ETOxD9Uo}H99U|MV@iF$90_o}K+V4tgv zGiXwIrjcgip5LBgaCBhI42+o6dN>Ch2UB7_9n|vxOosI&^8DbW4<~!{aDPDn#a!`1 zJpsK)O$rd%a+w`q+H0oC#>R_HRmh&V2R4ySlY_!(QgVsRIx4^z8Y~K?kV%zOn22=&l$lh9Nw&>_>_ z^9o2&lZ5jF#lSa7_k0(adQs^M1DlY2kRuO5Ujen7&K7Nd`b3ph{&zIzmq%M(RqJnbAadF@ZS( zVnhQ@{=uSjB4ebx7#oa1@QlT{aQmh{N~RxhJ3jPd&Sy}MAJa`+^-_pRxN`~|GEx`w zmc+o=W5*0C{tK5U=;2u>3-3lEe?sfP3z$GVv|Uj##s5>Ml`p~dn@ey7ehU7bDfGU_ z7X90zPdlsqQ!5e`mriL(t!;1WoRaIvOzFvXPuYl9^`I=3SCZZ_bxM0@bGoM`<@hQ< zgtZ9ATcl}9Cfl+emnoVZ2lneXildqv+B-XQ6>V^Wqc3-88gNUhRnzp!sa&>2Z)9^V zc%sT@qJ4S;@0d(=;~86Q;hGEHUfaM zcD9zZyFYMRz4L2(UVQSm-o?Mz>Hgi8T=F}qnO>s1?T$wta@Ttc+t6_-^k! zZ;HG2m^=Q3f9rF<;p}#Qbn^>t=>m7-Zg*H@)#|D4u-4#a(GcYAT==obxtfyq)H2yg z;_@MXR-AHw@Pam=MKt4)Xk2$8L9Z!`zI^Hyy+s+1EKFzdAci*Qq_R8E8gL*}R5!i~ z*5f+BzDT6bov_23yxRTnmc8y-tvmOucFP*vjYoPO-FESvZuS6T<(?iXa^d}-qePMO zE&QHhB;KNWo0fj!&c&g2idXuuk4*bSe-LibTZ_I7X1=HsVzko*XjD8nK!h{M?)?)IjB_Im)d%OVPV_yJUA6j&)vH&`TD7tVf2-Y|9d7A? zm#=+L^>K7Twa9tqe?YRGM9T_%h8u1PRNih{bJZ+_?v42CG@`d^He-4onq$aEUUXgr zy4I8Jip}JLUeczf7Bo#UofIY-s#}Ux5#hN17a!Ger@VUoBegR-+^QMwA*bQe9{02g z_lsM0d;jA8tJ47LK+*Z*iD8briS}l4ZtMlwZtrndzxtZ{q~paa-L?bwOy1_!dGS7X zOs>y;Xv?urZFkSv>o$26i?V&&R<8NUW_Lqw=2bIm+>J9y+|O<~ z@(1@{VC5IK?Df9)$o+S?k2wdhk#kS?;#$JLa~&`jaQ!rQQs8jCZVP z!e7*p1->(bG;}Bzi=0=^;_1?B^+goO>Sm<@! z;Xdxqe!&RaYa{fxVPOM^G*-ENOJ+Dp9V-Rb_&@pikz4?OCQ^KNlR99Za{ z`>MNkceOjL!rgGoC@feAS+h78(&!JZftNz?0s;{ z{KhGjo865SbN2nt8&~Ugp&Opzb~T`{96f)Hx7a&p$`2ZKnsyF7z?_g2;Ur@hpcDFlv!Op9CcB;O6 zHL5kZ1GvEJM!5HoSApPgaqLtz#htD~{~PG|o9RBxOG@&R$(|v|OAOqxkwrh9^4Uc< zUjO@b8z=~y@Kbb$hv9<|2<0xl<$e{^uR5-S}>|<;WLq*tV^0MPjWxvcb*mo>?{L>M!*4JkaNk@@DLw z^JLF&ubSCj>z%vOjZzntLxykab2shY{NRJ@p7dtA?`n9kC<>?4sB|67SZ5w&p=`ZI zCFWQEiq3^S{9uaqY~d4MVV9e!DY;p04R`lbPfJNJ#PQrqWf70*)&f8d|^wvMl&O{ZP`;;zB6=ys~tHWwai9bOg#fLeHmXP0)-)?;PS>ciwF<|jKy z&T*E*?osjSFK!Q$&-u&(U7?_*zxojVGWg}RkUA~R{Dj9@P7p7ow-RL%n4!xVjn845 z7F2Ir5hce*hNEU>-ec9J%RoG)y`pWQRSvj^oIKJ>kd>WszL zH<$IzEo~zT3a=?GI^vTH!As@k^RF(K?>Fyi%Ia43lXSHRR+{C>hg@;4P%XiD*A+Dd z0)9>DhS1ikK=|jD7A+2JqR~``Hv9Jr<^97*5;=^c^Wn7Alr`QY{-pi>7wObq$02_Z zE}xt`%J=~O$P}&U#~}+!`;8mLvjNHEAKtN^{^C3d`){Mc?k4U5#G$ ziBS74)Sk#6TZfnzhQ1x!vkH*lKCKKEy*FjlN@<>B-Ni5$T9uvI|B`@ zx-@0FSI1ccUS-DxRaDIRi27CK-$(wsmHf4x@@3l)H2tE^;brAO;ItT=7DbkLPt1ek z%Hg;;92bM*qSa4m=RwwF{FD6Xg;h%Olj>K=SN^MG|DmaaJqB+dhPNMwx1T7B&VD*DPEuZxK6$49Ppl8IQthyI zTk&>kn*G^ZgMG)T{M)Kj$qx0~e?Ht`r-Ld%c|~%Lvz#ph%fXOhr4@Sn>j=(EEZt>L z{7Ak$uXwkSuks4LnHpy2mln4$9P<{8jf=3F!`a9?|9AB_ z?|~$}7dM$~rE7S6$U^T5cE66<|sOHPbP_K zulH{cVD~g-GD-EZ-DFZ<_FIcDU3NPBqP@`9E5b(L16FK>I0B!w!iRh_{)HkoFaI+d z!Y+R6T-MgLVNOR2vn!X#M-}pU(-|->Z0}4t13s&19LS$hP!U17z$ZeI$yAXKib*ursj^8bf**iItAW4D))|P`$ICR9GX&+ z&biiI*B&(jJZNpsyi(kmZqArU7e1?sA|D# z3avAptD%!0e1)?@y_FenXYO(6D}!xKoh|89w-bI9jU=np4)UWg(9_d%7t@p1198ya zkO#APfrfhLL`L4Ek;g!9N1}Hjp=sgcf|6H4s&0jD|MY$}^ zi)blwHh4m>)DUiG+i=K{4Z6ff3o&ZS{5CS#!fWCY`nbUoLetrJT8K~RwS3?PEFrXh z35DhpdP{&$OEJH6zM7WVypA|a@{0k|Jmz;oUMbhPG1iw~PJyP3rlu4sna{M9nQq}V zRa)q628#;4-C!}HZ#7t4XnMakEs$3(^oI?W@abaBN70NF$p){<+b+|^1}hhOh{57Q z)B8+lLD`tlp24C*M-Ao){W->zFT zgkdcH3|5gQV5mI`@{p&`yj=hBP%WK-+{XTCjvPR5Rh zIE?@7htsz8An*H{&y(?lp65GjnOM-x)s8sJwlXQ60F>_t5nddKgwV5n;q@Y1>J)M6 zg?xL!%|aU+>TMh9^KB3(NGaFx5`9w6 z3iMGwhRcmQO-XnqhtPyPE-jFB4hXm8ki-Mfi3ApMD}7s?mMeU_>V%XYCgQBHm95al z%&*j4GIO}GzAwLcaG#N&sa0&qI$pa@CSoPSJ`OLvUxyaz*@b?_U*8pSilBddptnG1o=Ve@dir> z9XFUKbcMkZLd!K4lE=HP)g(sHvs(KYFf7*4FGUQCOmk$9lVIvnUXw9GPc>Ln=xGLv z2~8)5Xi*a?*|=U~lr=G-Z!lO?=thHiLVuJoB~UhY7{-{;I}H{U`eO$3g#KhuAk+Rz zTp+^)e4XT-avB$qi24NYDi9G{&=OnF5?jy`-xMZnK@GN`23wF7U9tbYzR}Bs6c@Tq zcB9qw*D?RQO}Y0lDdh?btIUB>Lobv|LgSpVT7cnQ8G5;c*DB1iv5P@s6#C-^^MrmN zSXeebYZ#+K%OyLqhId=5(-=Weg-rR-GEsStf$}AcWuV+=sT0!Z-`SX7Y#9U6Xwdrz zDN2i+oo)HW#I|M1J2Euzz zIzbk20hJ)Kajmf-Ds-K}JfSxR3(LkP!x$BMtHC^>=X2gF&9YI;Af=|zbq4c| z_7@B!vVUnHTej6r*|JyJvRB!%SJ|>xi7Z(UVWNiDMb4#tLeKNDM4!;27_CLfoX>V? zpU^ik1~wt-$sk%tgV16#%?gE%`YCg1pU_ef;uE?iz$df`ew~nV08VO!e!pKd(I@my zAG@?qXvx$QoHR}%9x7@_J3EpbK%De)D(#3r;T2AhxrIcpCa^C@0aM=JEa28#-P zpTS~6-*2$E(4RI~xzL|8SVHKpGlnkbIY&0W5nvShF@u#0eb``ep&vI`OlUber2bFn z=M0}G^u2uWri}4}!h~4-s9!MAcZBK5fg`~>rknjE!R1?+ywyPY(nZ2TpQUa+lQ$Wt zk4Z5US(Pv7OKg%HY=cEeaV1hi3^#&gMEB+GV_je5HD!j-Uou!!=&u+oCiLn4VH%<< z8!-kUFedZ_gGGgo8_W}WWl*4OG#JL1&~l(iAs4#Q@OeUS3JR2sn+#)2=yw||D)d%^ zc|t!E6et_d8pfE=&lxN#^tTP>2|c=4heRc%Y>Z)$d?@r-gGGfNXE0Cb{}UAGhnoqB z`XP=c25TWDR!AQq&t!YSHIYdP0Jv5&BrXKBnIUlkAgQNR15m!Dfb#8}&Vm;48fpG{ zCJ!6v*G$S`FSxGZ+TXxyKsPh_5d(da$uAfv-vY_;#jJxmVWF2X<~qaP>=QRU9$*w& zDz6x2Lr#*AM4`ncicvN`%GMF1&|RukuDNYwpgH1>=gQRJ>_Ag6AMj*v|R=l@p$b(|lrg=fThezRTo-X4X~)t z^@cAd^m2p6ga-mlnEFtth#t?_&j%<7}z$o;W3|21mKN>79^nQcIgqFz~RYd4R zhR+jvG7o;r7~b!%#8cZDfivkYe_Etm0skg7httYyoSj@zU8Oeq1d}SFpZXVO`@Ug+ zu8P^^@NooN2~qoGpJ4QvNm{TkE@}i5E3xl&DW|#ZUlyZUf}u4Zd6C<-Q^G}o#e{BR zOpQ3UXpLORJK!=QrCfpTI>X%EyoNeY=$yf#LiZRfCUmdC;zDmQSh>)0$P66`p*I`8 z=|X>mF;sI$L^kB49STnudWR{T5PGM<%7y-z!Qw*iGFVLLPZ%sJ^d5tGLU(ZBl!3C* z$sidhbeF*rLT3zCF7%BCiwjM!nxO^qVnX*AEGo1dp@Gj6`g_c$4Af%GuY~;53?Wg3 z6uXfqLT+MF;JS%Pkv>2=J?zU~BBazc;w%@^$X;U0mj2E+T}kzaC^kiTSzO3KBsNo*%d z3R&)(exq|4)AS__TEHgcbpf_DO!pWzAvXosKEU*+4V#c=Qgx%&6!`rk$3c9H^R!hm zmz7yHVRvx-zT>OhSrrhY$d&B#oyPYW#v>IYi{=~kQtWm zBsrWkf-RQ!vX{gw=<9@(V{LVvLpCH(1^UDSaa}0E5T_!p3&k3#BVoSDOo*Bn**t3N zKW6&3yhityUre@#F#%7OGI_dzge!l2YNbv>aDp{l-oI|yC1+n@alTn|7!a1I(>-=q zk(Zd{B`)Z8&O1NWClimGeLYsTh#t9kSg7;d%EjNyYw7@nzQtfsp|=?b2J)f9+?5!4g8RG+0#V z27_5~5qqaF58@)EsD>x5L?3R6U zb%A6F{c%$+Ds+UqK=QLs8;l;(SBp$(NS<#v6QZ+^<-=D(O7Q}Yd4#!N^h;R@dpM89 zPT1cv$A9=jEboe*A23Uy?3823v8Lvp(9;Z-5c+b1S>CAPeboUTp=TQ`D)c;q#e{BR zOm#uBu{OXcbhE*tLbn*q6Z!)|fwJ*I!x$6#Lk5cqeY?T>t?g3gLF|PTd(c^l86vSj z|JBO^%!LIj9d6mJ2N>tYJ)CXgRM*H5U3TQ#LB}*#@&B zDqg;qy@H4eDRo9`E@cT~O@RjfZ!AD&UL|;vmZr_J{id&AxkwY6am1V7$vns0NBvS( zetbPoVF4*|Hv8Ow1B8|v`{2B&(3cs$gwWFr78Sb6V4l!FH&`OSHVb&)L0%U)(v!O+ z=lU#5g%rsJoGyxZwy#c_30=uMQ9*o4NiUZf%*uo+-d7#q5qh@4qC(FzSWIX+bNe zJfT0&m@-B-_8P{7&<`4{TxhwWg}fm2LxwLV z^cM{l75YmC^MpRam@$R!(0~;o9y1mY-ihSxgft}u+$EA2q~4r9n|t+Y=NF&>ELF{Up>dvw|Na0dqO{N zu&B`A^k+`?DJzjBM-CV*gp^_h2K(QLEi4)K-<7MyQy4+0>!|NM)O?C<0ZLp}&S%bxd&KWE&w6r+LD;N5s%%>bI8#_$la-nw` zEH3oN3>Fjm4ueI7-eoXP=pQqt6w8LR9kNj9pP0gNp?_+yn9x5nSXAhj4dw~`YsQpf zru~(;{sWAlJqsxn#I#E4u-kA6Db|21Utxjyn5}M!s1qNf`w(@X^wlj9QXB#qmV-bwY~AkdC&Xx_m*$8TbvaDMN++ox!3)OLqjmgwS#h3fO?>qvR9@l@(e} zI8a%kH!0uQwC ze(9cGe@eFMN-;^VB)QFMa=3=6kF7FXje1o!3ivEmzp&%3S_cIl1DUZ|_?z zZztPxKdq9@@GS|8e~LrMgo&{pL8sa}V}6;$Q0m;m~=CiTF1>U&R)+FT?XB5Lz@3y@ZHF z$9#nL{VV?IiC!wDla!{fUsDK`tVrXHCoLPCCw+uU(R`hr!PTX}qu#6XL!U>Na-5$V z1mB=SwbTM5;niPbpWNoC@ro9_0!fp~mO`GdSeuo@DP6BfIhQc2ij79l5WsGv4Zp(; z1E`9O;ejFGnUCMmVV-WoLk-1^oD7XYinjs` zAnO?&sd%1(5Sy)$q0-gO?5SQo%WPoU^WA z{+N)SFvrL%s_ocSQW_~16agIzn@Mb53~p_6OHU@<-i%l6B-d`rrO0w>l_X5tOl{Dv z#VUv%t2D~bskD@VYEi)%9*1BGiyL`|sPNa)n%aQq))bBmF-mKi4_db-QrZrUeB@m>LZfeZk+xG0ShpP{q%$nURDo|kOlnwIL+wk| ztH3D3JaeiB;(_Z$Fllfj<@gQf2g_Ma^)kAolwLDW_4I*wplj0V)vIg3qjiP-N0KUt zr@FejY9Jm}_nK-y%Bt>E+AP|hn$?Yf`m>q1sl7K{C^`QG!ZwxQ&_eC~K|km3wv7fi zjj%MXQpDZJ+rVuvG{PnT4>ZD3zp)0Tb=!Fz+_pLzJ!#C;qvtGJ5fBM}WEN>V2)>Me9l`nFA?wW| z5&Qul9l^l&C7DfHTtY>MVqwY)btm13~)aOUApcV@e9-)b7_2w5^oH*ve$U*Fyv7T%yKFoqfF2q zGv$Sn@%=6U>0m0kW?vX|yRC(7PwxHzfjqU#{;bYF%LcK>}9jR*(T$xD^ZspU#B9 zr!-ljv;ykD$%>O}1z^rwq(>Skrc{Jl!9UE9SQH}`&#Y~e*($|c~_{t16lQaDw4XMl#q9@8x#mWQCp?Ro@&X2Cvk%4VvCD1;XywW zzJx7%p;q|`q=9ag8>o?63$@DQKu^>v-v>W)zY71@>L{aDq2jt#F0%9xK&_Izt6L?_ z9m(Tnk*u48J)Cv)dU5?Bd1jMVc?eAaY=vXW=UYxD?o|kcj=Ql#C_TfUvzq*e>O&X( zh8O0kCUjt)+SO#w33#fhE%wJlIw&{Zg~K-8W7AFDwoQ~9Nu-|cCFF5uDs2|(C7wml zIi_X@Qxc1{;M1|-kDKOkUW0h*SoGfmTn`@K&#Jt75eii6wk)6Uiu#BhWyS$Uw^`<9k-5K!c)?Edg?G{cEya4z5=mbL+Jbj#g?y@gxuO(?3f#e8j6 zL?yE+yz_ru?{SH;t;RnJ&^*-Q$dlpaRC@I~6z{in^ReE`5U=A-kqs}>tG@wQ$6d9l zFcY>Yrhj~=#B>+7!v3KG6w}8M2;C|%-7T=Kne=vEfg>M29%DM zv=GB2t(%@t(eVm?p3j^gyag?ng!Ff8P7>*QV@7zC3hLt<#q& z7d$UvurRZNCxH0z@-sner%a&hr;}A#`59a$*sV>*Wjbo5e8Y7RQQ@zpmv{w;&X!7l zpAeEQblpW~%Ovay&jE;+vgO0qOSU|ZSkkq@@Iu-0CqG-}z&G|nJwO#Yt0;E4k@whL z8*s{~t@s}(qv)DT75?=#mqVcJg~p4|`B_&3du+BwK=iz_o&z-4LV!?a-Uc3>nXdy0 zw-m|DC&9;=nL_sDOipUC^i;)h%#2k2*rq6^m%$Ss6U?zc0Lg@q5eRq`DPi)E&aBmi zkZK@XLr6WP$+%#t79fcb@?I(xLhc0;9Um<9D3A>PRUhT&ysj6;l&+BveopI4E;Mi9 z-Y*W{>3p{1CHYKwrt^6ts(rQ&0RKwc*#XbOSlEmT7Fa0fo8Bck|23qVJuji0pM`D> zX$CptAkkjPmPgU7bk09aX>Bc(^VF74l=Eb;&Uxw~?S*pwS4dRd)BXdt@RzqV0{XL` z^UZmC^(N)~RybMbyoY)rnF5RC{EtZ1n{iX>tA0Z9D1CJjaKkix=#r+_7!XuF# zcN###b(eD&zT`sZ)ww6xjG{X3F)}E$NKZnoQpX)lIL{s`KyiP`kNYf$3%3;Fp}24M z&tmg-Rt!*PLy@`t|dr}O7F zaD?+&@`uiPaQa+-*~oW_o}s14`vjUe8Y$EeY^!#d;u~WyFI} znvt;;$R6aG9<4~u2I4{5803RMszkD%huUV!!*9asyb*+t*8NiIe5P0mQCK7oeeIEr zlxm?xW@t3~)_E8Y?WYaN!{@;RLtzi)YB(0eM|pVmEzr^6&!8c61*#4kc`Ll!x!}^Kiax3=qo0D#T0Y;XWYb z1+z#VR>B^ghqzeUfpdRmAo63n6~~@r#X#pp>#(0{Jka&HIJza3KX#RrVHAtp4vEDf zU{>f=pFnd89gBo2m;Xck{FRvdX&>7Dk-D!4)}6oF9+cHbIj`y-FIact{U>S#QZH5b zTR_@E$oGL9XF22}$9Wxy?+t~NqN*8808JZwJCNv^g2;Ii6AQXa@_bcRex#po1fXLf zd(2wem#;lSkqa-v8+`>ls0{N^RKg3zv~s7!^g8s67lj$HMeXZX zeoQHP_CoFJyOq+uN|4#wlR-{uUm3sywJ$mXN}zS>*xA^&UEw=ENw@~|{ zZ-DCd6~`7f0*mAry$C?JFWPx^D4`{$`&5+4hXDaho=Qx&O4dbe-;g+p#kRX77Sy-c z{UOC-HtK$&_Vq0c*SdWzwTza!FNL3V-SxHOjwYNT=g8X{&VYyF;`(EpZ?jX;PDA6Q z%M(ZlKnR&e_jJ7#buYHGzlLCibXAVEw4Y&Ve|=D%A7N=Pw(3rgg@x>yR%L0Y$qU#h ze|WBSGFdm#(mu)3USny$$G*I3#= zXK7CiN_(ZHozC=y?5WII+Ml+xPal+ay1Or=>$2^Z_WLaDC05+&oG{o8rG3Ap{dr6K zm6rC#L1|xOX}{0Xe%R7}v8BCjP}*ab_KB8uI`0B?X8tqnT~>?B3`+Y9OM8o@eY>Uo zB}@CpL1~|EXBis-^w5L20M65TTe}mbU7CyQTdX zmi8Tk(w?)lKW}M&&C&sy7h84jwd#IkP}=8P+BaL;@3!jxhE@0H2Bp2x(!STy{4sLE0{`G zP*4$^M}joGmX)ww#jo7cK4kE$z=+ z+ILynj}J=w1D5uBOMA}Jz5_WHuKVkQ(!STyzQ)qN+0ss*tqyB<&Kk^-)DcVjE=&7< zOZyDV^WLDeAGfq0v$PlceH6EpX~V6aHaaNnuUOiTTH4>R>i)V__t>Dc-)Cv3ukQgg z#}1WgOZ#Rk?(sosKV)g&ZfU>I(mvX%d-miE^y?ME%`nL%maWoe&mX|_S-G(6D{pCEbVW|F^C`c2L`46VM}|?(!R^mPVYxTLCQKlj-#(B z^>-X6$FQarats@&DQE9KsYRh<&;09*g?LWk7#0=KwHV+S*4QjZe*v4h?lj-%ukO++ z{~PO7IYQ>){U4uR_KHNgf1b-?-F?+#?OAVCe?3hW(?F+knVfHI2{_t@uYH z`+(3wTN?Q%Acr`gHA4O6LTn#m%0)NEx4hDky?d_H{h{!vdM9#W54m( za_~q$ujQ--QXZ-)U9qRTy-1wq*$HwVNIF*p3!C-R?(vCqEF5Q^`@!=Dc#MT#B>`AW zbe<(78bn?OvL__xw?HaGevXhx=rMB61Ohh(kjsIDYg!9L+Piv7PdeG+IP+0Tdn1V( z8R@NZH9(9HQz+$yywMGW?g=$M+zw<$$ij~U32VO}NR=0}eF>1XU#e*>ZnH)SeO5ot zl3T!IbS0B`qA{tTSuvTJ0}m|*`2dg>Uo!3c3=o-TYV8MsG-3~JY2>>~PE|n8%RuTv z-uMsV3HdpSTN)?%a+*0?^!a(IMLFH}h=mGkT~mRyg(P1Kq!Ig#pRWfZ^A=sI9mwHO zE9eDcas$tvs1EUgP_FI*&n^hi8MQ2(N>M!JEU}jS1@KTjO-vsJvI7Y1*E~-F*&ovW z9U%KcwfG5;;t|c??eR#zLhg0XYum=(?j9ZKrW3fl|hv1bC`KrDg&d8-=fgm55Al2+$kC9Thk+anA&&#OD^$}T0*OPi_C{-WstH4Z(-^Ah{{s&N z%V_^IkX%Un*_ceVsZx@MZwI39<%@G>UIFBRP)wHr+3s7|+LcLlLe>0`_8Y)c4Af}P z0(r$RgxS21@GdqQQRSyC}8aFGX_x1f(S-c^Gbdmg@yz#S#N@C}hutKpK5SwYaAImU20G zoKQ{Y0XZ1bz7&WYMXC|MndU#Vm{zb3Jd;Bf-b|8FG2{6=ftY@t_I?%!3I%w+3Z&e( zC)wK3)q+@T1&`@7zX2XXsNTBn{};&9A)9{#B;(f|jE;-PhVJqu6EYUa-65OL19H@t zqvF-^HN6}>^MRltu=W}t`$Fxaj(9@a+khPNC8MbGZXop`?H?ipdrVC~1?2V+ z&qF|}LOhQE85`nx8VD^=#}*y~lJM&;Z|0=FSLHT^D-Ng?D{Kqz~)$CAzZ6?-Jg__+j+H9Q?EH3rCi zK7z3zO`TLMWZ^mB+2hxgEV~TI-4JU=t3^P}9DsPbfb8-m6Y_2#TYbsNo=$bdbDNJ4 z&-=kMIV8CcNVru#1VpaY;_Ko(;n?TPK|haN!8@XTgtneUsSMWI8{fxPMN4XJ&$?t& zcT?xOR8}_IJ6pSiZd$vxJJl;&>GsZ)(5>Bcjh`jJZ9>vColzExr!?C;*KJ6)clI`= z+gs|Ot|OI8b+(KDZz z>Mz49G;%!|r>!ZQY;MkVbvrBDI~Rrb$*Zw9s2BYP3dy@_svS+$R)f;s7@+#5YI!aT zJ22>`fM>MFFniwAsSd$f$Y!&ts*mcWyHo4bu8vK~baPi{ul7N*CDn|sHks+d{Cz;yVoXX_dyE-j--A(P;l)SwLQCyx)buYr>EOWc5j@mf9^`r+dKji|rJ4H`* zY74Qc`nV(x8N0eSAz&Mq;bjNVm35MtRQo%oPfK?9bmrPSQYeQk!wc)W9WtD%LWW;) zg_B%C8HShA)pj6ZW;bD)ket`v*-|%`W#w(o-n5vqSPZ--m1DknUES3!EqKfv%Gr9e zb50lR$dfd8W2)Inf~Rh7KzD6+aaSi*t7&aIRogkIr@K4VnNtkD+*D^zN3y*`c_Q7u zw!5i&QO zmYmateBGE^iuCPnAz-c~Q30qJakA=Pp(gG(v_;npw(9-piAWx?QhOHvOAe> z&ypR<4bv(OLsLtOFCbiyn^qowH!`p{Wfe)*FI+}PmTQ}Enkx8`m`g}2&LKkY(&dZm zYZuK;)-9X6yym*((zy$-o0D8vyR05_$RtIXQiOZ1TJ*EkjOyd=BUOhGp|?Oe@l-(=@#_mtN71-m)pZymJHEgR?A^Ti&T# ze~TZFdF^S{vnV_H7+RNK*wxgsQ0L}KswSO5Qa!b@#3Vc_x3DRjL!(FAq?&HZ=29K? zXtUK>NKX;v$Y{`>OXsrLo~~qTS9dctLUaY0tZLgVot=X`#@Qb|y->6o+nbtUEF9n7 zoK;q2k=4nrRY5o=%Dn1t8hf+|iQm#8$Wjy;GtaVW%P;P47aV zneADdRTW6~rn(Ra>wL_d?H1#K`HK{blv^i)_c)@&HdK-57lAaWLG%4DiS2Wqw zkzI$b6{DYF(T5$z(PWewMG-z40F&v=oDReU1G4PtO1GeY&g24pGbbGPJN0dJ{8x)c zn94C!*WJ~OP7YXoItv*6XHUwhM<G;b==WWkT`Ot;Qslj-#67_~~6pBzqr~$YCVmyu&0S zncI{hx9Lb`^6IrP)zoVRQhc1@QMzE&+1vH)&FguH@Kta)DTYX_u52iyxLm=;0_UW< z^V2H1sCMx+E0eX0m(^DkI6Kd-iwp*NjI}FpHdCm}_sNqc6aKsGZ1((9FULRZ;t?aJ(r7 z=(bZEjRw{I^r+;;fuSUGb0C>@kEuS=f&JmGz_fe42P02ls;QU@bOJ1c3Y*zc0f+Os zI$vqNS3?~=x@|U3b(3|eZj1+*@i!x3G0&o@uCj*@&GZIe50GXlonq&*wyq867yW$U zY@utO$#Aw)U26U5kk!J%{s`vJK!r#scjS5qDbUj8aE+^l`oIc&zX;pZXk=K)8*)i9 zUw+aa=(jcM-6tO4<$xWD9S&%4USUlfsPo7r1Dz-trq5>g({U=xsZ@CGAL{LcW3TR& zbG{>>(I1B~{ssq2e*&xLsNuON=?xBQsAqW4gTpPWsF0k$*N)5^M9|QvF-p&)+ZeW- zfs;3HBA|RiaYuT0geOFFbSM)dWsN@DiK)DNv&1Bhnb^oNCCNXy=wla zkJ0r^*95?yh=k{S839Z0JAhXyj*_y-1g&A|EpfyEJm diff --git a/GCE - Vectrex_MiST/tools/tools_prom_src/binaries/win64/make_vhdl_prom.exe b/GCE - Vectrex_MiST/tools/tools_prom_src/binaries/win64/make_vhdl_prom.exe deleted file mode 100644 index 1e5618bf9417eaeb90556e3021a78e9860a815e8..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 119861 zcmeFa34D~*^*4T>XXcqD3p0TP!#R;I3im94{WDd))P*nEKjw;3-)UlQLkgOB&vHgLsaCW=mlXa{` zB=P6lm;e@v{KDCr72jJL2<`Y#zVq?1{Yk!c9UVkEOl{aj(9wTgqPA~#laeRfnks`n z77K^+LSEnO;;I^=ZlJFn_)xxu_}KmmMPc9UShLJGMD(5b5Z`h_l7EHBcMg}ipW^%Q zq578KWBWt9ET2=bSW@2Gj-Vf(ui+zwOS1eb6#nIN<`qcz!BGg>(Kwk1-;yNjOY+TM zAn_-%5cGm?G{Pemiv%R!f|Dier}o$hzBGg*sL_*usPFPQC+ADToEq}F!Xz}UXelia|q(bTM{vTLqWVGH!yJ9BqINk@a{c}cH89T z74$V;w(Qz>1_#T}-fO%84jNW_C9i^ZTeK$`imi_u7XwZhPvd)AUo7+xP1u2D$R)J* z(LwwTya^SP$oenDhfto)GWazG31j=^D2#vL8ovPcVhLk79{;vBm#iXp*FN^sSHO7J8l7z;{oQO)Wz z*Hnitd%G7gC5Z{IwNko=(t(9~YH|a?ZTmyBhZ4)&ml5@l7bM@-g-H6)0H*ffOQHB-nQAvuAx-BS z1@9+}?*r*RqWQK?24ljw9q6_`-_|g&y~eLwRF5T$D^0f1h}iaiX9|_zN@FvLN*F(! zB_+nvf(3mKgFzHLIoLn&5&8g)vL_XpXI;<*ByLPcB?s<+h8svffnu{ZE+hJ*gINbJ z1%R4(k)-aQXrTUqhrv>k$l8rk1h+jOYe7zTLQKJvp@cY;w)Oc~{?;dBflzm!=6k^3 z^Yoff`le&x8LUS_@yF5WgQ3KNClGnb=>_{6lk+E$_WHmN zz*N#xtCiQkRTh79;1-#tc_ik-qGw6%oxb&G&J;tB&pU4zryMz=v zpF#a zgeDCQkZo_EQy#)36a%l0qu3Z?WyGHmLG!66>!Ac>_oUx}VBlw9+H0H+CK?b2_kk%C z|K(to_Yz=p2?0j`*Vkmjdop<$-?6+iU#jLgs40V{~BG z$CyaHa(3gJ;;%zI{SA~;{4KWl)uH(B!BZJ8_lM%Evj@_^(0#Grff1AlW``2~P>$^<-^f{*4q9 z4<3Nf10v=|(gRN@2}Qf8LmsBuk+9Xo$b!!R91p%mXwfcWu(;+BSC0``Ld>fNO5?vQ z+BJe0sK-dm>tiH?&(1K<&hRs0uqy6Bo1P$fgAlflB)oPE32wWDFDZui7u(8!Ig%{H z*IGS#SU@Kq&s$Lo-1i2r7ZQ>;i|>XFM1V4Na3F^EiU!!uLRM zzwd#4XvmAW5?s)!;OP#2tRZ86r<+R@>Iv@0I5{lG36;%i6c^Y-@_|zY9gp_AeG#=1 z_rQ<>B}f7G7$fZ^rnRmm>jK4a#4ce-50ol~emlbu33-ZPkDXyiE4vj#pPhjo!d4mn z04;(-4#|QxKo-N}is2!%9>lb1p&Zhk2KbSRO2kX8}T(D>;-f~N`=p|On* zc~JC$pJzd0B7Gk*+(!(F^gV#pLUlU`Wj!Uid2)RfioZhSyn*XwnzGzLD875(3Yn-3 zJaOZ4lF|u+lnufbA~X|w{AIL!{yDoLWH9Sgszw|z6O+%Yft4f^!c{U0;^ejRUg%6FJd>2CE9-9*54wr?B5Pd zw*)%+U_U6TLOUhb!JiXB7V&mP_&x~ceBt$Z_uGMiGtdg?;Dpg$Pj%nlISRcQu$E7% zd{|K*#Ny|@`LviR1|xOlz!gvirSU%5`sHAG0vdEVBFo}^56RVh&w?K}&|Yim+j513 zRr;^7<`2a`D4p@z4+8)T1`nM~{fmS>;C#aQiMJ%4`(dIoExuYfD)A(BeiG{5tA%E~ z91nWptDT|l$Fb-g48$gc;{O=P!upn0`+cEpgPkYJavVGrjo15d0Oc#inC(gb;W}EP ze<#NJ;owBX$3HyuTiPI6^HJ)HMWI9n=3qjPKYH1l;0j#!kK*E$0pHfEAxsYCjVpN| z7NGvVEB{Dz8jP_^)j*tMwlC)Y803qx`L^cM;KqE!{_&jip%LVGmNVikxd1h1#E}f} z$)^VyMo9NOq-H|@E))hcjneb@et)?WtJV!5tMOsykn(B##}4|g#QacWKlYj&_r7g) zNMw%vZB~{~gHM)rIil6LACj#a{I|%#@7p#($&jO~$dd6LQ^qIe&>I{gqu~96ek7FT zljHrTJl>xJ|G~wGO3shIgK2hLK5^6}_$78;+uoNI_HF$mQp$O7Ax46n2fqfTu~+fS z`Tb4sOYVaYBZ~F4ikIcT%!=QRc-CQ1$7|7t@2NhFofwMOKx-y$$n8QM-}PnGGAhNg6`zY8&CsA17AY&q1*VxV;d3Z97J z@%49t5F3iWS{gq*@MoX{hw;@b%{$5VD_U)}@LFghndeJo@h3V*Q-7$|DLOB?UPz=b zslzaO(s%VgkSlsR2WYV1t>pZL?pge+Xl7ZW&>u_`ddjrNfcq}*276KW9u1q4?4rfb zbiRn0JuneZ6igm$pnY3^2nKABD|&JpN*{g8Q6B$&nPb-DwWN)L{-V7>M57L`Aw^A=KnmC<$75 zU^ylM3NZ=^6RV+r)2~Iuszgb^GccXzhmueG&7vAI@pQjkTauXiT9`KGZ^cqWJ&^-w z?xJ(g+r8I#3{@~iDH1rpm zu_uJ#6Tr&kN|0dR!7~8r(S6C+@SW<9;bP7kNWe~a!*GRP|jQhb>)>Dq%=;~deMEQ=Agfn~ar9*eaB6M&LRpa^2sc4cn0n7d@Nu-k9 zW7||@RFWum2Jv9omJK9P?ZIl+w+&}ZXw%(kvfi7h4||q<@ao`T=})OK!ALET7=^T+ zBHsgjA?-snLiZ6^TT)_cH%;z7;_+?mr)KX-_ajsA^oRBai6RE(z|hcr1kb_Cfnj8I zzFIotmt_ubS-czrcXfZ!F1bA23^p!8^tyvPQHB!VgIduCeWDACsVSwG?W2N}&gd`K z_LaqhoK&YAmLch(-7@M?Dij3A4s5DLsXD)>a*)GlG_ifHBq4=jJr{en+PL>i!bn16tAheO<9TD1Q)=?1%0`rD9K^LcVRJ#}4M>E997veV%NFTW3*E6{CfU zJ&8)szzm2)>pTqdzy!dy)h_A3#>*C$pB;1m4a?OMB&z^okOE#>n zH}skDMTmd2pbt${m$)fH7?F&66-t5zR(z?oe%J$_o_9jAU*pikm z?%(8bgrJ$8il#yX<&`bo*ZEdK-`{qtnHVU5RrR?6H<1Zo$M;~R%lBX?69aY$vhMy942b7?vN21q3-x??cithNn(ye>ywKv0 zV$)S$hvM&&-fKlhSbhCgZ4PM7XxiJTAhcm%njk%asr)nwR7VAR2BQN7LPqIlP)Xvi zhGsk^`47HHT1KvZ1GM(vit$0!c^ey>^r@7#7Yqf@BlW0Iy-`7_d$Q6H_#Uj$U2*Kz zurajd_t%$@=3FyPB`o9PWE$gTM1-_`s6KT-Vdl0cV{_5|eccDq{566aAr2MvSRU+u z?=PPofc19U{+KV+eF$^^F*L_xodX5Whh&Z@>X7v$m$#GhJ^=MX%&-VME9TayFT=8bT#(IUpaI&%nXBB zD>ujj;VH8iMe}V_3m#H~i%+C|Lzv>@#&|>?454^D>-Ya|$o5^@Cs4hg(54m^RMc<+ z>;?(rTSVx=S^`UmP(15KLeZ(elY?~l{sRk4!vU)x_WkkQv6&cbBhg8Jbz!*^e|a$T z33Ni|`zYBp)J(Fu55^9w^)yCK&w{<+Jmi-8C%(IOSQ~(fRJ7`xC|mYiX{= zT%@21@tj=)oRsRJtyCpz^;8&2OqfHZ+%@-(H)h>>5}>_!3WE_=k=?NqLLdAp6rV7G zIJ);(%TCx&u&@}+%20XHsY0OJzt**o3O%Fb5Bom!ZW5vu-2uw!YH%qrR>hQQ0~v*q8{x#JJ$=h}vuX?HnPzPSLm5_%k4C zY*gc2favF^u_q73gM9$|6}WFOYl>{6^h-e)%vu0sFzd<)7R4k)j@As&1`az&0+xz# z<9k$u9tkc7cyKeCQjSn_{-f#z=aQV0AqQ12143GC9#%BZNYa932znE#bcFW!;ENcX zBSPE$3|)uEUMPN$M)5g*G7Dg>Vw6&np7htL4m{uGhSt(I3F8jL73`1yA`~ZCsiszf zVt6IOvNER3?~NsmzwpLL@Qg8sp*y@)*L0igal$bj7|6#zuK94==4O!;#Xrc zV5Y;e4b^?J^Djv9{@IkI21%0QwvsrM@C<(_Ug;nB64o!^d`5Df2+l(9)6CX8A@FavaAN~gyF?=`-FZ~7a| z+E5RPk6}GvERp=N=~B{OV>(bd!szKo@nco|O#^?0IzMy`WaWV}m~{zBcxqrjQLkrh z*4ukVWoQ}Q&!HKb9UT+8?4ZQDy&^PALNa#KA44;6#^)7l&l;-!=m~3*I*n4^B zUi18E*cg}O9{!E-7P{v*fL{6i8wXzL`$n|j<=?*2_sW~EP52ce-Z;?pRxsxK%`30` z<2UE#9)8#72j}kJy!^^vZRkbM{^k|@OUBDG4^CZ(di3@VPCb>wH5`sW9}xW$#z!-5 z#;+L0e+A=DaCj4k&vCes!$uBYHS1 z%JQCId==x5FmB5IOP$K+bq+TU3%||yaK078_*OAKdRTZW<7+rP|2W|#On*-V(M^tFBc5u@A`_ucXX>z=X7RZ zFB{R!hou?+EI*q}{6FFU-4+OUL}KBl){S+|O%37pI^5fg#3Iq~hPu{<=15eC>MgCY zy3K(lfvFvV#-`>7cvaZe8A}n-bpp3Mr^fU%?Cgk0cy>puA>7=yzNtQ3P_S_R?7HUWnZz@@wX-D>1r_l`T$++1BCWBe*cQsU z36k5}qOm|;ityGqUl>4vP>_HnjIxk_-nxc{=gy|x6}8qLyrV_VvB@f9@O zh^>o8>$c?O2as`KYQqd-vr2x9ypWN%qiKC>T{8&?Qwf(yQA?Hu@}(PQC?KKYDO}eird*-7o`r*t1exMIQdtxF%oTTZrc=y*0rvWn8_>F1gln* zRh&6PRMtgfO=zg*wvGF8{Z zQOCvF+5#Od7>?9+bdfpIj!0A|(h$g7y{g14#p>1zTQRUy@npHfEp=$}Xf$tzoI0ra z)Q-HV>t_b0uAdPIR3}tB+yvb)QkbQM74JjItpDMnA#rLP}dP?Z3{HD)UA)q>cFsUYHJmNz?!CLth289 zjLrzABPB-J8_@@t4C}VUA{d>q0Cl&LqFP-bomr>$j!0)i8>-&iR$oUlX{g8A>f4$F z=$j5AOl_F0O7rRI)-_>{HC+_3^S6i&u~F2EsDR=S&>Q&opTGZ$Er7MGh7aB8_9I-2 zj}ve$!b>x7{TOf;!h5l)%mlm>;Vf69X*93ekkm zmjSmU?7-(MfV&W0h0j+3_aeLjpI*Q_5Ppkrz&jD1Jx+*Q0M{an;j;s97sBibLfj2F zfG`Jk@|}S55iSnkJP&Xo!b|aahWHVF6`vOX_abbbEX2!z+Yxr)GeA5D-^Ax_z=si@ zorCMyfNK#pOhY?-5&Q^m!zTcE2g1Ynp8}Y|4tz=g zcOm=^K4pM+BK#Pi8sdSbx*DHaz`Y31IuUsSu0>dn&t|~w2=Bt@%Yb(x^uzG56)=S} z@#zJekMIaSHvkqhh4=`cZxIZOYzsd318zt74nBJTA4d4q*=QfYy$GknuKgPE|L5<2 zd<$r(q2|owa4MPPOG%#<8lbsay?(GRWfB+s66yBbYWC!`Bs@sJjEqa<|a#~k&JaL zgUWaZrzgIsjgMs7dDGk??G8`AyVliiR66_ge#ED{x1~GMf8@>g)VkYUeX^`(dE8Fn zp6kfhYqfS+wz_Z z7%p=-Zsx)Ak=1ekPU%fXwci5$bRG2kwMkm$t$6?G`Xp|L%5G3t1BYMcu$RMcbNEvZ zpW*NThwpOeyiv)S$l+`bzrf)-4x=1?mBTwYe1OA#4&UNX#|0$%OW|-Lhch`0ada7{8puUJiF~_+1Vk=I|*FU*qsFhaYn2xmigb$>Deo^Ek}sa0!Pc9F}pohQsqY zY~-+=!_6FC&f!%YUdQ2f4)5UbUJmc)@DUF8ariukuW|SX4i9tqA%|vr{bwAgmiYhs zICx2vM4DkfD8?=hJFwBYjz2M=VI2N~{A3FK=t;Y>tGa{h~;S)kGuVyipP&N|fpt_KltGrLB!^ zMItUKTRLKqmKyA^iaJ(clSGiXlVWO`>MtyA!|qFn5A@Pj)D6axi;k*gsiQ1XxA7>l zsBo0E)isnUd&rr%V&#xqu}bV~+oCPBPnE)9Z281d6>CO0S9G?@&09^~y5@)&Bx-S8 zJ6Rcu+t84BPt@fEt*ou>!p?S+8BHABB1AgH@Ro0N>!zkwwA0s|)sfihR%O#^2yU*A zw9`HgwM4Iodz{tHkx09E&{-3SwluZY#Uf^Bh)0|?%^hfhHFeFM5%IM1xI)B1CufSZ zWDI$t3YpPZf%xs_AFR-UHP^SbZj|v@B?Bj8R$Y60n2aw%tWy-2p3u&6H;&#H;kx>k zhUQkW1yD-|Y$TMeNQiK~g8nGNjr5i>mgAZfjYew0E1R%d)n6zU>R~SF>w37eRnorg zs9Oi)l(^r4K@W-$KXKGY==GgP97<-Nqp=V`cJ%vrCyNtv zoyvA3#0qCeEZU6BL@7b7^)2n9k|6xYuFSd7ECQ)7S_k}J_?#ZBS`{ptH+MF=oU+YC zp8sy2mX3|}(U@66D&_zDKfk~5n3b$$de^XX0*jgH{bP=u-s1ZY@Nd}yMLSi$m2h}& zm%sg;nV%qkIxI|z z-_#>1pUVGKfyv*?`X%#I`4^sS^6zB+J)g?|O{@&LJmU%_r+fkA7->}br>-&i3j>OO zCT-x4@u&FzagNE~KDkhGIgY_gB*ouzp2^?E{BM1F`(I%4w@)jSk`Epy10{dbmrefK z6BYk)*tt9hEdF-p|EbL7=r1LI;^n6N{F9XYr$4oQ=EK6E+F#64{L}TLWfMiok8L;k z+vh0$3y;nIAL?gpffsYF`LC^9wK`B7m_K{r?72dlJ$sJzAG-o|2sI1qqB;DDY>wax z$DDPYP0bDJyJ1d}aqjH=*##WAdC|h~!ufQFHA^zgn>z>VR~s`V!h)?j_MJ=>QayCd zRllJwDs+z<`-Am3Cy!vOEp?t&1W>1Yp3`y)Cn)E9Zb56!IS1cb-q^pH0Q zZ@9Q(RZVbhjp%pkUvXW8^0_JtLT%U`>v5My<^;-IMY)P89#`c&MM*Hl@2Z@yC|_kt zwySc1qVzB&;Hq4xC|5Hj&&69_ZiZ`Is)6!d<(rE;Ffw|XFyB=jSznAAUhBG1HsK;7 zlz?y@6P6Gm2*UMDIE4r+K)8VkrxIZ$2sbjJkOnkI2>n(@D}l}x`gTUw0-Y!H+Ze3{x=`p}V{`-1lZF0uM%!Igb(@9$4MsP+s_KE> zE>TBLm*%Q!0KS9quK-^M{F{vT0`F)S`W=klLh-=wWPAt3Hwpb)jNc8s0r*{v?*zU` z=-*~^7tqB*{|=*lKnqaqyBXg{IO=^5EiMBNheIKXZ;dPZn z)^)D8BA(=kyS*+lA1%k-g8B_LZ8FQJIBussZUydP$k?Yjc9)8^rY|RchNJt2MO*2g zWrlrTk$Pt7s^t|GWx^RD4U@Y3teT*3ezA<;Gf7D}qol4h?xJDNWr_dX98iYFWjqmEp>oDq$4-oTRQ!66W4zWwUU;Ft$sy za!o~P35Ek{snp_7QI*htOzKby68cYkD2>yTi|LO-R70-*#3_V?idL1B1%>`LC3H|G z^bz_=8fEK{*(%CRshypkE08QrlSjqefKd-(PZ`pY2jghR3GUvvp@d&6tX%fr=0Yl7jTs$kK)xk#jUxE{gUMk+20!!z5W z4S2Jj6^L-b@)+yvP}EMQ>aLo)^@_C7MIEc>I9*k^XcFBRX~4AF#6-g-Eu9tZ_3~-4 znUlMi+z5ja9*J96HR0JncF}6sWNF3-{UYX1vt-W|`j?nE!c~HMMingM%Phk$sYwwR zGk2yX0<-!OCXP0h@}-iT`On|n==^d^)lIFc_|@S5$|{pe`exku+%C3a40!`$Psc=3h)aEF8nTOW*1`6(UCM(DWa< zPKO?GgaA{cY=VneUJ%Immr{} zb}<3^5d_rbAtpc}f&dNpFcY8wLBP}DznB2c2LhfCKV?F%BtS?1j0rm=0b2SIChU|1 z($ha@N}r;jtbI)BR}>WXQKlSF6qNQcrW{rj6n8gMx*Sv((%5^L0>5#xGe}L##@FBp zPuG7Sm2=jL=2&&zM$GG-F6s^77yyd$LrEF!@}dK!gs+M z`H0CdLO70ES?P zd{@+6wRulD6U@7b(?hj(aE)}4F40O8*V5}G({g+p;nMP=mBBDvfQCcC zB3zskg?g_4x9Mns2=2<^KB&;A`qk2nNcHQQ@%*306rCu*r!(#(Jlc#jc}#Ihj=JcE z2Gnc@6Fnf(iH0nAcbg{XC#nR*ga(?IQjE}F^s2*Q!&EXMu7>pMDIgg%=oBSE1> z${fZsC5O=S86OS2xv@?bvw&&YptWsmZ4>%jri=vzcki(Fm#v}aj3<^zOB0?N^O+}? zNm!|4>CePzDj{a>LjSvHM?EJG6lB;M!4vFcf4+QTogy-*QHeoLOH5PQ$Ril{3dd1B zqc8HWN1r(=j}@~3DV-jQH~Vx&X<5+h)J*+)|7agNwY&~@V_PwHZ{Xqz-i=f=^06SQ zd?Ux<`G?asOq>YDHx4QEoBV2-?{x8Kt!b;?(z33tSsjV#H*>rvDO5&7<}DnR=Bmaa zT}5MsJnO1!Hud_goTuMajBR0EJ*EOCUQ<1iZs(|xW}Db+rr+jYimIEHE-9)h3YU~( zKUY&xbrxK^KI^}T>dmKM)@JNEE)M21iX-%2m^Cx=7$!*X_vb^7nN5Y*igV)Q-9CD z8+=aB&Bq@xSkWKW-5ERarX1#^95WuJ0dj;IGhVq!(sOvsq%g{3CN+a+q8c-4jPjUC zXOzdx2u69#_!!Mpqr;z}7S-8mbYyTWkB*Uy^5_`Fh2+tZ$taJGEJk^BjAoQa#|ez` z=*VW2N5>e>h)2g*MtO9MW0Xh7ct&}2OkhzwIwms8qhk_RkVi*=(MmNsCNsKLjgBdd z)~eBw!x?Q*qa&BmW;HsdX3(V9bJ|UElPm-T5GPlD$-qeU_N6{EoEAvP~RkMXQl zkK<}^cr<-k#yPOGLs#ML1G;b^^i#Pc!bP_tOf7m^25EWPrVQ7wg>tOvIoVcGhUB3a zWo$wYSUI6AW?_>YLa&r1Fk@=Us>8)aWo65YiciNTGV{kW?L+t;q0u#DAFZ-{G(;r? zxxWT=j7AT?-%~uT=fv1h>8k3QqE*Gga9B8<{i#{Fbl=&EivbP7*)O-AIka~*xj-Bb z7N>_qI4Wx@D#K;LHNi65vIvg`tQm#dX;3j7WuMAq7*in52%`*DHLEL2SFNZh5*ysx zT}MWvvFq0f_iem460YWUbE_lVUvn)-J!sw6++GstxG>h%F5F*dq94SXrWkh1?r$(D z+tq+qcHOtLumI4rgnI|0xfCm(Lhf%eDUUc5=?*5%BrbU)g`STc!hI)4RLRG=))nzqe3?XDj8cRTR(TZSERaMbhVjQ8x3La1J z>gr%sNpMB!s$hwjKm=tanyA1tsm_xKW`lSx!PtgL*;5HwZDP|1qm@`qX?ale$);ak zRDnUpJ7L|*~7^$ejHbd;TMFmU370bVXb(+{?@g!-FOB%^Dxt@@e;uT`A0?Mjk z2-_!NymeF!D?UsSR*_q+=bB(q?C~CE+7+~ zp6lMhARULDfV|1%x!%%oc)w2Gd~2e;gy)7Az&7b5tVeLzQH<9uCWLXRiSApeJ8lh< zV&OOj!YEf4vP=eD1Sq}db_bF>!Wh1=6?%WfE+aC#_9)TNa6&2-}{(oo#if|n&D*u3Lb-TH{Nr|va0Y53fM6jPwGV|1TR zo~-m5k`~+ywTcutxiIM2HH9QaV1UMUPf>F4irGe-W5{Uq%eNtF8nF&7!BJV?$XWlL zvaZ49Icm=vRj%s(9x#$4riW=)yna&{IZ-We1&*!+hH(xQmUo{P<%dzA@CMjEVJOh< z)iiC%cr;#PX=O-g-_^bV1P|HoK^TEP0#H)oncmRZ-i*tiu}HYR9rw(TPlwRDA>oIJ zol;j%2#v-mbsGsJYEIq8W}$75LN_vEUKf z*A=?<#Ae#hYTwYvj;x(^V)8ky-L8>YS-&ccBCYG*`m%Hluccrp4D0Q_x68 zGFUgXH;MEpky2mRB?C|=IVlrK)(ZN z7Y>iik0a(a#NhAb84&za7T5=Z_Pbe=gjhhYlnsJqK^p)n)1q?#%%)dS@~;DQGU|nw z%kBes>c~QZMTkR9XlqHt?-ADpwnZ-j5Q}LVUcxNjG+9%$Ua&44PS2l-S@12H#r#Tu zQ%4n24&rtWwG*`kt)TA*%fjK2`CAb)27@@i7r+GsYzJ^PfCXCsyaZrjB}?k!F#j&Z zOoHWG{y9CX&_qi#EXvAp@zy?KZk8ivCy$h0a9Dzrs{-gbQrgaY>7q}ckSta zEYtUMI`eRUADFMU(*K0gXTmNlmZEh;N*UprwiK{%4NO+Z73dUC3&-Su=4oZ*eheee zMp7h5(@bn%A{7DTDvJ5$!2luC=<&8Rof6*hk)$|1+GM<(xAa>`qD|HD3_+4&B++K7 zJeToBU~P`dDPu35mM0_4sbX0;ytye{C$vQ~foqz=FA~~fg=f$rah=6lPpnJMv{>uI zU|p*4rSnlu?bK^zr2l3-xRx%}Kq>sDq&Ph!YUx}mWRW5*rOQWJF;~Btk_Tx^gW~s7 z%nC!+Z|S!PSxK8CO`D4c0(5UFCGt9)U4=-6X$>mWa0RuO*Wod#xNHq&QK_hYlL}th z-PKA)u1TjZmk~88B0Cu&AK&uny;{YMH{@3Pr9@v@>ZL<8=f$ zYH26cXzdDB*FO=mff8%lX>=VzJ5>%gU@xO?+G!^CV`{rX6N4obEy>u?15P{1HsG|m zO8nCQjR7}gtQ}*J89q~dmkr0Kp!Q^%HnpE4U z@;Yu|>X4o(;?+exEgXdwp=qO`7k4PRR&;y2VrZlh4h9c1Ed4xsRy=|#=%O5b@A)h@ zo5H+k{ygtCnU^HZ@F^WdGcyvJ`(YFn_0Q*F?ofA;u)(1kT>M#Uun8Bk>g%En|H>MS zLZS39cJQ2+&;mbGl_(rmiO2Bx(Z%;ZYbEe=ULBq7|B5Pf@D0&(sS5u`7tC~Z!R)_% zq3nP65}6@yO$f&cWQj23oe@XV5j3}+=XTD$ ziv+5a#$A-s;JX~g+l1M!ZW!w7hJL*38ZOU2kie}S(}-uBlz9S%zsoZL-yUrqzP;ZA zyZ2oBB1ojLagvOG))5Q#Yk9`3Obxx3Di@=C#8S~%Rkul=Mi{d*_aQmXPE&)e4M#`M z;b>2)6<6BY5ZNq@e2(!aV~TM+xEu$t9k?*VvDvBWMCt5Ew2katc#k)e3XK!iRI-)X z&ytLJ9F;5hd~JATjQN>V_hZEhW2LO8p?3J%gufw|H0-4twzP&ZF?i>2u2u=U_6@X1 z`d{&-olDNT)A6D*Kq^@Jeo2i29MLXcn$pfE?h)<%<(lv271IPW>K-9_4vvp3Hh9I4TsvfS;I-ADTNyb-_exnGyo@%uoFo1 z36v53veAsO=4fQSs_2c{5fIy1rl}gRQG5!88>8CnMrtArCa*tGII|cP)3mW46EcUa z96cA4$&fz+u9`8ja+59cyo9?YcFjmU0w{f6eemUjYdmUlu;%R9kCEbl~M%ew-V<(**D@=ma6YoAI;((+E2 z&GJqtTiyw@Ebj_2E$_*wq?LV-?6zuLl}OSam$adlcSK}#+K2Jr}%L?jS92TKu|9*-%DR#-g|sMHfdq@H95 z^+X}5CmBLLQAp~ELZzMvQtC<4EcHYft0&1K)e}LMdLqP9PlQ-~>PZqvJt+#QCxVoEBFIutgh=&7fK5FSYN{uKho~nauzFIUQcnb%>WN@W zJrR;rPlVaj6QQi02(;9bLQM6Pj7qAaJ+j+|t0z-l@?elCQawq4)f2(TP){Pd2%>WL7$dLrDWo@}V4o`_~wPcALofUBwvIDz4-p4upFAykz)BX8gt38hqq zA?qgI2D~#Rv{A%{r7lE7T8D}lBSq{OHmM=vDiR@6kKxoFrBf_N4BDhWD>c_{E~kD9 zTo?4hz-L-cZH{e@$sdsa9N#?{KzCC$>rgs~=;EK(B_WNBhTEKN*^ zrHKh4O)NP`6Dt6kSOL()k_DPr5uk}Bfi$tAkR~QbX<~vbO-zW?#01zhF`=d=CU}S@ zCIV|>1u9KUu&Id&wlpyzNli?cO%oH!nwUUK6D!2j#K|bTCN_DJw8v#v4qYe`m(;`( zU` zG1_Ep4XEbyJ)5U*C#)7f#OO^?wui~YGd&G9FO^I>{1sXbv9u@2@X;%@Tq1slh&YYG zYf?g+N~vE51p}O>gjd74Jh{8k7RfnP%bA+GV>-@84kt5TL}}=E6GhroKw36splNAi zC>W!VbXkkB(1#-^Gm$orBF9e$LBneTDF}VG#9qhUd}83UN%1;%GIdCDOnp+b^Kn@C znI03kav{%vot7StU)D<)Sv`e8k7)AgA!tCP(nz9N)FPX3V;Sx05qs% zfd*9sXi!NY4XP-lK?zbClpsrk5+XGy0X7XvsHs5-9-={sz#3G6N`n$?YEXhL4N6E- zgA!)bpoFppCD78K3NbZkGAgMp_sDJ=zLquRCD*b*Pw*kG^h==G$_&R8q_GFap?H^ate;Rn+D3zyJ>a;E|loPMd=;4(`a^w z!*myGBVOxK*I_86ODpLc5sRJlF4jSC*Lf^%y38W95qB!)K4$iM?g1esDI9*S=s=wA zy>-w9qtq8vf?VFmdp?4eOtNpRuWyD|FN-~m*#pcj9v?|M@Oz(C2i8Ypri-=$Qw=&g@P-TdS?JOpLeyt)+VC$nMmjz8MvI}5xyJP&727E-k8az2Zl0p# zm?1;Q^Tv8Dub3S(+)U4+99x9tm_fxjy*Htw;h2GP!IkZ#1nXU(gXmEI%bHXe4eCZhL5Dn~0mW;tx4INs2^0^p?ToGk zI*%MPsMuPd(lLWVHvpB685G*?VaE&#-Rxn<41|(n1`j)CQ21AXE5{59?**gTi+LmyQ_}x(ldu%%IRdpvp0W!uJu5df&s+`w2(I z?`8aD!cp`47(YNbUMBf2;{$|C#|%o|Vc^O!gTjw!X%FKlj~p|2j&{uOwu-`TO1^<^ z`Cr(_(sy`0!yPjyv3Gkt$8gM`;&$5OEXN8@v&da4)|$SSV+NJDZ&6U?*tYud^`(xPnFvfz@b1A;lEgua;`?TW_m9JcQ z7;{O3syuM;ZETmQ>63vJRJwAa@DmN$4{@{bDXVk zQd0?!>Hi={;&%TBVNgfLyj4HM|G_NKl}@nxKUk)y4l#nd zjCg!BK->zaSc&_@2x_--6F;{9gRet`e+T(LxEIsaW4___V~NreFX{ilR?2+xe?Ym* z(vdR&0sjYz02KuS+V(*vKr4ZOmfFPxs38!bXC7h#^bQEp|ACSLMFWELf1n6ZFd#_( z2a3=u3DW<8BJ7X^>Hk0xc1nWsf1oIRih{ECnI%ya6!uZGD2js8KE{;8ih|JGma!GBqPj3cI#*zHG zwtNLnzgE&vMD^Z#HD2Q(pIz*)AW!ef*bZBYXBE6@3H|DfnGj6#qr9mDjtH*Hpp0qZ zH~baw>!nydC(Wbo51IFs^cy$=D==lK$HR*6GU+#RT&69~`URd2EPOZ~Yc(@1UD?O4 zXyJA};&0~o@x0c=H7R@YTQZa$3s})ZQs2taQ#`{jHtE}0FfKN+e}G}_q~DgY3(e&8 zP{TVtdjMx?^xnw}fLzl+M9NQjrPAdz9s%d4zf$Qk3=%imvRHUhcH)K96R>?`iv@wo zVnGmDEMy3a1%+g>kRdD<6q3b)LS?ZaNLef-&9Yb!#uf|7A}tmKSr!XIEQzu}}n9EF^&}7K%a^3xbrzf}jw#*YdSILZrol0Gq{vP}5>T z@DPgy5!hm(KxMHY*tA#>Y*{P_Nm?ujvso+%Ws3!Ymc>FLro|!|Ww%(EJW1N)vMYz$ ze2Gh1EF{1d3xbbfu^_VD=BwD)VnK*yv5=gm#exvK#e#5~#lnVK77L=;Ef(qFaC;bj zxWbLNt0&xZ=(<=o#ss{5m7pnCRKS)w=0ZPim$7e{qSgkrmDI+nRgM?~AL{stJgJnl zaf+yok+0rXG%CeSyHZ@@8?PeuF)PT$pSf~?L|(^e6BL7EjCJMFS{Yk!N=>A#BUW5k z_}WUTP1=JAH5S+9l-asE7z#&dJ!P5|aLG`k&9rF|&7M#Q3{MFdjEgdGLyVNUb}ns) zx8vQ4@j;kd)-^YE;FU62TA`gs3)%M(H;xp&c0N7fs*vgUlBSOKx)|O8jPfQE*AH%)hAu|F6VD>Fo?<@%YO4a-ioy}RekXt0P%Dtd4{)(_ zCKiGjR(050o=byp!VhzTIgzZbyvQ9#<^&O~Sn=*e(|@Ng;p z{+jgLqb>X%TDAypbWkDIw|4T2b|`+b5&Q6J9Bwyq?xtPkDkLgJNye*J^C(3F`4!Uo zh}t#Uto375>pZ-@VKvKc5_c~ssvY)F*{{sTm(xR!*b%f=2t$T^L{1WuA}yWab|rgO z1?XN!AJ=mPjnb=zv2IqZvoDgYg_jpXvJiobsm*sCK_h6|WE+CF30RtV5arTE7|-(0 zWXzW@5q!?RMolNaW=LxX(~9-)W47YcBh7g#TF&Vy!MCBg3J}mqi~BpW)AwxY42){2H`_7#nhd~At4{oF8Ay-WVUihkZ(P&L>Bxmx9x=zNO84#;ZA7T9iZcyb9M+@7uYPSM^% z{0)Fo=?hev9`sg(TAxJgnmWj@oBEv>y+Jdz#ifBlu)|_D1~1k^k)@;TIL9GrXM>b3 z%dU-}vR_MhT>)aLX!|5Km$5^@MnpTBad_^N1-~}n!@DC|1{FiUwov%3qQDC$Zq8f3 zIiojfU0jB*a)@hFjZ~CIp-rSJju?y5WN69a|5(%v&eee1gpr0J@{*G#xYR<_Q43JnQz{NQ~AA0MUTS{k!wh>m|n#e0$JNcGt~LyX$6M z9!K!805z9hU3zvfTqBGdvvgoeoa-(U2UjJg%jBURl)d5dU^yHWhL;yrmln%?XjQrN z=_&GE=ZqK)9hO3S7SlfFI(Ni;5}qScipYDWbYdbmHLmkG#$$^yfAq_B{s?-54@y6U zHluB=au4eYkC-NfWv7t!KO$VU%oa$oQr0mkH>HMtyvwzY@jPK1x9G0=5obeiDz)-T zR3VL;2ftnFbX}7{ug#7aZuWEaW>EP?*v)>fYbDKci8jofmFMcoxC^Q?MVkFwS7)3I z!PG9&?B}{JgEGcLFJ+k7&vm^N3$tI!P_v)w29EHjSf)GE?B}|X<1%e=HoKkcCXUZe z#@h{duA4c2e2O|^9%}Y;-IDQ5**yU(dPwS9IeJRUaI>FlI}6UmJEF%lkGgKl*o|g# zd#K@kmR-)BaxShe__3tmRV;zZE=LgAgDNZI8i&9ci8 z#&$W$BJFYnS#~)>EV~>bmR*hzvdc*hvdbv|b~y#WE+<)Fms14THSU%Dctj^va!@Gy-&$L zy@Za5q+ev@SZ0cs1hAEx;y1E?X>HY~XRr~8D%^C?^d2T@w)UNSNPb&$1AIWK-)q$F zF*E6i)T=8}@cgt}steCZxa-&;wEIXALb;1flA?XL9zwFhVfnL!Vf+$d8-9UO`=_S8 z1NvyRa}*domPU6(VI%wJy9Cnj5o-S&fT9*BG_(zAVxi^081{9T8M0w3#Bag?r{vkP zzZhOe9Jztzdfn}zp@cVm;Xn&NC8G?ZcyU5AmrQR?E|iH1 z;g#k?$QzGennXN--vnvGM2tC<`r22(rC$r5jUItj-y~WyWwq2rFT`q5nmJ|L%AWz% zexzw-;Nf=t(OW20V4cwJr)PHno%0jwoB-TsJ@5r8@Z|eZ+QVFovvUeTf-fNC{W}Pm z@(d!Mf;XipF9VncuRBxd)$Pjx(eo52vVA7#F zyh3%L>b)wfhk;7tRA~EUXW)l9+apc$@UueZOqEjiLWHn* zJ&|m>%IC;q8s&f&p1T$6NiDGBq6~W^eePtul}@AhUY0~FH?3bA2_e%0SaRg$qbFB` zE3XK^x+wt80PuYR8Ufss1E3SYGXQdP0OX!PUtWC8(sEUv3pvc|0`(m*PHQL831CZd zWd+1k>MfIR2V%8Xv}ygsor8(@{G9;Y6kEZ7w8cmuu``d)%Gx7&Q z3KTLCdu3{VRQvmJ)C_M>cdL@QJ)|Ed;?-re3Ypu%@&naiwaoJ0%tJh!fe|iF#i77w z+Gn=ozC(TN^W4p}Pg8X}>qHg)1qTo2Hq*SisCQ}iqtX6-Y{1w6nLiWbh`fiQ8FDw` z_~I-KNJH*M9MLz3Zn^@=Muc@A$xyoy$HuEbb$Kc%k>wqP%*tp0`^H1JZ#)dTVkB2D z&VuJCi{wVcIhUGA<*7JseoD%w-+D2A@-anP-a{xK+l?f64^eGwZdHc;*o&J=S%e|( zAvU+QF1P=5fRXF}sRL_rGWd{BYh$XPE+5dc9Pan%rvr>>Owow~d^+P!!pSGUk;fF5 z|xRK-Z)X^}A z88`XqeK8zjCig}59}F;V=6Fw16#rm=aSKP8`?O&Wt&LkbCx7Z}%4s{J$NZ51<2HX5 zs$f8`$aa&2T6|=m_^iB+ z_6UC1aA!OPHI4?AbHtNj&XMxE3)-UC`CS-^nmecl=wxL4*82NR_YU&Az!6F znjxDR=BB`ng@$EGQ&iqq-hwAf zMkOZOr@G!Zre)BCG?m`bGZ74_Sk$>ZpTY($p7AHl*0f`L<~NpQ+yD`%uj!fJIF*Ym z0(Sc-+&n{E}mMVqsLsvK^fi zwxWz5AYr=GxF%x>RH&db#YZG?&np(3q*D1SZoXY^s`P_TB#@PTA7T-*NV32Cb${I zC7lg;;Yk>0a}1ubbR1}$!+09TXENJ!nd&zmb4O=voF{8wj;CWVoS%6P3g+@q@iMHR zZJ-}_aP(gZ+DQI!2S-1xnNfBuN39=saP;3I6VtC#8KLrulGSBF;rJz`8>NMzFq>dP zh$J5@TDDe*M%296!(^U{iLPZ|3_Q#3gM93JSQU-VrO0uA!idjm$L!-Nsg2J7MV#>&VS-^bTDO4r5w+d0&-Ws(_FVOkg- zHpG3Q~bo{wpZ|R>Y|MS5p4h5-Rf#QT_*kVw}Vw zBA48=p(uP_yfPBwcEC91r3Y@2$s+Y~QTB0|o+rfLWs$(q3$Z@~K^3QgiZfNVO@!LU zHRCwB$m@GTYu9K*_OoD-R|rgq8Aw%v%WX<#lw_*G)In7|ssV$W>CV~ZvaF_v4i?o3 zQGF1V6Ilgo*5!90`9YAB5jGyVtE^lB9Bq>9X*sWRujrfbn;CL~i`bJIHdB|N+f z+Cn!d`!pI}Vn!jp;FFreGmw5V3>V~$zK=TW!C7YBk5S&Lb9Pe`PE~T@>mOaRX9=bo z+=g>m9;dRb0?JGsSpP(0Rcnfb_5|tWFCxk|Bw>YC{`bvSH-&ak`vxTTaQH0QDIqGq5XxbI(`lnM`-U*wN3*9Taw$rd>u&} zJ6PcCh#;q~x~N9CekSV;c`$5jFUbEq?KkEx*Vms|NQD*&j1XNzHrN7_`QEDy=b$6? zC8(M%zWiA$u)e;Y-s1f{%iqrBo_Ufg|3O*)&;A4F$rEsWr`Cst_!qxDYZ;S1%~o{W z`)v4qUyMoITeMCD9Vz4Rv~c5ihED_uR40N2(TSi8aUw_|oe0VhCxR5xi6DjQM35kL zA}DFri6CKoA}Cqpi6BANi69}?i69}?i69|#A}BfNL{I@Z5mW$91SJbj1Qh`%f|5Wd zf{H>Xf&{4(L4vFkK|z2oix$1Qn=G1PL}z1PQiI1PMu=2oh#H z5hRpP1PQcG1QlYQ2qvTKCxRwVlJ>al%Aq&)iA$acN`OxU2|mV&Ad#sDRgnfKDK?AR+b>LBee(f;QAT5hR-ZM9@PnR>IPARcaS0POJ@ZBDKD`ZCzb+nEb=y z`H-oZ^Y$*Dzn##Ttr)>6IKLT9033H0sws{87c{nq3L+=>5ERgMvH(9TfJY(dF|en$ zq72}eG9HEK$Oi%HIfsyYfT$~Ow7}LDXl7DVhd{%nQ;u)rGeY?4NROwz2}IL;ZG@yN zB*k)*5P_qZx&MGzucND5mHPO}L=_$wx>x~C^_kTF`i?N1chlqc^HiYu+-@JuROOzE zE9j;H|KG=AZ_|X%w6yi6OG1dCT9K0-cGKaz3D9Yk^AV0}9;$R5~9}XuHAA2Nb&5VCMsblJfzBoewDd zE5McW0fqMhSI!3%ehbA*=K~7gLGjZ0fWq$vuAC1jd?#?}d_bYQfJ)~B3he``oDV2` zAK|F?J+fwwoPNSl@p~D6nQ+wnKE@9aE}ajk^aF%T=K~5q3|u)MQ1}teD-WCDe84!` z`M|mq*&=YNDNT7c=L0JB4zDrX`GAVJ+iM)d`GAVsX^*p<2RzLc*rj5v>1#P3P>K77 zMO*2g<@9hqkizGCbekcC&-Y${x=u0A_tFvZX)Cc5>$&gDzlRdqPwkQfSp1x~N>mCa zbh||DP6krg$-rMBCzW7@pQP<3f)uPMGc`(^=Ft~xXX8yz15N~>UvN8giKZrma9vFE zZ>csYr=gR0muXiF;XQRI?`alqdbq5pYGsg?H^G(o(M^xONc%aGj+l)vU#HLuWv;$6 zI_nx#wnbVhqEO}`>Gb6?N2kr@f#FuA6?&U%Jd%)-HC-N%2-p!tYI(ujMMXmYqU+b7 zc#iGz;Cc@P@fbT({?=WL6^bzE(qm_bqINP>H*78s_ys(JE#nQCGn-tBXc*FoK}CDL z^h2|mle?H~xjazK>`~;Tzk-XHKh2Vj`S&Fzjxfm9opgDiWcVdDDdJ-0&a^~e%3i|6 z(Wb_|)TL5qD}v?nKvBmVN4q?r7Q-XOz>D;iq=nZQ@~(y0*L=>+Cf_EF^W%Gzg^L{U)KN6n%r z3QGGJQw}Q%io2UBT@EUYa(Td|;m#l(EE``Fqp%tM!T)OSUEu4gs{HYD?@80srVY1G zQYdf>1tOO8QbH-vmZV8bQ__+)X-UiDCQWW0Hjis^(+5@>X;D#Vc(T5GSh z_S*aGbIv~blzC4IEO|iQz;R&71Hu&k2tV3=J;Gs@Q&4dgT6uk)6aB9G6l6h706{N^ z=H*@j;kV?->?II%@L|{#S^`01^TvgjK+ssU_L`h)AYlCCdbdGH>~X}`SvXWxE_4c( z*H_4*_ks*JnmH0Igzu@1~?NJTX@2!4-uwlvXV#tW&Bh~mv0lEn||wf43& zws+8nts|I#;|mG7pt$Qo$N4a3<7cVclohN`EAi*po;L!Th_bJ>Rfbh&B@SJ-S2iH9TdawC-SzgX3ES?q*IOA z@qA#6YD{9ep`Ai{7F|)B^v4<;8j-Upws3KGi?$un@L*Xe&Y|Xp7jyaYFT{nPNXOM^ z@kCtv;e8>#v^|xga`p$IMPwf75{b-yfOhBWgVO?MFagPhP79DgCB{03;8VO#iV4i) zx~jw%NjjTO>?NghzP6I=FP#R>{O_+!;?bA^YhfeHrn%jg7v^Q+B=a3wsOgw$4{w6 zcO^y{@8+5Dt-IiJ#83@M^S=6Pg83n|yjO|MxMX!zE#?K+)UDfyr9;0Mc@4v~Sj?nq%FVlzz8R6lg_Yn|!fx>xTiV!MrtslO`AD3KLSB*HTs;k1+>yvT^yK?!m z^;bBFyt`)3!(4m5deJ5C(=*G76$Dpc%}7iZ(PyXX@HHviEosIZhIxO@1W^#+!_3IA zasw~#?wQYn5&D6Ayhqc7Z`xL4k;>j`dNC{SGc$j$_{Q>8e$n@z^7hSKt+4TYl@;)u zdv_O~8st-RVUk9CwKs2w5yiPRQ{&{kdsw@9`L$_$OIW`kbuS}JVDns-aEkK|DAn`T zC7AlEY~Gh=(j5pg1l59-`pV3GN?$J@>8r1YKHAG?{+7>7%D-wG9xH0CYokw1J9!V! z`~ugT*wm&v8`Eug;MmFgDxWBVruax{Hy-kL^1e3nW7;0@GC9So$)ztQhskq!_sw)L zxi;QAGYZOFgihYqm2dO1eeaC>c0P(?fl~FlDiT3*OKJyRV~fnL1zx`H!FW8Of5sg^ zPXvt?Az<-iJ=IqlvgVQyvCVl1(Qk&3{B!Rf;}f>hn1H z)J3>^p5QZA@qX%0a3*gdahQ%iIJs?Msi{7m-S@R{BJa{KMRLL4@cvSi{VYVxPi!hkL!}`R_iM*SrZvzT-r)t|`d+?e9)_?`In&PDogHlR*jV0b% zQidaZFBm*%%{wi*j3f_T0!g^rv{j2*Muz$Y;SiS^RY9mLE=6&YtKUn;ci+%r`BPXs zFuK9*JeDC@`}-*IbCT7DXQ=eDEKXzxMe)YVfR&YGZy+Upq%nBCcq>iizK{HK-C~S7 z$U_I1aRPxHx%um0S=0b<7C8t{6DTKgPcen}kxxu>vQSJ;uQ&LyO3#STIty$tBKU9_ zamzZ*kJX=Y9{NUn>XT_ElW9*CTkAJQBj=EnCb(@(gZG{hzs@prUB@*X2)^}tKD~>2 zLg$*wTB8vKd0NSZAn`!i;cMOIg>x#DU}%;18cx>{$+B;G4s ziF~gD8`d!;9CbIVKM>ev}Q!kokSu|8V7Q8OXsNF zlt`p%8lk7o!SQ@>pEfO0;Pak-a^PTwQ4X1!q#{>>z}LQL8nj;wVY5h>=6i}?!2%L< zD)WGjr1I=MslGaUS}_>Ro{ZXb$73CK*Llb52R*@=4mRBr&cEoH@?7 zB6=R&{PyiWw*>OYaEAEo5+}?7&w^Z}>UORn)_jW#kY3+qu;k^kiBzrgjKnCa_3jca zv*6rGiUyG|kBb3SHBBR_;Q6OTE||71vOd4QU?YrQQUK6#=9fAb;ADb!Q5<6?U#X2M z)8T@^A*5YMJcuRnAf}}O1p4rc#xa|P1qjipsKdoUsZ?SSkvPsJ!GeUp)HiRI-uTkG zIN|b1C1p`#PoTR;>LxuNWNr|@j;JyrcH7Kgv1HiD!dcf zNZ(|4%l(K%s6GCk08!5TltptYMyLNDdG52>HPtVZ6~wN0q0u`2-1@ ziIk~E6U+w8>a3-a=j-Z>4>xiz+{p2av$6+xP@A8qJMV&U=RHX}@5w=z6-uvslJv^4 zV6QwS*eg#pQqg(qUYWXWzgM1N+%Pk2&y&TTMSew8|1pbMawmPtaPe?zI04NLhX=KH z>T9{vqSnsgLD6zX;4G{YH)nP5%n2giNvH(v7Y=z0tHhq;#h&l11qNDF*m5Qk!XkXwFi>y1HZt*P{_*o-wNQsH&Bz9u-60fXQU2>xVLix~i_+qzc5X zlf0u>IjyS_8LK!-4 z0-A~i5;C1VGY1znNH{A{40LmK<~!Tei%OppI0Wx=b<`o)q`tZn$QcyD6r`0OCIO8U zJ(P*Y>0wZQI5Uiw%-{tRSZGXS!hd489@!(JT&hl%_$;JrqtJ+mM$tK|9H@z;$2>pK z)CI;klj~@(LH$r5s8v$MurnOn7@+XbBv@0KMNr8Jt-%Z=&=!Jo6-cjS#gmL=v;(Q! z6lr`@g9Ddo!GVhmQdC2cxdmii%q;|C%NYCQfUyxnMS(+9Ie2-3v#01$->EwM=u#w< zZmf%uoOU-7^ic9RM&RTpbap|@jxyIHo|U*$4lub(nMpc8?w|@}KsreeASUZd7nP< zGE#~;AwDqr%IXcYMp~E|Y+f%rc6?J-H-ALp=&^oXH6JUpqOG@VZe`<17 zO|xP`bv{k1jyyZtoZ8&p*fl@XnV#R*+cQ7MG;MoVQ%7HO%JD@22ul%)6C`PVy0>`? zZc{Wl4)oV6ilds_+Pk_kC2cT*qi=U;80h9yi-u{*sZ4LPKIqLfL7!!z!uZ}b*_ z(|sY58FGJhqgUciIpX$a+{p*s-o@_Zmb|F@(!>_@7Z3Ce0RHlXRe+Cf-9P`DrI+G( z|AYY?Keu(&U*X_yCS-8%{jCSDb_+^gd1>gEz}=w=yt;MYqlY$nOWn^!>b)yBx-Z>0 zu<7sIL%TBW6Hdue+_;bCm*l$zHvx*ceXDMEC%%4V)rU6p^=;UyPPXK?yFYeXytAtM zUU>Sq-g&>=>wbDyCi%nE5--uycH5&5xm&zd?uyqNuluAsai4dlH{acS%$@oC{~dI{ zrMJeeQ(F#!U;{2`$0H=s3jfa@aBITu#Aze39%Var=-zD^9ULc1GK9 zzoH4RMB}~-F?vI3^ws0n=mbSPqOhYEFJfqQ-cfW1S_3X*qDNI1q`{xU&Yl zd7Ipi?b`32*0OhKlUrEtZa>oZ*zWW0aC;BJSMJG?s0-`=0wto(ckp|ho;X4EHZ6Vh zZce{HD_JObC7 zt3p(T0ja{YNMz}=k)_cH6d!xYZ_jMc1lkTg_!z}2t$!(N{6)GGJhX#HG1}<{G%6nK zAi@!3XMg$nw>u|NUw&xiro`Z*y&E?@wQ19ar5msA!`~*iZ@?`$_{xtPILeED0Wg5|m8qJu@Lt_m2$cv7PKx%!bsn`rIXpuHG zw4h;%Nm3YSsI+uy83B&_&-kd0JOB0T9<5r^>6R^a4?Fc2^tmUMxL@A2&-;P<4^BOx zgVD2Q52fRt9u7`Uw!RQce>j$OD26=V=|jEMSGF#_va0!VciSrN^WE5yO>WnIcS`+l z?{7Vi_>A^pnE@#Chi9Z@0i>2`cI#B*LjQGY2LButUoJ}1->(bFmxyu zqt2^<{>MZle~tweqsK$60V&5)S-cFDMl78asebI5{^r$fS8rUhW!ly$e|3+0YP~lz zdg%=JdavF+$&2@4nECtP_qhcFeIM;p`KcZSvDhPikJD<7`K|z2AN`c9kMB;4OjjLk znaUC8;Pi3wK0%|3|AHJ5e1u6SiLF?%s->5Gqxy3V4|_Qh%qn(Xm{Uf^bkL+C?&U3R zdA)nN7vJQ);_Y#dIo_(nXpNt8XO*~rz00fjezw=U3G$4)XIH&9_kC`kH`PnL;oaf>ljH4kCmwvvo#EZ&PC8ia&UxM4 zyszAyP~vX8>0-BVF;YsUd&-e7?si-1-T3oM+*ro_#;#-Ttmm)X>(0z9aVKQlt=`ln zmtV5_Hn+u_x^%A_TfD@blyNtBi?3{TPt7d3%{_?Ap`N}f_d}6`QM$IhOSS61*|=cgFl5*O&k9nX6lWf7PSsuipCT`|2yOC(_;{ z?&QU8Z@oL^VB045hE*%wg8KR1^{XHKnOD>aG0Xn_qfc+#wEKG$3)@N-{%-3JS3h_y zlJXMJ(gk3CCV$(N9dFNnQ6=>)#|XE;oA&e%v8nUVt6#TwpF4Hc-pl&-s@%N^kvg^u zxWIBFoIPYI5L_-!U!aD#iO~(H*>BB5ik`+w$2tgJxaHmIB|7`x}SHJhV-?wff zC+xsa^bq}QxUMhdZXI|-xdzwrCp|yyjeBCzDD0)5(0uSOXFDgfq5x_eNPEMkMrtnd zDv`S&a^HX6w#kj}bDNKR>H6Kf+cqRNyOZnP%)TXM6_K^SJ>ocXi8?5N5kEJaU!=o;|=w-ZTzIWeHGHi4?p03byv&Ht><0i##XsK z`+s`bk_+ZnKeMf;cXgYa+3&_~x_0vd_mov``?0^@y2&l@rnDY;9wsK| zT&XzHJ7dwZadc`@iXg@y->lQ^?Td$lyfBqc& z&u^W-1ix3dp2#`H5CrQXJdS%B^FN#ngCsx>yktBvO;8STs#G~>zGv{e?C zMJozDXP!QE8u!lDnl z<%RK^^U4d0_lzqqOzh6TqVO;#7^4#l6Tm%=lTU!^$@0S3Q_BjyXIJ9>h1x{V1JldY z@fjKoJrkRtreBYv!<-+^E_1=B2z8Q~vH6DYI@D`b!5ZQYdoZ2D(=F{x2SxW#y>`2> zSj)u1A|Ox;?}=pv-E{PLVXXWKG85C29waX<(>4M8aDJm#lVqlf?oiM+Tzv@t3g~58 zC{IyqdcqH}+#o)e+)|WFV1zC+8ed>OsNU`<1;@uHqGpBOAe^R}@5#_+T|?sl0st)#dWT#$9D$ z&DFyMT@{?w!TjVyuE>dM3;Mez+8A*76$RTuM;im~UtSPh6F5YpDGwbEZx@RDN6?1k zGLGiMX{#)3xIyem=lkPj3O`BxIu6-`@bbyIml!{SJ<`z)!x&^$!LWWKf7TN_pgilt*r+JhCUhyl~O(@s))G z5j5LHz@QNpBbCIFN{Wz5V$UL-d}mcb^gAP*#`1m?hoql;aw3oO7xepJv|@68&F=g? z<8IEo)m=65@$x6ipIr8AIkN0iq4u4tJ&`^7$H7kLlmWs+D(YAJo$#_~XAR@Ye}tFS zG-{deb4OT2MnVH_A#IED&)Pp&Me0^)HbpC`~zp42U~6lIB@EQPs9 zar4_(qM4i5ih>ooUGsU0KPo3HT+#|ww4pt3Ak220kXgUK40wr*{)1$%o5@~# zC|-7tL(`9TO)M-121YA_(PD@a@2M3qTrmt6hvAA~xLEm9+IZkK5C6npmTr97@K^P$ zVf&$}sBO6TC;TmVr^>&eVLrprlieNxy$tx(bECk)ZfR1E{7UC#F|r06(-yLu>WR2t zqU45+AB zY}vDcev;yfzE`8~kSVEG*JaJ?N4sszOq@kukE zT_f{Bmtut#vi((r&I&A@GRS|#U*1=|+wfO$g>0s}*_8#+72Me=50ZVToV*6MpEp!i$FIi}5~)w zR|Vvo2ebx;S&3gW<)t~)Hv-I(#QGf8@$o+q(5bGzs45+JbOY+PboW4zCREGn<@g9j z5)0*K+VOTU)auFfrIV=k7XR@8Hcw+Zom3y&O(ykizs>m4WtYPX?S;Nx5mo{puwpI5 z5csSWKIEJBZxpe5*`Lu6an(3Fxi=Yp4vLs8Y+*Dax~ztm;EZPKAuMpo~Mb7Q6DB-+e7<_fDq z-D%#oF4frE-Q_48RV`Refpw&FHFWTUE_YO@Rhh9mbDu+B8Ek9pYVJt&IN_yeBv`F> zknM$$-kx5*hTgm$iGt3CEZB<$G}M|CX<11li-1-~qP39FwD57k^qM+eBCG-ri!?Uj zIWN3!-i~+T)5=ej;+(9{zqT9Bw3e-YNt_g^3>?u48fwA1z*L|fF^<_UbYM&WU`ZHFB>sAnV*GU)Fb7;5G{(FpvS!6gKy z*?8KBPT+cMrqoVD4-fYLPPcUD#@*LiQzV0Jl$ zG-Wh2KUc|YqJAd2iT6}#fp;5ROyE5RS0wPw1{W8Y*4L&D{E7wsn877{I8W1&HzQFw z;5}K}WjN2^iUl5LaB+cYJrmkcwn$*l;9>&D49*ky3yf3BXg20oH2wz9p+ud;;9-U* z@%44YsS)rDM&Wy810OC^Y$h=KQ+OXZC8&FStrCMqw1z|h5gJtBUs;ievrfQcj2h=` zcP==0P!2^eP-g1`)H~7RA$H@peRtZr9^!M~@M+SXz$<)X)rtl^TsK}xxfml%|CCXRs2E=lvCw23(o zGa6xjrGmnoJe|#(aHfQY#3QtV6m4MrpA1g)1EfZbaE@kd$mebg z&Xty%DD&aj(P>nvln(1trM=?v@x5e*iTa!(3*i zZ>!UGiLY0UfRbS%&IVi923^ejO5G(gCmQVsvx^7M84eoS$cnV`-gVLuD;N&2d)4|n zC`XxH;P3kJzbzr~_YBVJLZ#dhra`p7JtzlKFo&~`GW~M_-U9#H;1UA=#^5X+q}(e^ zqjZo1DVReCF&-CFb`W?bpQMgP;JCqg0+$$ELSVVaLU!fT)^09ikXfyBj4&it*Dpm3 zi41e(jFVvK0^XA{0xvMQn7|7Su1H{-9HLDPsN~=}jU#In34Fc5#RP6JI8WeDFitU) zg8@TWB=BB?iwXQmgYyKwE69*xeuu*?TjQsf&a?jJb@nw7M6q08^V~ta?6gi;nUXcB*wtc0;YUat%$tGpt3nk zXGF12QX`;|zqcW~SS=%@(IEQ>C_V@~03`%(a%AjoCR+_STZ?t)Dw0Uo|d2bY6 zvX@w@WJJ`tU{K%{J}xmRun0zL5isMET`(x{4U7YsfZqv_2`oC(s8HaTA2JsV3M>^N zI)N(#bOIaa*9a&V;8ZbzKjarp3<|v0$6YWeutaLELF@Tk9jwf#Hq=zW3LmxhYYa+e z0F{8x8miwh=&}x=67VD+wN`4h(MQ#6Wl*L?P*CbKV5pe8zZ0LI!WgQaz~W~lNb#@8 zB{G3UFvtWP$yh_I%)Pv)%qH-C1{V|feuFC#__GEV7x;4qS1j-s3@#z?HyMYNbJUT8 zZv_Yie%#=S1%ATd;sQTua76;k)gk3SfsYzGPvHCb;!P>zJB0z!_%Xj=V(*sSfh#veAv)=0?*^lPbtIa{he594`X0Vddi^26(xT;>-hv6f&pB+^GPsz)Lk8yw+{unp3d%tjBS}GlyA3WOaN6LC1%9u=#RaCNW@rPy zB7yr1E+(*Cp@Gg5_(i5u3TigyS6u!%Mj=oH6ul8B0`6c|pt^xU;XXn*J>v6TE1=XB z!)^f$@3l5>$#=fuqW4F9-t_{C^U-|?C}JZ{y@28YPzh)>sJAt!^F=f8ChyPkZBip( zgOA!EjQ+-;9%4`~Q83&Q&=_+=wzwfii2l?Q{|n=O$@_?Nt;i7}xjO8ZS%H7yJq3%v z#|$neu#8jm;|Wk~j~e#wmj{)$mlQf`LLWj#?+z+zwZ_c|9bOkcvF4P*jd6(GBo;XXqq z;En*y%1rZN-iu(@xPFN>?`GY};4;J|5SSR-0 zXi#F?-3BFg6r&*pNu7VfIbI5@> zDo0Mt;n!+$h8Puotrl&hj)e17W1gzyK^+z~IRSB~;n_ z<16(J7$;c5#ly>%Q!@JsjkDFNV1$swoSx~oN4>;cFL8E{bLLq^gEH{A(U)UIi^!3i zhq;nxKNtT1?&D z49*jH7UPrv5l!Dope;vp711wh3UxKjpudZA@i_ro2z<7| z#RQgnJFsIyV3`*t+X`G`%KGfqcnSPhrd&+m2&X`@vkw~_y`--; z8PX8HZ2d*%AoGW<1eD@A4D%>czu=d$0``e45<6gj%M^d~nON2pIX`BST=`0_A*Y*~ zdjc;sxP-tL8=Pg0GCo%xpb>bP!Nmk#VQ@tPH!@D8AUW6^AQZUC;9>$d8=NQbhl32| z;3I~xNZ^keTuk6w4Q|-lu45YbUO>?Y$x74^jydwzR%T#sELh<%z$7vhP_fOpPx2nP zn85NN8*oJe-)`vQ0^ezH#RBK^SO^|C>d3)(MuK6nz@EXy1)gAVMFLMWxR}5(gYyKQ z#W*Ec4o)$I#RAKOHI#`9Ec2RFV}VaIWn%)LZg7@I#me`wRp3zprOs&01W55|iL ze379`2)xkXVgi>LoG0)v3@(vfn^k=75btwb>B&=)%YBk{0t)9GMi)Um+Ef9IYu4KvD=w%V&)PaW{}vTs_+#nTj~K_(DznUC5i93J*jH3Ay> za<#103;c2+)yNI331CwhR62o%UnH@9hEN~0UL)aemZ`{bEp+qRKBqw4h za61!`x#jO=k3<4@!T%BJn20qXG$9zUL0*b{D zjy9v3Y(}p!;@@~r5i0QS3@#?Hq$AKJ1eQ4{;6|K}k|_)-E3iyBP+5Ux8UZ*@;9EH_ zQQ6_@aI&BL)(I#q(V}INL^$PWuK9^~PV;}(u z>QbNMIsupZs2Tx{D(gg*4xegWwkkPB|#~h|7PQ_dn*n+y(wOKK7e&7K1;x{1EeNXF2lt z!i#*ICC(9g$^HFIccSRe;|98j_iK4Cci804T=rc#x#OL!?}_HOm-YE9@3ZedX3HN< z??0DbCU6dL{5{NjnZ3#8FI|M>aLGZ^75usM+2#MMk4swGJ5msG5&r-5w&S!${Es7r zM9Wo3#ECoZFtPm+l!)P9(KuL{B~2^{laGJbY3ylLvoc-~(}V*x!=h>E=nnkH@$Z-F zNoDlPafap|oNvItmIc6}d5YQiH#A?z5w$Nv^E5EDX&PFDh*%f-7&`Y4_@_5|sgw>< z8oqu*VW?zD2Ua|3-sU{*W2h93*XbQxT?#a6U6p_GX>=*a`GvvY8&s&4+Mpz?`Wu{+ z#~d}jq!~*fX;A5s%km|gd*yOU*DF%YCCsj5yJ0jAXgAV^--(6*P)XX*KoQWa#P8HF zO^>0WhT=xf2%VRMKLH>w)4v;`U#ekvqmgwSsF7&oer5sa+{lY_;fF929G%XrCn=h0 zZogr&c}zpG=tim`k|H3yUCcCO6*rQ=FQ=#g*$SqaqG-zaC_n(PjgyIL2P%sLL5Y#-*di7+FQN9lJ_O zBKd+mpnYKziOy?4t#$5f+>%OeZENmGrhB?ONpotI#7yfBa+ZkxHYu_rCU?jGejw^X(eFYnv_lGl)s5JXzov2Bk(0MrjoiJ zouK3B#CedkvNvjR)XsE^`xXeOhT)C8Oz%NxFOxOxjamW4zHS9!UlFy`o%$7BcUb_- zj@}bh_cF-R(K~$I?><}V{v@b#*ZuwPpzhN^Gg{s6_UrC{rwtghZHEnY-Q$QugAYO< zB0N%-?st;O?aj$Xtgs8D%Bxs#3YZ&oU#T%v`co*C_Dk6@b{}ZM8PaZF#4W6p^h7mW z+Kt2jg*RzC)bo*b-3ax*;Z52O%?#zPggym#SBeUWREZ%0{Ar z>4hyxa3jU|4aW!b*+lg+vZR#WGfnxTk!T=m?xszfDnX-Vh3!Y2N{FVsyu55A8ddko za^K6U?o`@rTA#{I4L}X2Gf`7}Z#+k0{wX+p0ii=1wf6`8n7`Xr8r0OoQol+bcO< zwY^afn*e&G9+vXPwJ5FI&YPgN#Zm7`eWva`m)e4Wq281FOx=490h1NaJnsD(&T#MP ze+UpLf4dhv`njd#YJ<{tG!50AYKKCBP1+9GhQ<_bBphEvDs86$Flm~ThWnjcabCBb z9DH~bw;eQe){W%ocU1gTRZygx*a+*4Qz|yjJzrwuKClb7L8779sDd)OFW5;aYa_om z06UuB>mWh<{a2v2^&y`=f`9E(iX^h8*`!bT9#A~GP^*BNFCuDMx`rEIpGIvlis&%# zLqg+^Ky)dx>U1NPA@On?$J)yliSOk!PL1Z}0nlhKlaIoiWXL^0YcCf83P(7Vpw|7& z1>(W|!+P*Z--Fwzn6;4yNuSX?SPnkggHM9mHZ6JZ75r-tt^^HfZ#MDZkAc!2418ab z$)v>{P~IV5nDPQ0k1zUBXgFUGKeFN0g<`|Qz>rtM8?}PPU^3c>C?7Q1K2>1`IFh>m z5wNa%;JcCm>Z6dQ>yEK;j=E#nN_>kFEe=CCz8dAL0AsNyz>j=jw&SrFMS`}NDKC)p z?{@-0cFH*h;2cHcpV6XsA#P6Uv1lvUoh)MfJz2iPxBL+w9|Wjx>I0J)kZxp7IB75; zMfhRBqeb{tz6X;ifxoP+0jOE1l4< zz6~j}g*Ry_=Yvk$C;YKZp{BWDZJ*pNh3NhOnmCj(vptjHnFnx2MYv)$8<@i(%sIf6 z;os1ZKNE0hxWyUo(0uDDiktjMi%S53!nyMpduIsem8{4Rk%l&Daip_uadSiG=|K96 zhXGTpxe=lX_vUitTLTF+d~+jkQ;v-y;hu7dgr_k zM)<&)JHDE_;l^;Z4b6$n^wzvkMHlpIXRj#(=5Q17ISy#788atB3%_dP-fioO+ zw7j_fkUWz~t1LsMK$hF5Jm2z8{9Xn}Xulgh1kxk?icMrcYS((6P0s2))0ETE$TU@( zNS{$?%Bd|5M?*3wHr|8FHl4BQp>CoMZxkCzgr4pz<#p#U4P}Y%!RhSN@JSqrFE)ct z`+`4innig9{Hc90dOKS=+-@3=@|Tx)=k-TwAS4KWpwKpMywyq)Q(B zhVManQX;64z8;)BOIr7Z5J}pHH)`E+{EybUi=d2-goU8SU)H9s3m`$a+##IJ-Ewb0 zQ5`MjYqP>C*_*>!$Zpw7-I%=QHzzH&Huff91LgAdYmu@in1QB8Grz5X(Dg+Hidn zzIDpJP&E6AW*SF=!aN0x?=L?Rv~-FDx_>%PQOeKQBEfEL(k~A|L;OM3iz33`%NXEQ zV05&U`sW0YXrcQqI$Gx9RCo+Pv=l8LyH29zIrx(94Td+0mjCdhr2@9GH_C}+NLEOj z(E0s#M*uuUR6qVliYU70Qigvx5qu5K*wxo>VWAFVVZ$C5W;+bN+Ca+QuhHf5W+kLOd9_xNBJ?Y>qWkxd*ovu z)B2o8==I{TvySI_Wuf4Y#52X2j_2(-eR`0@k+#zh0w@ceVcr}Y#eCy?B<8J`pG67&B`yxyiK1TcMw4|`mYFj0>~^}UYu7lwp{$J};br`f6baD~%woo&PiVPh~K0j3OR6lXsUwayfWe-Ev*dJw)^_e-gJnxZLKVUsxYrAM|? zsD(Beq0#7D$6-8lo(?1qp92k5Pv092`-12w4o|;H^3Mji&GswB;R?it&Oem(?2Y2^ zjfBMEPhq=oy{H7mVHwb)#o^hQ2hure21?lCC=TE6$Kgs_8DJ<5%iu2^hX;TmE0|5< zuoU{}IK<7;PR#w8j>z{Zt)E9)bpZ6m7Jy?(vyaTf8RnD6rIMOTPG1UB1W zTLNb_DETLi!_i`OG=EWB9s-l$+Op{Lvc!*LN%zMRzAUGtorWNDFW!&Fid5vdg1IOI ze}yom9nc_zSvVDkjN!Y$W0O8)NvEfDLb6K9A0f=b*U(r`g!Ef2>7|x*%C=$YLnlZ& zjUhvvFQO$dLYUI&mh|^n(q9>q-*2&`&$Fb{>vti277kd_UmKJ3J1yz-d~ZlrX{9Co z8B6+`W0L-+C4CZ7MObe%a?j4rjo2|Ngmh_@ANx$EcPBWOHnwDN`N&ll2 zb@4GtKVV7UX-Usm(q~(BFCLThXD#XUPExq;`z`6$ThbF_l1}5pkgSW|vZTLZN&kW+ zebJbtzhp^&&XWGDCH*E#dfAwyzhz0M&l-fRQ98+LaYroaE5{`LIZHaN4;z+#$f|qV zl3p_==|?T;hb-w2Thd!B>GflhKFP{;rIzLCJ&cew7QSXlZy1yGSFF0fYSsOiRrgO@ z(%Z%){Z&i)8d_Sxfp8mh|nG^zCDke#DZ#-;#d6C4GY>y?;#7 zU$&&bWJ!O{lKv4(`YmIUe!C^T(vnVdx)5i^{0k3SecZs9q(5Rwztxhy$C93~>VD^# zq(5v)-(yMNX-O}(qz{cr`W{RATub_FOZrWgV9BM(j6<) z&bBfwEvgxgx*u559~qPMyREv{S#__r>i&{t`NLz9K43{-Y)LP*r0=(+9~qPMm}U9J zmgQ+?J8bzsT9!XLCh3Q*y5DWp{dTMFm6r4u#w6Xd>ORk^JFR3AuKUj{=`W8-`V&^& z@3-oHw^jFQOZqEgl77sRe$0~ok|q6ZS1ZP*c z?$;n%hO4{Gs{J?Ct8}r%YStZ0svg(tqDqPI;b|_OF6|;h&s&B!t6s-7cTsOgo#-qY zmeP!}@{V8@My*`;W_&C&Cv}!|S`juRtMoZb`Y}vzGmXsK%o&UHxt8>umUNoA4(YS- zW$~AP578Tw^kPf;9!vU8OZw}U^w^lBS6b2!S<)Z2q~B^;zGzI+J1pswO#adH*rj=q zY|C%5qAorr>1~$uJWKjpR^3-x(u>C=J#IC-Lg*ILr+Ea?N52Fxl zU$vy4Zqw?2liwJ~fZ72E~me{MF zdW36OqqBeYXY9jur>9YetGit5{WsRDbP{Z*>jmd#ZBmQrGJYQ68a6Gl5usMqwb^~Jz4ZM|H&ddfUsgx6Rns;PwkOq;7Dw>h~=TWGUx5L z1w*5lJ?v#oa|Bj zSEj{r%HmWi&U}6j8W{uVQhx$QuHQB06l7wV`@);89ou?8&Gk!*CFML2IPwx0O-I#c-uV1`09?F42~2y-(q^mHe7EbDG6h4=w0 zV3JLGb&FU$SoZ^J7Qs)2zQwK21fC*cp4j9Q}s0CT9*>P5)l(twBk22G`l9j+1JJa3W=R(qV0#g*S z*oO(@^8w)eH89PgQu~1k>vIU0H$pUYAG-{twe-$ZXH$9y;zvEV6K7xi18C&QG#wki z0%i!M$dnpG&$%`O#0g+J8#@qX0OLWco&lONzZOY)p=foT@;mX+d@clyj8Zh_a$sc4 zrZF@Mrp-vd9vGSP)HFMQ3AbFj3n62EP4lP4~&d1G|fK&b1Y=BUjXwgPU@N} zJz%`JUoXPU049yS(Qqy>^}vuw&3Pd(_lNkb1*SMeLvPH>lY6>U2AJFZQjMGOG6qWM zy906N{2|a7S;-{cdrl@n6^zw8KoiF72j*6vGo5<|7#Xi>>HiE&1J2Nq#=NEYlm%Kr z0Um^G2wCGadNeX*=L>+@;iXn8pvjFu~@6gCo|WvvHhdx&!vFbz0w?A#BGjDK{g zTY;H`Ok(_X7ceF^@P?X7tm$}A`qx1tb7)bgwj-4yf68pL=KOuokUx!2Uj}9X7&;$y zT6$9{%CrsfAm?9!=B-df{SlbMp?Z0k7@ilRnaxwhrWOlB9k z&F7n-sRn3dy#&m);HP!&ZEx*rL>4~~D)n>FJmS|2#Ll09q0Q7QHVNyuglJ9&MrMSf z4wUI?>P$N?#Dn@Q0!?Me&Q}8SYDmM)!0ZfRwgD6N*9U=_9g4BrfXReP(VNP&nSSRn zU>*+9{0JC%-VZ0Ry#h>Li1Y7&xieJLLJYy;pw-rB=}9#<Nx zp4YP00CS5fr9JI9*8roRUlk9w1M`UQW$<)%1M{$N!4op#8A}ZO^+1(6Z^n=Fa-q%4x7>XwP$NPtP0r8EH&U1k2_v=nH7XtH0TsRY^ z5}0R0Ijn4hR)Q9xB6PQW9 zEEILV2+Yn9=22kkaK`ZY0WgO`H2(}tS%~KU0kb$n^A<3)k+tX_nHUilkBc>AWnjwT zT2{-p9;`lu2={4l$~hgS=J~COF!O++&3L)e!mI}-p+stIfFt7Tuw({WSh|d|l1EL36-N(?;IK0Q1#-4aYos)4?(wy4d*P3kXY3yoE^~zy; zS4+3Rjhi?3q_)aYM>}2>;zBJw^jrcT&?taGx+Gwb+2Xx%wN__)*Ve|4_U0Oh>r7=* zJu4f#nmbZG4%Qb)Hl@=^T5=0rcwqm7%xLaamDIlznNZ zt+6-R)RgJ&ajtIfS{*(oi}i0)OOFQ%$?93OiER%%|7&KGBGTLGo zsqg4gmxRrvvpH1NNA)^-QmyJ#=Z<7YQ+L-^ZG&WUstM^hneN6w7FI*&6*|^7=4L4- zuU)<}iS@0zdy;KzY&k>Hk}1J%gAK6|R;py-1*N46om6LEQom41kbccR+1k~YOl@yU zr8Di_T^7Hd#`fNntfvJpuJ29ttj6kE%X_GfS~;x4)CV-bcL#J&irzEV8sbo8y(BJA zyL)!PVcTo5{0e0CI>~gZ{lY~HlRbT1nfA^U$|1_Igl3OJic?ic@k=gok{c+(uuNuE zCjw?!BZd;m742QkHOrY-*5R@pYbc6E!7EZ3rd!e7Q{LQ+g)bnSwKpd#x}irFr{&vI zO->RtHOm9Ct9sXTcTu$(H+Q6}x+?m5dQx2(Md0&Ib@g>7+dGvdI@&k)H1_OB(lb9s za%(D+?CjlYRzh?_M_9Vy;!@N-+1#CM?daazi1`QDhRPcZHIQD1Ow|q(-H>X=SuAhU z2i6o70w+htqgZFUBh`s&fg?M#wYR0Qy`!%u+X%D^-$4t1n4FmWnAl-_+~K>10QHFX@rowy@L? zG&VQ;48jGuX=Ty(AOg3htRl&}>RP&r-Q0+&wcxT<=aW>-dqVEI^=s;?RxeN1)Gl9N zc~x@V^6IN9lGRnUbr@$R$;%WXoVluyXUiF@ukn-6e={`4@0L_gSE}Q}1<6L}sAH5I zqrW|(m?bOgu38u7%_BA~NKXN3cwMGrLp!o%W5@cgZDXaq+@8$bYyya`?`}Y-91gz9+4H&y{ehBAXWq+4Yl;xL&2)!Z)}3z@IiZ1uTrQN z0iNt`Ar+gpP=w-jR_%c9&B>O&E~!?sv8l7UqYJ#lC1}B$9z+27th4bwNT0oZn|oCS zl3Pr7Xj$zrqMvw_Y4oU;eX+JLg+wQ8+Oyas8#{Yj zk#W%}8WMfQqMf3zr;IO1S=G_P!_-G6JJJ=Ma2xt;In&+Ij1->E1kyLhB&VjjHoCB^ zLOV@m7**5L-Gn>{TwO;m&`7a;DW?v39D$ih@o9*_!-LsXNLD0!)2XKRmiDHA$Prq} z@->yPEW))K*{CnQ0=}XyV0l+YSxVg0mTF9g{Hhz42{^V8jf4Cakc%aI0+q<1v*CEe zI3tB!|AMgRi_%a=5VmKewsV-oKsBsbw@#S^{bO=7I!JBqipF#X`I>Vz z2+%jEejc4gYZqmA74UF)JK6=>pb9X=N^dus52XY2?9I-wIYpa>EW-nJh^Q*B<129O zBA%CiCrK0mg6>(OqmFu4_FWnyNp^tBmts{iAYWD`lNEO6Otz-fz!D2ZqVqv~HRsC3 z)GStaH}`d<^x&1!H-){Hf|CKqhIw$0-KcC&@uX(XlIZD`MYlFJbs%A&1JxGgsAyu& zx$3KpmfQK{5TyCkc8FsMDo6FHNXDh5M0QgkvUOTjpBZ8;Q6Vb{XtC(20z*@k+HzzB zj#^3)W;B(<`aC13S?4fus5d}vXZ2M6tV#8t)4&x%6QUR6G8!5yefZ)|AMm{j$%B$1 zyK39Iw;@UT!NZ|L_jQxu(5Jdo`NJoxxrOb~8VIJ53K3Jz@VcML(d^~&j;n?GKnr|< z4ad}ir0>b_z!jDF-Alz1lnL9Gfc zB|_H)O-RkB%P6RwuBt-)QU?Zxsh(s@M`NoVdSN`ar8lz$*DDa4m3q|tM|KlztLj1s zqxDj5t<~4`dSq-I;Ezhe14A;SVmIsnP4}g?+RC0hA)~9B6{n`Z!oai{ql1u*`DT(X zsi)#c8uX!2SpDnp7Ycd^#Jtr+dw9@Gx*OA&;g_@n2{r#xLb@LRLPCX!x@|?Z=4(K{ H%=`ZUgl -#include -#include -#include -main (int argc, char **argv) -{ -unsigned char byte; -int data_len,nb_byte,first_byte; -char *end_file_name; -FILE *fid_in,*fid_out; - -if (argc != 3) -{ - printf("Syntax : %s file_in file_out\n",argv[0]); - exit(0); -} - -fid_in = fopen(argv[1],"rb"); -if (fid_in == NULL) -{ - printf("can't open %s\n",argv[1]); - exit(0); -} - -fid_out = fopen(argv[2],"wt"); -if (fid_out == NULL) -{ - printf("can't open %s\n",argv[2]); - fclose(fid_in); - exit(0); -} - -end_file_name = strstr(argv[2],".vhd"); -if (end_file_name!=NULL) *end_file_name='\0'; - -fseek(fid_in,0,SEEK_END); -data_len = ftell(fid_in); -fseek(fid_in,0,SEEK_SET); - -fprintf(fid_out,"library ieee;\n"); -fprintf(fid_out,"use ieee.std_logic_1164.all,ieee.numeric_std.all;\n\n"); -fprintf(fid_out,"entity %s is\n",argv[2]); -fprintf(fid_out,"port (\n"); -fprintf(fid_out,"\tclk : in std_logic;\n"); -fprintf(fid_out,"\taddr : in std_logic_vector(%d downto 0);\n",(int)ceil(log2((double)data_len))-1); -fprintf(fid_out,"\tdata : out std_logic_vector(7 downto 0)\n"); -fprintf(fid_out,");\n"); -fprintf(fid_out,"end entity;\n\n"); -fprintf(fid_out,"architecture prom of %s is\n",argv[2]); -fprintf(fid_out,"\ttype rom is array(0 to %d) of std_logic_vector(7 downto 0);\n",data_len-1); -fprintf(fid_out,"\tsignal rom_data: rom := ("); - -nb_byte = 0; -first_byte = 1; -while(fread(&byte,1,1,fid_in)==1) -{ - if (nb_byte==0) - { - if (first_byte==0) fprintf(fid_out,","); - fprintf(fid_out,"\n\t\t"); - } - else - { fprintf(fid_out,","); } - first_byte = 0; - - fprintf(fid_out,"X\"%02X\"",byte); - nb_byte++; - if (nb_byte==16) nb_byte=0; -} -fprintf(fid_out,");\n"); - -fprintf(fid_out,"begin\n"); -fprintf(fid_out,"process(clk)\n"); -fprintf(fid_out,"begin\n"); -fprintf(fid_out,"\tif rising_edge(clk) then\n"); -fprintf(fid_out,"\t\tdata <= rom_data(to_integer(unsigned(addr)));\n"); -fprintf(fid_out,"\tend if;\n"); -fprintf(fid_out,"end process;\n"); -fprintf(fid_out,"end architecture;\n"); - -fclose(fid_in); -fclose(fid_out); -} diff --git a/GCE - Vectrex_MiST/tools/vectrex_unzip/make_vectrex_proms.bat b/GCE - Vectrex_MiST/tools/vectrex_unzip/make_vectrex_proms.bat deleted file mode 100644 index 549c127c..00000000 --- a/GCE - Vectrex_MiST/tools/vectrex_unzip/make_vectrex_proms.bat +++ /dev/null @@ -1,14 +0,0 @@ - -make_vhdl_prom exec_rom.bin vectrex_exec_prom.vhd -make_vhdl_prom scramble.bin vectrex_scramble_prom.vhd -make_vhdl_prom berzerk.bin vectrex_berzerk_prom.vhd -make_vhdl_prom frogger.bin vectrex_frogger_prom.vhd -make_vhdl_prom spacewar.bin vectrex_spacewar_prom.vhd -make_vhdl_prom polepos.bin vectrex_polepos_prom.vhd -make_vhdl_prom ripoff.bin vectrex_ripoff_prom.vhd -make_vhdl_prom spike.bin vectrex_spike_prom.vhd -make_vhdl_prom startrek.bin vectrex_startrek_prom.vhd -make_vhdl_prom vecmania1.bin vectrex_vecmania1_prom.vhd -make_vhdl_prom webwars.bin vectrex_webwars_prom.vhd -make_vhdl_prom wotr.bin vectrex_wotr_prom.vhd -make_vhdl_prom AGT.bin vectrex_AGT_prom.vhd \ No newline at end of file diff --git a/GCE - Vectrex_MiST/tools/vectrex_unzip/make_vhdl_prom.exe b/GCE - Vectrex_MiST/tools/vectrex_unzip/make_vhdl_prom.exe deleted file mode 100644 index 1e5618bf9417eaeb90556e3021a78e9860a815e8..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 119861 zcmeFa34D~*^*4T>XXcqD3p0TP!#R;I3im94{WDd))P*nEKjw;3-)UlQLkgOB&vHgLsaCW=mlXa{` zB=P6lm;e@v{KDCr72jJL2<`Y#zVq?1{Yk!c9UVkEOl{aj(9wTgqPA~#laeRfnks`n z77K^+LSEnO;;I^=ZlJFn_)xxu_}KmmMPc9UShLJGMD(5b5Z`h_l7EHBcMg}ipW^%Q zq578KWBWt9ET2=bSW@2Gj-Vf(ui+zwOS1eb6#nIN<`qcz!BGg>(Kwk1-;yNjOY+TM zAn_-%5cGm?G{Pemiv%R!f|Dier}o$hzBGg*sL_*usPFPQC+ADToEq}F!Xz}UXelia|q(bTM{vTLqWVGH!yJ9BqINk@a{c}cH89T z74$V;w(Qz>1_#T}-fO%84jNW_C9i^ZTeK$`imi_u7XwZhPvd)AUo7+xP1u2D$R)J* z(LwwTya^SP$oenDhfto)GWazG31j=^D2#vL8ovPcVhLk79{;vBm#iXp*FN^sSHO7J8l7z;{oQO)Wz z*Hnitd%G7gC5Z{IwNko=(t(9~YH|a?ZTmyBhZ4)&ml5@l7bM@-g-H6)0H*ffOQHB-nQAvuAx-BS z1@9+}?*r*RqWQK?24ljw9q6_`-_|g&y~eLwRF5T$D^0f1h}iaiX9|_zN@FvLN*F(! zB_+nvf(3mKgFzHLIoLn&5&8g)vL_XpXI;<*ByLPcB?s<+h8svffnu{ZE+hJ*gINbJ z1%R4(k)-aQXrTUqhrv>k$l8rk1h+jOYe7zTLQKJvp@cY;w)Oc~{?;dBflzm!=6k^3 z^Yoff`le&x8LUS_@yF5WgQ3KNClGnb=>_{6lk+E$_WHmN zz*N#xtCiQkRTh79;1-#tc_ik-qGw6%oxb&G&J;tB&pU4zryMz=v zpF#a zgeDCQkZo_EQy#)36a%l0qu3Z?WyGHmLG!66>!Ac>_oUx}VBlw9+H0H+CK?b2_kk%C z|K(to_Yz=p2?0j`*Vkmjdop<$-?6+iU#jLgs40V{~BG z$CyaHa(3gJ;;%zI{SA~;{4KWl)uH(B!BZJ8_lM%Evj@_^(0#Grff1AlW``2~P>$^<-^f{*4q9 z4<3Nf10v=|(gRN@2}Qf8LmsBuk+9Xo$b!!R91p%mXwfcWu(;+BSC0``Ld>fNO5?vQ z+BJe0sK-dm>tiH?&(1K<&hRs0uqy6Bo1P$fgAlflB)oPE32wWDFDZui7u(8!Ig%{H z*IGS#SU@Kq&s$Lo-1i2r7ZQ>;i|>XFM1V4Na3F^EiU!!uLRM zzwd#4XvmAW5?s)!;OP#2tRZ86r<+R@>Iv@0I5{lG36;%i6c^Y-@_|zY9gp_AeG#=1 z_rQ<>B}f7G7$fZ^rnRmm>jK4a#4ce-50ol~emlbu33-ZPkDXyiE4vj#pPhjo!d4mn z04;(-4#|QxKo-N}is2!%9>lb1p&Zhk2KbSRO2kX8}T(D>;-f~N`=p|On* zc~JC$pJzd0B7Gk*+(!(F^gV#pLUlU`Wj!Uid2)RfioZhSyn*XwnzGzLD875(3Yn-3 zJaOZ4lF|u+lnufbA~X|w{AIL!{yDoLWH9Sgszw|z6O+%Yft4f^!c{U0;^ejRUg%6FJd>2CE9-9*54wr?B5Pd zw*)%+U_U6TLOUhb!JiXB7V&mP_&x~ceBt$Z_uGMiGtdg?;Dpg$Pj%nlISRcQu$E7% zd{|K*#Ny|@`LviR1|xOlz!gvirSU%5`sHAG0vdEVBFo}^56RVh&w?K}&|Yim+j513 zRr;^7<`2a`D4p@z4+8)T1`nM~{fmS>;C#aQiMJ%4`(dIoExuYfD)A(BeiG{5tA%E~ z91nWptDT|l$Fb-g48$gc;{O=P!upn0`+cEpgPkYJavVGrjo15d0Oc#inC(gb;W}EP ze<#NJ;owBX$3HyuTiPI6^HJ)HMWI9n=3qjPKYH1l;0j#!kK*E$0pHfEAxsYCjVpN| z7NGvVEB{Dz8jP_^)j*tMwlC)Y803qx`L^cM;KqE!{_&jip%LVGmNVikxd1h1#E}f} z$)^VyMo9NOq-H|@E))hcjneb@et)?WtJV!5tMOsykn(B##}4|g#QacWKlYj&_r7g) zNMw%vZB~{~gHM)rIil6LACj#a{I|%#@7p#($&jO~$dd6LQ^qIe&>I{gqu~96ek7FT zljHrTJl>xJ|G~wGO3shIgK2hLK5^6}_$78;+uoNI_HF$mQp$O7Ax46n2fqfTu~+fS z`Tb4sOYVaYBZ~F4ikIcT%!=QRc-CQ1$7|7t@2NhFofwMOKx-y$$n8QM-}PnGGAhNg6`zY8&CsA17AY&q1*VxV;d3Z97J z@%49t5F3iWS{gq*@MoX{hw;@b%{$5VD_U)}@LFghndeJo@h3V*Q-7$|DLOB?UPz=b zslzaO(s%VgkSlsR2WYV1t>pZL?pge+Xl7ZW&>u_`ddjrNfcq}*276KW9u1q4?4rfb zbiRn0JuneZ6igm$pnY3^2nKABD|&JpN*{g8Q6B$&nPb-DwWN)L{-V7>M57L`Aw^A=KnmC<$75 zU^ylM3NZ=^6RV+r)2~Iuszgb^GccXzhmueG&7vAI@pQjkTauXiT9`KGZ^cqWJ&^-w z?xJ(g+r8I#3{@~iDH1rpm zu_uJ#6Tr&kN|0dR!7~8r(S6C+@SW<9;bP7kNWe~a!*GRP|jQhb>)>Dq%=;~deMEQ=Agfn~ar9*eaB6M&LRpa^2sc4cn0n7d@Nu-k9 zW7||@RFWum2Jv9omJK9P?ZIl+w+&}ZXw%(kvfi7h4||q<@ao`T=})OK!ALET7=^T+ zBHsgjA?-snLiZ6^TT)_cH%;z7;_+?mr)KX-_ajsA^oRBai6RE(z|hcr1kb_Cfnj8I zzFIotmt_ubS-czrcXfZ!F1bA23^p!8^tyvPQHB!VgIduCeWDACsVSwG?W2N}&gd`K z_LaqhoK&YAmLch(-7@M?Dij3A4s5DLsXD)>a*)GlG_ifHBq4=jJr{en+PL>i!bn16tAheO<9TD1Q)=?1%0`rD9K^LcVRJ#}4M>E997veV%NFTW3*E6{CfU zJ&8)szzm2)>pTqdzy!dy)h_A3#>*C$pB;1m4a?OMB&z^okOE#>n zH}skDMTmd2pbt${m$)fH7?F&66-t5zR(z?oe%J$_o_9jAU*pikm z?%(8bgrJ$8il#yX<&`bo*ZEdK-`{qtnHVU5RrR?6H<1Zo$M;~R%lBX?69aY$vhMy942b7?vN21q3-x??cithNn(ye>ywKv0 zV$)S$hvM&&-fKlhSbhCgZ4PM7XxiJTAhcm%njk%asr)nwR7VAR2BQN7LPqIlP)Xvi zhGsk^`47HHT1KvZ1GM(vit$0!c^ey>^r@7#7Yqf@BlW0Iy-`7_d$Q6H_#Uj$U2*Kz zurajd_t%$@=3FyPB`o9PWE$gTM1-_`s6KT-Vdl0cV{_5|eccDq{566aAr2MvSRU+u z?=PPofc19U{+KV+eF$^^F*L_xodX5Whh&Z@>X7v$m$#GhJ^=MX%&-VME9TayFT=8bT#(IUpaI&%nXBB zD>ujj;VH8iMe}V_3m#H~i%+C|Lzv>@#&|>?454^D>-Ya|$o5^@Cs4hg(54m^RMc<+ z>;?(rTSVx=S^`UmP(15KLeZ(elY?~l{sRk4!vU)x_WkkQv6&cbBhg8Jbz!*^e|a$T z33Ni|`zYBp)J(Fu55^9w^)yCK&w{<+Jmi-8C%(IOSQ~(fRJ7`xC|mYiX{= zT%@21@tj=)oRsRJtyCpz^;8&2OqfHZ+%@-(H)h>>5}>_!3WE_=k=?NqLLdAp6rV7G zIJ);(%TCx&u&@}+%20XHsY0OJzt**o3O%Fb5Bom!ZW5vu-2uw!YH%qrR>hQQ0~v*q8{x#JJ$=h}vuX?HnPzPSLm5_%k4C zY*gc2favF^u_q73gM9$|6}WFOYl>{6^h-e)%vu0sFzd<)7R4k)j@As&1`az&0+xz# z<9k$u9tkc7cyKeCQjSn_{-f#z=aQV0AqQ12143GC9#%BZNYa932znE#bcFW!;ENcX zBSPE$3|)uEUMPN$M)5g*G7Dg>Vw6&np7htL4m{uGhSt(I3F8jL73`1yA`~ZCsiszf zVt6IOvNER3?~NsmzwpLL@Qg8sp*y@)*L0igal$bj7|6#zuK94==4O!;#Xrc zV5Y;e4b^?J^Djv9{@IkI21%0QwvsrM@C<(_Ug;nB64o!^d`5Df2+l(9)6CX8A@FavaAN~gyF?=`-FZ~7a| z+E5RPk6}GvERp=N=~B{OV>(bd!szKo@nco|O#^?0IzMy`WaWV}m~{zBcxqrjQLkrh z*4ukVWoQ}Q&!HKb9UT+8?4ZQDy&^PALNa#KA44;6#^)7l&l;-!=m~3*I*n4^B zUi18E*cg}O9{!E-7P{v*fL{6i8wXzL`$n|j<=?*2_sW~EP52ce-Z;?pRxsxK%`30` z<2UE#9)8#72j}kJy!^^vZRkbM{^k|@OUBDG4^CZ(di3@VPCb>wH5`sW9}xW$#z!-5 z#;+L0e+A=DaCj4k&vCes!$uBYHS1 z%JQCId==x5FmB5IOP$K+bq+TU3%||yaK078_*OAKdRTZW<7+rP|2W|#On*-V(M^tFBc5u@A`_ucXX>z=X7RZ zFB{R!hou?+EI*q}{6FFU-4+OUL}KBl){S+|O%37pI^5fg#3Iq~hPu{<=15eC>MgCY zy3K(lfvFvV#-`>7cvaZe8A}n-bpp3Mr^fU%?Cgk0cy>puA>7=yzNtQ3P_S_R?7HUWnZz@@wX-D>1r_l`T$++1BCWBe*cQsU z36k5}qOm|;ityGqUl>4vP>_HnjIxk_-nxc{=gy|x6}8qLyrV_VvB@f9@O zh^>o8>$c?O2as`KYQqd-vr2x9ypWN%qiKC>T{8&?Qwf(yQA?Hu@}(PQC?KKYDO}eird*-7o`r*t1exMIQdtxF%oTTZrc=y*0rvWn8_>F1gln* zRh&6PRMtgfO=zg*wvGF8{Z zQOCvF+5#Od7>?9+bdfpIj!0A|(h$g7y{g14#p>1zTQRUy@npHfEp=$}Xf$tzoI0ra z)Q-HV>t_b0uAdPIR3}tB+yvb)QkbQM74JjItpDMnA#rLP}dP?Z3{HD)UA)q>cFsUYHJmNz?!CLth289 zjLrzABPB-J8_@@t4C}VUA{d>q0Cl&LqFP-bomr>$j!0)i8>-&iR$oUlX{g8A>f4$F z=$j5AOl_F0O7rRI)-_>{HC+_3^S6i&u~F2EsDR=S&>Q&opTGZ$Er7MGh7aB8_9I-2 zj}ve$!b>x7{TOf;!h5l)%mlm>;Vf69X*93ekkm zmjSmU?7-(MfV&W0h0j+3_aeLjpI*Q_5Ppkrz&jD1Jx+*Q0M{an;j;s97sBibLfj2F zfG`Jk@|}S55iSnkJP&Xo!b|aahWHVF6`vOX_abbbEX2!z+Yxr)GeA5D-^Ax_z=si@ zorCMyfNK#pOhY?-5&Q^m!zTcE2g1Ynp8}Y|4tz=g zcOm=^K4pM+BK#Pi8sdSbx*DHaz`Y31IuUsSu0>dn&t|~w2=Bt@%Yb(x^uzG56)=S} z@#zJekMIaSHvkqhh4=`cZxIZOYzsd318zt74nBJTA4d4q*=QfYy$GknuKgPE|L5<2 zd<$r(q2|owa4MPPOG%#<8lbsay?(GRWfB+s66yBbYWC!`Bs@sJjEqa<|a#~k&JaL zgUWaZrzgIsjgMs7dDGk??G8`AyVliiR66_ge#ED{x1~GMf8@>g)VkYUeX^`(dE8Fn zp6kfhYqfS+wz_Z z7%p=-Zsx)Ak=1ekPU%fXwci5$bRG2kwMkm$t$6?G`Xp|L%5G3t1BYMcu$RMcbNEvZ zpW*NThwpOeyiv)S$l+`bzrf)-4x=1?mBTwYe1OA#4&UNX#|0$%OW|-Lhch`0ada7{8puUJiF~_+1Vk=I|*FU*qsFhaYn2xmigb$>Deo^Ek}sa0!Pc9F}pohQsqY zY~-+=!_6FC&f!%YUdQ2f4)5UbUJmc)@DUF8ariukuW|SX4i9tqA%|vr{bwAgmiYhs zICx2vM4DkfD8?=hJFwBYjz2M=VI2N~{A3FK=t;Y>tGa{h~;S)kGuVyipP&N|fpt_KltGrLB!^ zMItUKTRLKqmKyA^iaJ(clSGiXlVWO`>MtyA!|qFn5A@Pj)D6axi;k*gsiQ1XxA7>l zsBo0E)isnUd&rr%V&#xqu}bV~+oCPBPnE)9Z281d6>CO0S9G?@&09^~y5@)&Bx-S8 zJ6Rcu+t84BPt@fEt*ou>!p?S+8BHABB1AgH@Ro0N>!zkwwA0s|)sfihR%O#^2yU*A zw9`HgwM4Iodz{tHkx09E&{-3SwluZY#Uf^Bh)0|?%^hfhHFeFM5%IM1xI)B1CufSZ zWDI$t3YpPZf%xs_AFR-UHP^SbZj|v@B?Bj8R$Y60n2aw%tWy-2p3u&6H;&#H;kx>k zhUQkW1yD-|Y$TMeNQiK~g8nGNjr5i>mgAZfjYew0E1R%d)n6zU>R~SF>w37eRnorg zs9Oi)l(^r4K@W-$KXKGY==GgP97<-Nqp=V`cJ%vrCyNtv zoyvA3#0qCeEZU6BL@7b7^)2n9k|6xYuFSd7ECQ)7S_k}J_?#ZBS`{ptH+MF=oU+YC zp8sy2mX3|}(U@66D&_zDKfk~5n3b$$de^XX0*jgH{bP=u-s1ZY@Nd}yMLSi$m2h}& zm%sg;nV%qkIxI|z z-_#>1pUVGKfyv*?`X%#I`4^sS^6zB+J)g?|O{@&LJmU%_r+fkA7->}br>-&i3j>OO zCT-x4@u&FzagNE~KDkhGIgY_gB*ouzp2^?E{BM1F`(I%4w@)jSk`Epy10{dbmrefK z6BYk)*tt9hEdF-p|EbL7=r1LI;^n6N{F9XYr$4oQ=EK6E+F#64{L}TLWfMiok8L;k z+vh0$3y;nIAL?gpffsYF`LC^9wK`B7m_K{r?72dlJ$sJzAG-o|2sI1qqB;DDY>wax z$DDPYP0bDJyJ1d}aqjH=*##WAdC|h~!ufQFHA^zgn>z>VR~s`V!h)?j_MJ=>QayCd zRllJwDs+z<`-Am3Cy!vOEp?t&1W>1Yp3`y)Cn)E9Zb56!IS1cb-q^pH0Q zZ@9Q(RZVbhjp%pkUvXW8^0_JtLT%U`>v5My<^;-IMY)P89#`c&MM*Hl@2Z@yC|_kt zwySc1qVzB&;Hq4xC|5Hj&&69_ZiZ`Is)6!d<(rE;Ffw|XFyB=jSznAAUhBG1HsK;7 zlz?y@6P6Gm2*UMDIE4r+K)8VkrxIZ$2sbjJkOnkI2>n(@D}l}x`gTUw0-Y!H+Ze3{x=`p}V{`-1lZF0uM%!Igb(@9$4MsP+s_KE> zE>TBLm*%Q!0KS9quK-^M{F{vT0`F)S`W=klLh-=wWPAt3Hwpb)jNc8s0r*{v?*zU` z=-*~^7tqB*{|=*lKnqaqyBXg{IO=^5EiMBNheIKXZ;dPZn z)^)D8BA(=kyS*+lA1%k-g8B_LZ8FQJIBussZUydP$k?Yjc9)8^rY|RchNJt2MO*2g zWrlrTk$Pt7s^t|GWx^RD4U@Y3teT*3ezA<;Gf7D}qol4h?xJDNWr_dX98iYFWjqmEp>oDq$4-oTRQ!66W4zWwUU;Ft$sy za!o~P35Ek{snp_7QI*htOzKby68cYkD2>yTi|LO-R70-*#3_V?idL1B1%>`LC3H|G z^bz_=8fEK{*(%CRshypkE08QrlSjqefKd-(PZ`pY2jghR3GUvvp@d&6tX%fr=0Yl7jTs$kK)xk#jUxE{gUMk+20!!z5W z4S2Jj6^L-b@)+yvP}EMQ>aLo)^@_C7MIEc>I9*k^XcFBRX~4AF#6-g-Eu9tZ_3~-4 znUlMi+z5ja9*J96HR0JncF}6sWNF3-{UYX1vt-W|`j?nE!c~HMMingM%Phk$sYwwR zGk2yX0<-!OCXP0h@}-iT`On|n==^d^)lIFc_|@S5$|{pe`exku+%C3a40!`$Psc=3h)aEF8nTOW*1`6(UCM(DWa< zPKO?GgaA{cY=VneUJ%Immr{} zb}<3^5d_rbAtpc}f&dNpFcY8wLBP}DznB2c2LhfCKV?F%BtS?1j0rm=0b2SIChU|1 z($ha@N}r;jtbI)BR}>WXQKlSF6qNQcrW{rj6n8gMx*Sv((%5^L0>5#xGe}L##@FBp zPuG7Sm2=jL=2&&zM$GG-F6s^77yyd$LrEF!@}dK!gs+M z`H0CdLO70ES?P zd{@+6wRulD6U@7b(?hj(aE)}4F40O8*V5}G({g+p;nMP=mBBDvfQCcC zB3zskg?g_4x9Mns2=2<^KB&;A`qk2nNcHQQ@%*306rCu*r!(#(Jlc#jc}#Ihj=JcE z2Gnc@6Fnf(iH0nAcbg{XC#nR*ga(?IQjE}F^s2*Q!&EXMu7>pMDIgg%=oBSE1> z${fZsC5O=S86OS2xv@?bvw&&YptWsmZ4>%jri=vzcki(Fm#v}aj3<^zOB0?N^O+}? zNm!|4>CePzDj{a>LjSvHM?EJG6lB;M!4vFcf4+QTogy-*QHeoLOH5PQ$Ril{3dd1B zqc8HWN1r(=j}@~3DV-jQH~Vx&X<5+h)J*+)|7agNwY&~@V_PwHZ{Xqz-i=f=^06SQ zd?Ux<`G?asOq>YDHx4QEoBV2-?{x8Kt!b;?(z33tSsjV#H*>rvDO5&7<}DnR=Bmaa zT}5MsJnO1!Hud_goTuMajBR0EJ*EOCUQ<1iZs(|xW}Db+rr+jYimIEHE-9)h3YU~( zKUY&xbrxK^KI^}T>dmKM)@JNEE)M21iX-%2m^Cx=7$!*X_vb^7nN5Y*igV)Q-9CD z8+=aB&Bq@xSkWKW-5ERarX1#^95WuJ0dj;IGhVq!(sOvsq%g{3CN+a+q8c-4jPjUC zXOzdx2u69#_!!Mpqr;z}7S-8mbYyTWkB*Uy^5_`Fh2+tZ$taJGEJk^BjAoQa#|ez` z=*VW2N5>e>h)2g*MtO9MW0Xh7ct&}2OkhzwIwms8qhk_RkVi*=(MmNsCNsKLjgBdd z)~eBw!x?Q*qa&BmW;HsdX3(V9bJ|UElPm-T5GPlD$-qeU_N6{EoEAvP~RkMXQl zkK<}^cr<-k#yPOGLs#ML1G;b^^i#Pc!bP_tOf7m^25EWPrVQ7wg>tOvIoVcGhUB3a zWo$wYSUI6AW?_>YLa&r1Fk@=Us>8)aWo65YiciNTGV{kW?L+t;q0u#DAFZ-{G(;r? zxxWT=j7AT?-%~uT=fv1h>8k3QqE*Gga9B8<{i#{Fbl=&EivbP7*)O-AIka~*xj-Bb z7N>_qI4Wx@D#K;LHNi65vIvg`tQm#dX;3j7WuMAq7*in52%`*DHLEL2SFNZh5*ysx zT}MWvvFq0f_iem460YWUbE_lVUvn)-J!sw6++GstxG>h%F5F*dq94SXrWkh1?r$(D z+tq+qcHOtLumI4rgnI|0xfCm(Lhf%eDUUc5=?*5%BrbU)g`STc!hI)4RLRG=))nzqe3?XDj8cRTR(TZSERaMbhVjQ8x3La1J z>gr%sNpMB!s$hwjKm=tanyA1tsm_xKW`lSx!PtgL*;5HwZDP|1qm@`qX?ale$);ak zRDnUpJ7L|*~7^$ejHbd;TMFmU370bVXb(+{?@g!-FOB%^Dxt@@e;uT`A0?Mjk z2-_!NymeF!D?UsSR*_q+=bB(q?C~CE+7+~ zp6lMhARULDfV|1%x!%%oc)w2Gd~2e;gy)7Az&7b5tVeLzQH<9uCWLXRiSApeJ8lh< zV&OOj!YEf4vP=eD1Sq}db_bF>!Wh1=6?%WfE+aC#_9)TNa6&2-}{(oo#if|n&D*u3Lb-TH{Nr|va0Y53fM6jPwGV|1TR zo~-m5k`~+ywTcutxiIM2HH9QaV1UMUPf>F4irGe-W5{Uq%eNtF8nF&7!BJV?$XWlL zvaZ49Icm=vRj%s(9x#$4riW=)yna&{IZ-We1&*!+hH(xQmUo{P<%dzA@CMjEVJOh< z)iiC%cr;#PX=O-g-_^bV1P|HoK^TEP0#H)oncmRZ-i*tiu}HYR9rw(TPlwRDA>oIJ zol;j%2#v-mbsGsJYEIq8W}$75LN_vEUKf z*A=?<#Ae#hYTwYvj;x(^V)8ky-L8>YS-&ccBCYG*`m%Hluccrp4D0Q_x68 zGFUgXH;MEpky2mRB?C|=IVlrK)(ZN z7Y>iik0a(a#NhAb84&za7T5=Z_Pbe=gjhhYlnsJqK^p)n)1q?#%%)dS@~;DQGU|nw z%kBes>c~QZMTkR9XlqHt?-ADpwnZ-j5Q}LVUcxNjG+9%$Ua&44PS2l-S@12H#r#Tu zQ%4n24&rtWwG*`kt)TA*%fjK2`CAb)27@@i7r+GsYzJ^PfCXCsyaZrjB}?k!F#j&Z zOoHWG{y9CX&_qi#EXvAp@zy?KZk8ivCy$h0a9Dzrs{-gbQrgaY>7q}ckSta zEYtUMI`eRUADFMU(*K0gXTmNlmZEh;N*UprwiK{%4NO+Z73dUC3&-Su=4oZ*eheee zMp7h5(@bn%A{7DTDvJ5$!2luC=<&8Rof6*hk)$|1+GM<(xAa>`qD|HD3_+4&B++K7 zJeToBU~P`dDPu35mM0_4sbX0;ytye{C$vQ~foqz=FA~~fg=f$rah=6lPpnJMv{>uI zU|p*4rSnlu?bK^zr2l3-xRx%}Kq>sDq&Ph!YUx}mWRW5*rOQWJF;~Btk_Tx^gW~s7 z%nC!+Z|S!PSxK8CO`D4c0(5UFCGt9)U4=-6X$>mWa0RuO*Wod#xNHq&QK_hYlL}th z-PKA)u1TjZmk~88B0Cu&AK&uny;{YMH{@3Pr9@v@>ZL<8=f$ zYH26cXzdDB*FO=mff8%lX>=VzJ5>%gU@xO?+G!^CV`{rX6N4obEy>u?15P{1HsG|m zO8nCQjR7}gtQ}*J89q~dmkr0Kp!Q^%HnpE4U z@;Yu|>X4o(;?+exEgXdwp=qO`7k4PRR&;y2VrZlh4h9c1Ed4xsRy=|#=%O5b@A)h@ zo5H+k{ygtCnU^HZ@F^WdGcyvJ`(YFn_0Q*F?ofA;u)(1kT>M#Uun8Bk>g%En|H>MS zLZS39cJQ2+&;mbGl_(rmiO2Bx(Z%;ZYbEe=ULBq7|B5Pf@D0&(sS5u`7tC~Z!R)_% zq3nP65}6@yO$f&cWQj23oe@XV5j3}+=XTD$ ziv+5a#$A-s;JX~g+l1M!ZW!w7hJL*38ZOU2kie}S(}-uBlz9S%zsoZL-yUrqzP;ZA zyZ2oBB1ojLagvOG))5Q#Yk9`3Obxx3Di@=C#8S~%Rkul=Mi{d*_aQmXPE&)e4M#`M z;b>2)6<6BY5ZNq@e2(!aV~TM+xEu$t9k?*VvDvBWMCt5Ew2katc#k)e3XK!iRI-)X z&ytLJ9F;5hd~JATjQN>V_hZEhW2LO8p?3J%gufw|H0-4twzP&ZF?i>2u2u=U_6@X1 z`d{&-olDNT)A6D*Kq^@Jeo2i29MLXcn$pfE?h)<%<(lv271IPW>K-9_4vvp3Hh9I4TsvfS;I-ADTNyb-_exnGyo@%uoFo1 z36v53veAsO=4fQSs_2c{5fIy1rl}gRQG5!88>8CnMrtArCa*tGII|cP)3mW46EcUa z96cA4$&fz+u9`8ja+59cyo9?YcFjmU0w{f6eemUjYdmUlu;%R9kCEbl~M%ew-V<(**D@=ma6YoAI;((+E2 z&GJqtTiyw@Ebj_2E$_*wq?LV-?6zuLl}OSam$adlcSK}#+K2Jr}%L?jS92TKu|9*-%DR#-g|sMHfdq@H95 z^+X}5CmBLLQAp~ELZzMvQtC<4EcHYft0&1K)e}LMdLqP9PlQ-~>PZqvJt+#QCxVoEBFIutgh=&7fK5FSYN{uKho~nauzFIUQcnb%>WN@W zJrR;rPlVaj6QQi02(;9bLQM6Pj7qAaJ+j+|t0z-l@?elCQawq4)f2(TP){Pd2%>WL7$dLrDWo@}V4o`_~wPcALofUBwvIDz4-p4upFAykz)BX8gt38hqq zA?qgI2D~#Rv{A%{r7lE7T8D}lBSq{OHmM=vDiR@6kKxoFrBf_N4BDhWD>c_{E~kD9 zTo?4hz-L-cZH{e@$sdsa9N#?{KzCC$>rgs~=;EK(B_WNBhTEKN*^ zrHKh4O)NP`6Dt6kSOL()k_DPr5uk}Bfi$tAkR~QbX<~vbO-zW?#01zhF`=d=CU}S@ zCIV|>1u9KUu&Id&wlpyzNli?cO%oH!nwUUK6D!2j#K|bTCN_DJw8v#v4qYe`m(;`( zU` zG1_Ep4XEbyJ)5U*C#)7f#OO^?wui~YGd&G9FO^I>{1sXbv9u@2@X;%@Tq1slh&YYG zYf?g+N~vE51p}O>gjd74Jh{8k7RfnP%bA+GV>-@84kt5TL}}=E6GhroKw36splNAi zC>W!VbXkkB(1#-^Gm$orBF9e$LBneTDF}VG#9qhUd}83UN%1;%GIdCDOnp+b^Kn@C znI03kav{%vot7StU)D<)Sv`e8k7)AgA!tCP(nz9N)FPX3V;Sx05qs% zfd*9sXi!NY4XP-lK?zbClpsrk5+XGy0X7XvsHs5-9-={sz#3G6N`n$?YEXhL4N6E- zgA!)bpoFppCD78K3NbZkGAgMp_sDJ=zLquRCD*b*Pw*kG^h==G$_&R8q_GFap?H^ate;Rn+D3zyJ>a;E|loPMd=;4(`a^w z!*myGBVOxK*I_86ODpLc5sRJlF4jSC*Lf^%y38W95qB!)K4$iM?g1esDI9*S=s=wA zy>-w9qtq8vf?VFmdp?4eOtNpRuWyD|FN-~m*#pcj9v?|M@Oz(C2i8Ypri-=$Qw=&g@P-TdS?JOpLeyt)+VC$nMmjz8MvI}5xyJP&727E-k8az2Zl0p# zm?1;Q^Tv8Dub3S(+)U4+99x9tm_fxjy*Htw;h2GP!IkZ#1nXU(gXmEI%bHXe4eCZhL5Dn~0mW;tx4INs2^0^p?ToGk zI*%MPsMuPd(lLWVHvpB685G*?VaE&#-Rxn<41|(n1`j)CQ21AXE5{59?**gTi+LmyQ_}x(ldu%%IRdpvp0W!uJu5df&s+`w2(I z?`8aD!cp`47(YNbUMBf2;{$|C#|%o|Vc^O!gTjw!X%FKlj~p|2j&{uOwu-`TO1^<^ z`Cr(_(sy`0!yPjyv3Gkt$8gM`;&$5OEXN8@v&da4)|$SSV+NJDZ&6U?*tYud^`(xPnFvfz@b1A;lEgua;`?TW_m9JcQ z7;{O3syuM;ZETmQ>63vJRJwAa@DmN$4{@{bDXVk zQd0?!>Hi={;&%TBVNgfLyj4HM|G_NKl}@nxKUk)y4l#nd zjCg!BK->zaSc&_@2x_--6F;{9gRet`e+T(LxEIsaW4___V~NreFX{ilR?2+xe?Ym* z(vdR&0sjYz02KuS+V(*vKr4ZOmfFPxs38!bXC7h#^bQEp|ACSLMFWELf1n6ZFd#_( z2a3=u3DW<8BJ7X^>Hk0xc1nWsf1oIRih{ECnI%ya6!uZGD2js8KE{;8ih|JGma!GBqPj3cI#*zHG zwtNLnzgE&vMD^Z#HD2Q(pIz*)AW!ef*bZBYXBE6@3H|DfnGj6#qr9mDjtH*Hpp0qZ zH~baw>!nydC(Wbo51IFs^cy$=D==lK$HR*6GU+#RT&69~`URd2EPOZ~Yc(@1UD?O4 zXyJA};&0~o@x0c=H7R@YTQZa$3s})ZQs2taQ#`{jHtE}0FfKN+e}G}_q~DgY3(e&8 zP{TVtdjMx?^xnw}fLzl+M9NQjrPAdz9s%d4zf$Qk3=%imvRHUhcH)K96R>?`iv@wo zVnGmDEMy3a1%+g>kRdD<6q3b)LS?ZaNLef-&9Yb!#uf|7A}tmKSr!XIEQzu}}n9EF^&}7K%a^3xbrzf}jw#*YdSILZrol0Gq{vP}5>T z@DPgy5!hm(KxMHY*tA#>Y*{P_Nm?ujvso+%Ws3!Ymc>FLro|!|Ww%(EJW1N)vMYz$ ze2Gh1EF{1d3xbbfu^_VD=BwD)VnK*yv5=gm#exvK#e#5~#lnVK77L=;Ef(qFaC;bj zxWbLNt0&xZ=(<=o#ss{5m7pnCRKS)w=0ZPim$7e{qSgkrmDI+nRgM?~AL{stJgJnl zaf+yok+0rXG%CeSyHZ@@8?PeuF)PT$pSf~?L|(^e6BL7EjCJMFS{Yk!N=>A#BUW5k z_}WUTP1=JAH5S+9l-asE7z#&dJ!P5|aLG`k&9rF|&7M#Q3{MFdjEgdGLyVNUb}ns) zx8vQ4@j;kd)-^YE;FU62TA`gs3)%M(H;xp&c0N7fs*vgUlBSOKx)|O8jPfQE*AH%)hAu|F6VD>Fo?<@%YO4a-ioy}RekXt0P%Dtd4{)(_ zCKiGjR(050o=byp!VhzTIgzZbyvQ9#<^&O~Sn=*e(|@Ng;p z{+jgLqb>X%TDAypbWkDIw|4T2b|`+b5&Q6J9Bwyq?xtPkDkLgJNye*J^C(3F`4!Uo zh}t#Uto375>pZ-@VKvKc5_c~ssvY)F*{{sTm(xR!*b%f=2t$T^L{1WuA}yWab|rgO z1?XN!AJ=mPjnb=zv2IqZvoDgYg_jpXvJiobsm*sCK_h6|WE+CF30RtV5arTE7|-(0 zWXzW@5q!?RMolNaW=LxX(~9-)W47YcBh7g#TF&Vy!MCBg3J}mqi~BpW)AwxY42){2H`_7#nhd~At4{oF8Ay-WVUihkZ(P&L>Bxmx9x=zNO84#;ZA7T9iZcyb9M+@7uYPSM^% z{0)Fo=?hev9`sg(TAxJgnmWj@oBEv>y+Jdz#ifBlu)|_D1~1k^k)@;TIL9GrXM>b3 z%dU-}vR_MhT>)aLX!|5Km$5^@MnpTBad_^N1-~}n!@DC|1{FiUwov%3qQDC$Zq8f3 zIiojfU0jB*a)@hFjZ~CIp-rSJju?y5WN69a|5(%v&eee1gpr0J@{*G#xYR<_Q43JnQz{NQ~AA0MUTS{k!wh>m|n#e0$JNcGt~LyX$6M z9!K!805z9hU3zvfTqBGdvvgoeoa-(U2UjJg%jBURl)d5dU^yHWhL;yrmln%?XjQrN z=_&GE=ZqK)9hO3S7SlfFI(Ni;5}qScipYDWbYdbmHLmkG#$$^yfAq_B{s?-54@y6U zHluB=au4eYkC-NfWv7t!KO$VU%oa$oQr0mkH>HMtyvwzY@jPK1x9G0=5obeiDz)-T zR3VL;2ftnFbX}7{ug#7aZuWEaW>EP?*v)>fYbDKci8jofmFMcoxC^Q?MVkFwS7)3I z!PG9&?B}{JgEGcLFJ+k7&vm^N3$tI!P_v)w29EHjSf)GE?B}|X<1%e=HoKkcCXUZe z#@h{duA4c2e2O|^9%}Y;-IDQ5**yU(dPwS9IeJRUaI>FlI}6UmJEF%lkGgKl*o|g# zd#K@kmR-)BaxShe__3tmRV;zZE=LgAgDNZI8i&9ci8 z#&$W$BJFYnS#~)>EV~>bmR*hzvdc*hvdbv|b~y#WE+<)Fms14THSU%Dctj^va!@Gy-&$L zy@Za5q+ev@SZ0cs1hAEx;y1E?X>HY~XRr~8D%^C?^d2T@w)UNSNPb&$1AIWK-)q$F zF*E6i)T=8}@cgt}steCZxa-&;wEIXALb;1flA?XL9zwFhVfnL!Vf+$d8-9UO`=_S8 z1NvyRa}*domPU6(VI%wJy9Cnj5o-S&fT9*BG_(zAVxi^081{9T8M0w3#Bag?r{vkP zzZhOe9Jztzdfn}zp@cVm;Xn&NC8G?ZcyU5AmrQR?E|iH1 z;g#k?$QzGennXN--vnvGM2tC<`r22(rC$r5jUItj-y~WyWwq2rFT`q5nmJ|L%AWz% zexzw-;Nf=t(OW20V4cwJr)PHno%0jwoB-TsJ@5r8@Z|eZ+QVFovvUeTf-fNC{W}Pm z@(d!Mf;XipF9VncuRBxd)$Pjx(eo52vVA7#F zyh3%L>b)wfhk;7tRA~EUXW)l9+apc$@UueZOqEjiLWHn* zJ&|m>%IC;q8s&f&p1T$6NiDGBq6~W^eePtul}@AhUY0~FH?3bA2_e%0SaRg$qbFB` zE3XK^x+wt80PuYR8Ufss1E3SYGXQdP0OX!PUtWC8(sEUv3pvc|0`(m*PHQL831CZd zWd+1k>MfIR2V%8Xv}ygsor8(@{G9;Y6kEZ7w8cmuu``d)%Gx7&Q z3KTLCdu3{VRQvmJ)C_M>cdL@QJ)|Ed;?-re3Ypu%@&naiwaoJ0%tJh!fe|iF#i77w z+Gn=ozC(TN^W4p}Pg8X}>qHg)1qTo2Hq*SisCQ}iqtX6-Y{1w6nLiWbh`fiQ8FDw` z_~I-KNJH*M9MLz3Zn^@=Muc@A$xyoy$HuEbb$Kc%k>wqP%*tp0`^H1JZ#)dTVkB2D z&VuJCi{wVcIhUGA<*7JseoD%w-+D2A@-anP-a{xK+l?f64^eGwZdHc;*o&J=S%e|( zAvU+QF1P=5fRXF}sRL_rGWd{BYh$XPE+5dc9Pan%rvr>>Owow~d^+P!!pSGUk;fF5 z|xRK-Z)X^}A z88`XqeK8zjCig}59}F;V=6Fw16#rm=aSKP8`?O&Wt&LkbCx7Z}%4s{J$NZ51<2HX5 zs$f8`$aa&2T6|=m_^iB+ z_6UC1aA!OPHI4?AbHtNj&XMxE3)-UC`CS-^nmecl=wxL4*82NR_YU&Az!6F znjxDR=BB`ng@$EGQ&iqq-hwAf zMkOZOr@G!Zre)BCG?m`bGZ74_Sk$>ZpTY($p7AHl*0f`L<~NpQ+yD`%uj!fJIF*Ym z0(Sc-+&n{E}mMVqsLsvK^fi zwxWz5AYr=GxF%x>RH&db#YZG?&np(3q*D1SZoXY^s`P_TB#@PTA7T-*NV32Cb${I zC7lg;;Yk>0a}1ubbR1}$!+09TXENJ!nd&zmb4O=voF{8wj;CWVoS%6P3g+@q@iMHR zZJ-}_aP(gZ+DQI!2S-1xnNfBuN39=saP;3I6VtC#8KLrulGSBF;rJz`8>NMzFq>dP zh$J5@TDDe*M%296!(^U{iLPZ|3_Q#3gM93JSQU-VrO0uA!idjm$L!-Nsg2J7MV#>&VS-^bTDO4r5w+d0&-Ws(_FVOkg- zHpG3Q~bo{wpZ|R>Y|MS5p4h5-Rf#QT_*kVw}Vw zBA48=p(uP_yfPBwcEC91r3Y@2$s+Y~QTB0|o+rfLWs$(q3$Z@~K^3QgiZfNVO@!LU zHRCwB$m@GTYu9K*_OoD-R|rgq8Aw%v%WX<#lw_*G)In7|ssV$W>CV~ZvaF_v4i?o3 zQGF1V6Ilgo*5!90`9YAB5jGyVtE^lB9Bq>9X*sWRujrfbn;CL~i`bJIHdB|N+f z+Cn!d`!pI}Vn!jp;FFreGmw5V3>V~$zK=TW!C7YBk5S&Lb9Pe`PE~T@>mOaRX9=bo z+=g>m9;dRb0?JGsSpP(0Rcnfb_5|tWFCxk|Bw>YC{`bvSH-&ak`vxTTaQH0QDIqGq5XxbI(`lnM`-U*wN3*9Taw$rd>u&} zJ6PcCh#;q~x~N9CekSV;c`$5jFUbEq?KkEx*Vms|NQD*&j1XNzHrN7_`QEDy=b$6? zC8(M%zWiA$u)e;Y-s1f{%iqrBo_Ufg|3O*)&;A4F$rEsWr`Cst_!qxDYZ;S1%~o{W z`)v4qUyMoITeMCD9Vz4Rv~c5ihED_uR40N2(TSi8aUw_|oe0VhCxR5xi6DjQM35kL zA}DFri6CKoA}Cqpi6BANi69}?i69}?i69|#A}BfNL{I@Z5mW$91SJbj1Qh`%f|5Wd zf{H>Xf&{4(L4vFkK|z2oix$1Qn=G1PL}z1PQiI1PMu=2oh#H z5hRpP1PQcG1QlYQ2qvTKCxRwVlJ>al%Aq&)iA$acN`OxU2|mV&Ad#sDRgnfKDK?AR+b>LBee(f;QAT5hR-ZM9@PnR>IPARcaS0POJ@ZBDKD`ZCzb+nEb=y z`H-oZ^Y$*Dzn##Ttr)>6IKLT9033H0sws{87c{nq3L+=>5ERgMvH(9TfJY(dF|en$ zq72}eG9HEK$Oi%HIfsyYfT$~Ow7}LDXl7DVhd{%nQ;u)rGeY?4NROwz2}IL;ZG@yN zB*k)*5P_qZx&MGzucND5mHPO}L=_$wx>x~C^_kTF`i?N1chlqc^HiYu+-@JuROOzE zE9j;H|KG=AZ_|X%w6yi6OG1dCT9K0-cGKaz3D9Yk^AV0}9;$R5~9}XuHAA2Nb&5VCMsblJfzBoewDd zE5McW0fqMhSI!3%ehbA*=K~7gLGjZ0fWq$vuAC1jd?#?}d_bYQfJ)~B3he``oDV2` zAK|F?J+fwwoPNSl@p~D6nQ+wnKE@9aE}ajk^aF%T=K~5q3|u)MQ1}teD-WCDe84!` z`M|mq*&=YNDNT7c=L0JB4zDrX`GAVJ+iM)d`GAVsX^*p<2RzLc*rj5v>1#P3P>K77 zMO*2g<@9hqkizGCbekcC&-Y${x=u0A_tFvZX)Cc5>$&gDzlRdqPwkQfSp1x~N>mCa zbh||DP6krg$-rMBCzW7@pQP<3f)uPMGc`(^=Ft~xXX8yz15N~>UvN8giKZrma9vFE zZ>csYr=gR0muXiF;XQRI?`alqdbq5pYGsg?H^G(o(M^xONc%aGj+l)vU#HLuWv;$6 zI_nx#wnbVhqEO}`>Gb6?N2kr@f#FuA6?&U%Jd%)-HC-N%2-p!tYI(ujMMXmYqU+b7 zc#iGz;Cc@P@fbT({?=WL6^bzE(qm_bqINP>H*78s_ys(JE#nQCGn-tBXc*FoK}CDL z^h2|mle?H~xjazK>`~;Tzk-XHKh2Vj`S&Fzjxfm9opgDiWcVdDDdJ-0&a^~e%3i|6 z(Wb_|)TL5qD}v?nKvBmVN4q?r7Q-XOz>D;iq=nZQ@~(y0*L=>+Cf_EF^W%Gzg^L{U)KN6n%r z3QGGJQw}Q%io2UBT@EUYa(Td|;m#l(EE``Fqp%tM!T)OSUEu4gs{HYD?@80srVY1G zQYdf>1tOO8QbH-vmZV8bQ__+)X-UiDCQWW0Hjis^(+5@>X;D#Vc(T5GSh z_S*aGbIv~blzC4IEO|iQz;R&71Hu&k2tV3=J;Gs@Q&4dgT6uk)6aB9G6l6h706{N^ z=H*@j;kV?->?II%@L|{#S^`01^TvgjK+ssU_L`h)AYlCCdbdGH>~X}`SvXWxE_4c( z*H_4*_ks*JnmH0Igzu@1~?NJTX@2!4-uwlvXV#tW&Bh~mv0lEn||wf43& zws+8nts|I#;|mG7pt$Qo$N4a3<7cVclohN`EAi*po;L!Th_bJ>Rfbh&B@SJ-S2iH9TdawC-SzgX3ES?q*IOA z@qA#6YD{9ep`Ai{7F|)B^v4<;8j-Upws3KGi?$un@L*Xe&Y|Xp7jyaYFT{nPNXOM^ z@kCtv;e8>#v^|xga`p$IMPwf75{b-yfOhBWgVO?MFagPhP79DgCB{03;8VO#iV4i) zx~jw%NjjTO>?NghzP6I=FP#R>{O_+!;?bA^YhfeHrn%jg7v^Q+B=a3wsOgw$4{w6 zcO^y{@8+5Dt-IiJ#83@M^S=6Pg83n|yjO|MxMX!zE#?K+)UDfyr9;0Mc@4v~Sj?nq%FVlzz8R6lg_Yn|!fx>xTiV!MrtslO`AD3KLSB*HTs;k1+>yvT^yK?!m z^;bBFyt`)3!(4m5deJ5C(=*G76$Dpc%}7iZ(PyXX@HHviEosIZhIxO@1W^#+!_3IA zasw~#?wQYn5&D6Ayhqc7Z`xL4k;>j`dNC{SGc$j$_{Q>8e$n@z^7hSKt+4TYl@;)u zdv_O~8st-RVUk9CwKs2w5yiPRQ{&{kdsw@9`L$_$OIW`kbuS}JVDns-aEkK|DAn`T zC7AlEY~Gh=(j5pg1l59-`pV3GN?$J@>8r1YKHAG?{+7>7%D-wG9xH0CYokw1J9!V! z`~ugT*wm&v8`Eug;MmFgDxWBVruax{Hy-kL^1e3nW7;0@GC9So$)ztQhskq!_sw)L zxi;QAGYZOFgihYqm2dO1eeaC>c0P(?fl~FlDiT3*OKJyRV~fnL1zx`H!FW8Of5sg^ zPXvt?Az<-iJ=IqlvgVQyvCVl1(Qk&3{B!Rf;}f>hn1H z)J3>^p5QZA@qX%0a3*gdahQ%iIJs?Msi{7m-S@R{BJa{KMRLL4@cvSi{VYVxPi!hkL!}`R_iM*SrZvzT-r)t|`d+?e9)_?`In&PDogHlR*jV0b% zQidaZFBm*%%{wi*j3f_T0!g^rv{j2*Muz$Y;SiS^RY9mLE=6&YtKUn;ci+%r`BPXs zFuK9*JeDC@`}-*IbCT7DXQ=eDEKXzxMe)YVfR&YGZy+Upq%nBCcq>iizK{HK-C~S7 z$U_I1aRPxHx%um0S=0b<7C8t{6DTKgPcen}kxxu>vQSJ;uQ&LyO3#STIty$tBKU9_ zamzZ*kJX=Y9{NUn>XT_ElW9*CTkAJQBj=EnCb(@(gZG{hzs@prUB@*X2)^}tKD~>2 zLg$*wTB8vKd0NSZAn`!i;cMOIg>x#DU}%;18cx>{$+B;G4s ziF~gD8`d!;9CbIVKM>ev}Q!kokSu|8V7Q8OXsNF zlt`p%8lk7o!SQ@>pEfO0;Pak-a^PTwQ4X1!q#{>>z}LQL8nj;wVY5h>=6i}?!2%L< zD)WGjr1I=MslGaUS}_>Ro{ZXb$73CK*Llb52R*@=4mRBr&cEoH@?7 zB6=R&{PyiWw*>OYaEAEo5+}?7&w^Z}>UORn)_jW#kY3+qu;k^kiBzrgjKnCa_3jca zv*6rGiUyG|kBb3SHBBR_;Q6OTE||71vOd4QU?YrQQUK6#=9fAb;ADb!Q5<6?U#X2M z)8T@^A*5YMJcuRnAf}}O1p4rc#xa|P1qjipsKdoUsZ?SSkvPsJ!GeUp)HiRI-uTkG zIN|b1C1p`#PoTR;>LxuNWNr|@j;JyrcH7Kgv1HiD!dcf zNZ(|4%l(K%s6GCk08!5TltptYMyLNDdG52>HPtVZ6~wN0q0u`2-1@ ziIk~E6U+w8>a3-a=j-Z>4>xiz+{p2av$6+xP@A8qJMV&U=RHX}@5w=z6-uvslJv^4 zV6QwS*eg#pQqg(qUYWXWzgM1N+%Pk2&y&TTMSew8|1pbMawmPtaPe?zI04NLhX=KH z>T9{vqSnsgLD6zX;4G{YH)nP5%n2giNvH(v7Y=z0tHhq;#h&l11qNDF*m5Qk!XkXwFi>y1HZt*P{_*o-wNQsH&Bz9u-60fXQU2>xVLix~i_+qzc5X zlf0u>IjyS_8LK!-4 z0-A~i5;C1VGY1znNH{A{40LmK<~!Tei%OppI0Wx=b<`o)q`tZn$QcyD6r`0OCIO8U zJ(P*Y>0wZQI5Uiw%-{tRSZGXS!hd489@!(JT&hl%_$;JrqtJ+mM$tK|9H@z;$2>pK z)CI;klj~@(LH$r5s8v$MurnOn7@+XbBv@0KMNr8Jt-%Z=&=!Jo6-cjS#gmL=v;(Q! z6lr`@g9Ddo!GVhmQdC2cxdmii%q;|C%NYCQfUyxnMS(+9Ie2-3v#01$->EwM=u#w< zZmf%uoOU-7^ic9RM&RTpbap|@jxyIHo|U*$4lub(nMpc8?w|@}KsreeASUZd7nP< zGE#~;AwDqr%IXcYMp~E|Y+f%rc6?J-H-ALp=&^oXH6JUpqOG@VZe`<17 zO|xP`bv{k1jyyZtoZ8&p*fl@XnV#R*+cQ7MG;MoVQ%7HO%JD@22ul%)6C`PVy0>`? zZc{Wl4)oV6ilds_+Pk_kC2cT*qi=U;80h9yi-u{*sZ4LPKIqLfL7!!z!uZ}b*_ z(|sY58FGJhqgUciIpX$a+{p*s-o@_Zmb|F@(!>_@7Z3Ce0RHlXRe+Cf-9P`DrI+G( z|AYY?Keu(&U*X_yCS-8%{jCSDb_+^gd1>gEz}=w=yt;MYqlY$nOWn^!>b)yBx-Z>0 zu<7sIL%TBW6Hdue+_;bCm*l$zHvx*ceXDMEC%%4V)rU6p^=;UyPPXK?yFYeXytAtM zUU>Sq-g&>=>wbDyCi%nE5--uycH5&5xm&zd?uyqNuluAsai4dlH{acS%$@oC{~dI{ zrMJeeQ(F#!U;{2`$0H=s3jfa@aBITu#Aze39%Var=-zD^9ULc1GK9 zzoH4RMB}~-F?vI3^ws0n=mbSPqOhYEFJfqQ-cfW1S_3X*qDNI1q`{xU&Yl zd7Ipi?b`32*0OhKlUrEtZa>oZ*zWW0aC;BJSMJG?s0-`=0wto(ckp|ho;X4EHZ6Vh zZce{HD_JObC7 zt3p(T0ja{YNMz}=k)_cH6d!xYZ_jMc1lkTg_!z}2t$!(N{6)GGJhX#HG1}<{G%6nK zAi@!3XMg$nw>u|NUw&xiro`Z*y&E?@wQ19ar5msA!`~*iZ@?`$_{xtPILeED0Wg5|m8qJu@Lt_m2$cv7PKx%!bsn`rIXpuHG zw4h;%Nm3YSsI+uy83B&_&-kd0JOB0T9<5r^>6R^a4?Fc2^tmUMxL@A2&-;P<4^BOx zgVD2Q52fRt9u7`Uw!RQce>j$OD26=V=|jEMSGF#_va0!VciSrN^WE5yO>WnIcS`+l z?{7Vi_>A^pnE@#Chi9Z@0i>2`cI#B*LjQGY2LButUoJ}1->(bFmxyu zqt2^<{>MZle~tweqsK$60V&5)S-cFDMl78asebI5{^r$fS8rUhW!ly$e|3+0YP~lz zdg%=JdavF+$&2@4nECtP_qhcFeIM;p`KcZSvDhPikJD<7`K|z2AN`c9kMB;4OjjLk znaUC8;Pi3wK0%|3|AHJ5e1u6SiLF?%s->5Gqxy3V4|_Qh%qn(Xm{Uf^bkL+C?&U3R zdA)nN7vJQ);_Y#dIo_(nXpNt8XO*~rz00fjezw=U3G$4)XIH&9_kC`kH`PnL;oaf>ljH4kCmwvvo#EZ&PC8ia&UxM4 zyszAyP~vX8>0-BVF;YsUd&-e7?si-1-T3oM+*ro_#;#-Ttmm)X>(0z9aVKQlt=`ln zmtV5_Hn+u_x^%A_TfD@blyNtBi?3{TPt7d3%{_?Ap`N}f_d}6`QM$IhOSS61*|=cgFl5*O&k9nX6lWf7PSsuipCT`|2yOC(_;{ z?&QU8Z@oL^VB045hE*%wg8KR1^{XHKnOD>aG0Xn_qfc+#wEKG$3)@N-{%-3JS3h_y zlJXMJ(gk3CCV$(N9dFNnQ6=>)#|XE;oA&e%v8nUVt6#TwpF4Hc-pl&-s@%N^kvg^u zxWIBFoIPYI5L_-!U!aD#iO~(H*>BB5ik`+w$2tgJxaHmIB|7`x}SHJhV-?wff zC+xsa^bq}QxUMhdZXI|-xdzwrCp|yyjeBCzDD0)5(0uSOXFDgfq5x_eNPEMkMrtnd zDv`S&a^HX6w#kj}bDNKR>H6Kf+cqRNyOZnP%)TXM6_K^SJ>ocXi8?5N5kEJaU!=o;|=w-ZTzIWeHGHi4?p03byv&Ht><0i##XsK z`+s`bk_+ZnKeMf;cXgYa+3&_~x_0vd_mov``?0^@y2&l@rnDY;9wsK| zT&XzHJ7dwZadc`@iXg@y->lQ^?Td$lyfBqc& z&u^W-1ix3dp2#`H5CrQXJdS%B^FN#ngCsx>yktBvO;8STs#G~>zGv{e?C zMJozDXP!QE8u!lDnl z<%RK^^U4d0_lzqqOzh6TqVO;#7^4#l6Tm%=lTU!^$@0S3Q_BjyXIJ9>h1x{V1JldY z@fjKoJrkRtreBYv!<-+^E_1=B2z8Q~vH6DYI@D`b!5ZQYdoZ2D(=F{x2SxW#y>`2> zSj)u1A|Ox;?}=pv-E{PLVXXWKG85C29waX<(>4M8aDJm#lVqlf?oiM+Tzv@t3g~58 zC{IyqdcqH}+#o)e+)|WFV1zC+8ed>OsNU`<1;@uHqGpBOAe^R}@5#_+T|?sl0st)#dWT#$9D$ z&DFyMT@{?w!TjVyuE>dM3;Mez+8A*76$RTuM;im~UtSPh6F5YpDGwbEZx@RDN6?1k zGLGiMX{#)3xIyem=lkPj3O`BxIu6-`@bbyIml!{SJ<`z)!x&^$!LWWKf7TN_pgilt*r+JhCUhyl~O(@s))G z5j5LHz@QNpBbCIFN{Wz5V$UL-d}mcb^gAP*#`1m?hoql;aw3oO7xepJv|@68&F=g? z<8IEo)m=65@$x6ipIr8AIkN0iq4u4tJ&`^7$H7kLlmWs+D(YAJo$#_~XAR@Ye}tFS zG-{deb4OT2MnVH_A#IED&)Pp&Me0^)HbpC`~zp42U~6lIB@EQPs9 zar4_(qM4i5ih>ooUGsU0KPo3HT+#|ww4pt3Ak220kXgUK40wr*{)1$%o5@~# zC|-7tL(`9TO)M-121YA_(PD@a@2M3qTrmt6hvAA~xLEm9+IZkK5C6npmTr97@K^P$ zVf&$}sBO6TC;TmVr^>&eVLrprlieNxy$tx(bECk)ZfR1E{7UC#F|r06(-yLu>WR2t zqU45+AB zY}vDcev;yfzE`8~kSVEG*JaJ?N4sszOq@kukE zT_f{Bmtut#vi((r&I&A@GRS|#U*1=|+wfO$g>0s}*_8#+72Me=50ZVToV*6MpEp!i$FIi}5~)w zR|Vvo2ebx;S&3gW<)t~)Hv-I(#QGf8@$o+q(5bGzs45+JbOY+PboW4zCREGn<@g9j z5)0*K+VOTU)auFfrIV=k7XR@8Hcw+Zom3y&O(ykizs>m4WtYPX?S;Nx5mo{puwpI5 z5csSWKIEJBZxpe5*`Lu6an(3Fxi=Yp4vLs8Y+*Dax~ztm;EZPKAuMpo~Mb7Q6DB-+e7<_fDq z-D%#oF4frE-Q_48RV`Refpw&FHFWTUE_YO@Rhh9mbDu+B8Ek9pYVJt&IN_yeBv`F> zknM$$-kx5*hTgm$iGt3CEZB<$G}M|CX<11li-1-~qP39FwD57k^qM+eBCG-ri!?Uj zIWN3!-i~+T)5=ej;+(9{zqT9Bw3e-YNt_g^3>?u48fwA1z*L|fF^<_UbYM&WU`ZHFB>sAnV*GU)Fb7;5G{(FpvS!6gKy z*?8KBPT+cMrqoVD4-fYLPPcUD#@*LiQzV0Jl$ zG-Wh2KUc|YqJAd2iT6}#fp;5ROyE5RS0wPw1{W8Y*4L&D{E7wsn877{I8W1&HzQFw z;5}K}WjN2^iUl5LaB+cYJrmkcwn$*l;9>&D49*ky3yf3BXg20oH2wz9p+ud;;9-U* z@%44YsS)rDM&Wy810OC^Y$h=KQ+OXZC8&FStrCMqw1z|h5gJtBUs;ievrfQcj2h=` zcP==0P!2^eP-g1`)H~7RA$H@peRtZr9^!M~@M+SXz$<)X)rtl^TsK}xxfml%|CCXRs2E=lvCw23(o zGa6xjrGmnoJe|#(aHfQY#3QtV6m4MrpA1g)1EfZbaE@kd$mebg z&Xty%DD&aj(P>nvln(1trM=?v@x5e*iTa!(3*i zZ>!UGiLY0UfRbS%&IVi923^ejO5G(gCmQVsvx^7M84eoS$cnV`-gVLuD;N&2d)4|n zC`XxH;P3kJzbzr~_YBVJLZ#dhra`p7JtzlKFo&~`GW~M_-U9#H;1UA=#^5X+q}(e^ zqjZo1DVReCF&-CFb`W?bpQMgP;JCqg0+$$ELSVVaLU!fT)^09ikXfyBj4&it*Dpm3 zi41e(jFVvK0^XA{0xvMQn7|7Su1H{-9HLDPsN~=}jU#In34Fc5#RP6JI8WeDFitU) zg8@TWB=BB?iwXQmgYyKwE69*xeuu*?TjQsf&a?jJb@nw7M6q08^V~ta?6gi;nUXcB*wtc0;YUat%$tGpt3nk zXGF12QX`;|zqcW~SS=%@(IEQ>C_V@~03`%(a%AjoCR+_STZ?t)Dw0Uo|d2bY6 zvX@w@WJJ`tU{K%{J}xmRun0zL5isMET`(x{4U7YsfZqv_2`oC(s8HaTA2JsV3M>^N zI)N(#bOIaa*9a&V;8ZbzKjarp3<|v0$6YWeutaLELF@Tk9jwf#Hq=zW3LmxhYYa+e z0F{8x8miwh=&}x=67VD+wN`4h(MQ#6Wl*L?P*CbKV5pe8zZ0LI!WgQaz~W~lNb#@8 zB{G3UFvtWP$yh_I%)Pv)%qH-C1{V|feuFC#__GEV7x;4qS1j-s3@#z?HyMYNbJUT8 zZv_Yie%#=S1%ATd;sQTua76;k)gk3SfsYzGPvHCb;!P>zJB0z!_%Xj=V(*sSfh#veAv)=0?*^lPbtIa{he594`X0Vddi^26(xT;>-hv6f&pB+^GPsz)Lk8yw+{unp3d%tjBS}GlyA3WOaN6LC1%9u=#RaCNW@rPy zB7yr1E+(*Cp@Gg5_(i5u3TigyS6u!%Mj=oH6ul8B0`6c|pt^xU;XXn*J>v6TE1=XB z!)^f$@3l5>$#=fuqW4F9-t_{C^U-|?C}JZ{y@28YPzh)>sJAt!^F=f8ChyPkZBip( zgOA!EjQ+-;9%4`~Q83&Q&=_+=wzwfii2l?Q{|n=O$@_?Nt;i7}xjO8ZS%H7yJq3%v z#|$neu#8jm;|Wk~j~e#wmj{)$mlQf`LLWj#?+z+zwZ_c|9bOkcvF4P*jd6(GBo;XXqq z;En*y%1rZN-iu(@xPFN>?`GY};4;J|5SSR-0 zXi#F?-3BFg6r&*pNu7VfIbI5@> zDo0Mt;n!+$h8Puotrl&hj)e17W1gzyK^+z~IRSB~;n_ z<16(J7$;c5#ly>%Q!@JsjkDFNV1$swoSx~oN4>;cFL8E{bLLq^gEH{A(U)UIi^!3i zhq;nxKNtT1?&D z49*jH7UPrv5l!Dope;vp711wh3UxKjpudZA@i_ro2z<7| z#RQgnJFsIyV3`*t+X`G`%KGfqcnSPhrd&+m2&X`@vkw~_y`--; z8PX8HZ2d*%AoGW<1eD@A4D%>czu=d$0``e45<6gj%M^d~nON2pIX`BST=`0_A*Y*~ zdjc;sxP-tL8=Pg0GCo%xpb>bP!Nmk#VQ@tPH!@D8AUW6^AQZUC;9>$d8=NQbhl32| z;3I~xNZ^keTuk6w4Q|-lu45YbUO>?Y$x74^jydwzR%T#sELh<%z$7vhP_fOpPx2nP zn85NN8*oJe-)`vQ0^ezH#RBK^SO^|C>d3)(MuK6nz@EXy1)gAVMFLMWxR}5(gYyKQ z#W*Ec4o)$I#RAKOHI#`9Ec2RFV}VaIWn%)LZg7@I#me`wRp3zprOs&01W55|iL ze379`2)xkXVgi>LoG0)v3@(vfn^k=75btwb>B&=)%YBk{0t)9GMi)Um+Ef9IYu4KvD=w%V&)PaW{}vTs_+#nTj~K_(DznUC5i93J*jH3Ay> za<#103;c2+)yNI331CwhR62o%UnH@9hEN~0UL)aemZ`{bEp+qRKBqw4h za61!`x#jO=k3<4@!T%BJn20qXG$9zUL0*b{D zjy9v3Y(}p!;@@~r5i0QS3@#?Hq$AKJ1eQ4{;6|K}k|_)-E3iyBP+5Ux8UZ*@;9EH_ zQQ6_@aI&BL)(I#q(V}INL^$PWuK9^~PV;}(u z>QbNMIsupZs2Tx{D(gg*4xegWwkkPB|#~h|7PQ_dn*n+y(wOKK7e&7K1;x{1EeNXF2lt z!i#*ICC(9g$^HFIccSRe;|98j_iK4Cci804T=rc#x#OL!?}_HOm-YE9@3ZedX3HN< z??0DbCU6dL{5{NjnZ3#8FI|M>aLGZ^75usM+2#MMk4swGJ5msG5&r-5w&S!${Es7r zM9Wo3#ECoZFtPm+l!)P9(KuL{B~2^{laGJbY3ylLvoc-~(}V*x!=h>E=nnkH@$Z-F zNoDlPafap|oNvItmIc6}d5YQiH#A?z5w$Nv^E5EDX&PFDh*%f-7&`Y4_@_5|sgw>< z8oqu*VW?zD2Ua|3-sU{*W2h93*XbQxT?#a6U6p_GX>=*a`GvvY8&s&4+Mpz?`Wu{+ z#~d}jq!~*fX;A5s%km|gd*yOU*DF%YCCsj5yJ0jAXgAV^--(6*P)XX*KoQWa#P8HF zO^>0WhT=xf2%VRMKLH>w)4v;`U#ekvqmgwSsF7&oer5sa+{lY_;fF929G%XrCn=h0 zZogr&c}zpG=tim`k|H3yUCcCO6*rQ=FQ=#g*$SqaqG-zaC_n(PjgyIL2P%sLL5Y#-*di7+FQN9lJ_O zBKd+mpnYKziOy?4t#$5f+>%OeZENmGrhB?ONpotI#7yfBa+ZkxHYu_rCU?jGejw^X(eFYnv_lGl)s5JXzov2Bk(0MrjoiJ zouK3B#CedkvNvjR)XsE^`xXeOhT)C8Oz%NxFOxOxjamW4zHS9!UlFy`o%$7BcUb_- zj@}bh_cF-R(K~$I?><}V{v@b#*ZuwPpzhN^Gg{s6_UrC{rwtghZHEnY-Q$QugAYO< zB0N%-?st;O?aj$Xtgs8D%Bxs#3YZ&oU#T%v`co*C_Dk6@b{}ZM8PaZF#4W6p^h7mW z+Kt2jg*RzC)bo*b-3ax*;Z52O%?#zPggym#SBeUWREZ%0{Ar z>4hyxa3jU|4aW!b*+lg+vZR#WGfnxTk!T=m?xszfDnX-Vh3!Y2N{FVsyu55A8ddko za^K6U?o`@rTA#{I4L}X2Gf`7}Z#+k0{wX+p0ii=1wf6`8n7`Xr8r0OoQol+bcO< zwY^afn*e&G9+vXPwJ5FI&YPgN#Zm7`eWva`m)e4Wq281FOx=490h1NaJnsD(&T#MP ze+UpLf4dhv`njd#YJ<{tG!50AYKKCBP1+9GhQ<_bBphEvDs86$Flm~ThWnjcabCBb z9DH~bw;eQe){W%ocU1gTRZygx*a+*4Qz|yjJzrwuKClb7L8779sDd)OFW5;aYa_om z06UuB>mWh<{a2v2^&y`=f`9E(iX^h8*`!bT9#A~GP^*BNFCuDMx`rEIpGIvlis&%# zLqg+^Ky)dx>U1NPA@On?$J)yliSOk!PL1Z}0nlhKlaIoiWXL^0YcCf83P(7Vpw|7& z1>(W|!+P*Z--Fwzn6;4yNuSX?SPnkggHM9mHZ6JZ75r-tt^^HfZ#MDZkAc!2418ab z$)v>{P~IV5nDPQ0k1zUBXgFUGKeFN0g<`|Qz>rtM8?}PPU^3c>C?7Q1K2>1`IFh>m z5wNa%;JcCm>Z6dQ>yEK;j=E#nN_>kFEe=CCz8dAL0AsNyz>j=jw&SrFMS`}NDKC)p z?{@-0cFH*h;2cHcpV6XsA#P6Uv1lvUoh)MfJz2iPxBL+w9|Wjx>I0J)kZxp7IB75; zMfhRBqeb{tz6X;ifxoP+0jOE1l4< zz6~j}g*Ry_=Yvk$C;YKZp{BWDZJ*pNh3NhOnmCj(vptjHnFnx2MYv)$8<@i(%sIf6 z;os1ZKNE0hxWyUo(0uDDiktjMi%S53!nyMpduIsem8{4Rk%l&Daip_uadSiG=|K96 zhXGTpxe=lX_vUitTLTF+d~+jkQ;v-y;hu7dgr_k zM)<&)JHDE_;l^;Z4b6$n^wzvkMHlpIXRj#(=5Q17ISy#788atB3%_dP-fioO+ zw7j_fkUWz~t1LsMK$hF5Jm2z8{9Xn}Xulgh1kxk?icMrcYS((6P0s2))0ETE$TU@( zNS{$?%Bd|5M?*3wHr|8FHl4BQp>CoMZxkCzgr4pz<#p#U4P}Y%!RhSN@JSqrFE)ct z`+`4innig9{Hc90dOKS=+-@3=@|Tx)=k-TwAS4KWpwKpMywyq)Q(B zhVManQX;64z8;)BOIr7Z5J}pHH)`E+{EybUi=d2-goU8SU)H9s3m`$a+##IJ-Ewb0 zQ5`MjYqP>C*_*>!$Zpw7-I%=QHzzH&Huff91LgAdYmu@in1QB8Grz5X(Dg+Hidn zzIDpJP&E6AW*SF=!aN0x?=L?Rv~-FDx_>%PQOeKQBEfEL(k~A|L;OM3iz33`%NXEQ zV05&U`sW0YXrcQqI$Gx9RCo+Pv=l8LyH29zIrx(94Td+0mjCdhr2@9GH_C}+NLEOj z(E0s#M*uuUR6qVliYU70Qigvx5qu5K*wxo>VWAFVVZ$C5W;+bN+Ca+QuhHf5W+kLOd9_xNBJ?Y>qWkxd*ovu z)B2o8==I{TvySI_Wuf4Y#52X2j_2(-eR`0@k+#zh0w@ceVcr}Y#eCy?B<8J`pG67&B`yxyiK1TcMw4|`mYFj0>~^}UYu7lwp{$J};br`f6baD~%woo&PiVPh~K0j3OR6lXsUwayfWe-Ev*dJw)^_e-gJnxZLKVUsxYrAM|? zsD(Beq0#7D$6-8lo(?1qp92k5Pv092`-12w4o|;H^3Mji&GswB;R?it&Oem(?2Y2^ zjfBMEPhq=oy{H7mVHwb)#o^hQ2hure21?lCC=TE6$Kgs_8DJ<5%iu2^hX;TmE0|5< zuoU{}IK<7;PR#w8j>z{Zt)E9)bpZ6m7Jy?(vyaTf8RnD6rIMOTPG1UB1W zTLNb_DETLi!_i`OG=EWB9s-l$+Op{Lvc!*LN%zMRzAUGtorWNDFW!&Fid5vdg1IOI ze}yom9nc_zSvVDkjN!Y$W0O8)NvEfDLb6K9A0f=b*U(r`g!Ef2>7|x*%C=$YLnlZ& zjUhvvFQO$dLYUI&mh|^n(q9>q-*2&`&$Fb{>vti277kd_UmKJ3J1yz-d~ZlrX{9Co z8B6+`W0L-+C4CZ7MObe%a?j4rjo2|Ngmh_@ANx$EcPBWOHnwDN`N&ll2 zb@4GtKVV7UX-Usm(q~(BFCLThXD#XUPExq;`z`6$ThbF_l1}5pkgSW|vZTLZN&kW+ zebJbtzhp^&&XWGDCH*E#dfAwyzhz0M&l-fRQ98+LaYroaE5{`LIZHaN4;z+#$f|qV zl3p_==|?T;hb-w2Thd!B>GflhKFP{;rIzLCJ&cew7QSXlZy1yGSFF0fYSsOiRrgO@ z(%Z%){Z&i)8d_Sxfp8mh|nG^zCDke#DZ#-;#d6C4GY>y?;#7 zU$&&bWJ!O{lKv4(`YmIUe!C^T(vnVdx)5i^{0k3SecZs9q(5Rwztxhy$C93~>VD^# zq(5v)-(yMNX-O}(qz{cr`W{RATub_FOZrWgV9BM(j6<) z&bBfwEvgxgx*u559~qPMyREv{S#__r>i&{t`NLz9K43{-Y)LP*r0=(+9~qPMm}U9J zmgQ+?J8bzsT9!XLCh3Q*y5DWp{dTMFm6r4u#w6Xd>ORk^JFR3AuKUj{=`W8-`V&^& z@3-oHw^jFQOZqEgl77sRe$0~ok|q6ZS1ZP*c z?$;n%hO4{Gs{J?Ct8}r%YStZ0svg(tqDqPI;b|_OF6|;h&s&B!t6s-7cTsOgo#-qY zmeP!}@{V8@My*`;W_&C&Cv}!|S`juRtMoZb`Y}vzGmXsK%o&UHxt8>umUNoA4(YS- zW$~AP578Tw^kPf;9!vU8OZw}U^w^lBS6b2!S<)Z2q~B^;zGzI+J1pswO#adH*rj=q zY|C%5qAorr>1~$uJWKjpR^3-x(u>C=J#IC-Lg*ILr+Ea?N52Fxl zU$vy4Zqw?2liwJ~fZ72E~me{MF zdW36OqqBeYXY9jur>9YetGit5{WsRDbP{Z*>jmd#ZBmQrGJYQ68a6Gl5usMqwb^~Jz4ZM|H&ddfUsgx6Rns;PwkOq;7Dw>h~=TWGUx5L z1w*5lJ?v#oa|Bj zSEj{r%HmWi&U}6j8W{uVQhx$QuHQB06l7wV`@);89ou?8&Gk!*CFML2IPwx0O-I#c-uV1`09?F42~2y-(q^mHe7EbDG6h4=w0 zV3JLGb&FU$SoZ^J7Qs)2zQwK21fC*cp4j9Q}s0CT9*>P5)l(twBk22G`l9j+1JJa3W=R(qV0#g*S z*oO(@^8w)eH89PgQu~1k>vIU0H$pUYAG-{twe-$ZXH$9y;zvEV6K7xi18C&QG#wki z0%i!M$dnpG&$%`O#0g+J8#@qX0OLWco&lONzZOY)p=foT@;mX+d@clyj8Zh_a$sc4 zrZF@Mrp-vd9vGSP)HFMQ3AbFj3n62EP4lP4~&d1G|fK&b1Y=BUjXwgPU@N} zJz%`JUoXPU049yS(Qqy>^}vuw&3Pd(_lNkb1*SMeLvPH>lY6>U2AJFZQjMGOG6qWM zy906N{2|a7S;-{cdrl@n6^zw8KoiF72j*6vGo5<|7#Xi>>HiE&1J2Nq#=NEYlm%Kr z0Um^G2wCGadNeX*=L>+@;iXn8pvjFu~@6gCo|WvvHhdx&!vFbz0w?A#BGjDK{g zTY;H`Ok(_X7ceF^@P?X7tm$}A`qx1tb7)bgwj-4yf68pL=KOuokUx!2Uj}9X7&;$y zT6$9{%CrsfAm?9!=B-df{SlbMp?Z0k7@ilRnaxwhrWOlB9k z&F7n-sRn3dy#&m);HP!&ZEx*rL>4~~D)n>FJmS|2#Ll09q0Q7QHVNyuglJ9&MrMSf z4wUI?>P$N?#Dn@Q0!?Me&Q}8SYDmM)!0ZfRwgD6N*9U=_9g4BrfXReP(VNP&nSSRn zU>*+9{0JC%-VZ0Ry#h>Li1Y7&xieJLLJYy;pw-rB=}9#<Nx zp4YP00CS5fr9JI9*8roRUlk9w1M`UQW$<)%1M{$N!4op#8A}ZO^+1(6Z^n=Fa-q%4x7>XwP$NPtP0r8EH&U1k2_v=nH7XtH0TsRY^ z5}0R0Ijn4hR)Q9xB6PQW9 zEEILV2+Yn9=22kkaK`ZY0WgO`H2(}tS%~KU0kb$n^A<3)k+tX_nHUilkBc>AWnjwT zT2{-p9;`lu2={4l$~hgS=J~COF!O++&3L)e!mI}-p+stIfFt7Tuw({WSh|d|l1EL36-N(?;IK0Q1#-4aYos)4?(wy4d*P3kXY3yoE^~zy; zS4+3Rjhi?3q_)aYM>}2>;zBJw^jrcT&?taGx+Gwb+2Xx%wN__)*Ve|4_U0Oh>r7=* zJu4f#nmbZG4%Qb)Hl@=^T5=0rcwqm7%xLaamDIlznNZ zt+6-R)RgJ&ajtIfS{*(oi}i0)OOFQ%$?93OiER%%|7&KGBGTLGo zsqg4gmxRrvvpH1NNA)^-QmyJ#=Z<7YQ+L-^ZG&WUstM^hneN6w7FI*&6*|^7=4L4- zuU)<}iS@0zdy;KzY&k>Hk}1J%gAK6|R;py-1*N46om6LEQom41kbccR+1k~YOl@yU zr8Di_T^7Hd#`fNntfvJpuJ29ttj6kE%X_GfS~;x4)CV-bcL#J&irzEV8sbo8y(BJA zyL)!PVcTo5{0e0CI>~gZ{lY~HlRbT1nfA^U$|1_Igl3OJic?ic@k=gok{c+(uuNuE zCjw?!BZd;m742QkHOrY-*5R@pYbc6E!7EZ3rd!e7Q{LQ+g)bnSwKpd#x}irFr{&vI zO->RtHOm9Ct9sXTcTu$(H+Q6}x+?m5dQx2(Md0&Ib@g>7+dGvdI@&k)H1_OB(lb9s za%(D+?CjlYRzh?_M_9Vy;!@N-+1#CM?daazi1`QDhRPcZHIQD1Ow|q(-H>X=SuAhU z2i6o70w+htqgZFUBh`s&fg?M#wYR0Qy`!%u+X%D^-$4t1n4FmWnAl-_+~K>10QHFX@rowy@L? zG&VQ;48jGuX=Ty(AOg3htRl&}>RP&r-Q0+&wcxT<=aW>-dqVEI^=s;?RxeN1)Gl9N zc~x@V^6IN9lGRnUbr@$R$;%WXoVluyXUiF@ukn-6e={`4@0L_gSE}Q}1<6L}sAH5I zqrW|(m?bOgu38u7%_BA~NKXN3cwMGrLp!o%W5@cgZDXaq+@8$bYyya`?`}Y-91gz9+4H&y{ehBAXWq+4Yl;xL&2)!Z)}3z@IiZ1uTrQN z0iNt`Ar+gpP=w-jR_%c9&B>O&E~!?sv8l7UqYJ#lC1}B$9z+27th4bwNT0oZn|oCS zl3Pr7Xj$zrqMvw_Y4oU;eX+JLg+wQ8+Oyas8#{Yj zk#W%}8WMfQqMf3zr;IO1S=G_P!_-G6JJJ=Ma2xt;In&+Ij1->E1kyLhB&VjjHoCB^ zLOV@m7**5L-Gn>{TwO;m&`7a;DW?v39D$ih@o9*_!-LsXNLD0!)2XKRmiDHA$Prq} z@->yPEW))K*{CnQ0=}XyV0l+YSxVg0mTF9g{Hhz42{^V8jf4Cakc%aI0+q<1v*CEe zI3tB!|AMgRi_%a=5VmKewsV-oKsBsbw@#S^{bO=7I!JBqipF#X`I>Vz z2+%jEejc4gYZqmA74UF)JK6=>pb9X=N^dus52XY2?9I-wIYpa>EW-nJh^Q*B<129O zBA%CiCrK0mg6>(OqmFu4_FWnyNp^tBmts{iAYWD`lNEO6Otz-fz!D2ZqVqv~HRsC3 z)GStaH}`d<^x&1!H-){Hf|CKqhIw$0-KcC&@uX(XlIZD`MYlFJbs%A&1JxGgsAyu& zx$3KpmfQK{5TyCkc8FsMDo6FHNXDh5M0QgkvUOTjpBZ8;Q6Vb{XtC(20z*@k+HzzB zj#^3)W;B(<`aC13S?4fus5d}vXZ2M6tV#8t)4&x%6QUR6G8!5yefZ)|AMm{j$%B$1 zyK39Iw;@UT!NZ|L_jQxu(5Jdo`NJoxxrOb~8VIJ53K3Jz@VcML(d^~&j;n?GKnr|< z4ad}ir0>b_z!jDF-Alz1lnL9Gfc zB|_H)O-RkB%P6RwuBt-)QU?Zxsh(s@M`NoVdSN`ar8lz$*DDa4m3q|tM|KlztLj1s zqxDj5t<~4`dSq-I;Ezhe14A;SVmIsnP4}g?+RC0hA)~9B6{n`Z!oai{ql1u*`DT(X zsi)#c8uX!2SpDnp7Ycd^#Jtr+dw9@Gx*OA&;g_@n2{r#xLb@LRLPCX!x@|?Z=4(K{ H%=`ZUgl