From cc776e79e6d12ee52386b8536b1901113bd26d3b Mon Sep 17 00:00:00 2001 From: Gehstock Date: Fri, 27 Dec 2019 00:44:04 +0100 Subject: [PATCH] cleanup --- Arcade_MiST/Atari Vector/LunarLander_MiST.rar | Bin 58753 -> 0 bytes .../LunarLander_MiST/LunarLander.qsf | 2 +- .../LunarLander_MiST/rtl/LunarLander_MiST.sv | 24 +-- .../LunarLander_MiST/rtl/llander.vhd | 16 +- .../LunarLander_MiST/rtl/llander_top.vhd | 150 ++++++++---------- .../LunarLander_MiST/rtl/llander_vg.vhd | 6 +- 6 files changed, 85 insertions(+), 113 deletions(-) delete mode 100644 Arcade_MiST/Atari Vector/LunarLander_MiST.rar diff --git a/Arcade_MiST/Atari Vector/LunarLander_MiST.rar b/Arcade_MiST/Atari Vector/LunarLander_MiST.rar deleted file mode 100644 index 756899d5c644600cd8127b31ec1debb5391dc82a..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 58753 zcmafZ1CS@r*5$8l+xE0IZQHhO+qP}nn6_=Zr)^u)+WEilZS0HPZ{v%KsEo?Ws=DXq z&8TzFO+^DoB5VjCz}Dh0EG!ThBme>ksDC`jA0XvZJk16S0@Di&x&cNAs+j2Q4+6lJ zcCj^Zls2$6HgVLGu~1T`H?lS{u%$CJaE1l}-U^{+F`rxlny%pjVo(xd=2HQcxrOSV z^XI3{#9emVVhb=2Tm#$W@7s_Vsj=E;$s1ba8n)ec2vAa$rCgIh)-@tCBb9X|b?41I-YwOWdLm8&%=0_}sZU z?2UEh7@>fZipx->T*i6gkXC`9&$?Z@Ghp0UTwZ=+6=}i2jyzx}e6}Fa631MDI%dHo zLu}>COGUlRkl_1>jr-gA!c*PGbM6h<%^ITXCEgY)vrN8bT8%_a@-Wfh{XKcPFbSr= zxQQsO50fQ;#vQO+lE`^tocg)hE%3+N?jAwTj?W!w6z9Oo00s-*H{-SNwx$X4>&~5i z`6=|niJkR;ovkJWV{CdrWxVW_nx{Z16q749+!_JKdsh8xsEe0LbHib+pQX%H=&$F) zfk2~x2ni-cU;lcZ;{Wlyu_66`^wAj`>RH&D+WnmdF7S5~?5<^?LEI2BWo4#kdEuF$ zf(rrBFZ9jupL)?SF_?5x#5)1?>LKF>>>mi)P%KC8+-Muu<@rYjmBTX@UkkPDlB@2N zn+VTv2YiYhD1s1BLKg$-MTeG(GlZvjf8xkaoxJHX9#1Fn7DiJZL`rIeTx|@NN62)^ zT;xC0E#HX7xyFrH~9w+%e7)SOF1V<3#%AupRlz6Br9RyCZ=%_5-I@_Y)L`Ie9RSG z_(oBMaq<9S&iG#G+YY=_7)K57pS;<@D|Tl9_XdMJYZKz&Jop#%R z-=4!wTi{|cNFL=`z};AigNX)o80XAmlP|e5^Pi4|3{t~|dnX?58~3!0gL3wQmQKX| zxI4e|gBOhNbQu|cX>GJZ`M)@mfkBgj3C+ePi~Ioqmj4N-leMv)v5Av~nXR6Qt+S&C zos*Hp-%0eX!}u3!Q~&@_0|WH;3;uuo-)--|+kYC=|9UyV9mvpt5d0Q^ z_9+HJ==0B=@9)2TruxR}{e6A6X0{cyB=M%ehPH(8uFuhK4sg%TFGhxQfO`W#F*$Jf zfezC{qmM=;|^%@6REM z?fKEsopr_`K1kwP{ZZJKsgGU->M6zr{z?AWI|TbDr)LDm7zB8GmuKe(P|JtM7m$Bh z6*zlv#{JjPHvrHZ0AWX4-GDy;K=}VS`ae489PCa1I@KA3*SNcV8Aw<_S%i>>keHE! zljSET0~?`?fd?TI%MZf8u45;LgH})xP*he?(o+>tRFaUB{nt=7ItDtXzxUvvNeC59 zTrK{Y0jGa15MTC^~6h%}e{`ctr`1IdLP^(TWc>mhFo(KS4m}4u z#ZLcN%niob%G9|GG&Z9G#bBaL!lHcdrgGgS#f_ib+?0mgb^!FHKyyksiscIX1N?+| zI9!%Z0(Q556DKJN-D`U8xH4dDb22eIgJaKjt7U?{#!jo|x*WRVK+pqVTuaTC{_T$dw*_>kB7MaX;LtA25vH>klnBxv7Cy%A*Mp$vobvwfO zggm2wv|Fzv>^VJyC>>o~26+;9he?cHTYY@FRNCwW-eRmlA|7sj4GdXdqNo$y-Mn!H z^t-$nJVn!Cz!Y~H8LKeSDEgkdq}cDhUpEIUtYJKo;ST}F(WFedT*hc6=oF9RFoh0? zuL8PQ8PRKCUds=oyma=bkZtXclU+Fjkm~z)4)8Jjli|K5WN9@<=UM zO2mxS)LfDQ`)|TaL>WvJw%oiINX&S#(R>$XI8F9I%Hw(7DJ4C~WNOMdwZ;@&ACs7=#>P!ya*0^_(b7Fpvt>;Q9HL=FAFqs;<^m|KUDXR5IL?G!j?u-#;FvjQz9md z35Up2ipN!CS&|NTNw)ta<>DvOi=b!I_A7vn5E?D{&9Sc?!u^ieKzeW=GFvcb0e_1h zxH|vz3dZr(L7X113!p>hr7t4oQEwj2&uJ@KghM4{uw_DJeV+1$n#brAPpvS!JS z+q-SUvbDG{2xkKbRCieBMdye)W-WsE3sh7OfD?lx%B^t~e2D;s_n5mz^8h=gPz=^4 z3$o+3OHU`-Vb3%6lOo&t&R3oDGk1( zOzP@#j#`b|VS0?O&{f~)bG-)dfMYXKPzJ|E&de2G&!YS9C;j2fEc?7;lMS7yipu>F zL>WhA>8rOm{luM#hv*WbCmzE_)+aw6?vv1}er))sy8orGs&3a4mZswYZU;?Au|{|f z)ur|1k zNsl`|IP6cj+WPTGK2#ZX^2wxMz7IQRiq);E~o)xM~Xyi*_7WWA9@pt)lxbhx>B?07}p>^o>$=+GHI-FTo5oTW3-Q6@qVQD>G(z94&)3V~bWRrsJATrc9*rIr;oc8~iZ{XMFM|z~RWxp$%PQl+aDt z4!SxX@n%4?Z)}(O`p?L;XgWZc#*Ot$+rat$0 zU#l;}AmM?&r@&E@gEx^b_awkI^o8QTBtXP{y57zIqv z>w2>dZJz8d4})g+TV*rAzC`3_eL^;UPmERV?g+6A3S=Y9Zao@}^U_gEDtH?R5Sn{H zz6KNS5W2bShqT--)YVki18LN?4z}e>9RB-xv6{t=ym z$k@42hC>!$2{&L{tJ&h(YC7hC1wg+)Wuw*WxC{?p;vp0W5twY2`={0pYH{F8W~r=n zK7%^+wM;%%ch4Or_GiV0&l4UHB5$@`_WA*~U5xU`rt!K(7jL}+tCD;Si`1Y>bbx^0 zrK~GK7aPubLRKw|i@NhhX*SMcR7yj18({vAxfN>j*6=#S>Ie<^Vfx$hTINr+y;ae5 zE(eKdt5(L5o!U#1Z6i+?Q*h~nYK;SiaHzX^f6Dr1K6=pQ-I5NWBey;vvq+B{kxwE0 zYhSJ2;x>i!A|{?0Qv~ypZ5a!d{IxhfP8$&I=46+mH}}g26C5^e3WD1^gSPhH3_q(% zEl?-#eYLmoyb;?dPN$^B*#_kxgUh#5CNn9&MM2@`AGdj|Bx4Hbjgx+EhGPyKY@wSFl?Gd$ZRv*K__nL(Au@bbU6? z8@v({*j&8|j(H?NV3WPlUO$=~nI!g!#2@Gw@Yf9Bb0n2|Q0|@E3_Z?I5&UEErTFpv z&6 zR-{)@rSd`9+7~Q`@qxw^uH9O#->2M=Mt22OhqA(5y%=heIlI+pQ6Anggr`=O?kOTm zqrcFbz08Ly#QgDhXZxGKGm7-mKU{JV1at(I&|4qj{C{R_X zgE5N`y();>7IAoed3k=mV7PejM{s}wC@3XpFcSLk;=On=X;e6(xFAd%D0Lb>z+Hl0 zQ`tQn$@TIis}U3l6j}w^EWoyGv!=`D_=WfS?sGJIakYJIXUFRIax<5v+yHA{+6dD` z0gHBseo0DVwM+^_js1*;9Ktr}HErNBEpno=e$Y@CJ6?kTyVU3ie&0sGQjw6rfeeo=a;O zq1{lE^?-CjK{Q9<07y5g6b&?|b+Q7riPRLqTPDvBEPa7v%4f1O0#iGMGZjtq(Untdrqy71OZ%mTgnyik2yT{292^>A;GK?0yEMHwvqc6m$Vu{bu5Gb}z zu@-T_oJ#omEeFbLc+W?B>oDXqF<@cc$*jtw_m~ESegp(=`%qhDOpu%;Q=g?vY!-<< zmhCPg49@-0g+ceRms`N~__3<9o!v-WTWT*pQ+PP7yCy`wg1p|(HHh~EL)`$Ik1)T! z5YC|T#=&TRhVA-SZnMi(EAM2Y1Ebf2D)hCUO}<$#7J;Uo1TMueF9^?4n)@lwg&qR` z-`+KbSDnU&d~#{NhPn_`z62w`0xEV3YdO-`W*xp?~kTar4eTprCo(+&v!8=h8G_ z8Y4HS7ymS^I+kdfOaf+~jcwG;XYL#)Kt*}!gF}f`NkvLVZqGbFqMRuk8 z!N|&dseGe!=LWhBUB}wWP$ws*v}5&e?oPi$EuFKY#Jix7;=_N}pjku1VttQg;TmY2I_F13lLcGJ2s5<@kVUf%NMp)Cwa}*^2_-Y6!9t`m zWFg+L_nRKsf~5RJh0QE6T^t~x=O2v%p6a^Sr5R(oZQqZQ?!s^{Fe2ubuSmcL$nQx; zGfo}rW;}^bXL3i-m2yW@1rOh7HzT~-jj_g3Fk|K+U;Ol8E<&2AuQ6l7W_D6&zXst2 zVjTGaah#dPx;3cPSTFT`o1~AKW+1{C=M93I4OODl`9a#?yqm;ww$&D*<>~yW zQfl5|ty1Zl!=Hj?{ToAaGqNSsLdG+iCWFG2q0u(Oj%28!eYPS{> z*%ALs?LariNN;e(nN{-+CCvnXO|jG z(#Ye9e;SG9!YXGG(0UABOLEF_Ol7BDg|+Jja65lc_6ktarkI1wI(uUvAFT=J5U4=j zz1PK+D0VeUp~J%CQQu*4LZ!dBfhpCWm{jIbi^-AK7>?qsHTM%`+cK4?fJ6mx8OJHP z6p6MpUuc%$=hq%JMWXBZz^g;!2jNfHw#H`cYe@iH5M!7>$m<4(bs8aKP1Td?vR5MJ z7_V&(U3bpmZPTTK&ZOOBS~aV(EoU6;HAwBHo$s{g8VIhrdVbqeFq8lsV*(s%hymvQ z7gG~FMoW4>hRuvLuiuf6yg%LWzf+~j-q)19lqrzNt^>U$yyD&{JYOnr;v+zK>UP%o z5t@0{|FDwZG}~y>a2aQOXG})GmF+piOW7h33 zF{reTSwqtXmlo$4q00pt4v9dd%;k@ z{>(acXU84tZP%|031kSJ9GrTHH}$OHgL+TVrIV!FEV1aiHXpNn!Pl5+YPUdO`?PrUPnfcv&l-l$eI_O9+z0MmJt z5$o_>O>mqPldl!F_b2qwX~$zXME#=_A1v{DRH$YDi>bu~MJoIvi%o0@aQ)+egqV{+QZ=Z@8dk3_U8U%6BN*~x+Who{nSM})ne7v7IjwpFD}J{irXPkDxhtLRQsw&U z?mf>J6KX|w1ub!a{TYFa!{=v3e-RB!!!-mk3X}^vY;K3wFIoHX{40CeW2=l>7_Rlo zcHtcbt3~;}&18)UnsN#yR_SH16fl?I3)7m+`o{X&)12R{PCDp1aYv6LRrBx(j&?)` z$R4-w9$b5DzVtj51si%ZQ(?u#SaAvz<>$`@=Xr-N5LslMm}6Oa4HaUv`_u}iL?d7I zF(>*D6Qa%5Is(%(Y-H|~8>QP!+*KTyOPj}$yp6-bR;FH=22UM^79oG2K7sEe?nBxr z>}dr!1FWD{V45kK(ND8Z`YG6cT0b#479(|+Z;zYZYwF>u#wR**R}J>L+enSY=}KHz zNAHY>sLJ?sZ|3YwiB;J3`VGB;k>oasRAac>EaaYlJB!HMg#PKF>>?_hxy9CEsJ;o< zg_wZ|#?H40>VpU;;3o*@jb{a_1~2E$=Q|}(Cs@KqWDWS_{|KlBZ{y~-2DtSN3k=u#h=vmTOQ2FucN2jjF$H?YOpN0z|ffM}7 zS3I<6gB9-^Np4o_#07PBy65PVSI6Fi9RIda@l<+omIhe238!<~L$-_ETsNrRMF8cC zLXYZC8Bd`%j_#M-LMJ!fB?%rvgfo|3!{!byPh4bCPy*23{X1g!_rh;PJW~RAEHGe= zGQf7h&#@M=Q&im9F>1z-6R%5O(+p>kWDv=esrc%J`W}HX_3wre1;>#T5ZRQ!5!xuX z5IQJn9eU56`kaBHA?kjLhzsjW`PAG(M#FGy>_CS1O{=y_3+uZC)Z9Ua6L#mkpFa?# z)3c!U&vJ>mvFU}JbT;SlmOgBPujo-Jska@qc87k0n~AxeS2IS$fbYL58MtGFWRBPMX`Phm)q<`k>P4(VcXvfQxS}`fG#MKgM z+%4{p5o5-j>=}F=(2iJjv%LpZ=9IP%Zd1cN^^^aBQ0iUd|0TJ*&GVOyet?1o!4L*< zn^pbgqD22a7yYLapl4xB=WJyCmx^XS3^sk4E(1-Ry957CQJ9$@^+m4CG5W_88EAo7 zs=LbdWzp6A;3Kbgw_1biISaRJ3KTU1H8Z0Xc=lV#k$V}{%rSy*hZc?8WN|5=7CwUD z6YZ0V&vu%??Pst?x%tA|yVz$b;=(`aJc%MilCXT#SQwcVObp8-OfrEwRP*HmAJ6EU zY2xi0N`kxT9lK8-?(f%xeq%zEZM2WSM;LA4R68ZZCe#fQvsp9xi;f)~iscZtFyjLH zg%^SKX(~uBO0pefIoSBnAg$Q#Uso{smQ3kzfH>rag8r%^r-^`te$4iI1iRSQ9IOtc-7+Hhf;6;Z8 zYCx8?wPa=EaUzZM7xkV2U1EAymDVk_7^yCGh?*?ajNDHoqk0jgvX`(iXQwF`a`e^1 zpitY^NEE**6mJXYup@F18itR50@QReM)WZRfaa+_LUzgGnmg@b={d{j5(kZNaqPtc zgaYr#o%rldc7o_&H*+L;+fx%^IwoAcf}gg~1+CVv*!)PkW5Jv$Y}>T=;7MUq95Dz$ zhUv7h-j;@G9KQwND(Z6|*4#g+3^kHoW*^7zMvDll`09JE9X93RZ2cy)-NR>&<6463^pN@(U{5+XR54iY=9)Vi|zYHeI()h8@-!g zpv{Pc^k?h;5CFVwwCmYaBS66W;hP5EGS-E3Nz%%F_R`6I|#ADixgpDcTjSpvwH*s z6v26slwf_3Cy+3M^{pNw+SjCF9M|tY8F&_29h9ZWqjc)|G_c-1JP4!MszHP1A_ez~ws@-o9yIhVOj9(UUPQ{6U`Go_vi8-Hv_eNK??!Pp9ZV~a{ zXZgH9`{P;>Wu>xP-Lhcg+DK9nHuS!6fXRPQkl>~cWMC-{OLNz(a8tRqQW+oBg2x#4 zRdvb!`C~HqZMrR`D~1i0GeA4K)cMH7X;pC$ag2M_p`>tMYf_$VPa3grJyKix}`@#aX$Hep~Lp|rOB#apAiYs zqz>-`iSQAi>o(c3Yn;h!k?L-)Eq8l- z+#3HyXev|!q2G}n^r5{l(8_as%eH54YzCz?K)hvrY zQ@9{4gE9hQa~kz$M*k`HRE$++g^g0$xJD~26Jg7zGmd}tSZV=kRIHX0E*iMtENG(= zcSEV}LxTawSg%-*cBoS3EWFQ==q+oyhGX-^BYtyA9E{g_kY&Lh}Mt)+Gau00?gq2&)Tpzd6x#ib$Y0oBWYClg{o1G9>uT`z_6wj z+AE4{!MAIM?LvBpuw#;ys4#l~2S|tDrWL@HPL?ze%2$g#W|S&evRiir1$MG8Z00MN>cBid_> z?Ld+}yd&=^KW2+xI>0zY^b*a@8rMOp02<-lnx|S7L<^asLS((iVZWO2K*BLW0t8Ya z%q_*4$u`eG@I(XvYMvaEg8Q0~gBAwW$e(3wS4L~WUh{kF^DXo)xX*$aJ!TE}QZ4Fq z#`nv%KYah>_9hw(R`&hKU{77tH4QOPoVKiL<3njY(TV*Dbyy}Z;<-R|Y}aKcG1VSb zPmLQCLWSccRfo|OC2haEz`Hy>biy@1|Lz9FBoeH-bl2W0@V%g_Me&@AdlF-?_OP+9 zcH1K=8h|RG?@S2!DlNLGr$bDmhq>LNh^0$`<{cO~lzxm53Pd9@PLmHo`n%8?B1Kna zU3DtxJ~F;N(v^V95f-%nRh-k~?YDBzI9^jyruzAa2X>zj_&ff#bI)2Dt{%0AMPz7K zC#`35(nel)TpS@f1UzS<=HkVG=`Ju+Y{6M)XZeFYl{8b1cBW&gcoEMQGzQZBmGgz0 zW~es=-X{6Ti->D1y>hbXkE^{b=>b}2{HL=+B3SuX9H?ok-)(7(ziX<%-BH}w@NI_D zLdtSAiB>%j)TDk;vay}yVH*fr%N{ln4km2Au(R9bkGwl70m~uPj;?;E`%cPF(il+n z)7FgkY487vGbC?fSa$TKU9Amd49}_4WlkF`8lV|z+nDZLtFm{OIeH%Xry$-@0s!?- z10vkf+DOOsm3=}D#JdUQC$@2%;I!oSpqGj}K&AM#>8_7!W;8>xasRIDz_ z7-krFmtZSctNGh%=Lzp7E??l;d=4CJjKxn2C``BL2W9)++LwSey%8yzKLBs$i_o$5 z2b<4!1gU%+oew^?-sl>b`QDB}+*pm?E$K)etVrZK5G{XSBsef@SsQtR&To7JxfObQ zYc?iKaefINZx74adTM&=QMFJ*1wVw$%@vfcjtW{ye91Q;>?JAE<*(M;EF%Evf-{Jz zJWzE=GZ;o2Qau0m);d;+&MYA+igX0#u@r1Df2_JAaJ(SPyAlTzis44y2Zen>@vr?g z3D^n3LM0@b-c0mn%D52K<(!_4Yb22?RQFywk}`A|uGSN-_>;`r!%dH#%sc_brvyYNUD zMs2E)b1)whoP+{3)v)?x8pOdPcx*{jW@_|bmazrfZx35yv>nfgdOYm3pB$qp&fdPm z4*E5Jd{;T%dfXBoT20980V}7qs@)igdiYf<6N8?=7O=Jze%wIZVmEvMi$oRv){Cmr zVe=R(c&c$c1atS$DgN`L|6@};Jp8nU&mXVWYX}xvIX!YM0~-t>krosq?O4xp z2j9WV>#`_s%-tEQ_fQPFUl?eYIjwT+Re`3g@(6^|}xSzjGY&w{Yn14?b7 zkF4;Na=o#rL_genEK={oCGEgKxZVN6iVfY2EE^?2F7>Vw&iy{rSNtmB#I0DvDK8{$ zzn_Z#`x#$#B_hF^nD4zj5E6h?rCBp2c}2hzjgkXh&%D7hvNJ9m)vbq&;5YjtT7XmGsOlD4FY*5-?cSj}FmdIT$ZYF>2Apnx~1+_kZdUWGew zlTHJ~#_Ddi&wjfyn7y&rR zVqd6FCb=QH0wh`%b#r4-K3@Irw#Jp%ljc;SLdXm6XiNbYOxW3aDF%?Qu^asE22+_U z(BBQnmnJ=+8R@+rIiPJXPUp<1Q^dc5Uu3fajfl+-OGC0B0@N|#`ioHn=iUcb3E#2v zeRb!AhOVVwcLpwE9d6(GTO=muh6XB*^}w778jihC0Ae2$xS&8C6OUBE)f)2L@8xMB z@LGJGUFI#Hk+wJO151WTaj+Tyy zoXF3coSA%M;XaD|;fsfrW2oT^Jm$0M_!^t+G=ijj$IAy+gA}VrX>U`^2p~|Cv-0fO z?PVEnUT%r(%!97hS`j~1c$eUTnhwSFbP~B1ke4sKKd^biGcZf9tLJH-1*ip`5q?x# zpz|v9uWJa##3w_G&27ENhC4EV#En_yrWMEW%}7$lPi*DS7U46hj6KF4^S2X zQrCMZQh({i!XgD#b4Jv6AZ2c*jrglyv#;_u37;61N^=B$K2Ido1gyh0jl_e?;q&t6 zry`uvF9(jF5MdPNl(qnEzYaU_Jfd{UVKzJ*5{OPebXxM9x9h-ScV%lo#OzBv{Ik3L z&>?~Mvl}2p1wz_SzNP#zis_Wc=hU+rd)JpJZjRnJt+t;y#MrEDd$P4_`8&E4QQ}D^ z2qRIi+gr*Q4^f=ZPn%1>U_YgI5T-)iRj=KdPS9^G$*g5l~_$1u|H9~`LTXM#Mt;j25 z5K?-)f<(WV^yK}bd5|F>m;-G6?3bJKQ*8WI*ry}8^NwVmQOuByR}wwz?9BGvH7m^z zdU=3{D$lU~7pJMLCeZ?+8bp~>ChhmI|9{n@CdwJr0rF(VA-X5RsUMOC< z#%vPHb>|q3^U}b^!WdP-2~CSApUX(?L!>cSAVek6e~>$YM_BmkxdF_y04_NAn9ks| zSfERK%Ox*$0R#l|Tn&4@GX^#&?1mkmyAf5Y7GuFm&45ew?Ly}@^jLlcJC>IZoIk$i z+fE+!B-@buWTQHWv?!7iATTMlvjd0a;cnLH_S<>m#LKJ2TD>cgw5Zs=<$%tmvm1nj z9*0Qp#Lc|KxLPmw=x?YjB-B>o&4`Q$*uXPn`m^!9UM3UW=WhYfHerg=y8tI@&FtgjO6>s^-4Oc33{*OwJwL6xhPqc}RAZ%W0vf%#o%0XvLf z_JB~ajvD{OL~a`dp4m@m5>QTBrxJ|bP}bYsc9qMy=~3T`zmr%H2fL=!^#^|%#M(P< z+wpqA;){FFi&}^+?rb5`YDIK|ut1HWuB46_eD%ckQ4ub|!{!ICLK{5QzBvUN>YRBa z(lRj3$cz2%+)v2?ZkAU>TiCZzC|6qv6ulo@_5MLa-rn@I?_vXgunS9WbSVt7L}i2( z(>O~fx^Q9gG{MQ#5?25T9E!*nC`3vsMI2Ji-F7r`qq(uz#ofOx+ji)j$kDI`Oc1Hc zB9QqsL|o{1e+P2tO=FZWxuFcKYc1~8_~1<9D_@(G)ND_t9*2prqWDY;d~i$448VpH zhr^pfVaTR!FP&pct-~Z_5fp&dw7M$vXK?+YNXg(nv7dYAHfm{qg}kywFR!=XLH@Lp zWNGe?5_;#|=J$X7Nqla^ZoZD@tL=dJ3306$;-bQ&ZSh&FG?hcD!6|5&M7mF;_xQv% zf!vox9$bITHL`oC+_hdUx~6mhD+y-$sIZ{psV>ZTTKP4q_WDa<7dwCCpkVr>=Hblk zU}5?)7|q)$BnapOK4Tp6LQh6X&A*H`c?9w<3Tw2JgZ2asTvECvvDQ$ zffeV$X#reOe7DKUS?GRQY;aFyBVvBY4m+SE;dM|624+}ct)W5Lq_F5N6imcAj7j~) z`WGW<+sxHa4NId|cVGOZ+bc4b9R#ot?cT1q_i7Z?!AOeKPmJUPdxbFMsa>hieT@1U zkeG^AWsE*CxWes%u(9Fb$;F5hw%3R=U`9jd>UCQ4JbcvZv{}M~PTsl|o5#L}85H^s ziXBX9lea}j^D34vs&;^B-f2)ye(B+5$;USz*ZHG zj0)712miBiEV)IXFdH{7!Z<&Uwmn!RC%K)19%-(8AC!dh)6BwENJVZ<= zHB6ULYGP4Kah3T6KC@*I9j+90S!ON|E4O6{X$@@8o}{QYmfP_D5(n!MN2zIm4zu(H zlh(-V4aj-K%lDl$8yy-Yqh4O|anH(X*6T=|TC<|S4?MFmOkIJH1zz6aV9`BvB*{i4 z&O&G^agYPq6p^ZUW&CuYec6da4T9cjsMR&#yk}BFq|4*=86nAQVe~oNpZhy@1M2WL5vP*UpyQoH3>a ztK)vQ8^RXiaukhhjV3dJ-}QLMD)ZW;q^8T97(5WBh#?vgHA)h1NqP(iZ9j1d(Xc$Z zp1chU6OFGs)n*SQ$#q&nxF_MDS^kpLxdV$okl6E-GknUXaohW}1 zaqGb7w3K_!56Nv=3h!C66J}0$w;#yh;m`-k?KAFI)Qsf{_n=W3GPJVu&54$rFit9j zKOBZ&g<-WL0IR7wIQuaXf?GZ}U0`bO z0+|V^;*3-U<=fKpIYxzDMeegQ^B9@2PKj5|@$!Aj#0wR-`4rm#4(IU5c&4bV$EuBh zSQ_!j{vc_=Fj_GJGb_vAnry!Rr3c_3Vz!NKNE-djp<$CG9Dd%klV z5O9^q{BuoSl&P8cp9`nDL~?#G$V44+=U=ow@n(<3eqLt>K7Nt4h>cO;OAVb@r>8Ms zG*0LJI3$(HWEAIeLi!r~``%45Is#PAHbwJI`R#iIM@47nB97)osf6|ZU5AbY7kNg3 zDz-7CWCinTgoHyRT%pgTWg(&NRka|JsG*7HTxb(tGDdnOi$EzA70!KB5_5@b3l$-X zb_|}9rAQJG>+?Hi!p{NssM80=FX@aBfk-f7Vud?mA`!g%bTvfyV-^NCY$BQ|yu$ja z_dE%yBcn?lvvE|RMD{O1(Xg~lBu1QrPL|jf zddi1W7>(3?52FdrE571Zc~&Colf7?jQ$0B#t65ZYO}@1!<)4@Y=0DQ}xH#eFr1 zLB7(kRAwmGSs?Ma9a+UMaP=7mqWa?gmL%G^B9xIys5gHE{?t}czRHSBO(yw0FVf6i z{^2v|8e@C{|1MtK{LC+Tqd~1TC&I)5;R)1&BQ$VT47~8 z38x*}fQc}M(`0ijM_FBdilAIoi6u4rqW7yMnNq&lrSfVe7Mo4f9#C(=dbdPT85Yj0 zN}wswO=1lQPhA%Eqn<6ep(#@e_i1cy>w#)Z6H9MCo1~x4_(u4ZMHX33o%AWF?Uub? zhS6Pp&#=ZQXVVu%*)>?{2b2qu4h8xfUf`nylK4wMpUVo=e@!dK-Gjf#urpul^cGrc zsxfW+#wLG66J3%m&7WFmI6NwE!0xJDsngwkND?xOAObQFIu(YcB|)l- z(F^cunCNYPGJ8dH?BvUnQl<4^!mF6`SNo;0ZDkD{1bft0@>jWJ154Nxe_Ls!uX!9Y zp?<$g-}szmFeT`Mc}@iSMW}Z~A3&(?=LieY>Y!Kgm{)~|R`6Mi`26X(kPNsAZeJ|~ z4hgFd1I77Y66@3+}i#Q7CUk+l4PROrDOERz*(me_$z+BX8=Ic z9sq=fqCFme#V?ZowkGm#@k`Ix?ce%W$(gvt>_7UKZ64D&`aaQd%#091d#4@53N6uW?2O3>ukTX`+`5&W2UGr z_f;qsXZ2#$4fqIJg;t$QsF1qrZtuK&ZF-rOnr-zaKB#XE`mf%$932?kqSqZbp<`%y z{|+3aC<^UZA^#YCh+sY#c&VlEwmo3Q$s#{>8=w7SJy=kuWV=vuD}WqY2WU^Lpwz5rCfe zym9|cSH=5Oh{j#y)qA`Xb1R@*_5`sQ+@;Z0 zCJuubiNt&0K%oj|!lR(`Ign7X4cnxmykQO~f2Tm#bsY_a82)rF7(@~b1szztnbf~> zT5h!E{78Y-^HHUFR>Aunx_?6x8Dh7*V_3(|Q{=Q-?Vyjicy>smnV%ZbUQXz-3;^p7oRk%fW-!emv-iny8fxS+3BMDbd! z(OmaxR`BmUf)kZo)Nab;loaoyE-uu@Y-j|ZDv!dtdeS0BSk^Z5E;N=R_{zPhL`qUvP|=lK2& zplbDtb6_Cdbn&ZJw!VR)Z=^={gVK`gCL6wf?yq1+E{);YtD2`ivgM=XhCf zx~6gm`cR>BA*TFV@NrdK*iuwA%4$__5i-ZW~JcI>Rc-M#37CzTOm^MYV ztrh3t-ngWkCC<-EPC=zvKf5Ibd+!RbGICNT;{|RXbUW28uIK|2zz=H4*LMBilVr_C$Z!4$IqzmB_~^j>XC^&)SQJ* zL8&yies5Cq{BjL=%e9~_A45xN$!*Fvmt^v!X=ibyVG29~swP>Uj5fY>j;`#c{WaLo zw;?{R1{r?voc>u`M$foH%po<)4vFw&LAXQcv#{0gWr zk*r-|-50KZvF6ELaXHqY!Ag-5pT5 z!4oO&H^iYL_l{}x*-T!o6Eiekz7n8|BOimv(a;47ZEWXXn&T(Kr zo}LrltDTJVhn^t!m(e{b?r+7N>zlrsn~2qNdz>Cbv-|DTUC+uF$WW@KM0a7F$tkc9* zl(lEO0bXu_6ch03`s67in(fgQ%)w{zOvfg&By{jA4rev%GhFCQYN-P`xbX08RVpp_{22V-E^Sj!gD9xmxKWd5tEGm2Abz3ug-HFEgjM zd+}jxi@BBMqit&DRc;#&*t0b`ZVT~ilf^=)zwsm0lY|^N?w~b zHpimIK=Q}wex@Ga^?WunJ`vXQD++zW9Tk2UxcD0MRqtZOv2Aia5(ArlYH5>pVC_!n z2A{=S`3PwkuO9HioHzm{uk;t|)TK*k#9*=moKw2;qL}tuiLZWIdRxpf$2>`h&}iWR z*-uw(yWz3S@VVU(g2ibl=plc+&MAgSd<1VR0}s9k1RvdPkAxRB6uEh8Jn`Lb^;)#)R#zZ zEL>`mpr;|9O-()}ft3Yw{}4jxNKjrDtCi4z(+1z@4$dR_Vw+}0Ek=V_4Fo$csq)&0 z)?HVFY*@;9NI6Z%XN5!{-Pq0d97i z<3C>~$k2gF<256n)K?+dO}pT2xFCuqz=|;{yX_QbCxve)Y%U(uSdEeXzl~7wNf;>*t%k z9&fBozKeC_R6W*mvIVmiZ{2KwC9@b`NG33+^wiWb@7ae$0adcSOihM)=yTz0=~53~ z!5`_Bu#Ivz-6F-`9Y*E~%G_ZIQ3yh!M8Wdj3P(TM;i0kT@?*gu{R?vo--Z94Sd3vHx*i;czq zfh^QKL#BGt6flXMVG>H+i)80ea_T2c{__>ai#I-cVOxt7+Po; zLi7h?=pO~oplZPxrf!wf3c8c`xB?!1&g+BtI$MHPGD3?1R*s`^kLwL_CglpQQu(p zn3wpMv&V%jv<3VCHvEt6W;aQLFzN!idg{d%nrcqdAI$-duC`2$$ffsyd+D{t==fXZ z*N|0DE8nwhz~f6BuE3~2eh_W??_do^_9}XG_fq0|MB5m2Pa70FC;go<-!~4*A}%Tu z&mY1w@~_ESTFPBR)I9l=;|R!SfuPsY&`SZCV;Q!}k8Ol^(lX=hpp~LUE_alG8bC{M zdq}S5`MJ6R*1J>VNt0EWlf1E@AbM}X-tfEh!jA?-^8xBxyeA4W{*{F}`nQZu?8M8@ zqjN#uHExHc-zmA0K_g$?Jyb3d60cKa(^c_o&Z$IaP2ujT+0mV+;#<_)kbkKrgnzsU zUQ1fLOK;dOVUuei^kg(&O6?BNj&y=$%60RylX?44boQIC_*9iuqgzT7pLBHK@wZZH zatgy5l)uP2^l43w;#^Pxlx2k9znUZ%&N`?Nc(+B)c{YsSd`uVrV4E-*CRuK{)xL)w zdX&h^8&(MggH~VR4WGpMeXx&=gNA;CUw&ostiKY+9islV`wyN|4GUI`1+cN?`cHR& z^#Awz^uNn9S(&l>?LYUY3C?nG4p$KtRsl9}-BZCX1hKPdNc@BqI$ZY4pW9{pFJ>Hz?-ZNxA<2?_&g3Ym4i+PSi%=k(wt8tih`Qitl>v{vAUD$2;ak-RxB!Bz z)zAQ_lQ%`q`kC5L1m}6u;+j=brWH|2jm5D#hwa9P^ zNIl;85+0#RpHd*y30p;IAFa&@SwkUWHXvsCocbJR}}eJYiQ6c%q@T?n~ft( zD1VJGW01FUYil%f!A24r3yxw6GGsG21umLT;!#qHG674K( zk3iY%Ys(S0`iz^Cz{<5(yhfZIWv|ogH+Y?|2#V=+xKnL3z0rW4y-QWTRSNzo(uQjC zLS@lmAE#MlNhnQw1!pc zN&LffGNZ8KU;5pT^3c>%d%%}vTf!~6pQ; zMwPpw_{~V%M+uzKvaBi6;pZzuhEHTL?O;Z;DFfvNDcys+x1)UC={a}&g6-B2>woRO zB7UCY4o`h@xS|2l8)1M-&NT7ZkSYVm=s(pS_yS-!M<0i#fJT_(xTJT=n23pxV{@Z5 zAay+X0lE~}#`dlYMc*q)bHI;)Yqgl(w*hzKrx-sHYqk?lY>Ls0O_aU5!=%w{n0tCjfUg*ZQ5WD!U3$VI9fI*e*W! z+}+*dMQ7!Z;)tGK!4xsMq3u|pNn(FqvruK*7E7a>B~2rSv4AqZIP|S+xpgj8S<5#>T|dXTYc^WUueG6Oi`hYrD554^2{=r{ z1Cb7JX#|E;(Ol7gy){XzE;}Xp_#*6HJ1yWE(lA&a<@?U7?FhdnMIYCJHFlUpA!^rU zL%`v-&2^^CSG@=hqvmXv6*th(<5MKA-qZ@9Ifi|b9k#tk*lb&GXyM4`_d3I5<1tF! zqnYA$S-i%>S{Jl|9#0K1ZC(EbS!+pzUMQr{;EEK9ilk*0b+x+rQkmBA*jfB*tK_jE zU8)-uH-4{)_GY+-M6R?ci!8={Pv*E?4kw8+lkDb#eq0JUuZ&I-fH1CVOqi4U-GKOZ zv8=;;Uge%z`|Nq@{Q)n6kLFM`w5-#C=c{8`x4ft{P#3KA#SgRpd+pQGCGqxA)uh3y zkA?nPn(qNayFu5lX-)yCIwf51KQn~Ne~`?E4j@3MexCFH^{ek}XaC=>+0!Vj^MAQ! z9TYHv5G*WgqIcpdSE(w#2$s$p$+ptA7UQi9>FQaQoUgHRd?-I1m%??~Mg{i2bSMtf zUJXevD2ifyD90@Q*>~)}W^d+xQI_A z&D)6KG;_?D;MQ>WsV_7mOdq2CTku*yByu(tn#1<3n&H2(aOMX^6~v>T?$^)`FsJ6e zzcgm2GaWByAu_`S;KVm_&I4)#28j0vS4V8;eQkVX&sIk8++8eTfkuq|PDCIerx*jq zYMORv5oX*mpnzSL?jVx&g$di0I>Hq$-Bg=1C$p$;jrNnnuIN}Qa&UZ5qiJ_wg&DzN zf!Dld_!Bcbn8Nvi+|VcziABS}a+ZcDHiL!f08wubNw62IdmDN0GoPfrA}9jFqEN6D ziyf8wy!%jO%q|e7{sF)=mPib_0a1`GkmINMPzd#TUUEi8(7pO+u>%=6LDinuU5avQ z`qT%cHBV@x0SVp+lv98qu2SQcT%j4u1dxDRIc>mvpu9zrNX-eVG_L>DuC(>lEX?+dkJfF%-l z+-Fm!@aT+lj8FEwC=l{}T#fevt0znf7k?=%E)02b1Gu4iL#RA%-9=o3qMW$@KUQ)N zZZ-_|qm>2ui10pAtsPyEr9*h??$QdAB13iShMijrk)MG0{Xw4>|A`^9>Cj|Cuh~xf zriMwCO^=wd6|?=&bI|t#;=0w8$Q@8=TZ`TtNTh}U8!QrHbx_~uU!O(TYvES|rTapU z7^GUYS|dzAquWuVid8)acKNj0ukN!PheTAlLD-A17!4K;Rw{K?-Kq(KKh%JyyLC6w zs0DO|NXq&i3TtNej4EUR0(O(W9y;QGzeN>A_~h$uSW3{I0y=&(+hqEfPclL?Jb&xf^Vo>;V}hE z%#M;p=YgkR=yAdtH1Lz~wrFJ#^?Dd42yJ)ZZJ?nuqB(!egRos%TTX`Kfe35j2#B&-f=ap&Vv4 ze(-gk<|C+%BS9gHf961fN$zF1=z3iBr94qX`Il`f_S;v97(R?88a9D zsHEeebdY&X%1_aQC`THw)gk=WHq&mlaZUr-f4NVl7H(qflDkraQorblik)x->^c$T zve^d%iDnOx9;LaUrN{LZ15_>z8G&S7(W0pjytAr%8SRXd;r#le1PgIetYZeMJ)$w`M+muhKg8Yt1QSXxGcuX@?!8e$Rw&Rz4|tLD zd!5+DVU%$&2W1vCE;Y1cF4uSIbO)GMUbY%;{<=PjY%sqMg7mo{3b*MaAqbK{=p6il zzvZkuQ~*_Zfv8ZV!agehQ@*1;6>{y!*IXu#ek39dY26b&}=?`R6bqrCo#y3 zyjt)=+*H*A&uz)icTTu1;+B2;!9WJ9qM%!0Dk()xtiQ8OW$^RrsNobc=ODG$eaU(~ zXAqd{R|znSasq~rh81!AZ`o!Vg$yWpN9NftL1&Mg8FghR8K-1oy;(C#u4{p*8{^Zk zUmrtgh+*NOGyEbKgcqV{Yhq)UH!L!8sEZ?doE?r+Ja)LUrsPMUgB|R=z!Q%5OmZRo%>B5T>RINZgq5MCAJ+mZd6#_QLcQU zI;}!k?Uq{$8!6{3VO@zwJZF}BZf@`o(rG3MpkN#pr-e4ZSzbMoR0NeleEP zs)g)2b^iw2HxoKR^Li&L%n=JI@A?dLY?2V+$@rQUwW*s0hu7x%yu$g*FNHi{lcJYF z?cgzqW+4gB*M2+SExhwL5LKNTdCy4~Lz!)rrVn9jrQ181sEaBbL8R$tK%f#f9)p%u z#yF5I>oZ>O@u%uK;q*+WL){D86Q$R`qhv|BVm3xEXEb>}^_5}$D=O7elHOjp2t$+v z_(Rqa@;8DFv2(Gc-GAB(>E8~f^r*LIqWVQl^tR~{(Ug=0 z6=KIDK^nLVjzQx^RP^s*IOAZYN{3mypS@%FsmzG*!F*Ju4uB?u-TWR4&O~Yxq2V1< z6D|jGaFQtOP7AIS4t#4So#wRW^%EI3NBlb<(&LIVpj~yjvZcx_X?RN=P|~urCT&ZYT`~St zS6&_H%Q5AJY(?@Cm?T9@gQhra6-(&wegSb*KcA8JX{q1|7A>cPUKE7Ru zt;TxnO+3e6Ao6Yf%tW-ApxmSoyH?Cc%DF3GUm9;lQWqggEs;B8B~?-SvLn8VxbEF< zh9MtnpgsMcn0CJq2rRz_7|`|cm+b!<)4H1dx8NL#oVfmv%`md9_k$^ju#o<5Oo0$? z#0g+*-`+9aH@WFA-Oln0Vg%FOZ16UL84;Q!lN-q6+BHc)@x+E=mK2n$eV2u?wxQIw zsz|zwfJv{fFhj0X#kVSW;ov5_v-|q9>1m_pNZtOp=gZu1;0UW)C}5-=?_fIOBk0o) z>6x%<_Ry=xS8&gou>3`T>~I{KZdMUCAd3GF3G6Q(M-Ny(}geQFFWIP%R2>xSl76w#|UP+1p z4Ae9TzvH-vhW(+ROez}|O6zbC`fXmf(B4o_7_aHeEMw(GhE?kiUYG_FB`99!7Cfif zZZtvl8e*sG%oNur3?g|!GES?}R{&z}I2@j&cZ5dQ8Nk#3Gm$LsAZLYgBCbx>;3D)4 z7mJ8IF&dXK5N^O`_dV4T4|d8ktU(C@$0$*on0`ug`eUGx9w1hkRgz5{1>S}JOYq~a~4%^ z&mtxcoKS%_@DS`Wj2X&PqvxbgqCw9`34;rP!AgYHaBRwZ@P4`C>Yp4m>HEB2KYDYB zrKjNJj?5obx_{K#fAxODd%btWstm-%>kyM3pE2AyJvpL=&%}h8uZwF?MeMb#e+P=< z0OzbmS8aXe91%G;+^kO(ylYifxVyP?zD0aK%ne7L(lz*LM>;$pGoq9=s7}KFgYwpH zK++{l_fp^4NAJX!Q5G$XtuF|C?11Hk!QsB{uaJ)*mq!15D{~ za&jQ_0K(=I))Q32-6x$gE2@DiMUuEzJZkcm5o0QSr-^%sPqsL#ODd_es zloN|Du-SpAKGL|VH!(_05du0auiu>EUm>;q2bZzBC*2A;De}7k{E7L$Kf7hl%*`QX z(<3S$fiD2e2$l0UvAR3#L7R#9C4pU15eRM1%nFfu_V|48+*= zs1v~t>7OVVf@Y|Y4sDs+-Ph7yaYL<)_T(oDUg@=?<#UIT)&PqpvG>sP1L~Kc?E66y z10)+U9`B7* z6q_F0Q2BOFJq)rvhObgdy54OVnL!q43uC^eij%aF^jE4pGjT?067WtBAahM3fA1}V zDKbTg;vMjgC#dRvmt&o0FAnema5i`OfInZ94D!f(sD2}&q-u2fAA~nqxx&5kiE$#b znRY(>Lbb$|SRcv~;jev{wQ{-J6%w?CRg`ppyq_foSo#smCvINY! z0yQ?49$~AyJWm%-t-o7~uF}0RtTkcWsPgN_4UTo6*5+AWp$Y2DjID9>{}TLudTu2k z5MBXO=lNTG$(RHe5@!r670J-Lj-cOLA0ef6azKSA*D7az8#&R!vvo%sJ9Oz-?7%Ol zJn*V1^>Mw|1@%R^ZvljQWq+Cwz}!Wp(JP~XsZN@sC_&mU0N=fCV zB!dV+B4K^b^W!1F0S&9b<>^<3K~-27PEvtQJv$6bqgv46c? z^hS*K_%NP^S&&B(h5)^In)D&{q&Y%s!&UIBZv7uC$8N;_nT%E7>ya-^m(i5ms@9Uh zBe5xoqBg@7iAic1M2%uX=d+X!Rj|BRWVX?-Wh~oZZJ+tP?_qlnjz(r_>}>r}1ehy_%o;;% zSrnqTnNnY(?{9fiIh;7PBeS2WNo?9_GQW4L8M>Oc8`&iL9uJQF9rcnZ&vD#K)+3ZW z=09mN6ByKe_+uY8IS8;ABS+>y^TWT}cJ62;;}KZRkvu=d%y0`+^~Y248)g^f(tHY0 z>HG6FJISyS@ayOvU9?6JAGjyS(}iwYFd!H zauN`Ce0v5UNiR{paeYR%;BeRdfj>~xk)m0u5kl|^C6dCF6ZI1n%qvWqbGLunh-+ko z+mC~wZ~~b-R#5(+bP}8dR+7n}-55o{I>iC5;t@XXtHfFyvjkE>3-8s&NtGjtD@g`? z%9cZYFUv%c5Y!GGLsgQ7O#F|EQ`8A$D#Sceg6%`Q=Z7r~(sxuPvcTcZiJ{E2bM|PD z1rf7%9o!t>g;a@88gFI3ztZ$~1^&s1(?$w%WRg7NLVGnnCHAG|$gUO<%;V4VT`-+v zpC&elFeIQJ7`|VWI4;#}fR^5_R@T*)7mcKq1z$uL9Qy|hBwzrQg>k!=+8uJ`zK16S zX@US4Jc3)2Gcvhtql!<|*ffs@fdr7F>$2y6KVk9DGpy;qM|V2}y<+>Nq*G+5y^dU> z*4za8!soDFya+t^t99I8VA0Pob|E$fDerLz*OuMP@nY4niS!?%`Atm8u-;<3$|`MH zY!h-a7%jKtM3mhsis(V7*V%?WV7k>a?s@ z$6dI_vyv%REA+6WG`6ekXz2^M&PH7(cJC1{2_OE!#ea1XQp+fQRBy2=@&yWmbQp?7 zQPB|t#>i+!wsHZIau>R&K`yu!Aonx z(0&TI!#0pV@h+6{qVw=-E7SGo+8%oN{GTSrjT}ax52hLA7Eu zTnUZo_>x;5FLtHNlBKiWmI7er3x=@4(BpWpTk4|xl1pefQJ&)kmVe8g)x%F-h5SDw zWssxd2mUl9Knx{6*w_K0wIyiC)+6!M@DmV+Yl($|W9WJ2X{^!-_NnGc)=X5H`9kMC zFQ(I3D>;VS3)m)$U&UfmWg`5h#^ZH!yGa0Lc=Tqe%(iR_&X6@Lwx zN!Ck{7nDKQvy5vTKbe}Y8$T^vz*33h#(|fu?hYrr2FU8+d}@eUHrST+>twPoI$EFO zIl^DSxWlSa0wj!XA@fC)uw^9P5oO^TsuAc>V9xTQ;e(~yQ1AtP%Pa7>^MZ8b7B^xw zy$ZG05}+gl*PSE#iel<%$^wJKWjBtrBK3C_Y}o8+BVKD(Qi4lBu*ci&5tb?a{Uf}; z!Sm6mWZ7aTg$`=$n2y~ApjI~qGw{%mL+2s$)-NQW?a>05+r!Wdcq2w@C0JklX&8F^#XvxR(cj<@Y2n-o?lpzO#1D3tsHL9S5InvV3`= zwyDtz5N2~C^_?}mo>gbwl|w@mJ6&v_6l(iOP7vK}TXbC$i`u*LqkT;BLBz$_oGGRK z!4ZCz>Aka8W8pUVoM#YSVqlyZ%f@K>s@;54i;}*|7ajhjOn`PCK zSmqzU3S!7ol_}w}Hq7SK z;)KtG3x8T{5{C>d9um8B{A!*z&zjnK&Ym0;MDHP>L=Np~Od4G}-w0`=vdpw+JB_)< z&>+6;Ji5tljsY~cHyFr4zdxn(dT_9Yw2*agGVL8`;*2!w@t2-oIkcq1S=rjjnUTH* zT_r!3yZ(jOe_2HFx3@$eZT5QP<&##e1-Qj^lz|^k`@ZCLG^BTVl=Q4@Sw87=PpnDN zol+h-S5$6RTj6ufv|)VNDW@&&LfhbcOlnbVxdvG&End#03zQ#BA;l-3Yn9!r_5w}j zQQs*BW;vFCG_m)_Qiu=n!+zw&p*3SSkEZAMfm+VQS06O#DWDM2mShv9B8Mz& z@dx@O!N5Yiy_Zaa8pqBit3^8Z0hl17!Svn`74!I8Im%U8sX5cpBL8WJ;p4;*E<<`s zR-Z+qfB{}nAExir2s4dU)15s=B3WcU`k(BQeqS>cGf$apvD;+JFpB7z6ttlxCu*sI zj~Oy2Edzhbe=|NY5lg4oL-$dGgddYo_fXp6PgyK(llkq%hA>L|^m94QL#>CC*FSQC*`fn3C?a2b+Rw?vc8u$H$G_ zlN>fjt_w+#Do!{7k|uabXTvbW?yoqj(SrOrzbyL2TThjGTRb+yQ3{?=8+~oklU zxri_Q0EX#!=dnn@KDz#(FmR~qZf6@E(J0$NGwowGB`D*(Zq!+M!lzaecNt-twU+zl z9hztdx9f_Etx~_HfQ5i)Zb!DtjXGdTns0q(;=Rm&q`QGmV&48M_E_;74J{eO=OtM% zw6bde^9!n8DoOg0_Y1`dq`r4Yb2b55s8%@J1Fc6mfyIp2$yqob0q-z8(~D z;|gUhCUSqzQ1Cq>r7AzI|BZCFn!P#1Kq5K!5rwxp_zRUoB2wyL9m-OjIR~~;C zCMDa>`AtT&@=tDMUhh5vFH+cBo9+9vSD1|0=Cn=K_8~sRlLM2{nHyu}c4T7KNwDM7 zpV0fmA#OdPWyOaz#(9g`rPd`EhB}YRYEwPc*6lKjc=yzwf$;HlT&i}9V;PgjYUOb; z&Xc#)hXJHI>Z-3Mb-SOGWb_9dj1z;f#qhsJ_crH;{aMyyPk<=7>!cpp%2 z2duDJF$Coj>C6N3T@RlYY}JjhMDy0rl52MqULXA^#;&L!sHTyiSUW_q`%Nt{;F zUrma#sUZU35D&6po$PxIil)lUIJD2bikT7XyB36uM^cX_NgvGPPAP0;V&@17*wiNk zm>SRbUxqkB?o#g)RhEX#u(v(tH zQ!RvIxPgmU6)x~6#7yqf|BxVEO6#vbth^0>?~V)^57`cw`mzYl(O@5Xu=|qJ$1&JM z>mJjaT{m~wx5b`(ZE}An3um)1dvmoliW0WQGne{}sXhqUtzALh?2}RYRq_nN*nKV5ri9N4`U8ryCp_T1h{>7A%&+wQx?aoUtHhrrVX4 z%ohg?ZQ-RpNQ12sC+Ud=!>1^SYp%0cAQ>S);xBH!=f=YwEaED^O)G23vL|^>d>QR3 z1NkZ?YK#6DRfEW2)2x8B=yaqXn~L)PScmzahxAUaKV!o9;E?`n)yRZiFVxS(T9TiU z8mcDWbm7~Om0s;Bw2Lu72{!8Y1 z;Aw7&3i7?mEFHa-YiWbU)d5(hf+oV2c@Fj{b;P~|xYGj?58AP#Q$WCO~YQ`Xw&+-rmWjeRtJqe#hZdyc25)_Re3HQD6hAkQE* zFn4}G*Ekn?-ule>h^!&beZwWMTcdgheYS}~0aJV()?T_{_S=5L?nvBLw^2V}sDE7x zrGMIT(^D4uJ=tkZw~S9YTV3O>fN=;N{|tV9=O=rqdWrXK@7@q}ZrZZ~qMT1o64Kf?0V8 zTvsbzJB^s}>Td$F^kCzLq+mo`I)4lx(%gs)1N4x3NpG6O%ncWni~QBUO@^fejl)G4 zrPNt$q8kF|{1ouY!Q-sP$P`xml%>@C!_gq9y@kQ8mG1yGsvu4>j6Ci88?=vh`lU`a z;62z=Xaqmd^rdZAwvqoOYSfu%pZ5D!Mbe76YHfc41gSt!BZX6Xjc`0RD;ykF1$nKa zrOJ)4tM^|M7fzzK4@$Hc5l59IQP2WABZ$nJj*J`S`AgUYS}Z7Vvb!h-0ZDjYz($ys zT|4@jamOP^8CA%_CXP`BUC04XpBRPuzf{SNjlKgQdO*vqRK5?h$TVF*y{O@|a&USAptaP#>%XNx6v(D3zQ3 z3r3}m!K5y1GDmb&@+Cn(qhOD6qvfz$P^hHj93Jh2(um^a^S5w7vzhE~1-lQW#k0Mztj+vL8s0*qO&%#eiNqe>fK;DPVMTE2_U^9dP;|4nvZ@{-G2!Z zU0iXRMe}pTT^`0p5T}YoVgdRoOWS+fAV})`U0i^A9#=ets^M41)*z&fu0%{J)^=ff zd(z=vmvO46z^w89XL`@428zoMoQose9?Fi;H=XN|#O^_skzMB9Ct9xliA;0xBD-(y zKs#`NNIXszem7+{x%&vNZzhFFgc@s!;bYeomP7WFkOMCyoiM*Yq^jyZc2MUHus1`W zdwW;UjI+_kDvi2T%!j30azz)VcPk`FS@f+r*E71$h)+-|eDDxqzREv#MucF92^sdU z`T!jDTk@ZLGCVz1l)1{43-G-7osKGZEUE4z8}yV}{pkoYXv_)NIc@8WCYef~=J9$i z@Gb~A&)m;|lb<#17_&y@3SfgP!}A!)?;)xJ^;t8?(Q}$8AgwQmP!tZ}LX%jDu`idw z0-qx^G-s$Cu1%Ld{yM>GNOmrXUIlw{^7{vz`zXa#YfHm$2*Dm%d`yF$U;F z3pOnmmrm9kV~);z%xc~V>&asGq1|CVoVYNWlo`?QCJ3%7!Z)N8i88d`^3))7%*;I9 zsU9`5z(&(vdt+?rkAII@2J<!}#aVB8y}(`TCxb4SoX` zT{zeVk%2=fkX?c1|Kf)GV`7%HzEnk9@y~giTs!OlA!qkRs*fE6tMH!%2l%VZk|cv} z;hZ0HQ);7GU`FD}1p=hf1LD4fg**&?PB+70OsPU^Mnoiy4x=BDERE@-LWdxvJCdrs(=+Jh7a9uBLz!G&;GyYG(4jXJHb{tEEz#Fap#v&5XrGY zfmE^;v1|KhJ)adk+i0|pyn+}=ue@kVwa57MNn~?g1cDC?>#3!JOF-JzkcI7?=^CGm zTa-n~@MH->iaO>ba000z$iDx=C8i=d;tK6#gwUfv9g$I6^`+BC`2QkjDF{9HXIc)GZAsT*2KqU>xbM&5Z^zhLmmIuwZ z2DBMMWs97IN=utt(evSo-7u7LKh6_*u+-ZLrJF{fE+1?4gxmaE0JIrGQ+8wt1aMY{ z#l#_PheUoGM*98R`U)6G^{v$N>l&2bQ}TKAnyWU}@Pfl0Z*WvdEdNwVAcRISp^c`9 zH?`kSn)8b-C9HI@1*C=YKP1#Cd<0@O^TrFKa z!wkc~GzEzgYYE|`gj#iD3|E#ILMCVG)W+RPZ+V7lH;9CsWeC-`q0PnV{~Iv}Zzx2& z<3mYC&!KIqGb!5dFQ#r=GqGL(?IniRD1H__*=Fk62ArR6Y-cv>Pzs1Lw*^kHT9)PR zS$0PDfOyYM(CVVR<`FA)LSpSGq`Xs%tJi-zg0n0H+zGhTIeZ|g05?%(rTPLzJcDck z%I*x}^AO7v9HI2$=p=jS>XrGW$Ql-jyLZeJx_%h>cy{~W&N=nJ>8d>PTU$!0UvVHZ zw(aSd-u5Aqw_Sh+4}}j}7_Ff;I7Z0nSWrfKZ?ba27?=qGy=GLRcCpp9u1bR@F^UCp zppZp|d!;i=$qW2+_E=nn5wGgfwDEWQizP>XYEOlp%@Fco>yS)U6|lvy5lKyWsdWN# zoTDLUFTZ}&&>kw-A~%2#@00XL4blEj;luEsse1qO@J9{(EHbqEQAEb5i?l;O>D`pb z7W980OBUrj_mJmr}`!2wRk;WUXdcr_;%<+v$4)1i=E3GftCTK>Eb84fxE$-xr!;0pK7Xn$w zmn{^VM2WI-;`ma&WHW5M8c2aiVWx=79uXIKis-1s#$B=vrjKC93s;$*FeC7uo zFrkWUt#pz>?A23r?3u%yCsEAzcdXKM%lwr6`2*6SUJ!}hsV&BPynl;>K1EB8f{rKJ z-z!}`q1CRa3zpwd0CR5)+ri((K%XU(LCDyZUkXokhXgeU$}kPLz!T2bhhqdMNoMGl zhRu_u9X&bb{DDohJ5BTZ^;tJ>scoV)Z`_g1%I4 zE7j{qFpPxE_Ey@h*PHQ4}hT+Mo__X zRdA1_wJpGDR1)u!(yGD;kCpGV&}@dw=rth7HZij!~(A9yzcB*0c zODUI(BNssUsp!{zCo)eXNcazTPdLko{W}p#{eXcjJf(ftlS30ycEo&>OsZwWCgqsS zUof^?N=R~)*@Jj<6Vjto=nOv)SpbnG!D6qW37K9Jq9e#^3X40dqNt3t3atvAR;s*~ zPaVgnk}ds!Up2}!h|)5lF6AE$8d;r8z`u-n4N1rbKAi2~L&Y>n8>wKh{358xT1(U>c44i8BNvqyzp2fKlK6Q(yL zv6)hm7Nnfl#U_$)YY;>1tBQQaGkzZ5Kc+w&Y{qavcu3)lGyBeU_DXNw0R-%Fi!b^m zDQrc%@mFgnd5h#eH_3|m8#p|9YO^5U8JxdJAb(E*eRX!IMkQlG2l{J^x?i1X)stsfEZjCvu(O=6`t?%VW@k~L`b5QalD7}TLy*`JxQ zJ6TFyzi~xNI_h>S3f%fdFq3;EP3!3}QZ(oUIY7f2%S%)$C8p7?yCQ#RRpv2-)bTTq z7PMpvvuz2D(|o=9SeaM|%?;&UDj3DAFXrOSL)6IH~R$mCqg!k9DNyVTQ zc!hyes|}ZhP;^KqGBqtRd|tlqo&H>gur`AI;{1r%tV@Q-7@g{q;?I~>J5CK zGWwD_XQfHa4zTIm@Os&=(uL*)fYih6OPb!`DqY541Th7cCYA{mq4tn>c8#|xc7gEyq6NbVZqB^kUQI}a7v03YVqYr?XjXz5`v^1vSCvI!j*xXtA1x zMh)RB<2mmbV35BmGlFb8`tjVj^)1AGjUmetg`+!|=qur{HX5gZwJSxpHp0mh{>9Z? z@hw6Lk%!&rd3-l8hcNCNn}3aHLgi?G&Oxie8u+rDUumTJc40pCz?=P`)fTT)YMo&~)nsB6(L;syh`1%$RsmuxBIR));~sg-#ls1-T4ktL)~ za&TYDXa-InEkUpZsRkNRNXt z#<7qIqF6LQNImz#B3F}-Yev6*PCjFd_GomWd*)c)udhaO?nWf-C7qajy_VaW;bHNVYZr+e}#LW%x(f{xZUqI zbgIKTA#*wl*C&_9CClFRjxlg3qqeiP8-p}w!6BU!U}7rP8< zPcoSH^z|c(6|XKmikXJ)Bo&N=#+%4msT2iQO;%4A!{C>#1fyg*1MLA6AshJs4Ze6O zrr5^b=Xrs@dx%&Dpcf^&aSpUuxZr;^5)g%jDuI4W8CQ+Uc(CDyO9?`#!d#$Dk1;OS z{Fc_PYL)pYHnl3mKFt#IWx$Xs4x%$`fv_l5P(CZtsWI87xeWTXcq@>;X2FsauzLvn zD=-N>2ePTgNCg-w;61=P^(>hJzFhRcV+U#5j%p6N`lmGq^c?~`0(c6!kpY@#<7w2k zV3V(YqNc3g8ChW_n}VTEd^mnwdK&jUyblz+bB5>R-_cZhl0t;-rUo7#2^rSUeL-%p zjg!+@cYniw05_$KfCmU?Zh-X6GtRVt zZ%%!A8pATe6_JesTsNlPC*?%fqe-(@^yU`D)m9M}4_BGZ=Dmug%2)I^P}lqYSn3 z675bffOeN>`19HKnS$$!pWR}vCJNaWDmqq$9qU?)!?EeI%4)vx z@99HnbnplKba6P?!ghe`zLt(n zWdO*n0RxpQ1)lhi1U<$RlfW_Rzz`fnkB&9?07~#6zzrHk$7X^5{#q+v$RR1*7#N<_XB%O=@35)*A z1r;ws=_wjPEnYh)Lc8iV5u$D%${eUNjxEYxO?e2~%P5i>b|?)jQ7@u4+*%YY$}ZP< zSB93f;Sg2f??n_=ClMIAxa6qQcoz>qFCrZ^t;mv$a`ggZD@vmZjF#7W80?Ex$c_() zwOmj~s+*;hgmaFs$=`;69GfN8wj@lOCEt-gqgy5TrbBQeTvn%Kyj37d6uzY{o~m#K z@6XW*;IvD579xT?p1H}A?5~f`#KCi-jXQ`U^+|>uH7@(q-H!tYbhj|T4kAI1G;x&G z+RHUav*~p!q>YYDq@@ekjQ!0i*v}=~%W9X>pB57{$tK(Z@8ZFAhpC$}(0`c*R%eb8 z@}2>nrwLpMd#+6LZSR{gxmwxip$q%e*UqJvwwT3$p(2<5@^=|bGLp|vh_5{T_NO3g zI1?wACq0|rrJUhk?~WInXNr0MSukXyPyR_je|NUKmPGe5vRdO)XGt|bYlsuSK00PQ zwC+XK+zPF*F?rXXDcOCW?H*I;zItZAggd?BCc7NdrbE=gR{vcwhM3ky+ZRTR4VPfw zj_X{q6nEkQi`cj7GYj?xsVKT$OK@aUA9TonwH@Wn9Dk-j@G1lc%9(mbBu_OEACWK> zP2XS>Cw!lPfCu}E{E6L`RbpdhqPA?@_I!r_sP(v60$ph$k79f#?Ag~(RD>;$I`=H} zXrGz(dO%=G?rq@YkZRH7SFn)?YEm{_R&P8Uj{h2y$j^>KWaT95X+FHj8H#mGjk+tk z_h%DK1kWA|*kmG{ke}kp0u}le1366BK|2Cu!RDpHo}s};7Rf(^+-I=ZGPFNx{87DN z58l=e7s~}5uVyPV=X9;eH$e(tN;%A`ywAJ`5@XsY1?>_>LTE9lGtUr;A8+s(qSPJ9 zl%8{HL12r@97?~-Hs<}*$U38KLd~BJ1Ln=jMu%GYmg=De%TCcfZnOi%D@VMEc)d|7 z5o3_6FYPPNd^rsU6keWw?cgnuGkQ{y#*h-gjZdCV!yXT+|9{>| zVbA;@blAbd{>K%7ne;U6{&58cP-6hfO3W{!TS2|MkisVobS{&&k%cg;zh>tm?oY&P z$x^h)yuPYCpxukj`}r;oUa)t1rXAJmguH5R=z*iPyl#vREdFvbjyBLMmcw#7{TtSh zf|pq;btBZRKH0R?6D{lG!#ysz(`%KrJiwEZ{=22QSH4B((L{u8&Ncq)al#SCS{_Kd%!L4+K^@3Isq9`(F6}{EPjs7y3`^^TznUUS|wB;yaSvZ)LLLd~WU7u7^zF>@{C}|_Wn6&g78E|++L<(n! zaemXoiqg^L_=Ro7f~{c!gt3Se z;`IzEgE+0ccf=ONY1s_L$z!o1>Qzag^DDBt=89-}>>i#jRtcRsMH^E{m3ug~^e)@7 zkJJW9P8n>%E#-D;=P3L7eaAmIf_I*l1$c`g416;Q_@HPfs0KY{50nvE-u$Vi^*@%i zz=X^Emc?E={zo4Gi#?za?dbD3{HQ1E>u~q4Mo4b}hjj!!rY^xx!E4&*N!L#X{`td+ z=(v6i`)k_7PH1%`!v;W?>+Nl*n(DN=Bf?7uc#=JfU5CM13&!9MHT&yGV2tP0+40M| zTfx$h93N?ZwF{t#6ezQX<&S}l(L=t645T4``F_Gr$HFa*f{JB4s7M=o38>~b8}1pP z#wE1pOA*c6CMU?nPifz>*}9LpZ$@(BXK{keIjn$n>-%;uk@Q#DxZ%L7vF`PYvPh_~R^n1d%Nh)#pF%rsj_iqRh*)j;t1>&@aTk23POul~=@7=%>(maM&@Jb-j%yR1GD}0tbeAlG~gv24(we z>j(ZWH8V2!8n;fz5k+h;d*9yps|nvZ2TQaD1M=BcH<1$axZxkQhCKbLqI+`bXw+rN zGARll0TuXqYjp1H3+A-PNyC3?9Po_kDN=rNfB?p>eojvR`$c8PpCw*Pi=p4z(K$%o z9HMU18&xH2$bkO^$v$5Qo#Cvi(lQ5s@eW#bW6mu@0xOsW8LyRi!^`1l?p+l4mrwxU z#;X$#7>5i=h2v7iSASUp=kUV|X z?d{TV?M<+diL78%sJ9L>%|>PV(~~S$_jD+vcQNRXq13dr+y6POKs@hZ`;I=y0%H=g zur>@$XN-eGCJS~S3%M@))LToigVErZtq4*9qhSgHkYxtZ?uDwr{mNU7`C$^5OxcN9 zCuSt;9h`d;&8Pf5*lLa>`VILK7dbc(TNTXea8X>Fy_38W>_Tu-BKM-#!k;H1qG7vt z31K0s$qAHVpSB^31ERr!vWZh6rL^*r*CGz|YU)h=?Y;BgI)TzVN%@T{Kx5a%MIY1( zLW#sy!c`a4?qo-O3(Z$R1A@uGf0H}tQX=#eavhR^w8hdVi|QhA)+`>W?xuj^T+23l zeny0t5M@M$p&H|eEoCfxb=QIQq{>rK8E%p%UfgD{P(|4m2II5%1x!1kIPxlVFn~98 zn-drC^)GR=`rj+*LlzrtLc!bFa|%QJ3T_cYm9rQ$cG+9$4^m)+aRoF5_g!ISQc=(CHyNliYX8_Z-y;<3;UM zksp3KRFFRaV)qNUt&`Mj|0F$vF%n_er9|n`d;d*5(0|H1D@Uq1_Uh1pG~I`uGm@Yl zR$bK@VrdUR9E;R}k4Hh{xE#XESvQwD_Ds>zDZ5}BaiwLe624KsG=G_rZ-LeFw_IvX zcC+bXj0K6K9&Ry^BgJyn7@<1T|ekscFi z?n!?fLE-Se6UDuNB8}^qLgcz>OHzbv49848a!lLq{Vy*fe$uQlNAcl%$vBaMqwCNt z6pG`jCuouB!<%=;7Pm}T%*Koa^(os7D#9!C!!61^Md@6RXRYCS$jeKfMWHlLfi(A; zS8Stzpjcbpo`P|siZEHM&_YpzHI{r@28wm3dxb~qPBIZ+*l_3(umK61m9v70Nbqmc zkv+DHd{h@tHXw25RYI}a0vu~{GUQo?MbIhDbI~0l){@g{#UkA$K_5uIpn=dnNq72C zED^S3B~;Z%TW!e1&`A79=2;N6Gq(}*&C%V3q5>3_>$&b=qgh5^Uu5#f#6z>an+jegP`S66n`?}~R#>Z7wIv+f2V%x$=S`E@|KMpAd2OI-bcdyW9s{5t@Jc z@l-&NC?En~eZBPmKgn2G{RhmvUU6kpf52=IDv7{IiRnr2zsbx@VcB_>>JMpsbU_jF zfS|@e^6%f&+mG&@VCw_-qL|OCqxUx^=98EsI;EhMJ#*@ip39atN_w)iGGl~gP&0wS zNYjkal;?%Vberjft{F|DB0%D=B6w!s7ls9;PMCPzG@4*FDOH7=B&h~DwS(_Bn*O7q z-9Y`RBR%L-hDzzx9!VSLOhzh{oyh-+45c4nbfC zCGqSG;7klaBlJZgc4IJWO5VMWcT5-MZe#TH-2*c%8K`+3bF;5sDuMni0&UQ5Nrq8Z zz6*S7h(8w0@q}G+ZvOgixuA+wl4ZF^En9HRlWaW20a&=5&N8(zkl0<(u?WGr?0%fl~2vb-vE8G6Jpm513ig><#CT z;C^8$KQ>&ze24bg4qP0;YDz*`r4Dy+VW~2jj1-&MltnxpiroZDrRamEDvw8^zPO|G zM0P`4ShgTa8c=fxvqsYUp%fA%&?ja3)s8gzv&)A8jpN3&7c)wvK&ldxF^obL{Kmi7 zsbSMHTroh~H+)V&gP05Ts^p=;&FFf`?ccKRZiQIB*J8JG|8Qm~8A5;We+~qU11(}{ z9&gL+Sqa3rULd?{0Vrul)WXHA)$UJb{Tl&7YP=KMI+L}1fExf|>(6l1^nDe&a6m-L zRaF$QK+f5W9&3Sc;Z;y&YUm>J)jvT=muda;1M2)^$c5-H<8qowC*v0xJgc8)ljtl( zV?cfA8LP);zu%2gxrR~RQ)Mv*wNBOY=KxRO_y%=FB@;pHA4EG*;_ZmwrvWH2@z)P7ZtnfF;?cm64 zbr`FYqs68-&X>oZTn%#?Y{Qu-;w$2BPpXVKK>XeFjW@gVFFso|7`NKw8kq zml5rYgJl6)royGxcuCX;7}CCdm(@g2CP-05cF8Hto5sq54pm7BN&sh?ldsS1RaLZa zzENd@Aqg9qH#x()QN^?b^e0ie=&(O?6q*D<$oYY>~3UmRT)Fysa_ z5j4P5ng#&}n22PMk;y}N>@ekQp}d+S zo?itV=>uOK_W`=8yeHJEl&%gotI@G+Hv$LfH+`18>3V)Xv+&7wu^i`&O`zVWadqr8 z7}v*9^Ya?4y*Z(Op;x7!s84vPk?sb%>$q!#)F$}pBtCdZ-799=I383@rhj>ePRF0i zdX0}YkNeWz-97+#t&Q_C@qICa$XH7#kozpeIOPA`({*i-2d=I!_xmgrI+K$-4-ysZyApHlX$3mHALSq(uE z1Ei@bD5O-&0(;D)hIYz9WSnQzVQdxq_?nFWJNj~%~bRzZbzL;KqhAyVu4YiTJAVZ8dD7}IYUOiww zH6W4^6wRQWIj8frX$DC^G?3x%SC{`HrQ%i)@4L4r>U+7yeO%fm5g_FN1op2;>k5)jud(^hCPo zx?UaW$Mo5y>sB`wBkD8wB5%0?4Lr`^L4!cuS36{;ma@~ysv(J|7jARaN!Q)_lf>Man$D4j6^WHGmSwZwP0V64<0MA5C5tHr zV1ER;9K>e>3$_Q(MLG4NcrzRVG1;`AA^{?_Q@NAWF1PeL98Xa3I+_6uRkwT`j-2J) zY%%&gpKFC;Y|dQ%h^CwYu+OU-8M2S#wD{2yNuTw19C97U!g_TU)llOpb7q@skDEX* zfqEnzzbEA*--+ej5YDaY{UV-M>q3TcfFEm^qBBwu8|z ze3&KTuhsIf%c0(|fcpyoNi4Th2tW?W0Uif*dK@k8bMC)OMAUzf4=C=X7aaZ!<2)x{ zt+EwU=VlC@!j#N3yH}0HVbvk)@_z=womcEDgPi3eHxlhR;qEzMI5%$_#9G2JskbfR z8P;*KFaj3ROa(z=wil=xZFVuD%x8JVF_RUM0S}g5Wse!&rcEe%+nGkInJb|G$!wx# zc$Tm8srxV~XhvQaDyW5|F!|Edte)J5+J#G?pE zq=QU?M1(NtQx%GN&eC}WP>n+jfuQ!D0fq@U8mB?rWUC%Un+U4ni^zA2QO}N?NsA0S99`dKK}bOvN9~L- zXyJ|^xuvbw4xBY>A=`zCF>`M=&rqYhi(l(wwl9i0EZ%6)QqCaM{F8GNR}WG#|YBK zE18@kh;DvKpQXoA#k9Vn@yF+CeDK8uOzA5c{sS4ip^uO3bSbYRh)90#k%O3+W@J3g zSgRW~%7@a1aI}}dUi5tq)pZGsdC7)2Q6lJ~?=%4tZxedx#SCu7}q(n@i^EoL= zy6YioRs*+RHWAhp420^5G*Zy@Cr6{{FPhp=vhpJ5Yi%zQW=!glOc(;gSazZ4_%&`16)ME;J1=k$iqmDKYcM z2S~Or?E&Z&jp@jET=Thqdlw2h&q}~s0x_YRVE0g7N)e=o;AuZi6GLnlyRht-ALp4< zR5YpB!33oTYR1Usjy6+r_BEBpGMTQP=D1=}2sWEUhkRo>+$@MPL{ z)he$BLVqHHwNCJ3k(B%j)jG5i3x?Feo1=TKrPxKG88_DdCs=n?RV{DyTfzD-K^M z$vG7+YA|L|4w)YHrXsQJf?t{z9FBpdN5zzv1s4erMVH!|?`6a(m%Cl9r1|pn+3AqD z<0sXo>nih8ThW`}WXf^Yp=byZ7 z-dtz~CnaXqpKep-DiM0;MyITnA`c}(JnKFsw>D`v-To_Gg!wNcaaAH#hIy^6i^1cIV64?epEj9&h7!i`(1t+licR%E9zw z2w#rw$H({f%lZ8GH;kvk@M}dDf~&jlH*|NW*_#98j)vvBp3d&X z`Du}^kB^U@Xvq7=HMwok0A3I9Zhn?84=4O?@0@AX>=@Gf;&f!&exJ7C?@{v8?YrBH zn*%v*uY~7YBA=Hp?o{5`_vhcPo6o2Bd2}8kI!j6ygYQ%!W9#KN1m-p=woM|J1q84{ z+T7w%z26N8hEZ{t1KYKs`rV58wEiAgCvFK03$jKle`oVF7mbpe3@=$Gqm#fhkk*-j z`O_>Apt-1E9U1iDH2i6W41Qzd!duHRFfOV>Da|siTYyZmr)0l+0><&vn~8%Nu8KjF zt5(M;HGv}&^*vP}EK8hh-GkHm_qt|^sI*l(qP0yaNhucEM}Xl~v7J-u6mc;6nN?;u zSEB1-u6}D7X-2LaZ&$Ew8jNe8O~=giL4xH=i=T z8paCPtfYFb?Rp5gtY30ugvGKP_MKr$G*dq7mb12W&RGMYSIU9_*s4hvYGO+xWIt*d zGdS;8L<;>hoq9E*dgd4!ka{nG`2*3;kDZArk}VKl!ykpT0*>&gKKAS zKr=2Im}2N+^Olq72upf!nJL-*wseUP82lV<&U3T)#uy1hPJJOA>wG%VPw8<3PWnYD zuS=ckx7`cN-;6%I22UnzP+6FCbM;hU^&t{akpQMgNM7lRbP%#JFbdLT?a}ObSQ`K2~-7OjmPtsML@c0LZk?+Eo;!v_)D%B-;Hg zWhI*A$W%yFu*(}sL{%~}GxEUf5sJ~b~Tm9ezWq*Co|pi(b(&}tRN7yQ`Beio|&{48T zGh^8C#yMNMWV-#sv=(1*D5+oIgj2yK~>Q_T;sZxb+%_R-Rf9YYn$ z#0^i0e7N0uAfEF~+Pd@*!2=x~lQCx{5vmxW2`06?8X2n>8&D(>OKHL{ttyYX4o(~` zk-0lePhYXL&299q_jpeRr>WcH)1%)CAiv;X?fs~`mtN%PIxC_Jorx|ZaU>>UCm^(5 z{#RtsX{pN4g>6)~8s^rb#3D8zoH7|Z)Zouj56Bhu#PyJ4gpv6^x#fvD3lti4)oSeR zkP}p>i*6XY-2F=KEtU{Ok^{~M?MW8<&=mVY4v%dnF_U%NsFqG(0%uqAI(ak@lOF5e{NKvauZlcw>S9hI#6kaA1^! z-_&ZorFMd&?)-zp!WD~17|4FR>s+%0ihT}&qQl4N%@~rn#gbWB`DKrn8SOF*5zax0 z6b0j2_f3CYJp1KNuvGA4I$0CQtROCw2JbJV!AF;TsD|PCo8<@&MLgLxP2xU za*afV>{t3OWEFp+gc5{r5^<}M!)#JN<*K#d87fcDRq6zk%Fnc-+7-x;fs(tyW?MrSI&qs!IyY8>fkQstKh9~^3=my7kCmKc)}_v5gMEK|E4vL1QzadHnqN~ zqA~Wo`RqbNfiOTGHM;fwCAytY6|moN%Tve=(L-yO#!bKwA`)WS>ju7uImz0Q2aIZg zUW=BO^BDdlofl#3z^a31nE@dM!AT=mRwVbD*?q`+yjZIV74TCI z&IzRIg)c2Rf>?%VdI$l7KH)*Fo0_wRU{z}6*oCl4iH`fSQUs}v+%!LM*fo?jHX%5Y zy2glU0qyBE09Pbg2Hr3|*m#q@U-BV*eX@IXeE4iP93LO9cg)XMwDdo}2q_ixJf7ZP zKV09wI$vGA+R&TI)m(O@RHW>Ee{bKq?kO#vA1>$eX@g$fpS`)AzT_+ga5jFJcjLo} zYGe5@TtY_PhQ_cz`x3tVy`3Z8$bKy@`Iq`f`qZHr zjFebdSnl*xuIwOpE=-kr3H;(CC}}pcAW@PZCO;q-yR0}iC!)l#d)Fstd2SP{xQ-!N z6eNxyxj7-~nB2d)Nh)s3+q<>3soLAcm&Zd7+$3)4uC}L#D~4Z=FL(Px|Dpbz6riW~ zkIr{a&rV*RE^kUH^yf!cSMR~t?fcIcx3|5wo-PHwN)HF~w+tMqW>ZxhWx;NivxE>zx zYe9wS)!pw0x|?IMoxzH-W^heeDHBf}Q6GkKh zlndqeN-msDZ)iia1;EruhLt7#VU{*NO-&Do?4<+YED%vte+06ZWukU8LrXt9-!YUx zVeE(kl{I@>MrOu|-)CI;oekSiCS#Y6lUZ~UnQM(tc6D%DlDdkvY2>gYE0Y^xOe7&s zsA-rzPtmRwjW7-qFbBjcsBeH&^EXUu`T5fyG{q}0 zpU0qLgIm^cc{va&CbF^ovs_}CR#8S$rePt*m6+bYxdXWbMEl4tIEa(=t%7+v)Cj-l zMHNRij+=skm{$G-9*Br^fPE+LW)d_g$1q>#%GX$+g*U4*qfx-!LrznZ#rd6OMj11A zo)p6jxoG7jEeC;YdPRA(a41+VC=caHJg(vnpA5|N8Mr-*MnFO2^i=Y@hkv_;Xa`<< zU#Tq%no;FiE@PBNl)z|xjl&v#A?V> zUC}nfcNiOE9lIqN4vLcVz?av$RL#SbVn#G>JNut3xO%5^a z)L?2;N{A8ALvgDU{9Dn_omAVP+J`rn<7$sx!t`j#@V}lB7 zC8q;6p@DH*#1!BO#zJlXiwCWgu<-p#U}t9nGzbL%j7|eU;E4UNNXWF`rYc) z;s~s>R6i*s;)HXY(+J>(dtS4%-(~fP$b|EDr8^}JJChsAgdtG}G)|SyZ`iZi0YAtr z){9%u1&MAZDl#Ij1RWg2sGE~g=|uq)BAUhhYcpoVEeE0fodpAvUF^EyjozIL`Qbzy z1Kp<69Jeuk<=Fmj$t*MRU!?hU$6v*TR}1#u#pUgS7&oSWqNt0*M}kexWE_ zwZEd(bri%sN5)VL21JDt!+J52{OQo<#*cF&b4ynhEvdjBRnllH*tTJT-FhN-WRib9jW z8dcxlBdq@n>Qa>+`bja{uM0N3HeE339I#@B4#(4=PD6+&APcP|WT@=XskK6)Mh60B z%*xlIenNREomrY~wbrZx=Px<2P`Ij4?&PLR-U=lU)FfqmASokXn#W2VtV6 z`iWU0HYgKV0h^|!#I4rbz&%|GCp5z#i%#2d3-llGO@^?}1eKgiHO(C3R5eTZh z=u(*#X_+VCamxB(aGaQ^#gr&_C4Da#Be;M0a>GqKahIh(*a6dFk=eqNWp-+4n9y{ zPq9L)G)Xtq{MaYZ#`NMqzx3BaAn zX}eeKG^lo!dkXfb=S0C%ER+md+tI^D*FAa`9gOF;B7a+e+SR3sFGetfadt$pSR(t} zfeU~5D1XleNPT5q@>BiW;P`kFTEjnW=LV5+BDGw45B2F1Z?tc z(x%^WbWaeu&m zn?5fX|MM{Z<*@)K#dWj){bpsq0Uo* z>4_{dymext_2)cw9=mD)=%s=Dw$QwZpW&}szf-X;vp}jM+;N#v_8{a_7VB$_}*hR4JaLx00n1pT) zV*GB1TyLVYjObb)oxrjKW7dWGv9*!BJuPR(;I6wQ^76M*+v;B_lElUX^CYnIxA51~ zp~Edbm+!nfytrQ6o$Z|OJ+@xs54m#z9xNZ0t#4mqI%_@**neO>mi_tr2iD(q0Zyci!~dc6q5sf& zb)xM*d1e0tjh^ZMp!KOu!5@uYh*^Z?AB}zz*b6tt*%TlEDB>k)a&<;+*u&%lk%?Qz6pZx>yg?wN6biG6}ixcWBxMrzxRRnam z+ebGR?hC*9`ez>GTkiOyyL)|n{qpJg_Uiue_0`Q)hE>_S>&wSi-|)@bSNnt0;9+_m zIrnY)Yy0&2@H&mh``hDt@Wlsr`~2pcZ@q)>`~8`m$^A0hc78du`)cERYZ{(!-sL*k z%_r^E-Bw^T>x-A0WccbkCmlNdn`uW;ar$^VttP*&l?*yGi zEoNU7NtapkIP^HDhd)OLv+DY^fBA7DhmW@K^T~w#G}Utk&Ufl!_!{ney@dr|@U@L1 zzbBUB9RDkv&z3%?#5X(WaWmiNOIU83zC#qg;qwDsuK!|sV)18aJci>|BQ=&)x_uQL zjpxLO$c6(AHjNfMYN(pcUoj#h)ynZjV4kmN9p9@Q~Y{kk$TRS%}E9 z7j|ROOQW$To?rzJUXIMbu%apu#_c5NgszskI!|!&&&gn$oqpmy^4FYDv=SE*!ym1Q z>)c=7xw*cR}Uenb7=PBB+Dv?`_b? zL|`7~jz*Jaj4C=KF5w}zUg9M}rex{|(T9zmAVab3v(-B>H8C&-%PbColfHK_`K9-<2W!G14to!> zLKT1;PYhrf!iXvS{P?FzvnKo%jdMsB<3cbkfMNn*B8e_ElsI^eyLMh%z`Z<|WT7um zAn_cdELaKwoi`jZi;v9RCaM^61a@a0mzqL&s&}S9tj`$A383k=8_$|)uo+G`8FB{sjlK#dGu7^GO)Vz)F&Km^A_#8rXQU!nu;OUYsk zW@m%9Hbrh40wRVm^N(CEaOSZZy^qvaE^u*>{iDl$SnUCV(SC7OO`i=qb@KyYd~GF9 zMUJ3W2Vk91LmIpMs>PAkR+uiCzgZ}KS_Jc1!V{8elz;yURk;glwr+n6$hSdIw_L_p z4rUU0(!jSM_N?!ul(xUoKA5Jnj>EKx}+)Qb>$PPn0cGbt|TqX zkT)L;+pE9}Q^?LomGE~-nI zFI^D{n0$C@rj{h&BiG)y7It@12vSH%RUw>Us4cuipjI*7k&q>HMUq;d96=UCSOj_H zb&t6Ewi@gM=Xt_7Ejvo3l+YDS&&U#fBb2JPuUz$nCs+t%26)2dPmq%ju+27WGb;?$ z#ZQ=S!s!Q#==}D^FDu6=Of7)qfrsAZl6AhWw}2+XKX#7LNi&bQybR>8^!f$|#tVyFS^8dvjV$ zVG+bvDw{P+lbhvY5r$;qQn!J{8d)1Ph?{eXPS$WQ4|DSF2Ihm_m7i9eQ;*)`{_WmA zBn&bc2+^)4fv{vsU0?%@0x5m1Gq*tXeA|d)MxZz}tXiD(bWqSWHrFIyMc8J6l-Ow7 z^)_eo7IcK2&2AufEXw@hEMBK6NU7(F!+d&mpBh-_D*wvuSSG$0`7%L+9UQrf6j?=_ zIk_yAzZEyaG+6Mk(SbS+pDJMhSbBu3`UBDlek$WMs2th@J&SGS1zll-k2q*y5UpZW z-c_Jv_#;0>x;TRRdV2PW{3B8nLXNteKdTdvMiHE~Aa@-5cO%jhgDi?X$B`S7{#!l` z*Q!Qnx0rIC>Sq(qb|Llw5&gy75s|&#<%uoJH=%#^TO)KN!Rv8;B6++y) zDia^6RL~fYu=e*dR&&V=#s?n|KRFs%km%frgj6B!Kk{TjF+=`S?rFWPr7!U;y%bzoo5}sGl2N?lJjxBEYt@Hj9vQO zg9jfvv;+L4i}sQQj^;1$&Ap3j?~IRx^94rllTNQ8NO_x;ljzQL2+<5Xc2H+%J}8_|^;-hE#v? z6K23{_7P2hR)L((yV4T7iL&VWl9d6}oonRLLMpr(XOT(CMm`t8fD#iW%b~jS4Sck_ zzY)NpGl@#E-@-93@hvCF@KXn=5L|agxd-~exTuRBFpP(x<3J zXEOqJsF~x7cYj}HoZZ}a>`y_TsFtqey!7T=y|Hlu>cPSjlyUdtkl!rIdhp*F=00EW z8<|=mI#R^&;poFFjrD+rl{qkLFydj}iJ=$X%+z!l<70VAf0S*{f%wY=9L%F9?6mHX z=40wud(Exm0y8ng3m}~xvW+vdHGwR$Xzr^cZWG$CYnZMQtY!mZC?)o-)F@{H|9B_G zf!mQ2T+^gD53>nF=bv>>iLRW`_eOubj+V0op)Ohn`dC5v-{*(&n9n$7SP3?9x{e}T zV?~v?>6sNF(#k{hPM-`o?@EyzLGU=b3)JJr%g~}3{jJQ$4xbrESA0aa#8aoHSs1MP z;!R@3Zqf=OIC|p870Hsj6^Kkfn4?gKQ&9Tw00uHSB*>R*?`VN}isg0BzA(QCKVPn2 zUp-8}yg58xY@ePkZ|*;N=?50RF6 zo=xDl89iTIKE0WLz?_k%?Tcj_KPE&w?TZ030ev4H%l7h1=r;H?h+{ZO{U0vR{*QM? z_jbtef5zp^|KW1joV}k-0cB0xf=cZeIDUNt6zr>3X*8KEqZasT?7k2{73|@D zZkMF!rrghNcDP($K7Ho!@r*`Z-#=WQUEjVuyEx17SiHZzzkeM}-@JZfceWngE+3{1 z`~2gb@o@DpqyKO3jM~56nX`YqGs-9Q+z*SdZm%9rPN!e*9bDf&F|qy9J+R!J-ou`o z%j@fhWWH)&o2#eAUk}slVz#m0GoHiwwxI-FT|QaX=flS*TWARGxv~OlSnnQyO>nRI zx?FtLjJMGDceC`q^z``q0$!i)!|%`L4gZnRXN$jHoxgcFF*#zVBFI#7VDH!Hzr^Ht zuVX`XeF;6z4t#t1K;LgZ+$plJ->=8veK$+eDy_y*hIOlkb3Bc?29;M`o>gg)Ee=hJ z(|Q15?%C-5hPdRy24Mr0jW!dEt4gUwy32ABtYtnHf~}LHR_~F?jL1x$V9@na@2P~7 z%9_N0wKX*TLLNup`~s!l(a&JqlPjAnOPiD39wle?a4i2wgyC}H)ij+GY~;8VPLGo; zGOYcWhyY=ygv%#9*#^w)GeHI6f<}w`@pTa!g1-_xo;nJF`(sSj%0b;j?&H`~<0@Br zP37faPk4ooPiJP9cFda2z;fky%&fBOnFIHW23Qzx;7NR?pO0bU=e*7)NyXSnK=x|< zA?7vl+RviIR86hrO0hnk3l05l?l1`B=)u9jd`hHrNl&BaYAnsfxV-%kVS^kf^t?Kw z;-U{ARJ`oV!CuMqtV+Wa1~*I~?>r`8(?l5A*B-^`&CZs@Gg6oJxuo#+b|UFw^!Clu zSKu3X)ts?~@j%(F$V+Pp3Ro&az{f(U&l!v{^cXB-k_WOfVo9J&cl2|9G64hK(b82P z^?s$PN*NkdG$xo;>T{cM_gWf7!|stH4D~%gC@v4qK!!8ym*B){%5g+FA+o*2Xsk;^ z6FLxH^`$#Rx@W3O4s12@DE=B4rZ7t03l5iLVbPGPm!uTUi#ED;9_7hL2BFrSkC<6< zW&@aeD~4a3cX0t}Nyx09Lls##p~jKIl_E`VAj@lo5HIlokpVlHk_4O$J(#P_-87vq z(Vkt$!rxrevAv}24EO1iev7es0-uTM}lW#=NGBGt=(p?47- zv0z9M>?Y=*YG9=m(RXnra+NxwcEaQI)@7vj=%pkA3`DA72Y8Kk9ug->P5`S>5jJy< zjk^IUk5oze^AhbB3giIj-*vW$E?NS~v!(qDaBALijhZQhAS+|-+EZ<+8-S(d1y%bH ziWos*P+W@#VVeb}?5es9sUL6Z@mixh0-RGNm|suB5}T5#GKLhQUM{A*pv!@My5Yp? zj)yr@BJ9)$mXRh=qf!#*H<(0H*OC-CLb2l0J+M${mNarVcce_NB?pX*hX3vagMB#< zQyha#Ss)PxXVM@l=~0O13v6adDY9DN(!~QB1v#|QwbmuK*(}f1y?_3O^QiQsQajuI z;=_TUvR+hmX<|hanp?-EB8WuOSm+`o1mtDgnH~}X+;U4ANr(8GD3`?Gjx*a428?3M z?Mml>q9EMcIQOB;TMlig)rRG6fJzjG_+J@;Yv9vYF5Q&hU<)CohN*OjswvHws+w%T zxDqk(9)HMQsieYH3ZFr)6L9aFW`uB}_YWOakFj&8MhVnSH$!pGy&wUG!7#0F+=Jqb ztVxXP<|Gpq1%b<2h<^6A-p(~kQ%9}#?WF&_sse_P){31ISCj|08Fhp9OKd8C&n~+q zcC#*^_@_@=6xLXlM7U3OI`gN!{es}+t^)BbTa&=Fd}F3N@c8{okDVyk4v_Te$yZ-S zs1XE4ChZ9Zvx=$lm03&<$`-8CwTWc;rhF^ti2;)EpaD+j! zl{CWcY-66pSXNcmZP}6#tfCXlODr14$`hdeHEn-XOq*cA#x+I|s@r}F0E?-zA_Fl^ zQ5kWGh_%+Dohqg=^6B?@DxZt4iGEg>`_L#E*A@j*Q;o9)=7C#fMTV4}9eI-ia4Wo^ z1;7^6f+@%rF$B6$<{ZqPe@otHwED@TG=YS1(QP5?lbmQkmzI2bdHh9>k74>Zj2${M z;t)ifCRruzmjuN%QOV{LFm4fl?wkeetSccGPH3b)j~+FkawHr)hSTq^;f65otsBv* z95gj-4*jaA)Hi_MPUVFdQy!28|MmD|h3L!-%31LBNMI~An}Hx%)k>4`Fc2!PC;3O* zn)K|A%G-t|onglNOm?cuilBDvippRUt|3`bo`_bbm|*3$IG*Toqjvje%sE@n1wg=Pf(!_5{l8ghBu z*;}n^fewjxg>VmsP3$6coW?kUA+K0i70&*@ZK-w2fIlDP+#A9hXn?=P@0}F*SEeiSY&F*= z`u}AUqR)LIgx`IfP`betbP!TNh)}5X+h*3`azdBh;38ilxr^7Ch%?dCj}7tuUzL4j zP#l4p?cfre;OT72pg?6g|Ui7crtZMRwHEMHExoZN-G3t4%!Kl)^NWD8M7 z9#%;h?RLL~Icqi7@@XR$x(1v?dRiLc#ez)9Hb`&F-zkicKiW3C*JCk*;*#Sjx;rR% zLr>#alhG4a%E?S^%4TsERsgEUh*iKvo=3BW-$B@|+Ai{vA-|YG+42@BQj@sUi)PazI$nR_4TOO+G3y*8rpB4oO<}kx3$@s;R)A zg^MhxFf$o}<}|hYLwO}iJJ>lYZx^g7sr%0vJSw^mHN>%BwE2hxH}WRRDTd$Yap3`t z?2+SaZMfW>AFqEAq3FYjY)8lbAqL+uoXb2AATX7&=xn2qj5UzoqC8GnajZ=#Dq4X8 zQN@V5^V>p65^?b8xIf#p3n51*K9=4z(X&i`H{iUx9a=V4GK&o)xtmw7?=zxeFLx>G zR2a~lxekgi$2epXp0+>l&_FYa%}OF2IvjUL(3Ef_F3)Qr#=T<{z~7+RntVnTmC=tI zsE#wHOPE8hQBB`=5(#s#N3cb~9ot)WaTyi*hQ1BTX<&DUt#H284Wdc>Q+D!EP=ZtS z#D2r|PrtjidHQ9V&<<+SurY$G=68-0{9AQnMWF3G7TW0gO2efzZt8sg361p6*CjY( za*nh9R>-|BcX7`^*%eI1{LOuqoe~_8UV)o9Sm8-(?J$$uYT!bum$lOU zX}Hj4IyDz@Db&mO&dy=ahUcp}cfbfb-{Pd`?1bW8iKg8nPbMt^&-aP0yLbATW~sEU zoRb()p>9E1!-gkSzDM18F-u*+AD6^5b##_^bso*?PaeS#AAZ(zsJ-v64{r#}>Ue(i z6?h8_y#lUne3wr1%=3&Ks`7Lka4xS$AKby_PkVW)`W}lUlOYzo4GN>E5t$=2V#W2Zvwmd8Ea_UrZ;5&rIc4Xc<~R?G zhO>Xs9rslOzN24WJhyn9zq)yyZFIf(2WmIh+-^Vld)=L0UY}o_u2Cl|-rhcV`^QdQ z-M%!R-%s4%U5?)`jtj3m-``(!8wtI2c0GN!+G>A0Zt&cC>)P8jX7$-Re)MtLDf*87 zR@FXs^Q&k-!YG&2Q1~p?|M2AOY}5W_qx1EY&+e*50oL;|5Fh=lG~B}lp{p5W#|}Oj zh$)7-1MHKZ!oNLT^KC5ToFe(IJ(_?v$sF*hA@67bOYhzu3ccqeI{n#T;{Ey_enm8+ zZR~$Xm+pQj)KpZqSf2dgP4aNGv$emnJ8Z=3BKYvbXhXO?5j6RIXjiS%$dc5eBttC& zyMb?i6#uwzJ$b-Q68V`g`oOUuN=CC*V+_(;|f01KvTUMl<8|> zN=(Ju87bM}ju$}3$B(GuW0Q~LB-4kJGs&tLGr$|Sfb(UY(ITWILLA$WipAjM5|U$K zixWj{DaiT1(0#1pvi9@tbgR?D=2AIbqOhj*QCE;7P|cy285eOOu;(n3>~|lYFg-wV z!T82}&`)`w2dyz6!M5-uxJh(3BNhqG+OYPcT7M$Tyjge$7MIz^jk1id-7a zPS5l?F~qLi7a-V2(qxCG%K?ic<_ycRs_9K0H{f^U5u_^pZF0#QW*OWr#h^>kW) z{IQggln)jzTXa^{+p>qdJZM$((f%}0MMG7Zprj`aN|`8}*O6}>jrPlIOo=aktz=*$m7(ewN8>Y!bR+TG5v+v9 zhgPu{%=fqn6+{Z;v?)jdNSX=meR<>=(UfH3ASK*zb)qPpB*8N~y-1YAY+^K#|$DP&z6Y+lOzcQ7_b=!SbOmGvBNa+>|^>5yyT*_4%-&~p4?aTRbm9Uc()K?z3$H%0}nHHxmJDbLB zM$@Z_V^E}VTp61U_v$_BudV4VsVtzwh0JFU_ZAbSNq|a&)dfAa)TN3)J~Yr0B#yd*e7G#_5Ie4C*=zvA%jEf~X{lw1wA0#2leImnySD_;EJ7niz~jLZcBU6LAOotu#Hh z<>1Z6cnZ-MTe{4Nyl4aGd&j~4A4a`y@+Ke+zG2ILr6v3Fh%0mA6Ku6Yq$Y&Qu|@@E z6zN8sC1IiTXq{|Ln`GxXs@~dJcv+?-cjYsMsX%>=XZCFDd~f@Ytu}=p-z8u5jku4j zuca2qW=3gY&*(J>m5nXmM)2eIDgO+3!e>Y&I5HF^h+{qE;AspY8hBcyDZ(R zfX~^|pz69ch2+ zrUdEoNY^1XS48rPwj+lh3Ae4MuWwCPuZUqs4<>&%GI#Y2O&vXUW&;J9A0Kb-yaRrM z#t$!^ubnP#`tTx7US5e-j(-hZZ;Z{4ZNI*CwUb5rAMJqK0sF+<6VLoF9q0FXdxZSw z_p@hKR+J9aLTqow3|;uHSpGu~=rO{b96_?MEK+~PP2^}M*R;l#Hq{!X9&(WLNL9NfqVbq7mdNnbfS&B!5Z|n^?D0U!m@Gw2uz&A*RzSQ~&pvCkw6B~W^XgTD?+e7N#3zt&(m zwYdD~<9*zv-8JQDr5p%y^fXdn%Tx~34h(F&d?n3`&GN$8+HWa?y>ooBia0%4sM;9Y z6~3tXo~L}H2^1FIziSlIt9e-Yb9u4St_|#Z<24H0(E1);{LJz&5a>nsH$Oa4g9m=& zaX)Poa_xh!nIgNLf4!JTkR0-GcQ{l&BU2nP=?`4Ua}Z{+dRs0hc>P(UcgO#_aJ1=J zx?(8UbpOht{dOpC#a(eWI?F2T@#3x*xBh(!SnapjdH4kheY(Q}J&pTns=c|ds&m&D z+}g0^)A=mKEAlY$@?@U0a@xF?Ooye$;?kdJ9!0LiUBxj?xfgvA1QpL|N1-~%!CT~u zvJa5zRL5#dx7ZW8IocJdR*(Iv&w!nn?rceHJra(Lmg~0NY?S^%A*@*l(q zfNld_qY6ct5;e?G30SFGz2JETmK0Sg>K337uSgT@NeQUbS;?=CY6()r>aE7N8c)kO zF)&wQpT-(PP3@txQ2|oz6AQYulxO0Az0^fuL?T*%B9;^q^O&5f&LswEL9O-rCO6!= zzr#Ct>KzQt=`T=rT0zrA%W4#c?M(@HHQ#5@D}xuP4P37LGK=i7h{`jkz^hZoM1!ASjE z;=qMtUWG4!U2-QMKUE~_P_rFs25Vbg4<+Qtg6VujL!Bmu`|?E!=o!JEk`XQ8`b0k^ z`z3xjq+k!J7$1ctc*3A0)@u$m=-G3&1^(al>7yeWnuH%hvjJnNtsbO^?)e!>Eym!KybxSK_9=r8R*zw zwKLCXSukF%I9_r$TW^y9T%^_Q%;81^&(XjZ`-KSB)drxVh7k)N^m(vmB4Iesxbt4( z0;cH0qk;P0Jikl7MJ8x%4S>J$%Xf%F`8rgM0m;Bo3pyyo2nv>-5VWSS&9sv#Ayg%`dZ>@GG!3bIZEueD>X9q zs6|^{6RQ?anE4pQ*%N+mnSB*omAVjVe0vjdJ*Z`B2bXSJDM59mS(QI_VK!gk59<3Q z7*`|iRXiR6?Pp{+ywK8F2F%~XyL?jdl$Fp_>;hTcLF{OwcsK3n*3Bh8Pwx$$?h<4cT z$In@tC~xwm#aLD0*udQ3S*Hc3J8;C9LEY(pU`0%8!W+%vjLkCjP8_mc?7V?4=$ZO( zO)#>9mVig{$AmsCA{Fs6_xr-kcTM8xt@YvGIE{Fre0_RFc^g7M2l059o@;T3SM^4` za!@~=_V+J^gkY3st^%<2Eo~y03o;@)L?%kTdX=tJN5XYsLgSNC9BK_F;Rz_Adc!qz zaXw`ASJ^&DeG0$_9Y`n0MpFr}F42Z(>$q-QXV`IS*;1zyRqs ze_??|@01qQ9w2yWdZl=}US3(-I(~sX$8L_VuJ(`4o~}*Rv)YfU96fW|+I>7cJdV3Y zsytUL)|tBS9-jQ1p5-U~*MU5Fo_aaU&3UuE8~v{*;<+1xd2Rfx2$>s$WJj9cpG{U_ zZpl;w*q*xMWz0#M{tA!I#D5A852f{-|CR9gR~YhN!eg|EAL(xxlAHHmE{rS0g(;x? zswfor=7XguRCNx0t?ILsDs5ppMh6q8_o0*f!X7No1(vO#IbR2~6iA%)p*iHxaPM&9 zqNZQ$3eKyOpt2Y+qPVfBVeeaExzNS3E z7cX^i{)gL@PS2)>w~h3S$HBJ6_V)Ycu9W?nCqrSy*TDJmx0mxzK&_prl4ilP-L6SH zr{>$YpB{BriG~&;KS@#sInH=GeH_j&PcHUAJg)Z7OCX2`lTOCpWt5EJ*E!}hAP0)p z0UNOADsc{yJnn8+GU(Za&sF*`YKqc>vjv@c{y0Ci>AU5b%~CKt$8yh=zTqI!mP_UqOi)(Zec3GDaYtp& zAUJ}htx#zbE7-|1A}}qT1|az`V9n|E=BAMI{-&!|sy$m&s?21-lpVD8`Xw7-NRAc* z%b{CE+G2y8758zRU!q0@ZRx}KUUHBeK^BKf1xIhMbRqyt zf;-Brg4w5j&CdkNM9P^T(zMb%#8<+xZ8M!&(Sxz*E`^0jzxd&lwNBOB-WCt*NJWR7 z^Cs5!zdPa=j+SK-57$4169QTUMWmg;R$xhY2JO5B^thFDk` zKL>}2RSY0+%M;`rND_+cPTo}+UY^f?UntEo?F1_u~#Cb=!vLgnz{0YqH@(6l;G8g%ci`{9WGG;EEi zmelw%n+@(%f+ce3L%#e)z}z(h!wfs z*}czH9iC`4CFzmlO|@B7C4k}Lie-jsrLO|Ws+WIL9g+e5l$eFZdT)s#&Fm2~E%2Vy z&X(7Wu*Vx4i|xHM7WBQ`OdW|LHA)#hN3k6B@Jw_%-sH)Q68Fu~wyGX2loLNbPuehB zF6Y;=lHm|p7&L6DP~P{k=n{^Moth5zmr>)kt1LTZ&|!zbQ*YaJ8NSTbU|uOXGWs}e zg1wA2@IL(B!s?n{qFLOn1c1ehPCl86d3s019k$n-T-|;Tuv2Jk`E@5jZ!}b5f~SkE z#!TA(p>dZfV?hN4OSB$eR`McaKwz?7^|m#xnWG-$G%-C~Rr{3=Q6=-rY|9C)UfPg^ z{ZQJx$jHV0Nek`)m3_4O<|3qz-XJi@F`KolDtQ>#u*N-d!954%!$Q?Balq# z{l_;CttrF-tANQumI^Xqem- zsW$z9gEFL49`eF-HHCt$e%MM|S%1ta0xFnV1#xv&E<#GF!P^S)b~Vp!f*y{C3i&{3 zlU4S0lrPdu7gRahSH6g-#QM23cp2$b?2Z+55?FhS_2#!i(Hzv1&;HQpJR*#tIij@+ znRG5*C)}r)DLtV+r!pyQqX-UCufBsDJ!?G?^INUr?dz!A2u=iAx2m$zq-q?3RMKCq zZ4VgwKwX~(*pth$CDPf3`HISDVS+4=5Q52+rI#`Ve}>8NZ72R5!Ztm)U`Nd{;>T)sfTo2yWi>1vMK&8u&1>ST8lujjuw-eYE~th_A6Us_yb<=Oj&KWAq#kyX zJ@Ha2{B=W+S(YEeccREKKXtTr+q$ZPxf9{E^tpDKzQ$6b4`s94AH!0{ciO>cGp4cp*!IedODQL6UH@Yp6UFZbaQ+1P7In6c_1wHl zljVlM3Y6^^Gqvxw(Za(NOQWA`D~KVK0nyL%RJh1ubU3@%I4RIwd-VKKMD|EcDr;nD z!52B0LmdYcoT=^Z$Ii5?ClkyleiU)bM~eu>)>BM=~%wnyq z&)(JBsW>a@ghMJ@T2> zma%~JO&%Jw&K9zi$NuPOwJ1(bnAP!9vj(I52)KEi0jiIg-(c=9A{*kb+b zSJv<5`*-|G1=4gy0Kk~cNR~?WriUCK2gQjzR?#*nOEDoedeO?0?J1E#03Oq{Or%IA zrQ*eRz*Hw~&da8c4a)oz|L`kZaTz*-J-RvB@4C z+xr*(Z*Q&vG^d@UzAWuq4y0=@0i9aU%DXK9$aS?f{ zB_cK1Le2q^V*oe+1_1I40s!#;yANWJPU34bxFU}Bc__hk;v1HKhhhI0n7Jtgp<_$= zkKN+W9@dAf|BiV7Ul5R`oDj&r){*0`rff0(I|SoDLm;Qi?h#}WcFaRv9T@na!NBK0 z0U!tDI-pgRh08@FWB`y|7~+b%w}V0uuC@{YY)~2$`G#M|-yt0G|IGJ~qAYuR69;n( zm;Xrj$qns3r$Wl5p^_?( 0), .in0(difficulty), .in1() -); + ); reg [7:0] thrust = 0; diff --git a/Arcade_MiST/Atari Vector/LunarLander_MiST/rtl/llander.vhd b/Arcade_MiST/Atari Vector/LunarLander_MiST/rtl/llander.vhd index 99af5b2c..269424cd 100644 --- a/Arcade_MiST/Atari Vector/LunarLander_MiST/rtl/llander.vhd +++ b/Arcade_MiST/Atari Vector/LunarLander_MiST/rtl/llander.vhd @@ -85,10 +85,10 @@ entity LLander is Z_VECTOR : out std_logic_vector(3 downto 0); BEAM_ON : out std_logic; BEAM_ENA : out std_logic; - cpu_rom_address : out std_logic_vector(12 downto 0); - cpu_rom_data : in std_logic_vector( 7 downto 0); - vector_rom_address : out std_logic_vector(12 downto 0); - vector_rom_data : in std_logic_vector( 7 downto 0) + cpu_rom_addr : out std_logic_vector(12 downto 0); + cpu_rom_data : in std_logic_vector( 7 downto 0); + vector_rom_addr : out std_logic_vector(12 downto 0); + vector_rom_data : in std_logic_vector( 7 downto 0) ); end; @@ -141,10 +141,6 @@ architecture RTL of LLander is signal halt : std_logic; -- memory - signal rom0_dout : std_logic_vector(7 downto 0); - signal rom1_dout : std_logic_vector(7 downto 0); - signal rom2_dout : std_logic_vector(7 downto 0); - signal rom3_dout : std_logic_vector(7 downto 0); signal rom_dout : std_logic_vector(7 downto 0); signal ram_addr : std_logic_vector(9 downto 0); signal ram_dout : std_logic_vector(7 downto 0); @@ -441,7 +437,7 @@ begin -- data => rom_dout --); -cpu_rom_address <= c_addr(12 downto 0); +cpu_rom_addr <= c_addr(12 downto 0); rom_dout <= cpu_rom_data; RAM: Entity work.gen_ram @@ -603,7 +599,7 @@ end process; RESET_L => reset_l, CLK_6 => CLK_6, CLK_25 => CLK_25, - vector_rom_address => vector_rom_address, + vector_rom_addr => vector_rom_addr, vector_rom_data => vector_rom_data ); diff --git a/Arcade_MiST/Atari Vector/LunarLander_MiST/rtl/llander_top.vhd b/Arcade_MiST/Atari Vector/LunarLander_MiST/rtl/llander_top.vhd index 5e161c1f..4836586b 100644 --- a/Arcade_MiST/Atari Vector/LunarLander_MiST/rtl/llander_top.vhd +++ b/Arcade_MiST/Atari Vector/LunarLander_MiST/rtl/llander_top.vhd @@ -64,7 +64,6 @@ library ieee; entity LLANDER_TOP is port ( - ROT_LEFT_L : in std_logic; ROT_RIGHT_L : in std_logic; ABORT_L : in std_logic; @@ -86,67 +85,44 @@ entity LLANDER_TOP is LAMP5 : out std_logic; - AUDIO_OUT : out std_logic_vector(7 downto 0); - VIDEO_R_OUT : out std_logic_vector(3 downto 0); - VIDEO_G_OUT : out std_logic_vector(3 downto 0); - VIDEO_B_OUT : out std_logic_vector(3 downto 0); + AUDIO_OUT : out std_logic_vector(7 downto 0); + VIDEO_R_OUT : out std_logic_vector(3 downto 0); + VIDEO_G_OUT : out std_logic_vector(3 downto 0); + VIDEO_B_OUT : out std_logic_vector(3 downto 0); - HSYNC_OUT : out std_logic; - VSYNC_OUT : out std_logic; - VGA_DE : out std_logic; - VID_HBLANK : out std_logic; - VID_VBLANK : out std_logic; + HSYNC_OUT : out std_logic; + VSYNC_OUT : out std_logic; + VGA_DE : out std_logic; + VID_HBLANK : out std_logic; + VID_VBLANK : out std_logic; - DIP : in std_logic_vector(7 downto 0); + DIP : in std_logic_vector(7 downto 0); - RESET_L : in std_logic; + RESET_L : in std_logic; - -- ref clock in - clk_6 : in std_logic; - clk_25 : in std_logic; - cpu_rom_address : out std_logic_vector(12 downto 0); - cpu_rom_data : in std_logic_vector(7 downto 0); - vector_rom_address : out std_logic_vector(12 downto 0); - vector_rom_data : in std_logic_vector(7 downto 0) - ); + -- ref clock in + clk_6 : in std_logic; + clk_25 : in std_logic; + cpu_rom_addr : out std_logic_vector(12 downto 0); + cpu_rom_data : in std_logic_vector(7 downto 0); + vector_rom_addr : out std_logic_vector(12 downto 0); + vector_rom_data : in std_logic_vector(7 downto 0) + ); end; architecture RTL of LLANDER_TOP is - - signal RAM_ADDR_A : std_logic_vector(18 downto 0); - signal RAM_ADDR_B : std_logic_vector(15 downto 0); -- same as above - signal RAM_WE_L : std_logic; - signal RAM_ADV_L : std_logic; - signal RAM_OE_L : std_logic; - signal RAM_DO : std_logic_vector(31 downto 0); - signal RAM_DI : std_logic_vector(31 downto 0); - signal ram_we : std_logic; - signal reset_dll_h : std_logic; - signal delay_count : std_logic_vector(7 downto 0) := (others => '0'); signal reset_6_l : std_logic; signal reset_6 : std_logic; - signal clk_cnt : std_logic_vector(2 downto 0) := "000"; - signal x_vector : std_logic_vector(9 downto 0); signal y_vector : std_logic_vector(9 downto 0); - signal y_vector_w_offset : std_logic_vector(9 downto 0); + signal y_vector_w_offset : std_logic_vector(9 downto 0); signal z_vector : std_logic_vector(3 downto 0); signal beam_on : std_logic; signal beam_ena : std_logic; - signal ram_addr_int : std_logic_vector(18 downto 0); - signal ram_we_l_int : std_logic; - signal ram_adv_l_int : std_logic; - signal ram_oe_l_int : std_logic; - signal ram_dout_oe_l : std_logic; - signal ram_dout_oe_l_reg : std_logic; - signal ram_dout : std_logic_vector(31 downto 0); - signal ram_dout_reg : std_logic_vector(31 downto 0); - signal ram_din : std_logic_vector(31 downto 0); - begin -- @@ -176,62 +152,62 @@ begin LLander: entity work.llander port map( - clk_6 => clk_6, - clk_25 => clk_25, - reset_6_l => reset_6_l, + clk_6 => clk_6, + clk_25 => clk_25, + reset_6_l => reset_6_l, dip => DIP, - rot_left_l => rot_left_l, - rot_right_l => rot_right_l, - abort_l => abort_l, - game_sel_l => game_sel_l, - start_l => start_l, - coin1_l => coin1_l, - coin2_l => coin2_l, - thrust => thrust, - diag_step_l => diag_step_l, - slam_l => '1', --switches(15), - self_test_l =>self_test_l, - start_sel_l => start_sel_l, - lamp2 => lamp2, - lamp3 => lamp3, - lamp4 => lamp4, - lamp5 => lamp5, - coin_ctr => open, - audio_out => AUDIO_OUT, - x_vector => x_vector, - y_vector => y_vector, - z_vector => z_vector, - beam_on => beam_on, - BEAM_ENA => beam_ena, - cpu_rom_address => cpu_rom_address, - cpu_rom_data => cpu_rom_data, - vector_rom_address => vector_rom_address, - vector_rom_data => vector_rom_data + rot_left_l => rot_left_l, + rot_right_l => rot_right_l, + abort_l => abort_l, + game_sel_l => game_sel_l, + start_l => start_l, + coin1_l => coin1_l, + coin2_l => coin2_l, + thrust => thrust, + diag_step_l => diag_step_l, + slam_l => '1', --switches(15), + self_test_l => self_test_l, + start_sel_l => start_sel_l, + lamp2 => lamp2, + lamp3 => lamp3, + lamp4 => lamp4, + lamp5 => lamp5, + coin_ctr => open, + audio_out => AUDIO_OUT, + x_vector => x_vector, + y_vector => y_vector, + z_vector => z_vector, + beam_on => beam_on, + BEAM_ENA => beam_ena, + cpu_rom_addr => cpu_rom_addr, + cpu_rom_data => cpu_rom_data, + vector_rom_addr => vector_rom_addr, + vector_rom_data => vector_rom_data ); y_vector_w_offset<= y_vector+100; u_DW : entity work.LLANDER_DW port map ( - RESET => reset_6, + RESET => reset_6, clk_25 => clk_25, clk_6 => clk_6, - X_VECTOR => x_vector, - Y_VECTOR => y_vector_w_offset,-- AJS move up y_vector, - Z_VECTOR => z_vector, + X_VECTOR => x_vector, + Y_VECTOR => y_vector_w_offset,-- AJS move up y_vector, + Z_VECTOR => z_vector, - BEAM_ON => beam_on, - BEAM_ENA => beam_ena, + BEAM_ON => beam_on, + BEAM_ENA => beam_ena, - VIDEO_R_OUT => VIDEO_R_OUT, - VIDEO_G_OUT => VIDEO_G_OUT, - VIDEO_B_OUT => VIDEO_B_OUT, - HSYNC_OUT => HSYNC_OUT, - VSYNC_OUT => VSYNC_OUT, + VIDEO_R_OUT => VIDEO_R_OUT, + VIDEO_G_OUT => VIDEO_G_OUT, + VIDEO_B_OUT => VIDEO_B_OUT, + HSYNC_OUT => HSYNC_OUT, + VSYNC_OUT => VSYNC_OUT, VID_DE => VGA_DE, - VID_HBLANK => VID_HBLANK, - VID_VBLANK => VID_VBLANK + VID_HBLANK => VID_HBLANK, + VID_VBLANK => VID_VBLANK ); diff --git a/Arcade_MiST/Atari Vector/LunarLander_MiST/rtl/llander_vg.vhd b/Arcade_MiST/Atari Vector/LunarLander_MiST/rtl/llander_vg.vhd index 38f2b0ec..fe36950c 100644 --- a/Arcade_MiST/Atari Vector/LunarLander_MiST/rtl/llander_vg.vhd +++ b/Arcade_MiST/Atari Vector/LunarLander_MiST/rtl/llander_vg.vhd @@ -72,8 +72,8 @@ entity LLANDER_VG is RESET_L : in std_logic; CLK_6 : in std_logic; Clk_25 : in std_logic; - vector_rom_address : out std_logic_vector(12 downto 0); - vector_rom_data : in std_logic_vector( 7 downto 0) + vector_rom_addr : out std_logic_vector(12 downto 0); + vector_rom_data : in std_logic_vector( 7 downto 0) ); end; @@ -456,7 +456,7 @@ begin -- data => rom_dout --); -vector_rom_address <= am_bus(12 downto 0); +vector_rom_addr <= am_bus(12 downto 0); rom_dout <= vector_rom_data; p_memory_data_mux : process(vram1_t1_l, vram2_t1_l, vrom_t1_l, ram_dout_1, ram_dout_2, rom_dout)