From cf99d45f47e4a150a0221a44c9745da716d17801 Mon Sep 17 00:00:00 2001 From: Gyorgy Szombathelyi Date: Fri, 11 Dec 2020 15:37:20 +0100 Subject: [PATCH] SpyHunter: use direct ROM loading --- .../Midway MCR Scroll/SpyHunter_MiST/SpyHunter.qsf | 1 + .../SpyHunter_MiST/rtl/SpyHunter_MiST.sv | 11 ++++++++--- 2 files changed, 9 insertions(+), 3 deletions(-) diff --git a/Arcade_MiST/Midway MCR Scroll/SpyHunter_MiST/SpyHunter.qsf b/Arcade_MiST/Midway MCR Scroll/SpyHunter_MiST/SpyHunter.qsf index e048138c..7a8b80e7 100644 --- a/Arcade_MiST/Midway MCR Scroll/SpyHunter_MiST/SpyHunter.qsf +++ b/Arcade_MiST/Midway MCR Scroll/SpyHunter_MiST/SpyHunter.qsf @@ -242,4 +242,5 @@ set_global_assignment -name VHDL_FILE ../../../common/Sound/ym2149/vol_table_arr set_global_assignment -name VHDL_FILE ../../../common/Sound/ym2149/YM2149.vhd set_global_assignment -name QIP_FILE ../../../common/mist/mist.qip set_global_assignment -name SIGNALTAP_FILE output_files/cmos.stp +set_location_assignment PIN_90 -to SPI_SS4 set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file diff --git a/Arcade_MiST/Midway MCR Scroll/SpyHunter_MiST/rtl/SpyHunter_MiST.sv b/Arcade_MiST/Midway MCR Scroll/SpyHunter_MiST/rtl/SpyHunter_MiST.sv index b3d9639a..ffb31fa7 100644 --- a/Arcade_MiST/Midway MCR Scroll/SpyHunter_MiST/rtl/SpyHunter_MiST.sv +++ b/Arcade_MiST/Midway MCR Scroll/SpyHunter_MiST/rtl/SpyHunter_MiST.sv @@ -26,10 +26,11 @@ module SpyHunter_MiST( output AUDIO_L, output AUDIO_R, input SPI_SCK, - output SPI_DO, + inout SPI_DO, input SPI_DI, input SPI_SS2, input SPI_SS3, + input SPI_SS4, input CONF_DATA0, input CLOCK_27, output [12:0] SDRAM_A, @@ -92,7 +93,8 @@ wire [7:0] key_code; wire key_strobe; user_io #( - .STRLEN(($size(CONF_STR)>>3))) + .STRLEN(($size(CONF_STR)>>3)), + .ROM_DIRECT_UPLOAD(1'b1)) user_io( .clk_sys (clk_sys ), .conf_str (CONF_STR ), @@ -129,10 +131,13 @@ wire [24:0] ioctl_addr; wire [7:0] ioctl_dout; wire [7:0] ioctl_din; -data_io data_io( +data_io #( + .ROM_DIRECT_UPLOAD(1'b1)) +data_io( .clk_sys ( clk_sys ), .SPI_SCK ( SPI_SCK ), .SPI_SS2 ( SPI_SS2 ), + .SPI_SS4 ( SPI_SS4 ), .SPI_DI ( SPI_DI ), .SPI_DO ( SPI_DO ), .ioctl_download( ioctl_downl ),