diff --git a/Arcade_MiST/Berzerk Hardware/MoonWar_MiST/Release/MoonWar_MiST.rbf b/Arcade_MiST/Berzerk Hardware/MoonWar_MiST/Release/MoonWar_MiST.rbf new file mode 100644 index 00000000..8d2f74bf Binary files /dev/null and b/Arcade_MiST/Berzerk Hardware/MoonWar_MiST/Release/MoonWar_MiST.rbf differ diff --git a/Arcade_MiST/Berzerk Hardware/MoonWar_MiST/Snapshot/MoonWar_MiST.rbf b/Arcade_MiST/Berzerk Hardware/MoonWar_MiST/Snapshot/MoonWar_MiST.rbf deleted file mode 100644 index f2dce8bc..00000000 Binary files a/Arcade_MiST/Berzerk Hardware/MoonWar_MiST/Snapshot/MoonWar_MiST.rbf and /dev/null differ diff --git a/Arcade_MiST/Berzerk Hardware/MoonWar_MiST/rtl/berzerk.vhd b/Arcade_MiST/Berzerk Hardware/MoonWar_MiST/rtl/berzerk.vhd index 653ca8d3..93d9e378 100644 --- a/Arcade_MiST/Berzerk Hardware/MoonWar_MiST/rtl/berzerk.vhd +++ b/Arcade_MiST/Berzerk Hardware/MoonWar_MiST/rtl/berzerk.vhd @@ -379,7 +379,6 @@ port map( clk => clock_10, moveleft => cleft, moveright => cright, - btn => fire1 & fire2 & fire3, dailout => dail ); diff --git a/Arcade_MiST/Berzerk Hardware/MoonWar_MiST/rtl/moonwar_dail.vhd b/Arcade_MiST/Berzerk Hardware/MoonWar_MiST/rtl/moonwar_dail.vhd index f01efccf..83ef18ff 100644 --- a/Arcade_MiST/Berzerk Hardware/MoonWar_MiST/rtl/moonwar_dail.vhd +++ b/Arcade_MiST/Berzerk Hardware/MoonWar_MiST/rtl/moonwar_dail.vhd @@ -1,33 +1,3 @@ -library ieee; -use ieee.std_logic_1164.all; -use ieee.std_logic_unsigned.all; - -entity binary_counter is -port( - C : in std_logic; - CLR : in std_logic; - Q : out std_logic_vector(3 downto 0) -); -end binary_counter; - -architecture struct of binary_counter is - -signal tmp: std_logic_vector(3 downto 0); - -begin - -process (C, CLR)begin - if (CLR = '1') then - tmp <= "0000"; - elsif (C'event and C='1') then - tmp <= tmp + 1; - end if; -end process; - -Q <= tmp; - -end struct; - library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; @@ -37,34 +7,25 @@ entity moonwar_dail is port( clk : in std_logic; moveleft : in std_logic; - moveright : in std_logic; - btn : in std_logic_vector(2 downto 0); + moveright : in std_logic; dailout : out std_logic_vector(4 downto 0) ); end moonwar_dail; -architecture struct of moonwar_dail is +architecture rtl of moonwar_dail is + +signal count : std_logic_vector(8 downto 0); -signal direction : std_logic_vector(3 downto 0); -signal count : std_logic_vector(3 downto 0); -signal count2 : std_logic_vector(4 downto 0); begin -process (clk)begin - if (moveleft = '1') then - direction <= "0000"; - elsif (moveright = '1') then - direction <= "1111"; +process (clk) begin + if rising_edge(clk) then + if moveleft = '1' or moveright = '1' then + count <= count + 1; + end if; end if; end process; -video_gen : entity work.binary_counter -port map ( - C => clk, - CLR => not moveleft or not moveright, - Q => count -); +dailout <= moveleft & count(8 downto 5); ---count2 <= count + -dailout <= direction or '0' & count or "00" & btn; -end struct; \ No newline at end of file +end rtl; diff --git a/Arcade_MiST/Konami Scramble Hardware/ArmoredCar_MiST/ArmoredCar.qsf b/Arcade_MiST/Konami Scramble Hardware/ArmoredCar_MiST/ArmoredCar.qsf index 98e67a6b..ed443a28 100644 --- a/Arcade_MiST/Konami Scramble Hardware/ArmoredCar_MiST/ArmoredCar.qsf +++ b/Arcade_MiST/Konami Scramble Hardware/ArmoredCar_MiST/ArmoredCar.qsf @@ -40,7 +40,7 @@ # Project-Wide Assignments # ======================== set_global_assignment -name ORIGINAL_QUARTUS_VERSION 16.1.2 -set_global_assignment -name LAST_QUARTUS_VERSION "13.1 SP4.26" +set_global_assignment -name LAST_QUARTUS_VERSION 13.1 set_global_assignment -name PROJECT_CREATION_TIME_DATE "01:53:30 APRIL 20, 2017" set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files set_global_assignment -name NUM_PARALLEL_PROCESSORS ALL diff --git a/Arcade_MiST/Konami Scramble Hardware/ArmoredCar_MiST/Release/ArmoredCar.rbf b/Arcade_MiST/Konami Scramble Hardware/ArmoredCar_MiST/Release/ArmoredCar.rbf index afb7025a..cf957c4c 100644 Binary files a/Arcade_MiST/Konami Scramble Hardware/ArmoredCar_MiST/Release/ArmoredCar.rbf and b/Arcade_MiST/Konami Scramble Hardware/ArmoredCar_MiST/Release/ArmoredCar.rbf differ diff --git a/Arcade_MiST/Konami Scramble Hardware/MoonWar_MiST/Release/MoonWar.rbf b/Arcade_MiST/Konami Scramble Hardware/MoonWar_MiST/Release/MoonWar.rbf index 56413218..cbe587e4 100644 Binary files a/Arcade_MiST/Konami Scramble Hardware/MoonWar_MiST/Release/MoonWar.rbf and b/Arcade_MiST/Konami Scramble Hardware/MoonWar_MiST/Release/MoonWar.rbf differ diff --git a/Arcade_MiST/Nintendo Radar Scope Hardware/Donkey Kong/DKong.qsf b/Arcade_MiST/Nintendo Radar Scope Hardware/Donkey Kong/DKong.qsf index 1d4803c8..6939351e 100644 --- a/Arcade_MiST/Nintendo Radar Scope Hardware/Donkey Kong/DKong.qsf +++ b/Arcade_MiST/Nintendo Radar Scope Hardware/Donkey Kong/DKong.qsf @@ -40,7 +40,7 @@ # Project-Wide Assignments # ======================== set_global_assignment -name ORIGINAL_QUARTUS_VERSION 16.1.2 -set_global_assignment -name LAST_QUARTUS_VERSION "13.1 SP4.26" +set_global_assignment -name LAST_QUARTUS_VERSION 13.1 set_global_assignment -name PROJECT_CREATION_TIME_DATE "01:53:30 APRIL 20, 2017" set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files set_global_assignment -name NUM_PARALLEL_PROCESSORS ALL diff --git a/Arcade_MiST/Nintendo Radar Scope Hardware/Donkey Kong/Release/DKong.rbf b/Arcade_MiST/Nintendo Radar Scope Hardware/Donkey Kong/Release/DKong.rbf new file mode 100644 index 00000000..b3ea3db0 Binary files /dev/null and b/Arcade_MiST/Nintendo Radar Scope Hardware/Donkey Kong/Release/DKong.rbf differ diff --git a/Arcade_MiST/Nintendo Radar Scope Hardware/Donkey Kong/Snapshot/DKong.rbf b/Arcade_MiST/Nintendo Radar Scope Hardware/Donkey Kong/Snapshot/DKong.rbf deleted file mode 100644 index 412f3163..00000000 Binary files a/Arcade_MiST/Nintendo Radar Scope Hardware/Donkey Kong/Snapshot/DKong.rbf and /dev/null differ diff --git a/Arcade_MiST/Nintendo Radar Scope Hardware/Donkey Kong/rtl/dkong_soundboard.sv b/Arcade_MiST/Nintendo Radar Scope Hardware/Donkey Kong/rtl/dkong_soundboard.sv index 9a56f3b4..010a5e3d 100644 --- a/Arcade_MiST/Nintendo Radar Scope Hardware/Donkey Kong/rtl/dkong_soundboard.sv +++ b/Arcade_MiST/Nintendo Radar Scope Hardware/Donkey Kong/rtl/dkong_soundboard.sv @@ -3,8 +3,6 @@ module dkong_soundboard( input W_CLK_24576M, input W_CLK_12288M, input WB_CLK_06144M, - input [15:0] W_CNF_AD, - input [7:0] VID_ROM2_DO, input W_W0_WE, input W_W1_WE, input W_CNF_EN, @@ -70,13 +68,6 @@ dkong_sound Digtal_sound .I8035_INTn(I8035_INTn), .I8035_T0(I8035_T0), .I8035_T1(I8035_T1), - -// .I_CNF_A(W_CNF_AD[10:0]), -// .I_CNF_D(VID_ROM2_DO), -// .I_WE0(W_W0_WE), -// .I_WE1(W_W1_WE), -// .I_CNF_EN(W_CNF_EN), - .I_SOUND_DAT(W_3D_Q), .I_SOUND_CNT({W_6H_Q[5:3],W_5H_Q}), .O_SOUND_DAT(W_D_S_DAT) diff --git a/Arcade_MiST/README.txt b/Arcade_MiST/README.txt index b4165409..0f33acd8 100644 --- a/Arcade_MiST/README.txt +++ b/Arcade_MiST/README.txt @@ -124,7 +124,7 @@ Aviable Arcade Cores #Scramble Hardware Amidar - Amored Car + Armored Car Calipso Frogger Scramble