From d27f0370c07815882a329e48e05ccda729c32121 Mon Sep 17 00:00:00 2001 From: Gyorgy Szombathelyi Date: Tue, 18 Oct 2022 18:27:51 +0200 Subject: [PATCH] Williams2: fix the PIA for Inferno sound ...instead of a hack --- .../Williams 6809 rev.2 Hardware/rtl/tshoot_sound_board.vhd | 6 ++---- Arcade_MiST/Williams 6809 rev.2 Hardware/rtl/williams2.vhd | 1 - 2 files changed, 2 insertions(+), 5 deletions(-) diff --git a/Arcade_MiST/Williams 6809 rev.2 Hardware/rtl/tshoot_sound_board.vhd b/Arcade_MiST/Williams 6809 rev.2 Hardware/rtl/tshoot_sound_board.vhd index 55520f4b..906118f2 100644 --- a/Arcade_MiST/Williams 6809 rev.2 Hardware/rtl/tshoot_sound_board.vhd +++ b/Arcade_MiST/Williams 6809 rev.2 Hardware/rtl/tshoot_sound_board.vhd @@ -33,7 +33,6 @@ entity tshoot_sound_board is port( clock_12 : in std_logic; reset : in std_logic; - hwsel : in std_logic_vector(1 downto 0); sound_select : in std_logic_vector(7 downto 0); sound_trig : in std_logic; sound_ack : out std_logic; @@ -128,13 +127,12 @@ end process; -- write enables wram_we <= '1' when cpu_rw_n = '0' and cpu_clock = '1' and wram_cs = '1' else '0'; -pia_rw_n <= '0' when cpu_rw_n = '0' and pia_cs = '1' else '1'; +pia_rw_n <= '0' when cpu_rw_n = '0' and pia_cs = '1' else '1'; -- mux cpu in data between roms/io/wram cpu_di <= wram_do when wram_cs = '1' else - sound_select when pia_cs = '1' and hwsel = HW_INFERNO else - pia_do when pia_cs = '1' and hwsel /= HW_INFERNO else + pia_do when pia_cs = '1' else rom_do when rom_cs = '1' else X"55"; -- pia irqs to cpu diff --git a/Arcade_MiST/Williams 6809 rev.2 Hardware/rtl/williams2.vhd b/Arcade_MiST/Williams 6809 rev.2 Hardware/rtl/williams2.vhd index 430afa26..9e2dff46 100644 --- a/Arcade_MiST/Williams 6809 rev.2 Hardware/rtl/williams2.vhd +++ b/Arcade_MiST/Williams 6809 rev.2 Hardware/rtl/williams2.vhd @@ -1171,7 +1171,6 @@ tshoot_sound_board : entity work.tshoot_sound_board port map( clock_12 => clock_12, reset => reset, - hwsel => hwsel, sound_select => sound_select, sound_trig => sound_trig, sound_ack => sound_ack,