From d404d5d5501a0e72d12f805424a49c4f757a5e12 Mon Sep 17 00:00:00 2001 From: Marcel Date: Fri, 8 Mar 2019 21:45:51 +0100 Subject: [PATCH] add Rotated OSD --- .../Custom Hardware/Galaga_MIST/README.txt | 251 +++++++- .../Galaga_MIST/Release/galaga_mist.rbf | Bin 358409 -> 400984 bytes .../Galaga_MIST/galaga_mist.qpf | 32 +- .../Galaga_MIST/galaga_mist.qsf | 195 ++++-- .../Galaga_MIST/galaga_mist.sdc | 33 - .../Galaga_MIST/galaga_mist.srf | 2 + .../Custom Hardware/Galaga_MIST/rtl/dac.vhd | 71 ++- .../Galaga_MIST/rtl/galaga.vhd | 18 +- .../Galaga_MIST/rtl/galaga_mist.vhd | 243 -------- .../Galaga_MIST/rtl/gen_video.vhd | 115 ++-- .../Galaga_MIST/rtl/keyboard.v | 82 --- .../Custom Hardware/Galaga_MIST/rtl/mist_io.v | 367 ++++++----- .../Custom Hardware/Galaga_MIST/rtl/pll.vhd | 389 ------------ .../Galaga_MIST/rtl/scandoubler.v | 12 + .../Galaga_MIST/rtl/sound_machine.vhd | 2 +- .../Galaga_MIST/rtl/video_mixer.sv | 16 +- .../Galaga_MiST/rtl/build_id.tcl | 35 ++ .../Galaga_MiST/rtl/build_id.v | 2 + .../Galaga_MiST/rtl/galaga_mist.sv | 179 ++++++ .../Galaga_MiST/rtl/galaga_video.vhd | 211 +++++++ .../Custom Hardware/Galaga_MiST/rtl/mb88.vhd | 580 ++++++++++++++++++ .../Custom Hardware/Galaga_MiST/rtl/pll.ppf | 12 + .../Custom Hardware/Galaga_MiST/rtl/pll.qip | 4 + .../Custom Hardware/Galaga_MiST/rtl/pll.v | 365 +++++++++++ .../rtl/roms}/bg_graphx.vhd | 0 .../rtl/roms}/bg_palette.vhd | 0 .../Galaga_MiST/rtl/roms/cs54xx_prog.vhd | 86 +++ .../rtl/roms}/galaga_cpu1.vhd | 0 .../rtl/roms}/galaga_cpu2.vhd | 0 .../rtl/roms}/galaga_cpu3.vhd | 0 .../rtl => Galaga_MiST/rtl/roms}/rgb.vhd | 0 .../rtl/roms}/sound_samples.vhd | 0 .../rtl/roms}/sound_seq.vhd | 0 .../rtl/roms}/sp_graphx.vhd | 0 .../rtl/roms}/sp_palette.vhd | 0 35 files changed, 2224 insertions(+), 1078 deletions(-) delete mode 100644 Arcade_MiST/Custom Hardware/Galaga_MIST/galaga_mist.sdc delete mode 100644 Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/galaga_mist.vhd delete mode 100644 Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/keyboard.v delete mode 100644 Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/pll.vhd create mode 100644 Arcade_MiST/Custom Hardware/Galaga_MiST/rtl/build_id.tcl create mode 100644 Arcade_MiST/Custom Hardware/Galaga_MiST/rtl/build_id.v create mode 100644 Arcade_MiST/Custom Hardware/Galaga_MiST/rtl/galaga_mist.sv create mode 100644 Arcade_MiST/Custom Hardware/Galaga_MiST/rtl/galaga_video.vhd create mode 100644 Arcade_MiST/Custom Hardware/Galaga_MiST/rtl/mb88.vhd create mode 100644 Arcade_MiST/Custom Hardware/Galaga_MiST/rtl/pll.ppf create mode 100644 Arcade_MiST/Custom Hardware/Galaga_MiST/rtl/pll.qip create mode 100644 Arcade_MiST/Custom Hardware/Galaga_MiST/rtl/pll.v rename Arcade_MiST/Custom Hardware/{Galaga_MIST/rtl => Galaga_MiST/rtl/roms}/bg_graphx.vhd (100%) rename Arcade_MiST/Custom Hardware/{Galaga_MIST/rtl => Galaga_MiST/rtl/roms}/bg_palette.vhd (100%) create mode 100644 Arcade_MiST/Custom Hardware/Galaga_MiST/rtl/roms/cs54xx_prog.vhd rename Arcade_MiST/Custom Hardware/{Galaga_MIST/rtl => Galaga_MiST/rtl/roms}/galaga_cpu1.vhd (100%) rename Arcade_MiST/Custom Hardware/{Galaga_MIST/rtl => Galaga_MiST/rtl/roms}/galaga_cpu2.vhd (100%) rename Arcade_MiST/Custom Hardware/{Galaga_MIST/rtl => Galaga_MiST/rtl/roms}/galaga_cpu3.vhd (100%) rename Arcade_MiST/Custom Hardware/{Galaga_MIST/rtl => Galaga_MiST/rtl/roms}/rgb.vhd (100%) rename Arcade_MiST/Custom Hardware/{Galaga_MIST/rtl => Galaga_MiST/rtl/roms}/sound_samples.vhd (100%) rename Arcade_MiST/Custom Hardware/{Galaga_MIST/rtl => Galaga_MiST/rtl/roms}/sound_seq.vhd (100%) rename Arcade_MiST/Custom Hardware/{Galaga_MIST/rtl => Galaga_MiST/rtl/roms}/sp_graphx.vhd (100%) rename Arcade_MiST/Custom Hardware/{Galaga_MIST/rtl => Galaga_MiST/rtl/roms}/sp_palette.vhd (100%) diff --git a/Arcade_MiST/Custom Hardware/Galaga_MIST/README.txt b/Arcade_MiST/Custom Hardware/Galaga_MIST/README.txt index 82a73631..8768e4d5 100644 --- a/Arcade_MiST/Custom Hardware/Galaga_MIST/README.txt +++ b/Arcade_MiST/Custom Hardware/Galaga_MIST/README.txt @@ -1,24 +1,237 @@ --------------------------------------------------------------------------------- --- --- Arcade: Galaga for MiST by Gehstock --- 18 December 2017 --- +-- Galaga Midway by Dar (darfpga@aol.fr) +-- http://darfpga.blogspot.fr --------------------------------------------------------------------------------- --- Copyright (c) DAR - Dez 2016 --- https://sourceforge.net/projects/darfpga/files/Software%20VHDL/galaga/ +-- Galaga releases +-- +-- Release 0.3 - 06/05/2018 - Dar +-- add cs54XX mb88 explosion sound ship +-- +-- Release 0.2 - 06/11/2017 - Dar +-- fixes twice bullets on single shot => add edge detection en fire +-- +-- Release 0.1 - 04 November 2017 - Dar +-- fixes 2 ships bullets bug (swap 2xH/2xV command bits) +-- add top level for DE10_lite +-- +-- Release 0.0 - 29 December 2016 - Dar +-- initial release (DE2 only) +-- --------------------------------------------------------------------------------- --- --- Only controls are rotated on VGA output. --- --- +-- Educational use only +-- Do not redistribute synthetized file with roms +-- Do not redistribute roms whatever the form +-- Use at your own risk +--------------------------------------------------------------------------------- +-- gen_ram.vhd & io_ps2_keyboard +-------------------------------- +-- Copyright 2005-2008 by Peter Wendrich (pwsoft@syntiac.com) +-- http://www.syntiac.com/fpga64.html +--------------------------------------------------------------------------------- +-- T80/T80se - Version : 0247 +----------------------------- +-- Z80 compatible microprocessor core +-- Copyright (c) 2001-2002 Daniel Wallner (jesus@opencores.org) +--------------------------------------------------------------------------------- +-- Educational use only +-- Do not redistribute synthetized file with roms +-- Do not redistribute roms whatever the form +-- Use at your own risk +--------------------------------------------------------------------------------- + +-- Features : +-- TV 15KHz mode only (atm) +-- Coctail mode ok +-- Sound ok, Ship explode missing (custom chip 0x54XX todo) +-- Starfield from MAME information + +-- Use with MAME roms from galagamw.zip +-- +-- Use make_galaga_proms.bat to build vhd file from binaries + +-- galaga_cpu1.vhd : 3200a.bin, 3300b.bin, 3400c.bin,3500d.bin, +-- galaga_cpu2.vhd : 3600e.bin +-- galaga_cpu3.vhd : 3700g.bin +-- bg_graphx.vhd : 2600j.bin +-- sp_graphx.vhd : 2800l.bin, 2700k.bin +-- rgb.vhd : prom-5.5n +-- bg_palette.vhd : prom-4.2n +-- sp_palette.vhd : prom-3.1c +-- sound_seq.vhd : prom-2.5c +-- sound_samples.vhd : prom-1.1d + +-- Galaga Hardware caracteristics : +-- +-- 3xZ80 CPU accessing each own program rom and shared ram/devices +-- +-- One char tile map 32x28 (called background/bg although being front of other layers) +-- 3 colors/64sets among 16 colors +-- 1Ko ram, 4Ko rom graphics, 4pixels of 2bits/byte +-- full emulation in vhdl +-- +-- 64 sprites with priorities, flip H/V, 2x size H/V, +-- 3 colors/64sets among 16 colors (different of char colors). +-- 8Ko rom graphics, 4pixels of 2bits/byte +-- full emulation in vhdl (improved capabilities : more sprites/scanline) +-- +-- Namco 05XX Starfield +-- 4 sets, 63 stars/set, 2 set displayed at one time for blinking +-- 6bits colors: 2red/2green/2blue +-- full emulation in vhdl (from MAME information) +-- +-- Char/sprites color palette 2x16 colors among 256 colors +-- 8bits 3red/3green/2blue +-- full emulation in vhdl +-- +-- Namco 06XX for 51/54XX control +-- simplified emulation in vhdl +-- +-- Namco 51XX for coin/credit management +-- simplified emulation in vhdl : 1coin/1credit, 1 or 2 players start +-- +-- Namco 54XX for sound effects +-- mb88 ok +-- +-- Namco sound waveform and frequency synthetizer +-- full original emulation in vhdl +-- +-- Namco 00XX,04XX,02XX,07XX,08XX address generator, H/V counters and shift registers +-- full emulation in vhdl from what I think they should do. +-- +-- Working ram : 3x1Kx8bits shared +-- Sprites ram : 1 scan line delay flip/flop 512x4bits +-- Sound registers ram : 2x16x4bits +-- Sound sequencer rom : 256x4bits (3 sequential 4 bits adders) +-- Sound wavetable rom : 256x4bits 8 waveform of 32 samples of 4bits/level +--------------------------------------------------------------------------------- + +--------------------------------------------------------------------------------- +-- DE2-35 Top level for Galaga Midway by Dar (darfpga@aol.fr) +-- http://darfpga.blogspot.fr +-- +-- Main features : +-- PS2 keyboard input +-- Wm8731 sound output +-- NO board SRAM/Flash used +-- +-- Uses 1 pll for 18MHz and 11MHz generation from 50MHz +-- +-- Board key : +-- 0 : reset +-- -- Keyboard inputs : +-- F3 : Add coin +-- F2 : Start 2 players +-- F1 : Start 1 player +-- SPACE : Fire player 1 & 2 +-- RIGHT arrow : Move right player 1 & 2 +-- LEFT arrow : Move left player 1 & 2 -- --- ESC : Coin --- F1 : Start 1 player --- F2 : Start 2 players --- SPACE : Fire --- ARROW KEYS : Movement --- --- Joystick support. --- ---------------------------------------------------------------------------------- \ No newline at end of file +-- Dip switch and other details : see galaga.vhd + +--------------------------------------------------------------------------------- +--------------- +VHDL File list +--------------- + +rtl_dar/galaga_de2.vhd Top level for de2 board +rtl_dar/galaga.vhd Main logic + +rtl_dar/pll50_to_11_and_18.vhd PLL 11MHz and 18 MHz from 50MHz altera mf +rtl_dar/gen_video.vhd Video genertor H/V counter, blanking and syncs + +rtl_dar/stars.vhd Starfield 4 star set list +rtl_dar/stars_machine.vhd Starfield machine for one set +rtl_dar/sound_machine.vhd Namco sound waveform/frequency synthetizer +rtl_dar/mb88.vhd mb88 fujitsu 4bits microprocessor + +rtl_dar/galaga_cpu1.vhd CPU1 program PROM +rtl_dar/galaga_cpu2.vhd CPU2 program PROM +rtl_dar/galaga_cpu3.vhd CPU3 program PROM +rtl_dar/sound_samples.vhd Sound wavetable PROM +rtl_dar/sound_seq.vhd Sound slice adder sequencer PROM +rtl_dar/rgb.vhd 32 colors 8bits palette (3r/3g/2b) PROM +rtl_dar/bg_graphx.vhd Background (char) graphix 2bits/pixel (4Ko) PROM +rtl_dar/bg_palette.vhd 2bits 64sets => 16 colors char LUT PROM +rtl_dar/sp_graphx.vhd Sprites graphix 2bits/pixel (8Ko) PROM +rtl_dar/sp_palette.vhd 2bits 64sets => 16 colors sprites LUT PROM +rtl_dar/cs54xx_prog.vhd Namco custom chip 54xx pgm PROM + +rtl_dar/gen_ram.vhd Generic RAM (Peter Wendrich + DAR Modification) + +wm_8731_dac.vhd DE1/DE2 audio dac + +io_ps2_keyboard.vhd Copyright 2005-2008 by Peter Wendrich (pwsoft@syntiac.com) +kbd_joystick.vhd Keyboard key to player/coin input + +rtl_T80/T80se.vhd T80 Copyright (c) 2001-2002 Daniel Wallner (jesus@opencores.org) +rtl_T80/T80_Reg.vhd +rtl_T80/T80_Pack.vhd +rtl_T80/T80_MCode.vhd +rtl_T80/T80_ALU.vhd +rtl_T80/T80.vhd + +---------------------- +Quartus project files +---------------------- +de2/galaga_de2.qsf de2 settings (files,pins...) +de2/galaga_de2.qpf de2 project + +----------------------------- +Required ROMs (Not included) +----------------------------- +You need the following 15 ROMs binary files from galagamw.zip +(MAME Galaga - Midway set 1) + +-- galaga_cpu1.vhd : 3200a.bin, 3300b.bin, 3400c.bin,3500d.bin, +-- galaga_cpu2.vhd : 3600e.bin +-- galaga_cpu3.vhd : 3700g.bin +-- bg_graphx.vhd : 2600j.bin +-- sp_graphx.vhd : 2800l.bin, 2700k.bin +-- rgb.vhd : prom-5.5n +-- bg_palette.vhd : prom-4.2n +-- sp_palette.vhd : prom-3.1c +-- sound_seq.vhd : prom-2.5c +-- sound_samples.vhd : prom-1.1d +-- cs54xx_prog.vhd : 54xx.bin +------ +Tools +------ +You need to build vhdl files from the binary file : + - Unzip the roms file in the tools/galaga_unzip directory + - Double click (execute) the script tools/make_galaga_proms.bat to get the following files + + galaga_cpu1.vhd + galaga_cpu2.vhd + galaga_cpu3.vhd + bg_graphx.vhd + sp_graphx.vhd + rgb.vhd + bg_palette.vhd + sp_palette.vhd + sound_seq.vhd + sound_samples.vhd + cs54xx_prog.vhd + +*DO NOT REDISTRIBUTE THESE FILES* + +VHDL files are needed to compile and include roms into the project + +The script make_galaga_proms.bat uses make_vhdl_prom executables delivered both in linux and windows version. The script itself is delivered only in windows version (.bat) but should be easily ported to linux. + +Source code of make_vhdl_prom.c is also delivered. + +--------------------------------- +Compiling for de2 +--------------------------------- +You can build the project with ROM image embeded in the sof file. DO NOT REDISTRIBUTE THESE FILES. +3 steps + + - put the VHDL ROM files (.vhd) into the project directory + - build galag_de2 + - program galaga_de2.sof + +------------------------ +------------------------ +End of file +------------------------ diff --git a/Arcade_MiST/Custom Hardware/Galaga_MIST/Release/galaga_mist.rbf b/Arcade_MiST/Custom Hardware/Galaga_MIST/Release/galaga_mist.rbf index d4587015cbde9d8b4cc59d154aa8e0f7b768efd6..1493ce5030c4c96d986a9dc39449794ae0a499a1 100644 GIT binary patch literal 400984 zcmeFa0dO40c_up3SW&Q|mu&YAmV?ETobH(gXBP_+y98y3lB1ahglrIn0U%lC`J4p1 zQuO##d9q3QUAaQeEn-*|N39wxN+lafBV(1e)Z=*`kf2< zXaCdyMWC%IU4NhcVG>Ae($=rLdH6ozsT`tUle+b8)bj1W^sHMSUDH$gjLN_I;y)}R9H9ez`Qyn)` zH=pXFI=j!`h>xv2b$RQ4*S){_oo;#El>Fd=PcV;LY#9(LH_pX75ve zbCYiVw)@Q+rPMC^tose|MAuzDyVqOqDesM_`wpc<-|3yMT;J#&%6lhhCy1`QJkj<4 zNb2@+w+^b8sC!RoXAo@uY&`w$zgzWnYk3<|+W6gC$5z=;pV2p@`wdW+$D79mJ%2O0 zcax}`E`=cTO^Ac&(eX{{zDD1?2>RC`dPMxZ@LlNNgKmChD-Tp=S1-FdL(fSb$xYfS zkFK1$a-e6#$JUhOKzWo>J`r7i2t@frl-K2>TVHn^blcmltDDj{M8uW_>V8kpiT7?_ zy#&%AdcF$kzSm7DuS?xLdcUhD-TEkHTd2#|MhhAZdPMxtw^UvXYJuiK#KT2Ux1Kjk zFM+zxy1Y<22XZ!PD?fBi*_3_)L^72i;*Gwc{_f_}GrIqiO`_{Ab@SdRoxX_{K%1)Y zZ3*!}M7lsk4@n-xKgp8%goyf;?nx$;64CXcP1?xW$ot|Z(W9H#nEtlPH*eko`YRCe zvGw^!Hi`0y2jYpwLAUQtfV$)2_d(t3o6|3BQa7K<*~%ByDL`A(H{yfxY|wi^R3!1S z0-}`4ro3()f1h*~bQDDVP`{JzZ>{&{>+B|V^QjH*0+EfUM*R z{&JJL|7AkL&0?{QsqU$bwa+B!30HXA15XoUHZIun>zXIw$C;6NLb?+&qF-S!G zP@fZR8M=&kp?;$JC_M=xR_LAEK=du?0rAqM@1z%R)<;zTR-*cdHaOb&-N@Pa{k=`1 zyRN)Q#Kc>dx-#FIlKi(4J==P}l?S?}et#n#x_LCGP<>tANVonL)a8Lvy8mu;vpv~5 zR_MB0-FfJk;!SC@VrME0V4PxDciw>L^Z2l^!t=_fs>`Kg;n z`OkrpJ2Z&FaKAzkpns;cP=@LEbUQ_yCHtFU(;)Ce% zO>(zTxBjgu@lVvvBR*&xbeO{r>Fm~Vv2~oj(KUe|Ujb47cZvAf!p0k3erl754|;*v zr*bHp_#@eGt?%#4Qgg@+aa-3WM&+eo;#P5ZN>$8teTa@^$|Mh{j=;$e(^Qy4l8m z8AN$qKDsH@x0SlQb@?K>|0amu>B@`dACdzRU3ZD@NiJK{o3FciMRMp8je)M8Ms26M zx_r#w(@tj8ZC}h-5=V*W^1=O7(nvlj!>2f+!`w`VT>r{wL6{fatoLNB3PK zo$C_mV3(+FqAnj~?&!WN)0_FA_EFtk>heW4<1~oUU)-cW0{!YHb@Rxa-M>jBgC{_g zc8MO*HSs{y_0x#ojhv0VZr_ot=(?MdUq;vO2JHpWvmXahUU$yi1ETqyh{iDa8KeW> ziMrpCJV*~nmq_<%-k|w~i0;1|(VR)teUHi^Th^sdgSz&LQp)d+8%n87M07m_qI5Ti zbcKlcpp?clrDP{4k5alPqHD_Q66JO08RCc9Nd9xr7V7p-H|vK$yFlH1>bIW;Q63S^ zUvy74i~MLJy8bE9#@)v6R{KkRNA+)|Zhgd4m%4eBQawa;O*~OMJ`WJr`GOfTO= z7eHIHw(>yNq|=$dR8=@;2nvNc3B?*B80^14L#-;GE{TZzUjjm<7meQ!kA zS@;@_y{*s4mmqqhJj(yGO`_}Xg|?RW{p;^b;QJEzz6Ach5+M6W_VnA{kKPcP|F&1Q z+*n(#>GHXcbiBJQxf5HizsJkZ{n9tLX1>QS|L*Ho-!XGjX3+8HZp!@o-Cq6Lx7qf; z-$!ru*8BTzd9z2~bN=6L<@a2~w?6o%XUqmZOj55{K7NxORf+Jc4Ls>mz;G2B$Vw(6 zCX9{(1&Y3-kDX=$A2*W>OQ{LZP{ya;&pMxUzwe|IhQshZu9J;~fkKj0>bKE?ZV7>E zy8juDnc1SeSAX|sBWW5fs{Up5u+^_n!ZgRq#Y(gkzPdLtmB1U*6BTV_%)oj83>kr- zxA0Bpg-ErzF%b@HU0{why`c%biTx{dxR`Hx4Hu7_agG(35j^0@7D~7`arM}*q9DgK zB4XdMBuWf67z3*ndh$(@xI$%)31wC!FnW@wU-~%e)sTWyVGvl-!tY;xmY)C9&_BI) z{L}Dde4nxJRgmA8*gr>!B@-|T|0ufpZ!f>e_xerp-zXa^dg~iK{s-m#F>qS`N~SlBPGO7uGvsO(V&YSN!$QW3t>R9OYxy4C*M{l65RAjT&IAYOK9HVNdH+Gd`ep5y4m(JfFCBQt&p?^`0&4pocE07}O zRS^jjb0@M4!L2B6OT!M#NTDw9x|Mtd);C(16fyICw|N+^IK9PYTws2zJQe@+`+6z^ z)+$e#L0GS^ai<=dNp1>bl_%>6L_i4}9cHg`%i$*Ei|ut2{8Kxq!j9lJ-g207m*wtr>h6wN>NknYU)}3Bb^r?dN5n~RYe(UQ14FI9&4x@B!7~f(SjL|IrX`n>zSB!}(Hs8uYpc{57WHiunkj0QNSj$O-V&(P zq7Jb!`b1=k1-_;GI|bk&3c)2V(EAaKC8|N^cUsi)W5XDUKh}w#!iyLOJSk0%VsRIk z5QH{^HGG0XIMoD-7!?R^RVTZX&?9>48+m5L9g{^eTWCA1>G{TQp@c(dg^`AGMJBY^ zNYijLgaXH7#vmkv0<$rkd?U-Ca(IS}PMI4&ovet|EojF^6HL(1i2UA2GlxL~fBM%B z%3fH+&cKQwdX7Fo2N;fIG`>@c*~+lcAn2=P8w#>nO{Z{NQ>6}ygNX1C)B;sN2B^d@ z_`TM1Z@W!LAq)rE9gJ|&Vwk%mdIjx369nw96Px(PDqCr(&=L|Pn8v?b2<-^alz_CX zw+-_Jt(vDXfY%(=yKCT;i%U+ybqp+}|#7EmjVRz-u28Vhc~n}U>MR9RMe8qTg7Q~9Rv$G_5+ zYEY?XV&bQbVLB4G=i}49;XbQw{PtH{^@HJd%NACj87!jmRWABwz)Byl%NgFc@nc&3 zZ6P*)6vOhZ$LQX69w3jSeg+e;@h|Be6wHSm+su)^ng+*Bw>Ns#SGwJtKcJ%1Bv>`) zBmYFK*5loN|Fuu$T6{;iBg~k6N4RN&8_Z6#yicx)?N;BSrks&R--Vgee46(yr!q5q zmuS04epp7G>k?O1=6=3i9Qcwv622zo&_j6IXO7$WNMZCvZDoi;Y!+f6b)6ZWR{2Cr zjWjOlXOz*Re?6zCIHQKt)q4C)rOr+WrsGPh8I>EWjRNzeKY!SF(d9!;_u5l`9vumf zgmsg6wYGCCOW~F{@KPYwPA=Lq!w)>guS%J5XZV0BFbf@K^j+Xs)%JnK0uuVVZ@I%j zL9rISKm<8LwqO~IIhZ9qDO=5aZ>#B%4T>?s3ash0o5d%Uiud~VwI|Mlp9_42=L4o`VznfH}sCN_5o*2yzk9NcA0o^9{L>;1^(SWuIGQS}9IvB42C z3mAJoel^4Fv>G>!`B#--^QU8a#dqwsYC5cFi)$bK*=V^E!B|->j;WoSnUF7YVMWWF z7W>OoQ&zbqiG@G`u__Cum1=_z%jgU1s0z|R{apr7IS5&#Vv3vy=gHWZe@PkhzR$>P zuExHKoA{69ak$jtQ;ijr9Ds>#{TPHWKCnGiaR9;(%>dnSfEk(avS{zKt(HsG^NttR zshx(|Mzsz&+UO5-26RQb%o}RLMIe(`AX9V#4w~o2Y_I>UI*PuU7?{Yw%3)@JkwdAG zWj>hf}MIpO|Em{^BIQnXe4 zst+yjjNcrKczuOu$Sb4nH;iDJ^b-AR4Az(VoX9Zre5XeYzDGthOh^aAWmsMeTSf|p zs?l;#v{7}39n@^Nv5ujD5JIaH(TvF?cF^^h=C8i=+WWcE1^R@qF$!XA3 zX^Wt)%*b5OXn?q{*ETwa6Sk2M)-t<`pB})qOWk=qa_1 z7Or?3H`l(f=Je8lA}0ZhDmV)P59uH1`ag)0Og8u4)!F|12Yr9@M_653FYyXx3#bt} zhEPMWi-mzj&O7-&@aj08jo@h{;9VI|j}0OPfkwih(mkz5PQ+07dDf1Y=V)4D7Ml2V zdUvj))Q;;$ek|`Sk5G-}KoTj*d~)RAv17e#8j&XPP_Z3?Gto)EnE}U;JPcA#=qns@ z_3pk~D^Y@s2}~2!(h)eAsVsq-$-i2wBsTC+YU1ZS`wiMG@#R#P9yv|A09MT z$z;c*-;A0JlSS`y{_S(L62=k=3@)USJS@X%CohP57x|qQukx``%TsVdwPgt#cBYOw zHmY(D9HeNmZYVf%U>rjhCHD&qc@HHRNgXQzuQ$n7mEBy3<1_G~;)Pf>B^FhFQej>- zD$fo77?%m{VXT{;uLJvB_Z5? zRtlKxW62qj>#^??RX1WVg_f5@i`0lPyoX^{1hi~K&B=}sAf3oBE3bL-w=ABu>Sj)x ze3W}Z{fMaZ8R4huSb6d({(OpC@{&Ms6NA?539VF#+g7kF?Nq|T3LqKE32yo+mWKr3 zq=}v+ z6+I^wG%`lV##WW(LiLESfLVq6iNTFw<71F0jCO~mHIqr8Fr7&ai6aD)5{?TLKc8nd zgpNrJlvKTccI5|*r~!8b+GgaaT$nZVGu7VQSc?ON3b1;`{smMH(+&!$&rBv9a}u-QL~OCBk(lmq(=f*lM=$V-BNoGG8>~^< z#KLlc+k8rx{R+xcZ+n&ga9MKgB#g15W>~tTSU^7kzalZpdgj!aa6*kyqhqX?W8W06 zQq0JyCR|0bU>JrMf98i&TrjhB<}lsQfGT7efPrOEsPY9xgKIhDw%5BUoOH{|cbfUm@rZ}_(g$7|~m zt@k~gjxLBqt~}$3$)zyh4o zP8z`bup%{*DJEo#q)asjEFbsgpA;j?h~XAHP3XWpbK_puZ^yy4C!UDbWFQ;NN-l+2 zO+M(N#snH`s9jQN2{RyRtR*6DqB?jsLMtncrE9sL9^fBEcnTT^4U^~V!=j#Z(TI*t_5@G8;uyMDYgH|R4VYRs-!!X+h}^DLtk`WY{=Dy+;3Adi#|P2s$V zH3G|``5AbBmeP(Yht4)9tYL|!&6Z4tiuJ84kq01}VTwbRpD=!nQ0J%2nF8v_w#aJ({{=!8{uN6qFgofz2ppQCN>d$$%70m8GEy zgrd?!4MPYg-{2w#ZxT)IvGcHGfSWgbHz?Brp)5_IY%GOWq5Z~4+i=f4^Me>wk)=8F zDziyZlgUzO%*mu0^q#VWHz%gP4lL`O5>X;D zqpC2*Y@7RoS2)ZLKmZt*kq`zZ!sq=MaSmWzAQMcLfQGXoy0gZ23qa*b4&ET_0CK*A zk&DwoCb6ZglCfr}8M+X}?9cwhOvPVHt$2^|%CPF6Ei0YOZ2Ft6BGXuJ-b{mL9O~Y|9~eXZ_edX$^S&^26{qQUJ{FklwoV4@&1PH+MWu-`{hpQ?@($t))upn(y-dDim7F3mETt0!^=bBE|E=LRxgwnl*u>#}dX#Qow0S>?l` zSmK9kLol!Pb>S7kv!7Q>s&H1sh0;>q9P;gxsyXC0cNdy|6Tnn_QYDYp+i|fs|5E(Y z8TGYSimm#NaF>O}!QIzGsa~daMCyWi#ggF#x1IJnn9s%ku z@3OwYpWc_bz~9Run74&{ss%Q9POr$m`^7sG+pS$xQ5RGk=8h~v6+!lO$`oOW*!qD<}3cj1G;*7jU z#QLZb@%<%S)sKWTyi%NT_b>1JiTupI9mfkbnLDT8+=QZC+9`77a$#L`7T#r*U6#el zIl-oyr)%E)>2_h(^Kyxkao&#AOL0q`m<1m7lZRXUU^p!;KkUPa0zB_NFC_O#d5jn z9WdwNI}SDHn{Xme#-0Nsz~$B7{K*y{nAlSV4AvJ)eX(>M`aZ3s-FFQUzmHFI0CIiT z#;YO&CqqpWsK+6p*TWDf6~JQBq(o~1TTWnQmxzH7JzhsaUhbTbGbP8J;m*214$#0E6=_U~mpkH5i?;GTnQ3z{i^V4n2LXTle%2bLj%$K(Om>~Hk+0eEsvhvnRM$^bxer;=u09gBzMQd*lv zjtOk@)d?%HGv>TsuU8Z#8?=FSATs7;46mRU4&u9C{Q(;qQG;A}jh+YQ1SN)xtX6p6 z{iSy%u1cwPias;bLn`j_awv9+fr-0nnPYrIBaR+0r&f6Kh+I}SL6bcL6PC!7!aedp zJj3~cWAb4_QqlFMxBn@X8D}zh&6OEu5hlA|Qa2HH2@ifJ{2#jrz`CFrwC_v|u3z=X z1b|Zw2+#9mL2JyK8ww)7^3%6ovQ9}Ky?Cd`Ca;BIa84(L*Y|`VTyd69tq5bgP{nXD zEcD2ID{wcA$+M-8l`zLgi8IjO)&vBdT^TeShG4;X$TltPQ+ozuvt=-IR@cC zuF8GwZ)Yi4<(@btd-gmvca^;T+`9_cn_ zq<3fPC_ua127X`w+WQ#|@lL}9#R>LuP zMEMnEOvUDXABrW`Oz^ESs~SoL%O&7*(71d5zF-_Iahixp=QeMy9E1r>Wr;R(G7NVHIT=asrMa3`3 zMIcHF4USg4G6{y)AQ>TAG+(`-f!Ymtc617WG=vw_RSyu$mldWl>&`(qL2Dl{1RlWK zAsjPO#}2_w!*;w0v@U1^t~=oy*bl-$J*lUzJ^2ZTFgkoqQ>xnm>>e)@o^`&9*tu)R zf7-t?xD~S7BQ~(P_GWrcZDM!-b6|FVw3Yo$!0|tR6SHgoUCi#Qdx|4_@6oVK4S^@68X@MLASPia|4BHPxa5oLO{P=a7HxB(VW!*%0 zC~wNzyzL-tZ~O?kS5JQ2D)bI`sRxARMHj^_+|ynlX59V~H~Jsux|j-kEbe)!Wu1jj zf}?0~m@x%#YeBAZKV7d`*@w|6-%2s;Dq?*Jpet(v_0ZVY#;b6b7ezEH>`KHcc13zZ z1?!@z)Iyvu#TdVJB|UFmVEtyBE&3Y-{DdyofBn=?NM;4~gf$1jU{pdda=_|~UjPq4 zdYq?7Mg$ySASbd63fi)al%hy0#WG{GQ-L=vzLO#VWQ1znWSkon7_bJ6q^ zT%!1%zxV+FrkrCD!iELvT;q4^DzF(2GXuMY$d}zR)noW0ZLC}YY8k$@Dvk6*9M(>W zK)ziVq6Fuql*ZbZ&q98eB)H;*u-;I0X1FrZ60X)UFpQ?;x~-kt{BRYsg;dQ-$EOC$ z(fiTg@f>yh-eV8Qq+Em+n~=4(gtM&eRe2~|ho_I#YgFH6T;hpoFlqv@o0E~L6xV>F z09N)G$?+QZ-V>s$axoA*CyW<0`ap@@SmOa)WYC7P4=KaOVMP*;EW!S2Ojpq}zF(Qh zUw_Ao)56a*jvomDi0u%fPp`usr>n|J^+{}Q1IODF9?D8nrN&M7NLk8+;r>o2@rsO? zjil=6IMdp<$rCYbO!kkrH zmah8WWLksTap5B>o-2Ua-;e!7!DspTUs03snEu_TS}^bjxzB-A$o_^~^>}cWizm2| zTH<^tw9;#m^QkqtDxLSb@Pk%IlQI#kNx`$P@O7CoE7+#auS3+HHd~gJ#S%~Xex5(1 zj2v20R<`9?eQlxoSqk_h!`dRHnLLTLwet6fOR8SCS$wkGibdhcB|yS$~q!hO+fxS`aV+$+Grss^$Mt_|Pe^f(Vl z8XW*Fhq*Q80M3AMlos#=<@cLBPXI#PfMOEzBCux>nb9J`X1J`&vO+KwbGdRyg;Oud zA~#d6Sd=4j0p2@+T)?G-XxQ`0YeK?0U^03ipht(HhtZU4zwp82vhOSlRqIzu9#xosRGBs#BSZ!&MA%K*j%O1}>UkL0d5$q2IZ!G@wJr90=#ojwlAu;~O@5e`+lZ zOvkjfGdTD{7?r|`=vSTvlr}<83oKUBoLa}GkLxjGu%2*YHX>BPghpe$8O_s?JZ(2y z_7qws4@W`+1M{USTz^;1dB}V zKvkN-2>OAB=#nOUssMv53RR-wK-UdF2BsWC-=M<;ayd+|YUAo}{T}o$h`om~C0K?I z0AHVyfOU_hU``AbA*2kV1CZ=z6CBbb+_~Y&TjqpLnza$UvkGqPpk}Skq31uJh zW#(0xSc0&Kr6x8sE&{h@uBv%gFMi&stZ^6wm@Hs`0*xFgSp#}RBHJ8}7Ld)W@|Xl*y&l46cGv$R;0jemdO5yY2?v*b7Ko$B-=JZ6XTOw%tL;w8aACeo?U(L ztaY-~U*l8f!sn~02FreWW}W{lGYu1SjjJ>K5#Ik{&Ijucr&5oH?X(Z%d7b+Q`Od1i z1H-K?A6YEDZ>k>FuUOAZ3jv&#pY>|i(M#!Sde2NN#|LEA{eMJ#P|t{+)%-r?o)rnp zeNFLQGG2OUu!hOIKNa602WH>u?gUhF;@CO0Shj~Gz`KOA(_Sl*Dm)jN0Nf*_LCD^L@bv4!ejJp1bpS7~bPVvGk))V0#UC}leFr^R= zmiWM^&(kG2x-+yc@l*V#^!t|D&7rZO*+F1*s+sVbd-VJ{HE~6G zzpRED@Bi{!GL_c|P9$tmt%d`mWnMWrBl;Grk4h{d3%SeI`EXEeK#v4A7UXN;cn7bf zGep3vO86p+NVOeRV27O-5CVqSstf%3M!_2|6Z|++#wWSYb2l}k=##g(g{ti9K#zn; z0)IsDGYV@$`T=SH!B4Ba`+U6H&&xHm37{k*=Y~Z3qI$CPjF#9G*EWR!1wD1;PkRAd zrQ2%S1sG`W8omDbjNh6ZdOL#A9;*)hA70;Oajxv51@~BqAM3xzy6zrFI9TbeA_DNX z-vkV@Qx<@^?6Q{kISRnzu5=?j8m`Hq89d9z=T4msuggC#ponl%0#A5Nh6k zFD@b{bFCilEnL@OMqgCV)^1%dv>!(RT>e8x8%uicM56er|JmBp$}8He`0V;;YoGa7 zw*fjF7(4)!2HU}V(NCVfruEb{p*fL=wib|rxCQSfsJF0$$U$y|YY)`Aqk?^RJ z`sn!U<&tvFV|)Md=x0O^-cO;$p}ZtZ8a)Oa%}r#&_JqtlBquS;xK>-vzfZ^Y@SOH~ zoOa3k!{SM%rGKJ^*7zsmy+w=Bwf@E{w`Qd8E%Th{ximT|j5A`HqisVISZnbNkX&gD zO_UC};Wf;g9s;WZE?G;%HX~*)4C_zv)iA1B8oe=qs5PIW;*7h`k3X%VSJJy#@x1oJ zBeMMtVPUU3S5NE&mNE3mC3yjA=hdVHlo+i{7{&9o1TdnhhS|Co!E&if{*zaL+Mlm& zD?S^4VnVIPLz8}UuYKD4i!7X~j9EemVsN&4oE_Ae-{5>#nIVP+Ob{fW$jnF*OA@?b zsNjg;47Uo5+kWH$x)zONCcVlZlO*BSs&dTU5oT8uUK{M}0QhPl{NYkzd|g9(M7_-s z-RI?AhXi(v?-i_gL5-?Q81^!=Ch}GrYHq2^{^^&bJ*|c$1^antRIfLzT~_>}Y5OYf0EV)doOadMkn$kGSTvlm(Y_cNy~^ zw)~*^4qpTw$+<`_NDm-#fS>l4cqDyI-=&D^PWa zV0og&#bg`tfNsN_hoVPN^wDz0Y@&nb*@!N%cv1l;9-1)XTv>hU33ett6=ud~ODX80k5vn7YGoo5Uh&TB71T2{ zF*Mw=fBy6fk8Ybk{h>#%|Jr{C(Ai2s zfph~$KjDIXdbYU6CRtC_4WMaYnB+ zjJ*>dLa^fmVs8J?WAirq+~)vxL`U>`2z^OQ6EjIL<3@Z@`_nG>?co#I1}p80&D^I$ z6l)FEv3YRz9EMNC1twJhoQO&H+Vc-NKUxQNiRpAB1kp7S zd%5tCE#S((s|oK73rmW|1jH%LY{NAxwpgeYq^Z>^P|~OaSXxuDgie~CFM?yr%mc$* zfWwa>?t2o`CdYJKScab*JqEo|Jp`%|~AV?hZI)k#1k0m2Ee)2=C?Ae0k;$U0zX=VucS zrGy-KUD0{3F&bvQhSzx5+My>G5m=RaA*AeY{_}#?I3!)6pS<@4<>4NW6R}4*FX^Ip zJx0z-W8Q1vC$U=p^nV|wv~DqVfdr)=cSXdEu5>E z7Fg)_)a2ldoE8q&e}FGU{S*frW?MVMKr(=!5C>vFur$PyAvqqKcUM(VwgKbk1^g;p^JU zhRkJ{cTpM`Ggd>a$BjwTP*Z?l3`9m5tC$spWS)&BCEkg|!_wgl>w04lYf7M^NtOq+ z5}6KmSS8LTD9=zi7M#nP6)fPf$g=>h#pWbi5GgB+u?D_-y;MwiS<}D6lrM`bxhrst z`gCk!v;#*qIi0*hFJNL1XK012%V9o|PgM4Z`G#o^>xEft`$e~ogvF|-bS3g$5Ve+< zSLak>xYi0!svpTW^NrI#8hN_z`$w1Jq9x#J`pswJsh8rZ-XSkOef1;g4r9CIcPDU) z#JRVgn*qX^3ztIxZs-LkROfiYGlChw&uLU=Ml~!~1=nOmuxOl<+-0lF9wzgo2Bu{I zv1~{gE3Tdg{%Fq0kuZoMCM*G?UMXA*wul)LroT5}&#nAG*86a{b9{7r&PpB&>-C2% z+q2&4StI-mPppoo*Jh17O)*$f!{La^|AsUqP;*aZO+hPUa(5A*%MF2ZJ51Sw{HR{k zgGaPh_o`E3K^*ofW0jm)@I3iq`Jft%SE{LXEPUO>u_>30n_>DN-%zLLRvSQ@@ ze^Va5_|i1Cp4F>y@tL@A`%uF@t(#5xmHB(+XlOquErp5rf9FEHEE9Y*D@&y!E#LQu zN*0LvAy17WmIS!L3=DC=T4+H;(^pm(Kk_)dU-4 zes)bvp?yLCH;9jmn({+Y$v>|xuYYn~e{@iIC)QOYm@}pgDdzxo=rgK$SS0~$ef8e^ zoQEks)IrbHB@j!e4l$86h(eZ2)x(g7uxJhgw$E09!x*``3t$}p0*@-|8v2UREhho& z$&u(I&+sCaR}7%Mg_yAW>LzBsrhyH#Gch(X0~Ro+H{SbO%xQ%6Q8W*OW^UBzW2+@Z z*cN6)nw!OXq937K*er~D=Y)Zg2Y_Wvktd_&tjKd9YF?lfTj1W|BqlS!ljJ7g02cTP z*hJ8l6?s)l4^@pQSyYYN7BqB8GpSoRLKU#4`bGR<32juk;gK;U>uft@)1j>xnjCwj z*nPkmlRkz)1?&TA8jwmF0!>UZoUALD+E21sD7{lpL{L$IVGJSw46%B>p2NIP{x)Qb z;u$nF`WYD^3=BBkB92z%!}>A>0oECQfsb$=Xs86XQ4DWRijoJ>@C)75~Jx9Q&xk@H*g^Vlm{aD30gjUKsgRWi`L?;y6i}mtc`m ze)570%|m1ut>n5&v7(u;$5_YvfvPJ+$9lWa490!GoUF$oj^kj0aaKZj^KfIZt#wXT zDe(JB`ZX;r3zpNfiyojwkaC))QE4@NAdAtc!n_vU<|Jfv!~4QW=-gY!^n_sZJcnIz zOwWL77+gD|hwy!C%;VU0K{=^-iPI?)l800Pa|`C6JOyjw^mtJss*1so!;Sb|$D0pBZmONR58LFU@Q)ap( zMzQf`MhWyMD#rnLY+y+2$@`eqJPXPQ{g=seA;tnUu>TgToQzHA`K1&Lyo?fu`<3a$ z4aJv~x9$~}k2NtMRWpcB)s-$(SQ}nMZ+=W&ZT_zY=L_S8G0ZDgBqzdisx3CwCsvm&h;Yr3K| zCKsQxK=nA4n5`b0LQnvjg)wpU@+TunriR8Eez1=WQ)8?O-$UeZM8^(>W#mEye7F}3 zLB>69J$@eMTScK6C~*{1YRmIrvZx5fvMU-MLIFrLT5nuujW7}BOPsUi7`PrJ9JmxWnNvGHGul)M$1KEYJVrM626pZGf z-8|2)X_3Al)>3o4C>O=P#hJIt(NzwB=dxE7xeH3lvqHrjZQY?#i{;&A$MpBWv#4Ts zRWkP+Uy}Kw<>yms50`iDll}{PEmK+*&Q*omzhWUVS_m@UW_jrpkf5$@u zB6Urdvy<`jv&9%3JeC@$4(W^~j_UXh_0F;Q!CG#oKGCkbPtjc=DgPOGVuJXYG*|C(^ecFO_L|Gd2091zx6%i7&H zxvae`KX<%9{8~j7>Jg-B#(?go-*zI z7jdrD8$|SE-~B0xkiWjOLh{#ic;*!U{Qal7hj)E%m-Rr3;;k;H+RE;G%`F`mSA?ey zo)f@RXZXk&B-$i`>N&Kp2F(se%T!qP*c4 zc-6^x_uv6lKYf}4O53lhc=T;j&0|W!#BAi^Yrl1;!FPngQH?{yvXX(V7kvVsqbm^0 zH!BF5>oa!}V(O=_0qI7dVc!ucS>Nj^eqbD)l8o!zJaCMnOI0TPG~z6Q!yb`XX$iD` zztpqkyUH1W)*5ms31b5z-T&!_+K^5e7%URys!#xhQ&^h#kY7z*@tb3qm(c03r}Kq} za1dMt7v1;PmX$}B*Wwr_sXh7gJ#*OKn&rXlzPI+lnnF6Rfs7L5YP9(NR8Iynm(tt= zWVLT5b)W|aOx6(Zibx(Dj8a#_ys7D-btO8`&Hr680w`L=Ng+8fQ+ zwT4LhW?cX^ID3Fz68lSTWGZ0y9I`B2mdg`B3xT+0s(_h=^Q?;N%guQ}^ElqDg=F(M z;mnH7+x-&&Xy5;_9z?-Dz%%BKFlPoL#w6+Wap0|76gj5w+-wTyWRA4B)WX^40q9T< zRKR$df_R`27_jNt@{r14p>HN}HoYEFEH5^mfN4!7Mo}tX-UqYhDSQ7DP}5mN5T~i% z5y!jN!?Jj4Sx~@arv54pB8mCa%>u>!`s!tO#R~*eCu%-Us}INiSMKRGAXu>31N@dH zO~UN^a9+>?6r8e*yv243uceGVG7#s)@;;I(f|PM!-3IE8Jrp3Z#SjZ1@0zf~V@42SBrpI}tQnDyX#&6j)ja z>_!xr&{0q7I-e=99F_==#=pU>{L{*5c>AlTuSZMhlN;HeTpZaTN>k9l0Qo3NVnhcdh;DkLInLpnv(gLFz&ulA&-X1RD z#5c3Hml2j3js+~khEF)h!bKHv2x)S>ZXy~7E{u;ONfMEpg}SLS>ViIuQ#dt;uZI~n zG$9eXViqflN24z)pJ2^(;mxy`XUC2?hY{%@9d+1OdslA78UsBGEO?QN>GGIJ_850I z%u(*FH8_@3Sed8*zP{!3Km^G;Cb@^;gE(u)`+?Og1HhC86LFqq_IxPKQGmrbFl|wQ z=wsp0{h91In_owrH;K6;>7yX7F6u89Th!x-zPeuS73ObEmI8TfK`6ijStiwl@uU~ zQx^1nrLXRu>j|kfbh5?xKTZAy+?oE<; z(~1_@5;<5K!vt@nbQ5DugrHBnGZHtYgFkuIjPb`pZhYd16?Y5xN2mAv!4FQoYK8R~ zk<{Vy;|tifKNN*xMp}WH3jwm`_^jf?%6?h8YdCdk_R&~yPiUr ziRABrXPXL5-hYSM_kikuK%|yKH!;bp2ulmhN9(R=&my82!N;Q%LwEd^T2ZCj*89Bq znitP{8aw^HgYoNH=B+qU$;F9Ri+*#yH>bv)`o`CP2zq7)J^0WK*@&3)JPr%CHj^v~ zufGagh2;j&77Pkn6)s4T(Iz4_2(^MyS#%M=l@znemU%e*MlntpLZ~5OU~Pz?p0?!| zO&1gLIfNj7&;+7eRO=GqXq6EFAC7-patUXM{`l5a9B8)sxrTGh7gMuX;UL^H*&{8i zOk}nm*4G3NQYLm^ur6~D(%P@?6@Bez;#K!DQ+I z1sK#SmUOTrPAH?C_xSIZhLtfVax*|+>=P4hyXErG!E(Fq89k#n!0!v|r2w1@8q;bfF2j;-oBJ9YSZ;a~V^AA zp4Brl=-B~~$F^*@fn(w6`qE4qD>cvSTTdY@(RS765hPka0(IrP^)L{mvSpNZ>g*EY zGQsqWG@`1s`IxS;O_$4MrtS&doAWX5spmuyJ~_Fn4FBO6}co% z^GZHMr>IfrQR_smy%Ot#aYg;`mn@vmvs$@)$k(dgYM+>@66`9IXt8yCXSWy8(kEI`9{qz7- zS7KNMiCKYA*dRfHoO5X>VP!qcXE?v9gGF`kT1cId#7Y_6hSj~5#3I~4sq}|#vD_v& zuk1E~6=EH=-HkdHH5^e3IJg64_(!7x@8x`pSyB`EtJTL6812HleISR zx`^p1*a8E47=U}D-WZP^fSUE*3eF8CcKgnHj3LQ6{E#~ zYCPlW&t1eR6z>*+5YVLd5>-1$WUb>oF{dFqiWxutr79bgEJ!$sIT@LTv(Jr~k`n!a zhCsY`Fd(NDqa}nk7#Z@pg5dcQ+V?j+I_Ajb41ZqSNdH#Y#3mMb~hP-0f`KK_Xd?jWx zwREu^B8o16kc{GrJQLT=i>HBP&wC&2J)tr+?~?EJ#+7^%e;%x?wZs1cTxL`d2E~;FJAzPo%fxHOh=Kw`J4KO3 zJ!~v+Cxozh>xyJL7IOqeHyL~*6VRmUD4+3-@P{yHP}d-v6BD5|VTAY#N+Eg*e}7r& zXd4cHF(Dky5G`v&W$cIniUYnn5~4fNmqi9yM=W6WB*RAv#}p#waOU8Yne>b?{)e#O z9C;81xfX{1?q_dL0y*w<8R9=R&s!lH!1#z%DEgaZOyIP2_>?F5ExwO?kTf`OO;lnG zmLw(=6c%CA!o-|MnJ7OBnc-AS+u4qx9sztbMl{sixcZ;|qtjs8F>#kjHoP7m2p@@Z zdK@DmU=Gs}D*(Bzh%_YQz`Pk=ky)#f<1Z}a%)ziE1-gNG@ODuRy8VjkaDoBsn1~*L z1u|LD0{QUtlO31O_kK?mYu7Qe->P^2K$Hu60 zTWp+A73LH!K6rbwu42g94;m~?P9&oGgVsoTLaU0=r!YpOxyq$6Q3e=^W6=z8$&p!; z6|?|t^?m;^HW*-nFf{TeM%4)*sZi9Oa!WeQkap8U$T7qoLk9S!X}I{S4&HmeejD(n z*;M0f=>-J<#9Qjy>1C`_>37JNflc-QzBT@YH*;w=aoAriXYP>c%i=5!eq?6v$h>#Z z%$@UI9L=&rvRMDTyk8`YEAAJYkUNMo`I(x5`*gqwZ}do ztN8Y#w98iJ#moGh;un>xaUk9H2iw?!M4+IbSzr1jj+lQ@ymm}we|Yhk(o%6vwdZ-; z&mKj*sG5(*Vs|XgKjX_U$G;F?yr*}aKU#lLq*Od8$MO8%Jm z7n2W!gBTS%9!{UdIsDAS;_%(e)jeG0p0C|o8!yN^fVj59-EL+O5#3TRSLal;Br2-^ zio~CMSPJ_uN{=0s1KyxiOQp1ef1q}Is<5cWQuv<-l|`}MmOmxkPm40g9%Eq{M+Cl< zLn!vtrxD2Ne(-~@7UiSrmt8a7seW1gTKsgaoWz+1*RRA!RqXqZ6#nQhqvi^YdI0Hu zxzBomi-{ivF1Uca@Dwa{zrvi47?Q9Pe~BPXZT&LBI=yV?3_A8MIw0gR#BMH8fF=Qv zo%O#!G>u}T{ccY#HeXh%CN+!_fGiZg@v5RPBf5<{r^t^1Ta;_Z?&$_{&WhnU2JSgU z$H?0gQ3rZFU75P}lOHztz#|k|>7GB5DB?GKdf&Z&H8}as75VlD@12?`hi^Y${<56O z{p7@Z8cY8_b8iFP#&u>1Ruv%yaeBH@4+W@5K@#3W0W5%|NPv_=$@UuWp+JHZB@q;* zD7F(55G~V=Vq1)yxM!y~s7DA!)P39}t+>_yBt&a8KHjt?D;~{k_JpJvN1e{3B}JLz z^zKe%S(7+Dz0)GCc-1p=ruUYtWYax8b9T?!J!eT<1VFG*_3Hh7_q+Fgxu>tO zx3*mcdIFwirewq4Fxaw>wzALacIbmcB>@F&%TMU45Ut^s)haky*Th*MI&IEFVXcMw zTHf=17dyTT_SS{TsY&;I`FS#*1}8Aln`xm7^_{W#@3#F`YO=Z}L?;Xmp=>5vO?t4+ z`|ZE-*UvS*&M)9FX}&}2;`g%_{g`8D`)~J)w(h{uIFzsFay|XZ2J0aLufk6n>7Bs~ z`D?l)$M_F`*5tn^F3{5~YB2tRC_%ou3Y^qh&GEK`{j31k#mg4d+I&sq9ttnu8c#Ep z{eU9$uB;24WAY40sy1kM+g>_E!s3G*_mH&nY<-KnFuoJ`NHQUae1+B4k zvagM|Q0wd$!ocs^F5RWzrf%35YIFK1>=3NG zFnXtTEH}@P;lCw>P(big>)(@*ErWE}P-N=WjM>@VBU0KPfw{inD6lMGLg;J%>aUgS zXd&>66I9F!qeaa2MT-{LEqUBn4kDqI1gbtf4rxDp2J$08gXFKa9%E|0b~AW7xCl;E z;XG|C!59Qq{UU+$^c%sgsp~7 zALJG2+hgr|qpW~PZPz?9=j-RkM4x= zNlFV=8{j+Iicmt}E@Deq)$VryW!S?`Y*gO~f^%+%k(ZZR;6p z!O3DoJ(b{q``HH@D3}d+)(~mU2s5YZ9AOOUN0ZN0Z#41zTl-Lbbb$+Wva&mrEP}+>L@4tl^gaYN&othb(eKR zwI1Z@Uh5&lXac+ZBpXHw@B%3RGdXnqhm4+Fb}jeqB+%luJ|`)!j<4qW(`Np*lW&&l zV0E5d@2P69m$tL)Om3%#VwaUYZv$WNw2Ucra8yU1FQ=L+Qtj-yAl9Q}w>iT)uvl0q zl&ad6@z=(^j$T#8pbx(stf_mzWp>|>oIRF3DjLpQ9WzgCe&sv9>*KF6kiXz?%$}9n z-o5JrC2Z0}#FKLiKnZQMi^dJIC zR<&)aeOcJ-5H*4fKyHPO7Two@*>U{s2%Sx%{Q6uTj7+Jk}- z7|#=>lle?Ub6Q6gOD?v9QV90pU;i8I*|7q9oJ~)}?k$Vcn2M`laQc}8b^d}; z*oQY$yv6gZoMt9LHsuHcSes>Eq6{1Dtd_~T+B6=|9Kx!tvCE>4gIV6uqaG1dfdsy_ zx3_XfGUfrLDK+X}d_k$#v`Vjx%CiMyOYP&ogp;!W-#J&_RpI}?1G4^CQPRhr{}`}+ zQNZ_IKBvd%#FGH`u+w|rE8P2S5C6qm?!JDKE5_Zw^ZxduZ$Na2B<=4Eeb&^K1Em+%X^!mWW}oac+`LQ@x6bXUw-mmeVn})b=-SH<>w!DYrb{bO*gLy z^qRC0>`N1Hg+_vEcHO9vR|hZ|Cf1Esn&8K{NE5=t6|@Rq6~L0slT4+X*cc#~W~k5J zV`;q|sf{cfp_Vl*DCqcF3q$xK%0|1((j1`<7Iy6XV=K&)?uD0yMa-nmsQaCu6=-T_ z+eLz%7(s@Anb|e1p8m~mX7wcw_4jbbV8eiW(z-Fs!$p9PQ6o*EnNnCx1B8-c)KPS= z{oq(ZD5PgPGor|TwMgVN5oTBjEL&*-C@_^cMPDpKB2|RCbKxk`6pjXU_H{@@>1>9^v%5MSHmA=3T9iwoV3pBAPr*G)xApG;AzNlU%0{EnDiZKdUm?UJ8 znUx@LA$^!8v@Xf|5M{>@HUDVGKLQKQ4D6!aFN?dx?I!X<~ z=Hr`4b2?N(P-<3+a7?3S&7+`U50un`QmhiUc@<%nZH$@L9AyYK>+P__o7I&(@>LsY zN_TZ|-Owspgs|DnkkF82qKo>Y{$l0Q{Pa}TmqVbAnS*DSkrJ(~eW@*bR2T0O#K40@ zKMD*!OVa`^?h^ICbE5A;X-OxPfV!ER`5fq%0Tpx?G}N43*%_O&fd>?Tvu4u>7UT3^ zFr8^pP!%Fg>M5oZQO4`S>lyZ9#HY08k4%SQ{M`G$oARNz`}QfOu>9qbPJ?6Dw$hr5Y( zl0p4k+9oh+2w#a6Ja^9SQaW6ucKc6(HxM8nat2sJ`%)~DhW@#OLcJ$n(o=dF4)t0J zOcTZ#Sz#4ixp~>(>p*LRx4V764S-}w3d#IgpXGga`-exrWEHx=#uO0JThVKzdMKWK zH|Q(k$h#>IoGd3`rlM18f9$YI85G#*4OSu4BYF#A%DyG_?*0Zz8;L8_-}AcOLTHoT zN~hg82M1TrG-$@`n1vLyC!$)UG9`(=980*2Xp=B*$VavQ1+K36R1?0MENCDJ;5rFVd(10f zrAF6!H&m#qBLN0v157fdf@BepV5$kEBBGkp#VKB7NLWNw{4wAW1&APELjN>iLW=(Y zd`4Bw5=i!-6_KO?!iAb?0gXo|s8X?A&8At#FtQZIQ96&Q225;(D`i!V>&a0OY8Zq% zlt;C3B-aQo!EugoF)BE1MxbvIdr{ZNOws_G&0nto$0F>+IpiZ=1WPi@>Syg{jiN0) za0`ummAn`%sH7E5%64q)-~JU6)GA-)0i6_a{LK!a zLZGMF9FG-2r`(~9g%o>8O{AGY6DKYB$^cSjW{V~(Tt|cX0eUMEtI`a^F{9pSKx1Mt zX;Lg@jKZ-3bU{o~=r=&GJ;Q;(3L6u)QfOyuPyZP<3ob_FL8CHjDD(k~`V?fR9-XBa zHNh_sL5eI|G*}i$G!Z~jLkLju0Yc4cH4PVoPrHm0fH|Zj6o=0)HR5{GX7=9`gQ?GE54#dvEvx`u$1qIhvXv!kmxk1AT ztksxjQ6>TXry(tz&8B2RpHQCA8>Tw@>5eb zrAw57a)K!=p+d9=V^&*}?Vy)q5f&;&SD68%55T%nk6=krDzOsH>Kch_u3WoJbQz#AG2WVVs$yuDggK(6Kb3WiCeUyBSvb8UMG&5 zv9f4r3nzw(ClQ)U>roLyHibkrg()(Nm~s(33#o#~t~7J0WwjIxCqvEVh;eJ)}`0uDhJUCF>KXlw7G(@3bzbwCxV!6x8YWLz&VDXY%&9eTW?T21w$L> zrVMB-VEMlJwO^|(rPJHr3#+YUqu3LBKE6a_R}A%|C6-`ol3ANF(^9h5jgeUhA9^-3us=y8hhPdE?Rk8Rj$KVhki=eM+nIZaX5xnk zCEMl2O#NNjd#-chkm$(twt)Jwm28OBlVx^`$>xi4Ik8xC&c>ImR^+YU*t9pTkA+^x zRVd|&ZOi0=mz~W5iRZ|6a?psRjSjXk-pOX14u%;wa*ka|oHHg9T5yK-$2ME}?;GOW zhO66wTAQ(>1N%%!u~Q=FC~K+b_Ta+XCqPG8O>>W z>=12k3IfC-n=)Ns(b}Damb(L_9P2_lpp+S7U4Wu{Nevs5#2tfeZnVhraxzm2rtIZ} zC0otdL1CTE5hO`jIriZn{l_`C=jY58kE`j4^*;|MA7VTRR!Zp}HT382KtT9;j|fxZ z_kKM7hR($3c2G<`^*vvmVyP0XZ$#L6CF3aw`OJKZ9+e0mTMlAlUyn-`7M*@CxO#G7yo+ZC-mL%+vDRq zKR5}*b<4=F?xm|a2%gDq@@3~*a3Q^$JpLi0m&c3Gfq17|3{1Iw>95hYSPQ+TU(Boz zfl{gO^7xMH!sq2Xq>&-Rq~+b@!OZ>Cev#C^&^=E>lWdZ>Bk2cYK-Gc^>>#kkFMxQ7 zKU;%EKyCy~yk!zU&pgl2XDR5DuM?`%_w{-Dsfk^$f?xR^6V*Np6yV^~(yom-D1EBz zweJ8IzI}Qq>Ro4|MCq1rgITu@m4KNhaGu5epW&d28n7XJmlhMsb*m?5I*%QLNZZ+K z9OLtu@aVSt*|77RqrwP5H6CKG>gI`F7gWlQsZSCd7nMU+rR;8GS`MZ+vYqcG560k* zxGa%^<|8bohW2%X#m2njcP9be1sa|V5V#`;@L^s?mjE_qM$hVG^lJ+(biR)AEAwZ; zS)0WmeH+Iaf*2s ziT*G-ErIHu$CSLQuAnGNwFbD&`RxmzARBrg3fE|nbcVI;7j(448IWW*#bc`T8Ea=w zd@7&HjgW@`N%K7XM)mQ0$pDrT95w> zz&~+*7NhoV63@hjtB=VP*L z!&2IWA_!|AOnB9u4Lyq{!04FQ1K=-vY|ISeI5?>ZBr1y@Qo5Bc!VS!yB~pxll$izH z1pOqo_WX=uB^$9UQbt0Mn8xSy`~lX+NPY$#@^P$QxckGjjWK8cDu!1syoQ{F20|*9 z%HcEwn3(BTr(CaAlHGzwQ;hu{mtOZpDFbZSVzihJ1!WPCzeH0jSvXpQ$V$Oz#43nD zo$}+r0+eASC}~uPDk71Tm=tpD$N>ed>UpJh67>J`84b$OP!U@3{rTf!WdG+aubZ>% z=$9~*Mm!(W5o=q91x6n?K$tK?^N7Kswpt@o=#U#o#XS{knV-SE21ve5ZSQp>n1^VR zszzH7#;+z^Mdx(v1gW_hh+nVA!_8(g*pcaZK%}m}y+|s^v3OmTA<)RbDK4Lb&cI5E5-b;o~F*8qQu_t;QQ?C&Oxtyq3SF3;!4e9v1rk|0a zI%B*{P)jTaT5(Y~G4J7|T4HDvJ?TR`V{~)Y2x41F5I=#eF~#@~5GW;mS@h>wsa!93 zz~iuk!DDR^AA^!XwG5<=l7NSeWUUw)3Prvghd6IRh2-WpBy<`&3kTs{VgOMcwIXNpKeUiBJCzfB(~;Htuu1*;2dfjw%pQW6~yC`HAW^7YPbY~6!W7{V-lert$A_8fW`~fi5ve0l1|ME zN~{csDlD)%fix5eE9BI0nYL(ojC!q-*0a?z2tQ*qu-vdAFIP6u0Faqzh9HUqw1GHJ zOhR^N21Xd_BJ^M->je`<&XTA=6sp8aEL#6ck<&VBX8wSRMZ**5A#kW3V{2z0RDwP; z>BEQTc*&uG8D@ZDh1hZ_rh_i#E3}cM?=sVXB5wjz6#Y1XQb>s`(V7Ynf(=i0=@w*$ z>@pY$b$`oUUqZ)@s_kkN(@s0R!dx{I(eZDDxC|4T5~VmhYNvbbApt^P4LqavjI~&` zDtG>D6i%2Bb8iv_&r2>XV%(=2J=r)VYAaq~X@rP+o{r+iK^LbLr;SB7pcxX7MU#C? zycA)o9?R%+*gr9AE5hfEQ!J*{LBI0F37^$_!#8x9wkR+bBxxLTv_(j5;D*p=N=Ai9wfSvzmQNf*}&-8qQm-gJ|uRyDv;} zW16W-Izwi+o_vS74ca_Uqchmw(*m0yCo(K9nB#LCeQd-G{%#O;9&+ze4P8o2xj}1U zgmh)5X$}>OoEa-+|1KF0&pzUAbO+ASgW-XhZLgN>8XMsiu{_Vuj2GUI`NyNTKl_1I z7<6Yyl+W@-CZy3m z0u0pPK&Xlsfv24(ncD1E2Dk{T^=z5*EO&*L^;Im1`l8C8M?TT)g3@Y&=|)scKb4Fi z*(&@TrqjGjq{C$b_IL_vsA+>md=-G-OpVg}lp5LxbUZ~F&|On~ z7A#H(yXpz(;iI5$YU8;AayPfW`!|)*44N4H#Bh&Lax+ZXY-Ke)WRz%-x~GX5ud1+E z0Ep?}!ow=1F zM$~{XGHB9*p+S56GTNqniC4(H1S8ef94nWxVt#J@{#UGu4-=t+sEffgw_W$RU4bgb zxe&^eNYS7*wH>puX04gXvnUi(u_c+p450MAMJw=30EASTKrSo#zR4Rd8U~YPRQP6b znNcv&;K~dvUbVRuRdcQd8nG}2uEbegYH@jq?~#@h1F20x#_mJ&lG5iIWEq{TqE@sD zzsd4NzHn01sf~-7rW1_Jhp|0|)})dGmdd`ul`ZT5gGIsTmhaZLDd8 zV|h>*=)XEs7xhZLT*zuYY&y|CZs(R{%W`$hk&R0AcV+V>=|p{AiBi1(N57*IIyZtm zP!xMH1qQ=B1GGDCGG6&U~qF}*FFMHyYNZyl?mfw9@n zs+QsupC!W50Db%7KAR5WXnED)Bsq%(&+zppP`ZfJy$+5mrcrNEU|F@U*vLMdX!4l8 zYHbF?LYeEe;|4ky7>6FIybf9AvH;d+@d4ojlRYu6-Vh+HK4TuD&3C#h>W=f^`JNR0*f@1W~{aq zwM(bXT8rO9|L~R2ePKh5yWc^svljP_aW-W8>?eJW?!{#O7&|CX{4A>lZvW&<(P{}G zbUX8jII&c8FATwg(nl@8q+rYrBRyEahsGIJpRR7WdYp~N@S8E(#Q;mlj*LtuuaZl$ z?ro?oVl7hBhLBb96152W{f%}tLA+(1VM-eSkYUY9_+Hdwbqiu6r^%K|Ln(~QAHB9- z4{I?XJz?8|&#T!*`mu<@&ckMzKJ7{0BF;@$iZQ^1({U$_6D6`2BNk0zbDf@}{`Ptr zx(loWwjLp?Ra%!VunEVve+~j2rt9d)8u~Y}lk>>aRbV=OO0N%`bn1HaRAY0s{&3x` z|CYZH(59)^VM;o2Wqxnc6ectPa}K7o8!I$c4GzW;B|hO7xXqE zY$eNMPt!)zKjw|A%lRT&2rlkD} z*N>44q2 zH)6lkxWA|E;pQKbC%Y3!$ma`YG8q+iAXZ zuK8SWA_&6mjij8PPiL2C_i}6_A(7uaAJe72Pk;l7FPp7K>W(bQ&&gW3(8^N1jgH1(Sv-+?Vy&yVF`hmkXY}^6)e|{>r7V_7 zKi$V)Fuo45%IA5Cs)HC=z?T&xt^IBT@^CeG2HlJx8r>W=`&vExgzjbL&`JravP2 zH<^4d`RU2{JUenFaj}~nJ%01)|1`pTKl8#fgBj7H@6Yf22|f41!r|-)z{o{edy}!N z;|Kddp#$T0{6hJ|nMiaM_NAnz7PbMlZ40HyZCv7LOK~*5aJZ#d3>`nM@Bg3_Z=t*! zfSG4W*i!5XRsp%qvup#j;*WU+kpRabeMi@JzwA}QW*->FW4-1)+iM>b z50R!9t9#pD+i&jwSt8NK%uhL=21NMDp{LF;x$PYPtsA!aGP_;?Epz<3{e10w`HP*n zk=xb|K@@&eoD=KkoCk}kk@2{p>06HBBKT+#bj8q{i8H#T11$D#AkgbA$1bolfNDc; z07|)#zffF%!ta&YfkYeH_%v?aDu|09$gc7dQUVM$t+%;1?7xvy6i_PU{rLlln7aQ{ z`cAFid<=dtSN5N(;?s!yzO#B<#?{>~Z|Us?9`@l25)J|Rs~hfQ(kby{t7=`LcTQ87 z>lTgvmKJqyKibK;>L-b)v!&u)kI|r-OrF#n)n9$)|0r zoG%gx?&0-{H)l4>ZNby5b{^{z4Q6eD;*!|udgw!F>EE@B zpGs+9iiewCtZn;Qce>@>f_*{IqwebI(=k~b?KhS0^|!4kanu$&{cf=@i)O9&yVgWEqq@P@K^MlQG{7oaeNB_T9*M#JMzVc z^hQv|GjKV(!(weQv#z6R)q7zW>QX6--K@8c3ONsG@ex8< z*a%|rQBe!6h>QLpmTsAP->$2-FtMr6x|A)s8gNe%WonK=NXEf-xst8bd>pu8}#TwMsKBt!lN_ z!&<~`$9dQ~T0IsIX_0qyTYcuyf8wf^fctDfQ>f?&YB8voAH3U2c21gCu zDB#3L9Z>E^pQr92{@gmyUXf+qhRn|QgK8cAr0|#VNAI{xxPvEbadpIG^Itjf4p{9< z^ad@s4T9_s3?`cLZmj6Uu`1-h>e!c^i?zD;;PLxeYSQ?y=I%hxcpvlIEyH-GHzJGR z#4@$-mGR0uLCoamUhr8PdXie@4-jK!Ex$t9V=aDDRKWf~samRXJEa@*Fe(vNbx9~> zQ&l%XfC!9xH7!htPu(SX0ttCLM%9g?jLFQu=Os=u1!c>!y zX4#ptNjf;n6g=uTflXpVj;I6H3cV!j#^8#fzAh8UB>;y#-pr&QZ;Us2fHU&6Sv50s zFg(~4nE*iT!Zv|)xF@v5Z^2PZ8S1Ut?AfaJC;#@opa)goz+#RcNZj7dFMk9!x5oYp zRjH&BXi*gYwX^ioM-pSa@{=^c1M4=JXgBMyNaic+>&>QK4kx4;J|)ip>Wf=XP$7c zuKmH8X$lewlHd|(a$JMJ)J9a5s@^5t;E__f8&~*c9pYBF{zmJz_ZZd)h&Adma5o4; zgh{>sKYE5vpm2z6>wX~Ak}A(i0Y|WaCT}?xy(9iZUs3fRdiB5c+O0o3g9Mf^QhW^71?O!>7bp48y;M(K%E%fz@A!4Z?CfjDze@z?S~0u zkQ^MjC|}X@dJUB0D3ZYet>;;0e5`qvg{nRh24k-QqMn-2)5e&f389rQDL{>2#`q)q zR~ZQ|>wpOW47}lh)x*YUzNEvl6bV7vE>|)Y_EYqCqHTp^z@))_) zj)SkHIQFn`>@(J+@aWrF5H z^{7TGGc$!Ts@Opn6fpuwVzx{0kv=f1Y1n8g7*6zkp-wxgLXYEwAezq|HsB`8%cUWo zwaYL$9W(g!ag<#;EVhb7wXWGQzhnyi+o^sHRlO2}8VK_uda`GbzvN7pnrGs{8M0Wc zc#Rk-hJ_Kl5`S0EkfH8F9vZ*QjvdMHIg?5f$mnK=o1K@SSuT@cDI8faD@$oO)`e$h zx~U6zzGw|JA6vfZq!$+5J@l|~z!-Q?A8^M(n|hsO@+Yo}nZEFTV@Lg^@yN*=daHTl zsGhMzpOYE=9IeOudw%da*WdwTK|E-EjVG%UqISkQqz#yj>K-|;cKm?VoLF~1ohG3S z?-t9!4V=JHVI$GQ$G@5$n9=*DXmAA4T)qtARLGa*#nzDEp_%S>lWM~vq0>6>#`SG< ztJ`aKGWQ)Krbw_%6Rrjbyn2u^x^)Wb{S`N!Gny_s9V9qU#}BqlGJQWAn(sY&$bbNL zZ~F~P5}(!2_T99#-7>UdT~96XUT%HX3Q4#~BxiFS)oEw^%*h0AllBqqR_dPyX3!}V zkPCM}?+Tb$+2N|qnC1c+^!tvICF=sdxCFHmJs&wQq)h<5VO{ihu`D|(K=Th|BnYI2 z;t(ewG+_dC5tycjt}>WG$+E)+R7J{)nv+6H_=aiyy5o1K1wTsdZ-3`klZ=)~Ob5o9 zbumTQ@fi$4a+w$iPr&~rT92X|V>)ty%}C)Bg#!phPy+p2CI+kcdUrW)SrMr=&$@v0 z;R7&H5JA6XBuXtx&?Sya!(}uPakyD&6b6}asu-KO!j*((3uXF%!Nv$+wX0ss)!Rwc z|K%V3T0tT3r57ML0uaplbO#vjGy9)v$xKl}cI$)In1P^jV3mV%otBm{k?4 z4q1Wg2h(p@C`ubfN|FkFT|t7h0a%r&l*g&5br{)<5qAZ4OI9Hv9iLLS9n@9)-)|@t zFbb(9%nqEf58ByDV;`!88Yg*3q>(p35vkPC}CnRs! zMLy&bnsBtJ9BsH0B4UnX7Zt9SM1Z4( z%z=s0#=?^pz;nrrf@46-uJ9-ZGLB#iaS=ZM+8^;ETlw#^uK*9T!fW7 z7^0rnkOki}OE?e{?+2;kCbib#lb{k{(>*bO+L!4vR1eaEJ9;~W8%iCs&$e(wdx=L4HK6VZRm8VkBdf9)xFCDnoaGx6J7&be-E@(U z>CJ}0MtDFImIw%Gpit5|g(TIeL4>9VAD}X?lRZ64a6r#sqEl(j=9tzp@gtus~)-brf@0#TUK7f6G_uH~Oe= z+L_iJ(FF$2Rq++ZD{BZ8?gshvruB_$O@Q)Kac^gd>Y=#7E{ zx_jIg)O$oL+(9_}?`@?|d(p_vgq3LnDidxgs86pA&N7O0t@6izwTu0xP}?a_b0mVg zAV?wsU$UzE%vgyexet-_j;fZGq=!9A9n4kjKFqpCmie#8s^j4J_rgX<2?{moPu6o# zXk41t1swMUrZ4+C$UCpP^TNSP%~mf)d_o`<7^{|HF=7l-!}^u_32M9|1f#aFcwl{Q zp~~YcEOO_M|Gk3Bdubsm4qtMLG%uJ75>{0r_32cQJ>$+8Wf%e*cotbr)};rSK&CWG zt$YKzDqc0he*cZfw z16QG&-!9Buy!(9r2V&dS5PLc(lC(RxIk7=>VE=T(fCty9R=vKh;U>W!tlfw$7*FfL z|0vkuG9AJPT8q&Kmz_3g4A8QkKm#jdrzwfy3NJ+&=E ztGS+MGAl=a{f7A-{m%Yf;?T+y_2jkKaxTAYwTWF;Zl!Nm;mC!RtKyhgJ@p5R^e~&Z z`aaNwWlaPZN_+JS&IbnDW$ZFKg1dT({rXXOV0?!4hjx73SfEz(8Z(|DSLjh=rthKB z4F^v_qA2tIBY3&Q5^G#mzOv+QyU{Y^?(|2`ikO{oV;?Y*n(5Ajuh3Pn_$Kt2JxjLA z)Oa}ZTT%EQ~Ie#tfAk1eCP_V|8u=Tx8yGt&+q$}@m|`=e*Ij} zo1cw$uWV}#cO>@2V;A!8N4mqEg=_4m2Ul~|J;sR-=bZ@R$>KuyZBlwjT#Geo9c*`Q zPwSp)a@-m`-|*!Y#2z-#bNGd0b1rNxBx-u~6cq%)2HAf*(Fd0G=x>O@673%?CgWGW zcr0H{8|}Bbl{#u%`{G^G-D_Wj$wrCaqgijXG8;W?ebK#ZcA}dw&ukQ zJbyjxzTtM77mEq`Qxg#WQgv6sgVy)j3kSl>)m@&OM#tN`>{R+>M{bLAmyLx~fcNu> z-n&VFXL?bdP25JHY~2Os+dNX%M<#`j-2LnRM=X}RBk;2U_wG z>r2O;T3~y5alc2s{uG6p{Dfimw3;nVqVo1#4^o!D0ZjFH%X<`nBRH%8KLKlAjIF=5 zoO@_PyqMqn)E!ameayUb{CLZ{y^({XJJtNptXFo*d8hfc?!ENRx2uKJ>E>q&cQ^+O z^w{$kg9{AQ)&s%R2z}=8HRA5u|JXmdkm+ALemwL_F}(KeZ-05DDp1@j$8S~T-XXZ? zu2p60kv7NfFW{;3$8Y=1ZEtYj>$z)sgWci+?hyN&_?sxvmH$+tB~f$+P&}@$gQnQK z)`df`9J$sFf-OI=0eBS>5zp--*#ln!RDPmfS5KJ0m*yC_!muG|0Pju%kIaBO3sX?< zLUT;qFkc%tch~5{3;Os&z26vtmGAN0G*w%5^8Ikjx=?E9{e|7%dMeRv><`WB{T$bL zh>6?P+JnGj*ZSUWD-QE5N4}A1dhF46Leg2A`quZ3r`RQt^f_%SURA6o+b#LN^$l_R zDH7%1hYPLsNtgqp5Egju`H{YdtHo`*`vx02-)*I|(r?65+A!JqfkF0;-_CKC$Hh3d zcNf#y(f!~>%d>Sd*4vtC(<^>dpMo?W;3bj39=k*H8KQR*FmN}Ru(qwOp^J{%8Ho90Hh6h)jJI>1B?H?DFE$uktolV=;VjSio zDUIX2^b4&G5f~T92xBt{=R}qaGfzdCu9fs|d8GVOdB&w`<1_NRN zLgmu{1Y@W5*D0=OlZ(vDt*PbvondFMbz$VVy4MC8yy{jTVJ$c4>bKwi?^6Im!3x(m zhab$rfnhKIw65>uBYMQ=bo8Z={R%gV*=vWuXx~-OyXr1y0Ic+$()EcuZ#ya93D4f< z+3M{TaO8VGW^Fs415mq%)9iUM8pN1$pa#(M$eVa;TYi%JlvE?eTaTf(9Oo5O3sXU! zrkUDR=3kW98P)ym=ftBroZ^i}y8YMPk)T=MVCo?LKj=n~zPaDo5>f%v4pxhy{r{Z! z_ODc1)Q$DsRZ!_8H;pq4DvBj{4vgwG!O5*}t?c18auVotHyqz^ep6o?=+3B8cw^{4 zO|9T0Z*x98e#F-D|N1b9>5O+euQ`AFxh9WMy_OSm-cX`DbE94|MjP;s(0tu)%m7JJ)T`Fl3|jae*v|ad-h_ zi$-jhzG0NvdnxivvIz7(@NU==;6!ifEqF;s*k>r;llObZL(1H#Ev7l2`Mtk!-MG6v zi(JJS_M&{K=VTDZ9x%z-Z*J**UYuuV^w19|>qkYy=N6DB!aS8C4$<;A?TcdlaKD~C zAOINk`7kngFK&Rc$9b`u)!6WOXZve#v-z<4HpYZ}zx^BK+cyR7i-iQ$63FxCg)gNQ zY^EUZIg!%R$p%}jQr2<>?kPx3!QvVS+B}3W$RH!>0=7FvkAsgitcL9i%eVncRYgYp z?Dt$#4I>YcdP{-?et%gT7t$?^OqV^2Oyg=STm`eI&}%n4^w??VuYczYy4u zsAZ*3SOcRd)?UrKB5b%~rN5%_7>b?DYkw*bkGFD5yRiD1=Z%jx6KUPsQzV!N z+5fW1@sGo4{n5WU&YsqP+2#1}g7v?IwU_S!=!gCe)Sf2ZA)caFCcp8myHNYrzjb#5 zf*r>V_Vkn`M@Z}iEm>CdV_7+q`4dl zAfDz^S2I2y^7MCkalj}(L0U!MC`%okZE*CB1~t3pn{SX(DpY2BV%N#Ije;Y-WH~+O z9KGC{$O4l$I`B z(giM_nBhf5cUWMC1@vQLy^}n^APYOv8{g8W7KGlXDl~DPt3jS{)4?tW1~p@G&!`bC zn?&U0T9aBbI56ShHiIqMliz+bMXRobFfw7&Bo-i)>L= z{Io*#?T!<3G>6ndr9*DRgkIxtSw2FW5dAOmgi5`@33Hn1P zYdl#rcvJ%Ls|T0axG30_hNw-tmU)x=pLA`Wo2>$T&+0%uyQ*(nuU2J!2-D%R{Ifx& zPj50PKv|QtH~@zNzGgCCiuy1ma)%I4e_e=y7U0V^Ip8X3R-ZbEEQ4 zXZtu@A;ko@mqGFO=NyY`bLI)|>@%Pa81j#q%Bl9lTt;UNr5E)` z4FgL7p7}p)uPlhTdLTEWcX3pD;RR!Y>x}2vB)yPc=~;9{CT2J*I#}$%%&4)2t>i4o+Ez2{hD~9=U zXvid!RjP)r8cVt(clA>HiC!U2+5D;c9axopcZ16ZNSK$=IjzH|mfGW1vv&(Da#ra=-6Ua_jP zBy=)}SqPv?8D-=Eb*n}f56rq_VEDT|lve(+#JUL#CszL$N(Vn3WWU>z_bd*ive3#EuU*rhQ(qU2`mq)g;WdR{UTs0 zi`iXBYE~{86xd6}pTR6C{btVOT8^-HD6vinKu~k2CTG=oR&dzx`by*Nn&qTS-=CaC z{~-a@W6^+>AJ7&(G@L{Xm3w|fNDp!+3gx;ttvpMFPyrIpyLWYHfn^uTO*42Br5|*5 ziG$I5iWcfn@;JnHTAO##Y?`tmwQPcK`5o{mAKF2-AWLfbp>sP%T*PHR{W!Q zuM(DirH&@@fXA#+q(EnF+bIZEIViGKJM9MY7EzyzjcX{Ams~Ij5VcuePyVBU9-17d6Lb7^wk|-&x%c6m`lGBqFU*LhM5WJR(JwOJ zfG7|B$zL=X!?Xb6?KJ0N#3>p&D(oVci&HFcCrhio0H-6y5cL&M^r0}varwbP{mw&cHH)$%us|69xWcLPdec zUZACgdO+IR^!V$R!|by7LszLt*HJM8Gt%Xxs8nfAUpI%@zv5P7K9Y-RSs(dKIZ)+P zgFMT^T1SlOAU2iIx&`2(0V`|($OY-&?2`Jl%Tk3EIp=a3Xh?|}$e8l+6I#pQg_)=XlOYBtjpAq6HzKBA?LEXU!r;! zGFA~}=eF`)Q_4Y;;VuMJ*+A(vOwMR*M5xP;{D(POu%ICjxVt4M3d4KDN5+g?RZT59 z*>%8k4K;_!g<(Ho+?`r{3M|thcY>?7rS4b~BL!@_3c`U+8qiuFL6WK2JyrESKn-Xx z)m5xQL5Zr&ronSm4KOXM4P)08Xb9^^;uBWXyN%(JE(FmLbQiMNl=xtyUI;8uhmq{K zk*I3L0#N;mHN!K9k$Q|S=Z0bK;Y(0ml{Dyw^B4#RZ9Hm|8Bx#jrCD7>p>R{$MAYcX zUe zg8T$TfQvGs#UgL?9VAMCYBfh?B4eOsF_NUK-RqN~^p`oTz}&|0`WS#Pg@TrAh?37I&Hj2+Hgc|ECaW~uSu zQ>9Q4uE*m#46z=uwmO4TDDT)t=pEG!mzF8Qdo5hCFe9iDZI?lI@zbjV6=6vWF zS+Rz07Hp#?->d|4G=}fH!g7d80FfNEJ5SYDOb@ zER4|^9ob{qe8>o*kfi90M)KGQYzdJlDJhnai9?fs9VeyT_Kjyevd2aS+cDHl(=B!c zb+Y-wC0VkYY>_dE)6xbzWY_I>Zv#!Y*?rnu?2;_WwomV0WV_w>dGB+tF}4uaSfe>J zI_LL!o3`BP@w2}7Z_`i1VFMy|tto-SI9;p^9mC*8`hLb?r7|J>n`o_fE;&DS~EL?k-REuEsdt`yo;q?Dus> zhLf%AjzF^fd|)-wy+?VcWmir=7AcE#-r2R%dCy}g(6%QFU9#J`?&Yh+^ZuqQygrQV z#gUc#+W7ok;&{M*bliS2mG}t#2P;>4dehY>)0p>U9Sv1m@t63q?V|J1@REi%Yy?-_ zs$ST`ozQQ4jGbnyH>^BeSM>jt>R;z7$h>4P)ZM!vzK<6*xjDcbmMexe z=jF09Q>Xo}iKCu%+#M)$=atzt8NFsYvsMmQEh%DMcJJytKg*TUNIbAGUM@i2U7+4^ z4J+GOS7PVx1oZesj(Stvw#eNIU~)xLrU=eUJcKxN&P#y+fwdn%prFtKbu!!wHMIqY zOCC<|^O92t0E^3Trw(iGm07T|-*_0e9ag$Nv^X7JnWZ2ylz79}M7hZeO<~~3E!SaP zblU_MzNemr6wpt_>+tjRy5Q-!$h4gzV$bzyxi-n&alI$AFO9ZqrQnlA{oKkM5-@

nx=$Mz zOSn3esp8~@1LSw%TnjF%fK-tU4Wx{}m3Pf43*PH{2n0YpdI}ZT-R)=g{+b{6-!1HR zp1jp{DtFz`YU4r!-|T!rY>>7Dy22+!vP}n=v2g*P5l@PZ*A>0yqaFJ~)3O|NT@EDK z5c6=l1rtD#yv=;!F%I?}RN#&eBav3L5hTuB-3tb~6?Yf`8h~sTOfYhVE;(>VJJ)jWxaia~$1tnUnZ84LsB{#A(K%~Ep55h$7 z_6(UBd| zlMdD`=4STN`s(a!8ADDw;B|r4zcsxzz!>zdOFXMU@V4>7i4wfJI$<((U19ogFL)Ow z#NKTCr(ZqS_T33mX<5J!LU9$6#>+lK+NMC#{9&{dm*XgfnYiMaE%2u7uzP6lBFOk@ z|LqD0_#@XuKz@_XjS%wz9?IIiHJ*|%pW6_e5L)cFay~KGb0=~i)Tao*cw`>~vDalh z2qkA`WEgVSHLb}4BU7TukBd+uXkV$=X0(+G*FX+y?SOhKMYu-+mZZb@XgXR0yVko! zIk_`*4Pns6zppZ(B@YTcrWYVDGz-e_R<*Zm=^u^(3tM1I$ALok(jepj760QvHrRIV zR` zioynS%Y(0FdKravf1tjWpv!^}XKNolpe>?Y_vOH^cfA0~Rs;?npug4akZ!Io$fFt2 z>>U*kod%8Z$}B{H_#HGp9^Xuy7z`i(%AbsIj-=uu^DOXk9K%Ht5g3K>Y*!h-`3hY9 zMp5XbQpSgvdo2#gbJ+>d^alK60ttjA>%mH;J+xE=OuTzig!OlOvr>SjKmqzH;WQ%S z?0a=z6UW8l3bz`?*ZD%~zPIz^gG z!dSydhJ<$GIE%eIAQZ?ef?8V=vR=`UVb@(i!$9@lZ3RDG15kM-x2CtmgU#2Q4468` zkb72xBpRNI%>vrntfnHz*=AuOS$es@=CvH3v0JyAyLVX*@Gv~>mIk#!wHshBrM87@ zATPc;b1`zJP$kbRu#AO3Xh;Bx-g3Y=ZA@kk7{?6tj}OzfP8q~`C5K9P9LGjIV&q&E zXB!R6w!6bVazz=7w%~?<9egDIC<^gT9pqlefaR(OG}@*El1<$zm7CgJ_@Iciujsls zu2l=(ab#|gVEqDJl$SV*5Q)pRa%d*&?uZhLb42?(G=~JzXZf;N6fQaI)S&E(V{o9I zHF{5=1I8R-xW*6K4d#Anha^uMhmkF-pcWkF89=_?_A#8=#Dx5$)Db9##-T8t=J*-| zl0SjxhNT>E79lXujzg-Y1`)Ld%ykz)5D5576LWS3Cc&jVZ9u3XktJkDbOiEPN}&86 z*el{LM(h#<6MtJT2*eAR`dS6j&*+8-!Phs6Ca&;H zPiNMbuyb!I{0zcX#6|AU*(&xD^8Bw(cz&Pu{Qc9XJ%2Bs{}cTEr|(y|%jA=iXuaxF zD7z$fKL$O4_Fp7QN^_Q5dt(c(c?d`WBi;XO8Z3d|CrdM${{q4Pcd7b+Vc-9iud*nD zP`#FOL96fiDSYDrtCj!rMm;Vi##|$RsyqA>2LInFEwBB5q_i9?9T%U*NMe)7s5XVq z-gOV7!pen<4Z}R$HNnAZ09JZx6K8?rO1U*!gq6^7FCgf!T7yqmq`{I_|L;#&iGjI* z0wlil8DSuTl(NCs(w`eY3t#vbn?HTrpYHho`wnoxpFcGG=i4`K?f#FLIBJ(&T$t&G zH5L|JGvV@iPAmOkYUFXWx5%+IkwT6buxr17cPZQ8C(P` z)IK&h?67~!u_+1GTW?&%_Ig?M{G*%^x5~$*s;nxvq}T2L9E3co5~5gH2=xG%?uEPo zJ|tT}Yg%p@LaI{7TVf1EUahHuU*-l8(E)jczzWsPF+;44!J(%KVC-WU@HQgLpc0ii z?Iuw{&MYcDy|FOIPBjjL-I^mT)i}a6mM}f89HrU%dl2o70z)PmlX6VJRv@fZjGM1uZQkl;bbrm?ly8==eb^cc4f`1TsG0X(sEetH4PM~ zIGfj%?u>9I@&I{q0&;U`7_1OH&@?jf68N_zhapa)P*#eKpa;{YJEewXT071|iybvE zU8oZ2YHk02elG7<&T`swML0k?4w0P9(|*OfT)_Ydc~b^OxE595yO+1>-{ql&qc_~n zN-t?~T`zjg46zBb{jKOnqN+(eMAs=+p`h8!cFmlpdL&rbJMSXJ#-sk@7J|H^b{SF?w;drPl^3SgDL1_`Jwp&*D@fH+(rbmoWIR_iF>I=J z*i(PZ)gHXcPRvFZdZxVFrql&JsJcvJyz!hAG=!JPvptbn{*VCAm>+EwdIZamuu`i# ziH8Ox)IvV5_nLI$Wg$?gTI45n{TRqi$}Qd6;J~!*9t+m{c$vGtaL*9pzD2sHR1)XA zhq*iXI?}~+;|bPChP7gO3y&KRXUDWqbIJ#Ub&3@;+KzhSQ1A$!v5AiQc~`xw{1l#H zK^)1Y>V7Kt*`BoI9OwHWJ+k77tex(y_Zt<;Bx=QV(y6<~v^Ao$zV1-kte8vHxaLNt z@!lTs33Dyms5VYl@mqyTv9Ia=nyOCal+mfo8)>p`0%g|>@vbFSTon+{9Mfg~j6TmT z_vkA;8M)UG6=s05e({<0qR>xE+9(egddPwV-e3U{bZdkLD~MoW#eO6cx*JHWCK_R& zxu{j9O|xjcP0-^t&LRQ;j}BQw@-(*{>R4ltA_Ksa*x@> zEY!gxrAx-0tf2t3tlPml61DI{@)|)qscY;&J4=xomM5rP4AJ>zO~|zJb;}yXo65%= zChPSV0Ap+A%qWf6a0)d`(@v@8k|FX|MaG0kD-jP2Tc}<_BD}q5&VmtQOyTMezYN$g z3-oNL9kmj;wi^Z|B^K6CP=petR$06Hg_R+e0FKy# zIM}U-kecr!;FqBfaIj3VVhF#Y6?ai=)i6OCpi3&_v_@7?W=moHJrvd&L{99tR>E7Q zj~pXzraQ>LWLQ=!!@RL$igzl0Qz%NDq)9^=&L&uU##JmgvcqyroZ#JP9M5{{B~Tnq z%608M(oi^4&4o_GwQ2$w8R=>~08@Oe3eZ8X6*vkCt_$&;ja?p+lR}7rvUw6AD0(jnOqte4?susi(nhMQ`}`SuzhO^}J8>-EUb zKW7XJ8jsdUkP&HP`j8DW+X)X<1dXY>9=TkQiC}3tUCZ z6oUP#NQZ3#5B9~7Rd(z`jqJ@sh&n`|;7;;44)qVEZLD^NNOBN*C#x_J6LVd)-? zx9asRVJcWYj$>FGM{bkMA89_E=o4`s$V*$LT*8BWr8i@?d@eJ1jQ5`c? zmYoc|>%C9eWL9TLT?X-nb5;P0ijdSbB-vQ-Qj0Pc&Puq^>YCy5U^=qq5;cwj97x5f z<0@J3*si?V{F)JIyu}4mjhr03^z^TE%PfV0dry=!3wbs5qbGV8PODV7A}nx z3uyfwcw#h4yEzmGbD6RdmJHS^>yTnsdG;3P0t`VO!X>qkVew#~J*OK0*oz~zaRxkH zW_BFcWpjBcUVmO=<#uI&sV?Z;gshimOqMcQH8&X#l*v_0NTA&?%@tF)sYVv{jL7Yt z`Yc>FNszT!`Eox9=s~hG-UxAQ!Vvd#A^}Kw$b#MVoI=G(M6^dNGF~tC2tiFKpX8`j zCr8U^Ef;wc`)e}XZJmim*014sj$@a>*;J7l*P#=@?qa!19^`MrRp(4M5&fJ_4N25` zn4s&Z!WyNw387wEh3OHkI}7rAsw_-$8oqUPo08Vn)MR4#(b1*SVdzsZs;iW)t_J!x^7I%|z;45fu2o?8?Y&gKsuyXp#!W;1I$BO4xX(>r&3&|T2lo*#z3x0J6W6ZMZ{sz!JEuJd0&^#>T=6@c zaUvoCsM;^e1MCs5 z&BzZ6-)Ya>b!#+#g4Fz-TpznX*C+hqXU4U|k9eFC?6==~v`yVzjb-og`{K)96K*m_!e<2i1CEycSNOVRlV0z2=Tz z#Kl1e-t~?vU5syYaSuGg@6qCGL~V_9c~#ws(W-D~bw(fD^#1C!II{LB?koOlDHQJ5 z$6u4Igo!TMJ3E2-T$vd47{tg7b z|8P0c_0=V>`Zw)0!_n8}bJ?AD&CS13(CUA*ZbzW%f%^TcjXCWV|E}qWT}M2HV?PWJ z%vIG3nLhs3zO`?q_jEm)dA9EMr#z3US88VdeY?Nz^YQY3M^jh}r1LM|RljE~*{7YF zXxsBfr?SM$^#hjRTJE{Ur60DEQTvS06R%$hx(QIbr?p&H)ihfvfkGr1`-t)2K= zSW-7@@y)BS=!AztJozP1!A-+M9}2H*gU9}4)9Lu0oEY`@yx|lUJSUybM5+;% z@z00<3W$i3e=oSh*!dqD&=Eb6-TC1o z=^l2MvYj0A-+kupCKp|1+#kb9`(u zyg%{6hJ5^0$+t9`|4o05{*H28KDSc2`0IsR52ooOlM8ouu}C~Pvq`?1H=5gF&QFL7 zcc?$#A*NA(fVk!+=c-Q2#%07#?A_e+&2X)#Mc&7!cjV$~QwdGv#^b`#oTzoEV+v%X zkEW@&3#V@ggeOEF4SV?Z@0o2pC{m7SS{!-fW+ds~!c7TrB@*EJ;}1Tbs22x?qhH5# zAM)-6ME>Rf6j94_M?de8vX7QM?TMt6EnLEkB<|kAb_&8Z!vOqz(D$6(a9lr8@WF=u z{&Cj};vnZx$HntMdmSi@`fa_d12Hsr;dKgK`Vr{sx3;yvt9B zhuF4j9`ELPbCHC%@F&^xcgg$kBJXT-P6-`j&*lM=y)t|K4a4OS_^E^!Y8f4___rYl z3C-P>+~Ly370CX<%~`C^XFKG2DCipqip_`V1~M=TJDWyc7IyY7JNJ1hlH4ogR7LL; ztgEo^ibmdzf~5N8@^LY@>vc+Td_(kY5FA>eC?>W|~lMs;j?NsJdz%eh3uoCt1ChW8RbQkXy>b3>Qu8@OkF^ zr$J=@T)e8rfCzi#^FebBBpET0D}mDqjhs}tJn1CkI|T(?R%L<5dBdX>6d&^?1=6UR z2^j$+uPObpk_O_2J;XxJH`1^p&gSF4_LlJYFD6hvzADDJ;x9aeTPBYJf_(mq@31X+ zGR#WWl$yW2Q4n3D=fSXBck+kZb`_1oxd4RCDS-W;y;d*`c}QPPaezr7}xkr6Vo$?gPvS!5{!rkl~?4X z`6J`UQ4jDyq`M>b{b^j}o(F(CA(b+`E~6l5{Re<+0kez=t+%fcZvr1rz9$KqcaAr8 zGBS`9xTtoMYcUp+d{89|fG)0C`jG#rESORtucl$LHV;Fs%v?F(vI7%P^l?I?wv;yx z9|A8Av<;MTTJLquaIhap!uRA8?0fA3b9ZoE_9@5(x-6_HW$_r2@SV|7@{&1eib8Lq zM3^lRA|BB@ji9`p3`zu2?=?6$Ke*+<;4{ys$Pr0hLGu#&6HzPlL?OqI z2))mm8)WGNCAh)p-NmWKaqOb!>Tbf06i@96%2FLWDu-?X7kl>o)_zzgVKz@=!O+^4 zwFnNKPTpn-@ypNlvY})Z8;aSrGyyC$r;MCIQ=f8m{%J`YNRkq+U~D=(9beq-Vi z*tDR2d`$ipziA=$G5Gk>+8`+Cm)be!!dFW&e3-mI&bn`r&Hom3_&`JyehcyJS0)zA zjs0g%lg!AGPg8R?{Zzf)3!AC#8O%LCdD2;ZV>?%z@OU5-N^_tcU#MFrUM^lg3@k)R zf?wL+6@5{Fi4SZ?hwYRC-%C%Y7bp#QIwe~nJe?bqM(NqS3%k9r`7}(!D*yCk{`ZY^ ziq}8g+NZOy(7IY{mx`N+391NHi^p!V&Vx zNw{%Or`sB0i^6(vRD&SYrWhgv8E_?e5`2F8p_I6VkOhqQC1%3QPzde^0a=W8Pa10J zXF^sP{!)az#zbyoN9$l`Pl2PM@#qF{``NXe^LM?bxYG2uvpkA&>gTM zhRs;q043A6Sx&sumPy- z0D&D;XlY0<8lVW%6g$}0TKEcX_)g^|M6e>VjhtVM(xB3Z5=hX!Do;b%7Hcw1tVKL4 zn_jCSYz>q3Y`N8zsIHqCAZJ5@a();tTVeMXlF9C0~pF`)(*7R^1D4qMiXLn3j*J7HW72}PHt34J^lP_=P<7HiVXKpce=NUKyE0ex~$V7V_Q9^$Lo&6Dja*JDDx zB*hI7Yrmhblo}DDRSr@)A%L{jKBjV!&i-ZP3ez3e1XgWTAVvFL#sJmc@jz%+>GpG^ z4;vvZ-cGu;B0q!`L&Pn(Rb%%M)uy zQ4gqbE>valO6^7L&T8bW2#{)?)=aL(iPQ3sX`2xwLzKtP4q(lfHG4!>Li(}+)~egA zD-$immSOW9($hR-8}%j63b}a$-bN^j*pF@cE~!3Gp>vpLAUwB?kvS)x78Rvi5iW@v zD)rJ#K0=PIp3YVEU#~ry6e>e zG9mT(a~Z9@O1(*ZW?XI>HjSPS(A4Y{o*muF>7o&>)24;`rwbaF7w(dP`!6{$+!Tuo8z>Eh&Z9&0@rvzbfRu11@MK6^!66I=gXM1a2Q zNsVt7#szs*Ov}lcaDG|CGdZ4lfxlIY3n#}8zC0b=?|WBjb2>kmu|C68BU!KX5Zv;W*T@gs#qvU!*_$e z1kqro2?>fNgwF@idR53Fm}=PbjErqWt&r4NL*qxC^jYCSd4+_4o}uJ#T6!2{xCN^l z5i+TlFN1OkJJ)V>Glc44twIdKSK#{Nt`KA^RV6|Ce}UqSZjy`MU4v_Y(n*h7EG)!L(Qn${;m<*b@C z+BiId^V}_=YYo4&F}A}NK}IfKCmbAXV74g|5jabw(h3}hkhT?BI3Hk=;)LrEgsGgB zlop=>b_s$UmIx?QtlbO>t#FKTLu8|DvH8<|${m-8P(G)@l_{>dQP<{r<6jv(TcF%_ zOShFej!<|`wW5AKsZzxQrp_&hUsBy>`!oeBIQl#9uSkYymTlyfWthsdjw1UN;J`z~ z!eSr^TW3hbgh@K2WhMD^TR%fl>yaDI7l?W}D^h&bq)-LQ^MD^v^_fNpLaCOG zklLltdSpav(aPI3AvOs_a$S|hG#VKS8@L!&RoP-Hr7$fwl+ggqsGfy!ZR`5}3fnMH zH3HVaD-_@{H%z%WOF0vFao?0=!?0sL3H_oRFCsrWf$GJOYR$8-j88Q}UuIEyA3HGv zNFS6*^Tse`*mU?c)D@V1fqmO*6D5n$KZ=1P@MctuLk>vg-}gg0e5o>W1NMw zuqUM`^R&WXX-frsRTSKZ%OPy@W*(gtaid|8E8<3|bSm+~b+SeYt@f5GB572cEHd6E z?iSqAOL>_p4LUtUh@WED;Eg4-l$Zs=f)jfzT5~Vm&2>mTW6!8(O=qeR#WJW>RK1ox zqrgatp)s@HK+Cwb=1aOLSPgiFGWFc7v}P=nG3zNwzq;;Qp|GWOC$(NVPWtH}bx~j~ zl)Q$+p|}Q&}+{`_UVY387ewfr)z|E*FbJMH_tPv0G*0N%w8}K&Ziq5Fkep4w{ zJOD4xkeLVi%g)gWLkdG9jcLm^c4Mp1{Y0In<>5OS4ZbAp)JxKxZbo-onuuWiqM-w;WP z+(YsvHksOZQzCK?Y8d`zVrg}8eqwI?veBVPuPBMjd~^LUI#Fa_&5&RE>%6~b>(-!H z0`Tg!#XXORJ3VXpc%Qs}(;ENtxnpw^p1EU*;zPInCm)hFsOrnEv-q zUrSA_ePdI*vWjc^L9zL{scj$3d{U#w_8luzzJV^xO^pe^3iN#VB%XfYjQT3Kc2OMt zqVdpJdd*Z-SMQmMg_9pA^<&!?nmQ%}`AXv*}B1KgYnKI zu}6aGM+fc34{-zM_+Qc@kM?xbblI1%aHn*4&mgkT*L9+N&r28z+%LjHUh& zac5`X)N1Lh)dP5a=v$=@lzTjH&Nf9o%kIB9-#QEAoNPc zQm?=R=hf7vl@XvZI(nyIHZy2^NtP?6+#eb;nL=Oko(b8xaB$QsH223hubkO@xwyDp zZhA$!Ob*_lF^)7|@13s2RoBhY`=zEfpfTDe9F@{w{zfzF_1Qf9@<)Y*eWO@3Uc`oP zI{zz4>H%Z@WC6pIyZy7b~oU$qr&-QbPd}dzwhp@rYt(& zh51h5lkMAgCr`3}&Gi*(XQVfayDwc65s)A|AL5SmBrfa>)L;B{Ji5}pIq{qOAwqG- zh33pu{jztua3So9|6zY>(sN`oK4403U+yp}xCJHEb-M^Yh2FM*vRfkmtM`@8o#xMH zug@42*977GCwtp!m0apwpih89=-Bp$D%@fo{UjW5Emlv|qC zy+58Yd>;j+2CZ3@es|yNEsA4Y>7S3q14ui6EfQ%uCB$P{TWZ(tY#`x^M;e*j-$k#r zkrId-R%PFOz5_%FTiKnCIdg~NI0-;2B-ca)kY?|gZ5CnobAP}%=!$=`TQ&ELkFON3 zR`7r5h2(1^8Mv|YkA4&;E$t5(uFpgi3_G6t5M|&fe7j73Pg_)#W|q}6A|IWum8OTbuX1gd-;V?Q+wv19DX z!=h_K+pnGxr-Gfr?+wGZ6ca;gBHRu5=A^z7m&M4Jf~rPi$y8?}K#vqyKW(r2oh`{CcsI|Eey~ zC1G?Kmpf=A?_Nb(3V@>T?b8qENmJ51|B1H^cJk;}Q98u_ld+#;T83G!kNhZhT#SGa zT7-s${n^v)ssMEYE=K+?a(f3M0T)!`nKMkU0{WsZB{>E z!-5KA6*-N1`P5t${H8%*AvhkAi`b`B$P|&PQp$F@RsnV_7QrxVlejinDKx7GjnNWT zKjA6tS1=s8In&UK++{I%c;riF&6ISGhtAmVIBv#|z6`=FzLH~%GQ5R7!A8CqMXoqJ zssMcl!d5tx)edb*aDCTULs~90C=M8r8|85i7!0a8pa&zTIr_Zo7-wWlLlVIBJe&}~ zvN=wUi-v}L)6V7vh`ylfgeT-sY)b5s+CM0f9=V0W^($UDUfc59aOj}=N)^C{fc_9T z2cPPBPLYIdI$-mQPmS5QgT^ieg!RNb#l_7x&6-KnEfmC@YU=Npmkq2hiM>nhJV zXrG6`=+0G~v{S^zrp$G)Lp#je?=hgoT@ZT20Ldhg?@Ly(WiQp6sIReT-8T*DwGd8| zyA-k5?ii=G!}PjR@RpB5QN=?tMCY_ih#NF9Oy-E%<2NHyoL?C1)qV6KlhO?LvThNL z9X{@9iNfDZEg1g)B9dU6l!Fz!lu#;fFU0flNw{Swl(rUxK>Srf_{Jy<`Mlh1Puh?B ziGxpoCORkZmKGeF*q{-~RXR_-(E99>n8x@|D4nG=R&6m%UsmsZ!KAjDtD7b>+F^6j z7Chg~S#$S}sNA9cLuQkq4;vEjt%jaOHmeF3y9*eJTg__K#VA|Fi&Fdz_c*&<1yuE| zxtK6f-wcAoL-lWKapk3+&&@oFl4d^(*A$JHfnk-9l(R-SoxaVY*+9GzKS%)TA5)Io z3l6%U4qTOjIUY)BmGKg;UTZgwXIyXw-n1DYL8@hod)f@Ze7z_rdZmBJ^lGJ)vsoWw zpZr1S0~KPEYOKeF##?-sHOcA%8Lti%Q9zych&$TBGID-2(~2N>0t#8#Fn!$b6!Zy* zQL?J@OfFd3ng};Uv{yD&hh2l8847UKJOG3w4v|kk$Z3+sh_(_^-fZRWP16?3Rla79UB~m+O9kXV(fXkX{3%L&ze2?mqAPZkaSwkjrO5sWoCJILMMD!{w>aa`3-2uMjW zUKZ(*)oVI1)F;)xRIf5XS{ ze}eucAH)AU=s&S26iQ98{|)*-QV&}xQ3p3Dh)+@f>p9=UH<*kP+AqCW|MK}Ik*j>J zL}9=kCH5d*q96(-3Zhf|1lWVyPm@OiHz<+SO8RM_4}i-6`rtZb8}TsldL1?Z-#5Sf z?h?L1L%d8%TZAoLi{o{$ssCm(K7okD|G8s-CRZk4gTSr8j$QYaxRnwoQsQ)AD}a)& z1sVc&qiN~zX}8rq0Tci@)YtP-1E76M76>>ZAkQ~si5jKYA^2}75rzMu+${778(tmc zmlm6;F){_S_YqSUj*kvN?yqi^+5-%1aZRS0%z`mc(7M|v&Dy3(y~(stYCkN@`WvkV zMIDm2OC|R{-~r}43^gQ!B=653|KlIPTYxSYR{4MW5I?;kxc~dU5+4B{<_!v?M1D|L z^GERHS4P4ydQDd#%Abdr9*x9`?D`}xoE01r4LxaGhNSrXyE3cn1uV7go=#|LBxjrVT@lCeR$)jXGs^D2S?-Gyz%dD-mt?nbI(zlihTsJftGoMz^Uv(@2us3}rkGp%e=&G*xvS2V6i%Z*gnZW9{Q2(lnf zU?M^sT3>pAhFAqRM{bTPvPI&sdfZ6b^me%}FsxRRTzw>;SCmumCDaAEX(6K zcGjmU_9zoAmuHDHh$DcAR?A$}+C#TU>^2EtnO6RZn?m@e8b{oSj49`ta3T03z1!Lk z;IXY;bE#umM17ZY>TGyL9#T~L&6L!t7Gzmrj~brVn3`rxMzJxV)G6LM&y86{67 z6o*QVL4K?sckt5#+JN!CBBAtKoZ(t9iV|ty?H$35Tw_r1&a(FVv>COBJ0>>yeHT81 zp#o&dgtW})Ba+5X^N+kgGtv~Z+|M5nEIGD(L}+W*E}*znKD_U(_{g}kXGg^|bHy1> zmF4x(bM^X6yj_!6si4wY(yLtIBQZUf>~Gzdxf1Hg3gnu21CTR?{OZ?Z%6b zM;Lojk1{AStVYrDRgesx)D22=$+xt!&u|M8y^0I=5u-}|441Ps#Z`z=g8(C@nv@&R zWJ!kxg1qP@;&R0~#);TT8U4-H;)WW+JM(PD= zFr^W5h8l;V-S`99P8Si?eF>=IS}0DnaCpn}%PSz?i|{K93caL)?INT|EN^Yh65w{a z=XgtZuSIGxaCiiga}Hz@yattqf zH3K5Vw8)4tB(SJQnT6n%YR!zjk7fjLTy*zavD}@r18eb~XfZr7?pk_dH(j1oL)@6m zhTzFmDi?Xky$kT!Q5%@!-1LSK8InS@VVi~%)3{NyXwknQ2G8jsGAtnewkJouHwOk_ z5Gt;KpmX!eaY65ctJqdP5b0DIRu#IfLk@6erTO(HA#bohAof!nKh~&u5nHcx56j2- z=o6+IVO5p3O{>m?L76ocOp#W7_a=*5(h@KNn&?q*-%&QYWh6!%;v9J&=9Xz5u5}Pg z#0W9J>S)(mS!yU*Ww8f!-C-nDP6m>`n_*2nG>Ti~x?(RyfvFH%5^|{DI`r-MGsFED z)a!g5%hU;&R&z87@w`FDEUFSCGiEEx5qO_tsk0KN0r6u&=(puJdo5lIxMUMD^%Yjp zr%99vahgIqvJUXeZUEJKR6GS;7jACEz725{$4RyQJECu1Lx3g}VJ9bon zL>m<$=WT@d`nm5C!{%&a_>BXa24*U)gNSTBTZ4ov$SfMzrEBzyKaBxnjwq#CbITUE z?5J*_HpME&2|G|ntN^#mBB0`y9(4I*Gu#TWfIvnbiU}MPlW(mZr1ZdKV16ghat?FicZ81~iCag$THd zI4!*=C^8KpS4o*yRo;L)3iy}cDhk6!S|1`cyk==h4X~b)kzqaXLefsPd%0~{HE#-( z;!a{|oU(m%_g_O%LtM6rGh!}{DQy#jN9*X=a7-Cg+$_bH`KJTmH=c@h2jt$$u$zlG=xvZ*q;=Er9u+k%OW3%TM-oS%6#Kf1Glb8fw@ zw<4#qMYtV*k3C1g^}t=yGpxOel%Hy^9WmyeAM?`5 z8MCsuUAX6bh49p6*Sl$UuBlz9=@@wj7gxF#Do*j&_4t>Jz`)$oU*Sg-r7q1sI465TJH_$40JNx##6{hbI}_ z1|uvGiDcMEQ?6*CH*245tWJG1MZ}f*pq%{YidD}1qFt^;)weew;c=6E-MOd6-ogC@ zcMNy-*B5U$dea2ms?-us7uUtdtA;lwg}&5}hAY*w_8p6n5&J=>b==GEZ$D(jej-P} z9T6S5=bcOGt{L`6BSjG8MCy}=_&&$KaIcMOv5z&rGA88O$VJpaghUN-ZXeBhukpTL z7eyzRlvh4@QCawiCqN`@AixbQMnSyi1iW6{&H_1b!ywTKoJ38VcA_lT!C!9*J3!lb zO@Yv){xsQt`ZOx(4BIxu;lc4u;Kxw*uPr3=^Qr4^0xohSdFF)ProGrzk?R;;*nACP z!TGhr_F1O>J84!v^`@w02}yFqi5pJ>7Kwi-A#1=qvt8 zYMPRuNa0zW?+_mA6&D|#0+4Svtcq`IGnCY}#~+)jXLfdZ zmk+vrdG}pc$6Ol#DsF~=sVGRHLHypVTtlD|=HrUmpHSMq3lL`n;_nmW>fn+6u?o3! z@DByZ%vikem@^POY;bUQtZwls;pk88ldQ1%AmpU8+eWu!e`W{#zLI-9J43W1lOIRk z1gPvglLz<06L9UgZ*^c)J~F;I*uPph!vXwvA!5wsI~unZ*dMZk1JGPsbVdO24oYv1 zgZz7G={GIPI(Q{q@dqPiaw-d8@0W$hT~R7>4OP{OE%BW+fbDzH@&AXt_m7U^y6=W( zhJh^9ZFgp8S69mdJF~OWtdKwwiz8#Z?46xmjf4R0ve*l8Vy!G}*|h^A;3{dJX7B7> zX;!R262g%kQnNzX!f|5b;70N5JdY%cL{9An5uD$lk;u-|Y-#24R^NU-+roRW>Hwi{P+p#cFu7h9QYc?rILM!n0E~vHVQ*mJ1?~hOX z0XR%O2-&zdlxk?<&e$m-zx&gBptzoy0Mnls;4x*lB=7YYh$;X$GZKbCV*Dy$5aGjf zt^60KpkBU@{7oxR-oG~wCgayI2tJ@=tUg?14v%gWl6G_V=BBYIWE|52I!+t0D*oJ$ z8u@(h;9nY(fOZ|NkVKGk>=wnD!xorqT^}92<33kEpq#EAL_^71BEoUqvZ6Wvj)5LF1A!HN$&>%YH&=-&xiqke@fNt{ToXb?Rv&E{>oWw57dk<5H;avUvvp5|h=yJj$pckfTWP1F+14 za0Y16?cghBRCle#?SHox#O&X*CoKqp@G;8?a0@b;UMo#m@3nut`)>` za~P;nzYX`7oEX4f_F0uVVYGr|Bziyw)x@W&p!Nrc+_haho+RgXZiI4wp{WGInl7J} zA@0v8Y<`jCp~D@#SGb0Jdwa(qqlCJk;IGP}_}1G2Km*#{_2`_NrN;zrH9Dd>#OBc9 z8Mlz0td>kN2RRPdk$o8@F4am_Vi?02Vs}Ez`&AimSuH?WQ1=jgPh8o&{Wgd#fJ63T zfosM(#6Ne*;2oxxo3t}_Qph9T3%5^@MJ@_W(5qmI;&gO9fJQB7RC3^d9q$!>Co%03K}EC}&C^ zPp!hFJ%POJ2@nqnpFFr$AooK0ZZIJ*6{_6crmn4G48SFsnj4p&jX>zJmsPVe3%Tf? z@dhu8PYB1}ZkT`@;kzdUsF*{&ay4RzDo+FMmUloz!jPSS^Gtr<^vdM64*Ah03kFwE z^F$nO7HwqFKEmeBr05MKQl{K4Y(~5Y=NCl54lnK=VMSPVVy05q#~0 zHS8WXlDfToO8pDzseb-^BTy3;(uid6LrvKkJLtlbq`FB!9ZkAuz{wZ$7pr)B-&ho# z->o^$Ct>}!$HmT7H@SEcV^Lc#6=e3Y@^;6XTUo$8#Grer=aOFDV-%|69-lRf3@okr zqcRNf@~$htgdxWJ@!m6MTOK$%IJ$T8Yzx%=f2m=(B|fMC4a5D#Uuhve(lC^D1Batu z`lw(J^P;M<`rwYUw?v$KwX^$69`B6a3|}^5XApR2yshI@e%&;D>cOzJK^2`iJAg%hg(OYw}P?*;ESo{4 zCq|`Y%_$kRaVIcZ4P@E8!2t!-KO(Doh&Mrj57Yx{oi!~AkOY*vRLT#iK=>;|7EJ57 z4(mn~r{KN8bQqA0<^-UDGX7Ngueup`=q7M^bwBTC{gf}4No?w;u#X5VWi4CL6yMJ` zy$1K-t=C5?i4QS`e?u6UvSYxlmjUBp8EJr5`NsXt*GIqGdonhDs@;l34;fs%(a@Y> zJ*XJ=9Pqpi0frm1LOubK4=^X{a>qIiaFB58+(Yt%2uzm@H9_x`Vo(RbDLD)##+pnW zAW)RWW}$stH78zM7BY=a`$a0#l!E5w#C?*vOf15U^DVKBoH3AkKwQ=@_k~4@voQ_Z z*hpDXG8M!J1iJyXXndK+1}wnWJLP9+68L#tX!y@goya(mfefx*XYy)N4;f7u^@BqQ zHB2{G!$w)>a<2iEHC>QZZ&-=whAeE-XGH&k(j-WNn#~wnG+mD55)wIS*ybbOW^sKoShCD=Vg7R}7va7*jj>D*n^=TOq>#^4Q zbtuHi?Ruz0zV%t>tnL)P4nAEv)|fgd*f}LAp=AXNFPB1k8u)cmY{ZByP>DA)M#1o? zJ9W))$s(3!)OIDCBu*|8mDyUhkK4dA)om(>Ty%9>hw3-DsO+y|$Lh8GFcZ z#%*3)OH77&apx>$#6HfVD&yx!OKr4|SZMLVIX_P&C?+YbY%9xD8V;z&66d(AtHjG? zdCi~_0d_QtG`|MxWD9Vbl^9@b=&+39QOd=XEGwwf7UN&SSf`<)3DtTi%Viym%#f%9 zShwYzSg6ZEUCbh4mIWxRYVr0QC~-9StahkyFi;x+pJGN~D1slLd<$3|2ZtGPz)b|k zFyMO#zp~&zCd*TBEidbLoR+@C*)3#QUG?%M=*}N?Z_!`gnE75SuSZP5p=K@iNtV&U z%m?}bWWAYH9B5Vm3^Wr^%Yml(wHXVjkW}&VMGhJU^+Zk7Z#9AyoU;~VHZuM(WWmu< zuwHf{#YDi%C0o+Yc?+oNn1egcFF3NM#)AlnOlxbBtY3}sP@05$$qZn9L>{IX2&+)v zc3K<}8o|m@l4lJNtN`CLBC;AoBV1uA39tdl229L+!!Q+9Oq&**KYv1{P~3=Ul0y}U zPF!F%F%5#dT%%5z!zSnmt&MhHlSzs~x9;a6fQB(n3iQY(SylFe@yIhO-00=g>MXmi zKz%R5(()+b#BBLQGk*BlW=e1h{pG)x$A&B$;mT)+hs#y|73>k}IIWOw1=mt5{{~(V zt-f_JBJ)PQE~s#V>%ofNCANUpDFfFXKG4Z}I1#$J-*mt=Qw2Xf9Dy}$I>&@80AOrH za%4r5AMz4LginK`q^b|fEuxiWDUs=UEk!%v(+WJvD&?L5hrvhSK(6)u0uJ$(=0_j%-|+1V?xp_?d--q|*X~XHx9yAh{}1fH zdM^K8e#W44M+n@~(CfkT5pLvim(H>b1NK}H)eLvYG>lNUW&!_|P1hX!*njmz{ioai zf2IRN#6tO`!$|tSf5EU>-sslg^U;>KhO+X{x18h$DN;-%TLB~$O(||&1L1m z+{y1V;VU2!5st`A2S9aVJ5hB;fvw6LKoA6Hgm@oIBeuC5mEHNAIxI2~FdrEb(Rg?Y zWE94u*{sZXL>77nOj9`LjfmB>L)sm zMzBL^6UK~D?8oMQjW;mWG@WR5o*^L)t5Gc|@F&^hmyP|RVd3usCAEo8^c~W5#|+XT zoeZbd8R`m;=EgK9-ZPfa;+{Dj)K^My1)!CFYC3Ya0a6D%nU-1X%aCih_CXO|#{YKT7NXe=Z(5#NDA5{ZXKWm1_?1{%=N*fq#&r0dXH z&Cfj_m{E#AD^^E5PElLx>Jd6gvC))a{^lQ(4`WfTkxwFz^-4G44oUtSKl_~wWWNsKMF(m5Rq9PuW`vp5II0mk$ONthI z?Spsy3W#s)9yK!uiBAyh1A=r8JR93BsUa)Cnz6PsF|7h<4t5YTs!H?X9g^0gR3Vst zveeUJYRD&cHAN&X12IuE#pKMi>1{GRW*9|SOqod746qY$Qz$_CB=6`1nY%vS7VqBXdpe~Cz$T7+@WJr6G1q%od z4*@??7V+S(=wLdq({4xucbE5<0R7b zl}x)ez^MuZF=g2-Phoxs0OI1t8xpKbVBn#!o}r~kS}%`SMYaxn>GC`>O&~lug1Qw> zs7i$QFDoxo5-`9pIx*3-WO{feD`U39^ngXHXmfC-O#d)G0eVSMY+0U_qccX9as68Ccp`5t&uR zdZh*ftqV4Ja6J8vl@a9^wBKSP%ZztAGcqKImE9A?InX8U5FLU$i6PdnLWyOR2-oG- zmk}}tEAL$_2Ek({k*6Gn)s`&|htjaFvif$);jJ(t4kV*YL~o)4Tzit*(t6u?P3m%T z$J5bf#V>Yh?osKyG$2?y``)mZkvm2tg_8V&B`t@juL#B=eNv+y9khbhu=c&hrKuC@ zDlMzCab7S*9D#L`lY)MkOY6|T$DqpzUUk3O7?QIyrY?GxF)a<0*$1AHwGE0~HKC<2 z9@2_zy^OUw(})RR_$u3>Wlq&}L>mOu&G^K5Fe;Q^E8$_#UjyG1oKg$yS3nYs(3C5{ zYC+{Ck%P6@Btz^fj=b})%k?1~1I8<>xXKb;e-f5Xx(3{;8UsdyB@hJ|)?KM+B@b)3 zJgY;ib!nC4cPKD#XaWYJ>E?wF5EDDu+6fg*Ld`9GW;Kn>W0!g#R{g_LM0bG2g&;#} z6Wie;PFBv#D)c~D4cdXQ;+G|MNX~LahZV88Yp;I^-bzJdrVd3rK`IIh^=&V9a1rLs(pschTBv_I17AMUfRgJ zjfT9N-wOI)BPcAP?OGc(p}=vxXfx4Nx1lno!gMjCDj7XbScurP8D~m8EHi#f(qvZl z0!x%Rj@fra*0*d_g!u*ae+)XUw0`7chFPwR?c*hm!kY*=zZZh9h53ag}mcJ6ln3f>hf%8`J{M%DU8>dYjEe4c3IuEuw~eY z$6gk1?iK3;b<)S_dBupE$13VvuU^qVxTL<8)CMa&yU30MeNSm$9xq_$(1Zo{X@w{)-~uB%nI*}1E!3!84JuYc3iKz2+CqvCLN!|{dOMt%MGXN5=p zuc|xlsIIJ5YA=^=x+gbVCVuj3!7Jk#A-BJ=5d~KSYi2Tk^3CB+as*u-S&%Q&4|Zs4 zy_>Ct+!^6UV=Mg%S}$KwPu31x-((@MRe%4xh4YdMDZDGA&DJWVLVtLFLsCmrXY_#h zbELW!`W9-s49^0&pmbS*QBSojhLpfYIpx_U-SKWpaQi;<=^n*3_3^HR8BOT7>)#KG z(#Ik1qT%el*4t;L14!E67JYy`D}Gh2nB8-8@LO*!AEaLL8O!CGk(2JTtDElK9w`?NU!;)A~rK_*KO> ztgNRSl8|9l?fTY;?|senXHDbDDC)`nJV{lL<^ z(IB2T77e_zYF$$vY$gN1fmnF_ELJguI=w@Z>4W3&DENmU7&Bhen-eH{U5~CY#v47U z+l2y5B?vFoXVzM_r3dedVx~#EUiv@-#>o* zN0)kK`TJdopWKc6bKB>4#i|8z4$k+whC>akn(gv?zW2rtcktIRUTd76OTV=ZUz0o#-jl(H1blx=64H;ZzwZ~F88XodaYJTSuBmirFMlA* zjaxk#cP7{8+bNRRec*xmV=HOLm0?8P8yboGbyAbmUf%6$928$p3&4n(vDbn;3zo(= znzX!Ex$)`BNNT4(s8NQ14w5}@@YKCS^b(wiY5Sr{C>KcCD>Dg&*@vTSSDh|HvX3MS zDOmCxTQ$oC3|)AB}Ghk1xF#e1y~gYW)Mxoh3GYbSCa2-!DZzwmPJ&Ny3+un#)p>y zSPQV78}nkbUB%}et77ewFYglCCxiTXRS1ee!4W8Fma2sG8v$Um6cn=^j2-i7%MF3l zGN+P{i_@YEZ5t3WBAMHGrs1U0p`N#Kry_%@7Iabx*IEV6cY~YFjwzd1xcij?dw&0I zzy^YPm{Ve>L1)VPQ+9q{Z@1lPVIP_w1V#!vwW5Q#qtHwGNi7eJca*Pr7RHBf%23+f zha5~l87#WlL7Olh05^a+k#|5T3@l#5P;G(*21sQkFImobP*R{klM&y6Y7<)&%wdJ* z6N(HeYbOL)jMmXrGLz>tkE3Q*6Hh2dp5YAK${B{%0gm%xtShA{IAN05Rb4eMc_p5_ zkp?5W6!GJW<|w8!dpboz_E2z%90{xg`{<;c%a{*W@tG7;*SEvbVyxzqGR>iL8?od>&A0&hC3Gomd0uW$WMnNiVl zW&9&y=ZB1nU$PildK&)%+$A6RcLaoUza&(A=n4rWh!0&MUrt=PrK6lW+w!5i@NvZ)ejjSzqX&|vPZ!ZfVFMbf}(rvz6~YfL?%8qqmJ2c*NYBhuVNiy;{*$W!JffRUjB5967>Za*Zo z$du25pl}krxw1gGu&qf*ZUSe+kkoD4jP-(Ij+vT851BNpC=+@C3L*dcPs^ZU^s5(O zh96$Ax9#Gzn3h02o36bqmn2}qTFmchDJm!Qze>L zQP^;e)kqJFqLWkTVvYTlI3o0Wd~!N}NUO+&xT;4W)#~9uKNt&Yf%jDlQPAo8{^74% zr53R#sHgfWsrUorA#7-Fx8!ppk%cm>piM)h1XAMSjN&8SQPs$^{*lZ|JT&HSYs9Bb z@Uauy)AyuRsXgSpKzFZ=&gqq`i1l0ww|kx(HHL-5xRHb5ry;77x3BvUYs;U(g7|Kwic8`8@<$lJ{tLAY( zlqG_*DPo-CXggR8oN1A9hJbuSqfK2>fSi@UscNU?G!oAkOwh7SAUh~N+~Sa}cA1$# zdc77stMt$dq|s;1uOp_@Iv}ETe0`%FWQ%DOsbU`krFYmmgkz2e$`3fDf7qdu$awW3h}y|F!Eju&vuQYE+bzd-yd}-x%ZM*bdQMJOjS=);3xY^kV^5luxuVB>f0gGO9v`RPY&}hBc zrkVVZ6}f|^T3q&>O7ORKw3TQ8DiMK{UZGj5;nAB`a*j9x1GrhUgVv2#V%Fa+AmY_R zoIR+UYq8#{RaptGPv)%nm{^6DGsVo9cnIr1=9vO4-4&kx=%>Hs?Gh`D&STtz>^gH* zyh6u&nvB2GzvJ-}JY6?Xi??PQyFp+8n79No65 zD~8wGsoJ(1R46{~*^Q)Vmp$Hcn_)dG1n($*d19oXbtIjh*uP1KFwZ6SM2)-6qA@GQpV~U8v?aUW zIHm3LJz(@pcUWhH3AsAhXZ)j3MYlIx#U90V03*nv2;J6?wLiwsf>^h%_2TKYUKeXm z*FI9c6?98eH7af>(&L}C7AamI&@wdLcGgVOYPt@dg+~fddBl)0XNhJzP;v@fkOM%#OL4h8%v8f zL`%%IToJ=B`H@(H(`N;rG#NpfwhJcVRMFX~}caV*5;Zfg^+j9#|+Y>E_ZfuC!cQY6t)K|Q8yHqF~{ zi^~yW?^hl0Fv>S{>iz|b1AwZmWn6Z|aGutki#muH z6{o|3V+AlK$Yxo3J}+<{sA*@cK9bRWBl;(#!fhLPa876dfvXcvJRQ;$kH!|EMjs>K zO*sQadzu?HP2locp|q8C*>{M5V1u$dQbUoVbCZ4t(O0%Yaad330vOX^&T^(C6~O0X zhNB9NdIzz16pktfa>F_7qv6VCVO$Dks2}gN>_YET34qK>sAaixN;H)bJz{Y0N}5pQ z3P`h74ABrGxDo6{O*S%22oVIB_trv4ojj=p8hHtIHR( z&QU7M@U#Zrk(0ZBFAF$VL~h)s)#J)H^sPGM%oB84WQ?y6KeyGALc>pZvE9x=Pc6Le3?b1_H5NHPO+kj7a#&B$-M8sn+ z+5&|Nd_=~4p2zzvdB0`cZm-n(mU|s4jU++eT@o4Clu>{nh=i3S+uh*f;3R?!^yq8g zMPNx)!$9*piX%310NahIl=;HbOMv?lG>jRWN5s@mLuTY!vsKx zEjyRg%d*O}Te^M%97P#vT00?Xp*gW#cCnh^gy{-1J;3aHgaaw467LjG$a(O%jIc}% z@cRU;*mKo_zTIxDjdO`@!gOjFgx``PMU?{*>K!eq^)eG_8O7~0PIkR1R&oZ2R@-=( z&H;d0gB&4NwKW?!8fu4YB@qz=|Nd3Tza$5u|_AN7j6m zhba{-#&ivCDiHv;uv}r~yhfUmfpA_eNZdLpS01_|2|a>tV_s*O4m+sITt#auZfnCU zm>Ab=Io(xar!DQX{>Hp8(yMDQDU%^d4pnjh&6otk)q>H+*5iQ4!bJx<3l&tCxB^@% zftNy=dJFFMh(lVhuP_7`2XO(4Nc%X*x@C@@NX4K*c zAlU(7&djT9yd|zYN`Lnx&m2dxEquiJBMK01CO1!!76>|-_Sn~#i5u796z-wStChYqD`UB|C z!}uQQGZ$yyHLiPb)7I7_#s{nQ!gXuwwu;I{QcjoP|#^o z`kVdF9JT)%Z9MSYPo_FwxwzBYG*Ejrbg}8@!hA#D+i0rr8LdRlRuIn)vR=cxELiWk z!ujEvyM&&jH8%$mt1QE7tOqHU;G zKA7v?JbMa%L;mu_3z=Sg@%M-Gp_T(;Z(>eNtWO)Sdcv{C1mod}{wI!)?mgaPE>T|l zBkAFRL-hQXuCB_PqJCGe+k3EaG?q!VT)EPVUM|j+0wejr?%wUF3wdhv&)JRk@G2#D zweYTZwIFqpJN7iFUy|2rnTA*03))%vx||&T_*eVghs8Ce&ZcjlG+$7E`lZyS+(OMH zsw-8<{bF5cU13drH@XY&Ae;L>+tOHSXdiz*jB+M-N}siyj7RUR zuF=ciq-%ukRd{VMe{lSE&(G)cp8yY@{5RhFn%E2q&6}9k{P^|7ZLn(mWBM3)1r|Xb z(hKs={Kdyd1u=i~C*MY^wKwx$sQsEK9=UHS|6H;k6s32?_R&Q>x{4}Wi|>6sBbqn+ zaw`>(2bK+*-%KP?yG1=N{=xzWap(XO*8=7oLaA_`C5wdbKMh(tEXo&+geb_`w8~+uvC8sjq<_ z-2a-m=z?^tmJjgujSXmf%V&*WK%x{#OaDjSJpX)8?4|%h$iLhB=+A_b@T04X@4dGc z-t?VI_wRlMZ1sBn?5&+@4|++L#slAbIQ_j(XT;{r-uX$TpERG|Z2V$_Jg9B&e*5vo z9XQuRZdeff*H50FyyTi{?3AA#n<_Pl9&R%z96>8+tp|s`LA2TTsy?w{wYXXOq3~|* z#u!>VxAviHws*ZY)pJT1{_f8NDC4a`-O5_1Vm>{lf%)F#%l~xpvi7F;?7UjDw*W(-vPTX&r9by6+)v&CbfKTDI<&DA$n_L1BS4iw zONvg7DBeuz$n(z|P2y(XZJWT{@z1QaDusX~bM1p({L1Vt1?E_~p&YJuWbBe)fvj#b zTH)C}CX4wStq_YQszI?49XXv=t}O(dDPxUP))9Xadhj77HXASJ5`D+q1`@`u;Ijwm zpU53iHFM~wZbMP1hN$kAo5+eWj?Kv-0-wQXp z9te;g=sKq$f-7SjBs1R4l6121h`FnOTLx_vA21i}>(u)NgTF@m{s+Ja`NU*!1jMCZ zh9)--qA!kRfg`qvF%-#<*@Tix=EcsF*J8joDvb^D!N=+T58nnmEf8e0b+^?2XO6g0@PLS*4g z+Ew#?yQfgHK_(7SLzF#L7#BQ$dl%eH{>z}mJCZv(=9*N2RWp9TW8Tnb+wCK^>9R5i z3bn~sHlybtrt6g~m`$!%&*~G6UZ^RfLu1ml9j`}tMO!U>{J>^$PK!Mrzv)WsqX!I+ zp8navQvfRcxrv#bH`O_DQ0!MF@B0*e=iLSnMB+747_F9e&R`PrLAn6WNZeNM#x4Mn7x1KVje3KR2XL=_@ej}lQbP_@F$S8$r;+VI z1VF+;6x;+fI$`5V>j8NcabG5vPoL4705F8fVM-^0o07=Uyk8T#ng^5$Y zh4Q)xR`FaRp*e3cFRx1=($GvBRkjmrcg*t}Or4{Za)@K_(pEsRUn z{;?na-yoYlOz|}8>M@D)i-M^BJ`E-8V+Z3RXYF$IlYY3yRQD&k-zz8ywHob1-DYfu zNctyN%8FMRB+5Yt ze7QScV_hE=8!E(o_75t?;IgS9yCev1(4fO~JXpyyfKJ zC`|ajl+f`d41ik}~-EMcCx7m%XGc9U)GbVCF%?zwcGvq#pH0qP^`1BWdL0 zBcRv3)CWK~1d4}xaFtu}yGIh?AB3rNbd#@_IlQq_I68Dw7T(-RVj$Ae@6-_<9!uptGaXaXZ>K&XN! zt~tvmW0P!X8r`VK!*(5Roe>2=ByHVZk*Gq`L;+dyqJ>+nVH>KSWIESWl?MAkyS8d6 zQN+T+jAqXOXoQNOES~W)d%bL58>@hp6dW>r5(@^H(-bT1>6T^fXospSq?<56Ao(R7 z1peBAvce?=&_6S`MA|SZim4DUewFAEP?WOtJy4!DH-Y4}&jt9*9+ukGOGk0cC0fSW^Dd+w$Z8rYZdZEGdPuljK`K$oMrmXaaBt@S{A7KZH@5 zfKT+Bum$*Req=}at8lGz|9&UGw8#AAPR{;^J6ZgVgs%VNPJYd-^HyHif0*-i?uzmu z5c82U<`o6-6L84`HE=P5P)oavuev*WsE0c~xC#zeu1ztyHTuMrEDdz343OmVWc zwFYVS-@<1W`Rq#yeZ;n%4h4)f8B-gy%Ea1Q-cNbUxA?=CZ{Z$g%Gs}8x5Na@G%o+5 z42$@=j|2w4+M@hJglBGP4`6S8*@8`AX`6-LG5(u_AI~CI4}is%#5Ri&upm6In)-e- z1&?tlADuU54oQuLi{^sYIM#hwGB@61t}`ZliSa=kYx3#1+H|I@zT5UGh?VBt{1-G_ zlHGRH9Geu)XHwRBg+DB`CH2yJC_UYgmQ_z5Qa&D~p3G!r#-@W}Wdi7#xl3MQVO$RD z9>6Vtz3NbM8F|>_D9ST6Pu!(d5UL3!Fo1JZIf_ZEFKwyw9W<|VcMtsN1z^2O7jTyv z+0+%u*i7Z5Cd-BoV%N=eNYpxr`s!M)K8Z*VrN~N*sVpzK_(~%xi|R8a#M4T;s~&<&uTc*XhM-X*+enU(FW5vZ5%V7 za2o=ZnxvDLZ3z|Uq+J@&w|G0$7&|YUCZDiGD$1I+MScW)LOHM~_Hbmhz*}9;4RXKK zr?DrjdUS^{?6S5A(mD}kXMpr-RypLn!g{pJHo=#`xe#k2USbK90#9?yWU`XR4_cob zgf5_2Hh02;YS2gjWTAijr!UOFKM}qxSGo1-FklZ7<+1MMft|`=za+8P6flsX;|Bsu zg~CRZs4@m1oKVrLY1{J3WT5jJxc?^YP?%N?YqIX0p;WIE^k%zeHZ3-zw$`txYNf1P zwB|;gJr5|$wj%(M$MmQxR)?uaEu=WBN=Q#c0hgjw24kd-=f%3+Gduw9|mg}TrSZZn1%LgQKp}AWJ)OHmU zblZAijw%tTOs=EqAt-3cjX*I*Q8RZ#zjgeuxnZ2KN0M!hzOXH<#rHXC^m$=g4IM{+ z+5ewj$^Y^n%8EV3FJ<)jC7q8_2W6x~=1QpVrVKx}M>6l|Mo7^h*vG+mmqq4j-#7-n zPAk_hXP4NuB^6{+L&7$>b=dUDlcMb^Xv6{Wq?X%}C1Ju?xf0~0kQV$T65?!yrnT|Y zhOVqOhV;X#-3KlWlB^Huh5VUO-j?vV%DiX+-6AMJeec9~kRyu=}|d5DwQH_K=C{nYj(sob8fWRA=f+^lywL(xTc|kRN=^)sdhu zL~Y&A`S?!gZOW=Kf46KQJ^G~X!KZQPA|g{^exz?83>9tU=AxY4G3Sz0)nUIVImC*V z)h6nbFOW&u>_(=gv~XsNHA8FFs*J(pG$pL{qwb=%yh!G~!`?9U+d}KC4aUsLXCxnA z5Y&rQM8?DVV07E6EvsJ}wd1d2p>6CTXIEu#;Z);%+*9KLuQa`2!juFbYHFV-4j|IpX^PJY?;<5E9?~jJ8XdK!!`E7^tR}xFB$X{A ze<~3>x2q;6XvfpuBzdD-I4{2q&c#V_>m(Km+M}e;dcI{orgiVgi)Z9{t++h*R+EVS z)Kw#-$0tM)`6b7+m#$y^J3>}u4F+ri4KH$t#I7|Blb3OwY2C7QF#G`MfQrw_ml$va z1uRQ(L=>AivPvWH6~K<#GL|qAZml*5SDI4q7y{=Xh=g=7IX1#N9o5tyQ!kmUY!ka( zqo6B+Q350{4Cpne`sY7mrjbEO1bjWey9?LbrX+0S0gM<9O$vTOz?8t;QgVWYGH&Bq zK*0v#kDTpu*o*>tkT$as>kI)DwpsNHECft39YxhoU?_DuaTjU#M1ipTr0=aj4F9aFx}F7Y1Au`fAg06iv@zY%2Zddowu_O8(f~g$oB+$s6S6U|Ow5i+GTq>4!(7%O zHi9BCYo{%d3GgtHaQ6Y>=z^)>zc7pZ$XKfbS|lh#D5n7}SY9hJrs34E#ad45#sg0? zQoZTlU&|R#q=l!5bGhK-8F&oK><%nDT%7%!>WEazNZ=+ECS%d=96+BDiFg zeq#3%J%j_4t+1!b?!(<0gmQLBz%NsYK9Ex5!!EIsuM=dSY|DueH>Wpf(pnUgQ|gdg zK+0=9Ac$mNmR2baY1)TDr=**@|N})%Dv+~D`7V&L~qk$xa z`Y{*Rd4X7#k)4&@QdnSog+^+jmjtG-L2IZ|_h*`{O4iSXI2W6fxSDy04>&IT*#0kqLsd5j7! zo5e#gjA}GHZ^p2o9+k3OZW!DOsfonw%vk=)2g{f^-wu!a&nRhy1SLn?ZS@M%$E!na z5r?d<>TKhpBQT&F;*dVA*O(&>+2wxP5V+@LPz9|jsRchBxU-gk8jclk24EOF$Hq8{ zpbr2bW&3&AeZ`bga-VEiHs*uFNo^Z>K@fF^GYP6s(B{gf9Kz#rv{469-G~|IBHWsP z`W>gNsEx|73=Sf3fpc>AnVe)tK{e9p)28JN%zJE*v9f;tgy~nhshM*~66jg%_8N4a zq_xVAMXY&ZGN?}9HbJ$53DGCDoRh5_C0`+qD~#60K2HuF!(KMbOp0TWen7&$(We$nzItZ z^;I75Hp#z4REw6kRBK66~jk zkrY*^5yZTZZ=mf-V+c!r!q)4?fb3AS%bg9Nxe+8Kd&bsRAb%$89~IpkES9jd5!Ano z=vJ^pV}y1kZqJ!5X6vzht&pCCjv5< zK>zeuL<-B19k8%UF@_!=1`eZ69JY_c?cj6btbJE%5xSy2EU7&P&Y(Dvp;{sN!%@*G z4_kHOI$zZc7GWI*3hEgd0bznT!6DjUZF4#dd$mEQqb-Lg5i^Xzxh0Cj2F#`*0fEjaQ~Sw{kg+oS9ajZj2NredK#zR!@)hxBbYd&stajhAE#Mt_>K7yE2mj^o-#)A2q)sTac)P@zFV)Y z`Mzhr@+U^3s%25ES}CsI5O(*ATV?Tw_-1YLp3jP6)22PWO^>H8Rb@!EJhZzS!u`^| zBNvuRZTsa5YUjuY$+}ePSv@Z#x=FSAX@cLLQo2xu9{n!%8DYuul6^pw&jc4`z1h`w z2B0Kk-$)=Sy7qUzMSA<*FX&HvCZUgyRKuF7a*yC1OF(EEz9Mv2R%E`YxbALQZHtAl zcqd*@H0`rG9C}rcFdMa{FRF*}y>{TU>y(mQ4F;#HbYq*^3|>`O79K)(Ux+W%X3VP3 z43GFW-XOYXp)voc;7f#uT?@g^CfAw9vzqS)3f#A2%d-Hr-;*+Z7k@AP@PjY4u(czF z?c}LP4w1YvtS3)P$#oucD$TW}!{GA;Zm3_EuUJX=mWij^_CBc63->MN`yS!8-?w;5 zt>GVQ-bouq*N1P)6E#0>S^V}nP|3Ux*hBAex#lwx1hiFy6JI%VM9%e&k4xSpT2nib z@^nj;BT4bAxlbrHWpC1pcoGUb5C>07Wc}2u7-m%Al`&mJUhFL#PUOm?8>fFYu5`^ zD0yQDNl2PDk}Pb6k^~6OtMuk=fRdKpzULGONtC`hr}w*d($lx??LGIOd+xb9KFE^x z*UUUK^E{uQXkYyO?U|phX2yk&?R><4&oH*t?)>V&W8OQ9sZ_HjLqpKe)NZ=NTRc&T zu*J@;5NQhbn~rs;ylKbZ|6=Cbd%n_k*8RnWVyGP2ws!N$-FJ!;&kcS9{W$j%XC$(i z=>}YL-NWC={8SIrln5j-j{N@m6QS=qcat4j02J+bjd@rNlrBNyCb%fnbcKBP_AkuY z#@$EsRZ~{8>U2L34j1%O9ldk6DbKeo#e~(p738#0((RTwM7~Gi>^PN8r;bGSE$xvi63p z=?$ohofgCsA}~-f_il0gn63d}?QT}bfV60@dR(5__0>&hjYo%?WAzZW_uoTg2Ev04 zRQc!m^G}i+5b(9xx%;Yn%v-5J)Mf*Azl-7D)ql}tZ3eKuJ+m)-7n;y|2OCLV|Dol| zZ+oi_?&h0!E1l*`19|M{tz7Nqnw7EZm)W% z`<9w*6x$(2u(SkzcFhmIfR0Vu7tN7u~tW>R+#Yatwg{yGPv4 zs`ux{{K7|00t3SFonoPRekD9}7vS%;9~;2`___C)PZ?caUOP#g{Ks}P=T;MAd%aIP zUuBPZb5Xz^j@`1r*YV+w&83z<9(m+aWj9&t7Q}~U>f6tP?C_T6=y zz%F2BU{H&n2|x6vek2W8yh$qRBy1kUTvm_e4(~j>|73rzdw$nh1PQE*)wM_WXhC$h zcXm(f+dI-g!_NQ0y`(}>TdyAj9rlWei|p~;p_}~CTRURa{gMBxoy6(Zx6!~2=S-n( z5O9AwslwS;yfg`eW&oabtiOBzoj>>|@KE4<@9mw>6Nf#vt1;DOt*4FFoua#@5Xk@? z`SJ7==^C^U`YbKu9g({o?rQSTPsYdVdi@VU#Olum`uDoExD?N$a(6&vfm@DRf&`H9 zP34x;chmMHuu!<3-hg{8`0n6zwYzRHtRM0Qf!pftdHw{@Y2$00fVn^UEtu%8uS94` z-*M>)Rez;B6vq8tO%2c7syiQd*d7|f-Cz4)9AYz_Pf=(}_~mX3DA}-HhC#IBmfSTM zMzeZ8!|oi3C6)<^S|Cbx{a#4CFqVS;vMp`E@w&Y=!jE+smh!MoPkF|1E!nH;TV~9# zcwxeX8AZ4Zfzr1%+0p7Hb}rn2iR0~)-(@ZTl|jlD_!Q~SNJ6>Vey}O;AS-d>4=;@oaStIWqjL)=z>#b3BFLcIHai42Zr`v602{=c( zjHb>YhA~v7lxpui{@)V;Abu^e*gdohv0mfZq|v1o5MY3*MDLV9zi?@@So`}UN}|mb z^1#@2d%k|2e>~pS1(WV+&_oHBnF1E=U`tLwU8?TOfHZHEba~l+XS5N@$@Mxp|5pJ8 zoNaP7pf!I`&j2N40?j-D>_fBmr6{X!uLE3ZSH2HNJCnmhNrxjj%^>+l!_0M>ZK^HAu9=FvW`3(ZU3^uOE-AhR zqgy*}xSR|>T1+|n+j=Y8$VUAKi^OD=nY5I6wJ@Nm{g4q7S(cD=^yyb|)>bhT@Ffj`@M@}i&3Xkcjq$cbHx7yq7J%Cm+$ZX> z6b6#c_B+^A9kJl=ciUP`xcP>2hugIusH0ePoZTr7TCXN0p<_7qyUpZ*P|1RG2UkI)L zfQowOR|Xp3wW>y(FaKLY>(^Y=*7y3=sy>`8IcREouksCOF|ZkZ;cX~ZgKv-iR@1vI z(xa=xzlvJ@H=pIf`{sM@B6t<}EdME^1vJpFQ&Qh`D8bKPd~F#Qge>8tOry7~G0Otw zLxbEoK`mw_FU?IdqwH<9t#W6Z+X;mXbCh&Oc^sa@?12$(C@fZ?O{q7COFUzWk~Ep3 zLa<^RMF9D2S%5l30 zI>?~6c>3@DHHZvLo_DFKs*@-9YkmM=kLqKx?{Zd&fBEgdc^-c6=R2oAHtkIBY|*R- zQD=+wVvja$tvB|%5(%|=-46^{elQ5u15djx4%U}5OgW_`;TFGghX;(CR zz45JyiH)eYyVhKc&yi`yj;qI7q-;-|#(w$?s@2YVVnN)ZCU6_fHN@NucXK17Bqy@N zW7!$I;Ti8-aYh5?W+S@O`KDkV>oKnZLU~3IECKfo^%2$4;t#ft`Xq8@4al?ccAOHE zjbj$JHLiy-@henlO0J1cJEX4ui~)6K?CG$(6|0{k1Al08lpt*=a20|y+ykm2WR7=N zURHu>{3#|TXHY6SVUL3LlWAG6g%NX@PeI3!p2k?b&PUbY)o=^`m{Bq-#x&}UNU>#G zTb(8&Cp-W6@)EX{8Bsa7WMinY)(Fa8cdgVwl~>28?Gt^NmmL(<)m)PZl-5XmkQ^Anhcw8?a&u6Ig*+u8OBK*vfK9G zv|4l{wcl=c;siz2yDCi%j5$wSWxavd`df{6urj56$Zeh*)sr8*W<7)Ri*TD4PvJBKK~;GHU=;II}qvi;>;H)#VZ`TIl+;+1c_wZ!n}%w0fFM+$OQ zMt!QK)-QFfTGRekN(j1QLdud^5N7!dm?YRMe4Zha`ZJE0NJ;}02ak*sp`9!z4iFz% zETZ0EesoO8l^iJUG;BUb?^C9EkoH4CF|-!S!bao0>vHh^KYZ0Eu6j=@EoaYzwaa#z^8N{C! zbuT7n%jqVy%Yd8B>vK9uf}xt!4b}QwZi$cDA+bx! zIzthYN$X+$X8>;J34aj{%7zOQH+K$)t8DT{)E6LiNM-`EuLQYt93xeTksjm-x;+a3 z{Dbp2r^|^P#o$X2wy_#1A=$oJtkudLa}W{5W(=QV`rI-@G$&7DpT%7_YrkTS)>uj9WheBT zR$YyTCK;wAjup9}!Ri+pSVrKFe~jvJBNAXF2JvlBv8%OD#EY0#$3o64EE=0tXDh9=bNQLRyT1W1J>$^;e4{>Ph6#77$ z6I7@RFQUPc1HL}@r&$YnzO)3qQAiHETncLSkb0dO?Zk_uy^_+`EA>D5jDmh5vwqTw% zjT(qtQ*N6?L5(r41WRT$>O89(%LsW;4iW`iOD!xSnc0_EaKP~3^ot^3L98H>Q&MQ2 z6N`DYK^Bg~0+G}zS@|%W2Q(`S*(g(Dv&`@mBKBZ+(>6F< zK<2kQV!4fl%`z##Fi}}p#F<(J;<1oFJ(W@RiCBnZTwrPsB^zf*&-HxR_l;UtoP zg9l2ePfKJloEQ);r=|A!shdG-+&U=YgC!d^fRtT>TBjXV^N;e#(jnWa`#=}cvevAb z=te>1x~iSE;9aq|nh6c|1fb-8aT<5bs%F-pQg)tz-v?M&nLx`x)Z=M}}c$c)nOpm3vxE%*r?!f+2=EsH`?bN5r4DYIYWxRX+ntC@* zwi}VQOoPeqGbeJp)!xTM->6g9gI6cl)2+R;Pmbdz(|-;9ExM&ChtwRP{-m8O;Qjcf zwI72?Bbjk`81&V_@9$=z{&XXMdY(S{A{i*~ancm6xW=@1xW8$HhgVReg)}lD5|F|- zV-SsWklp>w?`T_-k6zPCY}1w60o1u4wbVvld^FHQwy`x0Ye%AAYDwef1|{`^mSuSD78H^iR}J9zK?KeqtouKQdqa(lev#pJpCAWv(sxcdfau zwy7`mWB-Rg`(Uei)ci)7J;(<_c2j7zy>_6!)!eH}o23@A%_+dGNc|A2TXa>=RF9Y& zhCgm(aLp~+Pv<{`)lM>CCZE~5HT}$ZCHDJtmL9UV@Z>D9|5n=gP=3~MbJlH-dmU1< zLES}%L}B^jh9o;R5aWf#u^;t;MbclX#(S;CQ3n4WKzIH&l`i2Y7XO6k=-4$qAMXDB z-+D%W39`KUE76%EWPkPZ8zy9b;hX#?6K?oR-5GCq@vY?Q-}_A?zgV74{}>D?i*GU= zeaG>8;k*1ze~ds0+v>k1UGdeReFMiz|1xM&5l6rbvwHV+d$EBrLwjUH!?Nv;8r|(Q z<$j9>tAD#ipmf+hYutnq_4FQc4~#O#X-ba4j~p6v?%bT6Hk>9BWo3RtXv<7UeIi!i6WW3H699F(h3!0g5+D2g;#+SuZi(J2 z{_&2X^YL=1rd(VL+Ho&>HvS1c?VU#%?>qBQn?*whhni<^nC;QRlCCFOJ=U#aHl-l*f8jVLC)F-(XDM_f@ci9&Q+OEipE{tl%rJJCKS+&}=#{Cj z%~mYqNbzW)F|NPCS3+mf6v=jblW~B{(-%nsmC1Euk+ZZr%fr&;sq?c_4O_nchNfOq zt9GF0S8;~EvacZSct*LCKlH-yE2!NPefO<)sNc$;YPjQho#zIY1**+>B=Ef{eZSf5 z9UW=bzcKJL!>D+TR>C46ctc6>XmJHL1O7jqV{L>GDnBc(VE0l%k% zau;H}yzG+ZA_!M7$u7=vh==1spK-BbJv%n3T7a8Y-ARN!#vbD0NWBCEQLZEaH=g(y z9GH9?z@`h3+Xfz{9hO%1fBPt2rQPxPBM;l%USE~7nJj|PUY&%b&k^qi28NdE0d*_{ zW{YMW^4>}>S6ia)naS0y`WD*)aevEa20?&toj|efN4Hv&YJDq%QYom(IuFMt{`Smw zSfiDl@*W~44FBrbBfyU+!M~{<89^}mjFF0!)urqLnzBCr*UP(L8;thHlB&_(lYwB& zErTdv4lsa&ZLv#TV`jV0H^RGG&snW-%5DBc=!$pGWP+6V(iUFY9VM-3%0R!AnsIH*Wjw!w5;~D9YJ(6gzY6w`?$uk_r_mJ zQ(o4O7O-)&*x5$fXnG|K1V|)5qPtl*5Jx;1Qv0#UFPlIk-2KgOysy2d zrpC!w?d=Xj6;t16K{eNwOh9~RWkOnGUI&YNf0ws2%*BlaCYW8GyYt8X6FBcpWRP1U z1^V@DNp03vqP;d;#C^D|(q?TjWFj9DIc-b|ligiCt7;qJgivAZ>=Gx{R=dwkx;$fr zU2+rmrm`8^nhb4Zf`g+(%t{zOE0O4SE&#l}*L#RX?|tz1e6np&41ZkHjrssy$D5=C zv^HOZqW3%`%U!mp6fonV!x-{L5<`H{NggPs8(pEGim~ocu%xD12weHZ5D)|CLHL9) zbYNa_&@4TwCl&aiX8&Nd<BVYW8)NLuMK5*^nHp?pK~x)_rlMyVn%q)0#3g{%R44hQ%&30@(h(8WGS)IUxdH z=3|DK6>CahO>iVv=u(?Zp1l zOjQQn3EsanO0yztVd8bDQmeMV9cRdmC_-ni3iEImW`*DVoalDL^6NlW>etcqmNwFU z1tB7S7<863WeUcM^MwX;-UL&R?v}AvgPaz<{v2_n`Y9aeZ>ii_Ko#w{SzkfuHS2tt z0{*=ToE@v(4M6DlxMQ^L(>r>#gHiK_-r$H&S89i^;Q4Uy3OmmWqne-cH(!m(`b!Nu zzY_B%Kx<`2LXR4T4p!t!t^T?pO&7|-dTJ2JLy~6gf8O(7=Bh>!QpZhelPQEttzgGS zPfRc&yg47d@_2m-S-X&9J`q>Jm>NE7aNm(GT}e% zAFCqk|KEENmVVdy0;v4Ebi+xO-hb;igrFo4BT6gG=$HdMJqp=sLZ53xnM28*3c4jY zN7E3b!Oz*Jm{BlX*(M{PlJs2V3g7vGrOaQziTSeq2A+v2N zD|rRabB(D=)Gfm=Jq~XIk1$|W;s5L#HwJ8y6|L#Uk{R58>_ zgWsxtFxIg^Fn57~B6A#FM+f;yEv+|6a(rdzCkvl7#HfOdwPcXk1Q1J}kl^YR zDj7A4&z$ShKsdK_8i!qnib+Ra3l~q6`fsKbPmJ50v*~Fliq~uS5}zv5`GlkyX>gR( zX`DJGoPc(ElP`TtikhQ-SU*D^NUuR@#y6_Y5hffp>}YTQ#=!uUrwQXKZ%6ckL*8IB zF3R1;{Dcz}{r>aDgi%X~YI(9pY0*<~7dL99)csc$;UMS$A(^9=>cRTZi&z|Mri|2D zWDU5&2PrJsiJ+CN$Qa84JEzBB=^E@s+~>fm(#eKp0cYc-jr=7^@N8=9ekkvzTFgDD zfnCkBl`f;LF90Sui^6Jw7(y4bL)~RRk+W0Ai2)KEGlb(t&V!&HJmtLhqotM&n&;qB z(gF7&&zZo-@m2$t)5NzVNN&_gHVWeNND}%kZX|6!IfmC5iZ|`@Xvv<32ymfD4CTW_ zgK#()mIDIUp=zvbf^wSFDNTgHXuND{e1Xkt4Fk*+w%QwQcUa-RfvF>E`}|?*%s@;7 zn`d;=0sI4ynvZ<-cwHFU+Ij{$_Ys9|Gefp+RoGh3=pr)>hMXt1vN=8nZ!l~a5_^M- zg6?!0G_AS%H(IB_KoF9fNsC_7R%8uq#>CEig1iFFEF`fq7oUZ*N7>@-a8(Oaj!~P- z0REUdGFU770=R|aI?3B3b~=Ncbm9WW?y{WbDcmn-7hnu9<89>cL=$scf6KSanZ%w0@#NcPWz-@!%)OllKAN0M>*@v*_zBOh7b1-bVRK61!-AH^g zGU+M;kp2T~{E2O2D0Ri$?^z2@T=(jF_*cHOa74w~A$6`fJYWW-!al3*^+c@!S>=5r zYdgLAZgP~3l=}l?-ZoS>sH$y|Wel>R8qqOOS)=HfAfCY^V~7VXk9fu|DSjZb7`E%6xWjzB#28sp6 z_4XYyelsQ=zZqVn7a#cp1-3%COgNlNhqe4NyA!goYNvEs2`&$bAhORe0pMUPR4-)6 z@>HK}X_~PH*&tJ&(}V$T>XvcJ0x>yU;Tk*}iwUIKQWe6>2z^f9pilI;3*?tUiUT8; z^-(XjTzCq@I&jjBs)Vd?w!W_6YEK2|hP7IWBejl0Ys<9xMhu_xQ;BPNzBD)oLyUvY zGo%p$_#A8GZBuhGAo}}|?psSAPyyu^j@zBGkQ{UAAvh5MDLM`sV=h8x3R>$l_FEnp zQ4+Ed88QTBpw~Sb4-F4#+;@%*(Jr=@5h2TVGXoUToPT_HMNk$@0fLuS<1J-N*Myn8 zB?{Ag)D>(H+@iG=WaZ{src>WqA*cnY+)1fRXuazwHqy#M5su7|04OGu6v$t4AXqu5+-bE9{&S7o z)zI+DKDZ}l(KwDcE#AnS*8sRHi6Jo|`6c>_W~wtScmxETKpAKAQk|(PX7Dzoj-}ZZ zZYHPFo-?nh1FG$5FVBU#je2R)alWW)Fx^8kSKGywL|q#R>U=5;$mntA17SaOKkgV^ zNY)LS9j;+&Zm&iKgZgAMrVQ6pP5#cOr&aD-S-TW&*iK9V)Ysdf)+<suuGW z%z3V2IwP#|YGxgwb-Fgu+a_$1BMKMRW4oQ1_n*F-c%@~}sK54-s;YfvyzW~Ffa+v~ z^n>%UP5&b_!XbCdHbu_24EH%E_+S_ZKvm8{siNzOt|=TD*Bk+9FDdq3A|BU-pqTaR zi<;-CSVJ;`v&jA|~~)th!M{f}u56HjJ!w?>PgU zg>Hi*WyNi#AhZz>KlkYg)f7zSYNDS6S<)7BfcI;s zfPz_PA>atCFj5k1%z|tXvt5@%EjVILn5_&9n4q`Tw1^53S*C&Ez;xB)x)j&XX|#g8 z1pBPHngay|>mere5^?x7TP8hsYp z2>+8%#)X7DMl8U$nLuop2stW!+zKjA&0=a5ioQM z&8TMjyd(MErv)kQwQFZX@L8c$$~Ol5YXx z)SX^9+pBLdpAEG;17}CGH=NeL-27Kh|DeR)Z{-&HujJn}H@TMjwI@Nr;yv7gn?nao zv`cMO!#}VOI#>I@?y?tC;U4#+=U4r5YhiW&b~kiT9oITf=bJOOLK@B_l@0Dz*10FP z-D^Czo!ZvZ_qPpbHCIbl^#|C_iMo0|;B>}Yd1HEd;j^1cTEmsr_yKj55j`EKA8hjv zjeV4j`cpIN4~BIAmC$`hdoIvAQ}}3P4f3}QA5rbz+N-8Nxv6E}qjRc%0dI~p{njeF zrA^Pb4EPrysPD5gxdA8)qlHDn4~494>U#1KenK?#Kco54!pXC-2Q6+ttlrShBW zVmHytNlbo+{)i5B+Ra{>n$4tD`^UeK*1EgC*?-T_-!@xUhltZY*7Cr-+jud3!rQw@il9X)N1jly4@k>B=C{KUL9sqWfj9`+w~pWOlZtW8P(^TvYpslu09 zmUJ$jWY?YV^)9Th?7aTOM7R;u_HX|d+3P`_Mny-v$}|TkJWr?h8@={(^qh+1_QyW? z2>QO4v1-l|e$_MYZBmc%{rPjV|3ii168;F{AWz-#_y}O)Z-U>FhD!vtIXMI1&5n+a zn_6Yl4tp`_K#n_op|@8(yh+_Lcpp{u#=|vViYGJQjs|XGknKN$PO67Wn?G+EBMa{+ zfxB*@S-X$>jY9@R9}R%eb@t6h;;P$OQ+K}iWsqaDpXq_E-UuL3;jcI=^Sxht1jzsl ze>n-*w|%hvBYr&(rACIa#qL;aX}Oc<&7GYk_3a_Zm)c237AXzv!jHrN4!qF&r_HR2 zd=2hdwqxivEo!~R^;RSK>B{!h&q6r2U$@Q@lJVNFR!s;y?5RtDI>DwtNJ8dM!xd6{ zZzlPn?TL(92TB`=#X9P0+s&wXAlI$t?Wbzr z(<8F$LNj=Ra~`9$y6K5R~^JAV%SELW`FeVfI+CvPy? z<#npKV`y=1?hW1isR_&i3?+(nx^D2=7731c1df_{G8Mh&y3o0Cm|XbZQePqW{^%mW z#BCo$ZM7EQk+5+PyR~3V%>|q*EfXOlU>*ola)aKV?SFT28@ORB;PF76i#!L8QP{2< zaKJ3UHed5>XUU7-C`o}cY#ak3sn>{IKNPmuY&Fe7&{Gq3tc$N=I8v??{>s2D=LTFw zMWMM~>8fMGuCFBJEq2YL{w`0QB#C>t>ViOp7~zim9IXWW&;~i7NhY;%LUo z-sG7}&$dVJ@nRy=zX~13UFs@i23_-rc^ziL(994W!V^subI2!alOa zYSL5HnBd%T9Ca9?JH!`zTN*=M7d*j@N|pSAU*<0^M;w53xga$%r@eFSgF^NYaM)|`b&Tan@E>+T5h>OVtFR=i zDFOVeP%Jo=H6~aVp-^lH5RP=pW|BaK{3r5bq^m|ikWG}ZXJM4U6-Mo^#0bi@IJAZsyu*hMo@5PRkz{FEfjl-pyFJo^O75Y&E zU4ebruc_CoyU^@`&s7H@S3*dn6elh@Lf(TJi4714>^k^{V2N`T01z-WdKu5G5d$LZ zGo@bE;XuPK>+yrbQSTunAz{6yyPE}VktSQQuUAdWiApNyRX_-_p5k@?ycB9GbOf_b zXsghS%b&h!@NpxVRB>W3A7}UWBQPR zg+`b^itZ7B&`C?8w*dqkIt`1}=hci_Ld~eDCoJ)Dw*rg7_LWgdnEtcG;~m&dihvV} z9E9arNw=D22VxjD7UaUPFzIrgU{c1z;HQ9d{b3hoG;N7{TwH9GYD*)FZZSOT7(W$HUYl-<{awW{f%|QJU3N#)T>z1^$d>Iu|?$YFhl}Yro z#C(ARsD0UO^Nb>EP2KtNvwg#FKmt(CR7=P=j^lfIskL?<_+n9eaG9(5mCL;oIEZy| zt=Fo;IcU~#&@2IcKeB;7d zSdRV+0RQh{@3T)VA3<}}g*XEwxeTfvrhI78H9Cz2d&p~W$41;nX5e5MKMnN~x6D(I zPi^SUTMFQCgVtIwQ+JBFd+_k(hge~XXGdw?(uZ7ot*56dnZU>i+S{p2kxpp}rLm_R zvld-@gLV|M8QLNI_co~O0AM{;I;>yvPBVPuTE34@=~ zJwV5cVsTJ=;j1g*e1k7jNWhu>tFNpWlm*9qFG)!--utcj>%ac$*TTD?l7N5p>vzAi z>JN{+seFi=M9;NaGt01w z%Z-zbjB4SNPRgZ2Lmd+)_~On+X52>f42#3X8RI)JqAhH2voBnC@975;BH3sg2Eb$k z`a@F=SECB6r*S6=>sOf6)XaLv$xPooR56ZQ5N)wBN_~=%)15Qi8gxuGC~tv#*|OP2 z19ngG;AopM8m*fd%1V`uhKI&AWY^e$bTmjY%i>C`j9qmr9gT)8^8%$GU0Gt2CZje&MXVgQPQ@N=`I%BPIs+c@EQdO*JGU-0x1o`M&2P4ZcSVGwarT6By1>4OEroenjiB*t^xz) zIHtikET!X`;IRav5-iqz5`%R;@fqAmPoX~I6O*9vbCnTM;MTkuS{fW4b%S1umsRvm zhdRIz=p7SUwvFfxV4Cpd!S8^v8HNE2?;%{bU2`n9*Rs#=eE-Y<=uqjHPQrzFk8u<% z=Wv5YQa}B8>}>7fYvi!lpd(qE*KIV z!MmtJ0HT|qI^iojNY#@i6m*!<1*`*!?3=HMJ2EwMlV?HPBhe_h{BU13lzT6XK*4z;_18aH}gkSu%Xa z`Uz{jl?B3Be&5Bx39MSfiXIg8Imb#!(P7c##b0<9s}*T} zeCKeR`KBiqTfL-ndq`D0A&BLm61C;jibj_JWkWAJFnvY8zE-3$OrOjuPQ02s-{4-O{Z7MNYo|TdSm!J9J_Lfn7)Zf83+99kN>k4hg?0mYC{U9FuBh51h($fPG7D2O zAp%2Z3^5BPXS;Orw8NPmEOX=>+1f{8*5Whg;UbF$dTpa)-UK|QGMiNx3dn;Avdc0z z)Mr=NA`>UB4)qE z`hZLqa<-h741nPT0<5TYnaV+#cYqsp3a5?(IXH5nIjr~$ zSJ%I;Nf{wjCxzhNcC5A&VYuX(QWiqGS$5vs$Tl)hCjjXS*q-m>2}0n4EjJJZ?GMoQ zeiT6@Frcpn==?M|giD=+vP+#H6W0yP#U%;Zrh1I`L-d97ibYI!u5+=L z!SvTCUIQ$a2>okqGMPWZ6J@>8?U-`ih&9hV(3uGKG|D1lZhR6K_=Cs{M%VqZKF;nKguuANVg; z!+=FWj7~F%gy8BT!ev>o=7j51a%-myfKZnSlb{06FwZBsT@6GCc-3d%st`pNax)f; z7Edr|v5!^jjda9?AOv6u0!=B9;Ue*d+cun8LPTY{dQfqPVK-M7 z6owV#U~iC;9dKFC0*E&eC0RJkutiA&DbT(>EPF+U=m4x8bJ(eej~h6!MRHSeMDm$w zSs)E!AB#K&Z>N(<=0@k>a~lbdE_0o1Jbp7LIavd8G7D6SQt7{1!4(*R37UH@oP3I& zowp#05bl6NR(9ZAvEk-}tQbVp7?PEcv${@1gt}}mOIs{BpSDD+)2yh>_MUuSLS)nU zuqpIzaoy}vK^TgP!jJ|5HBKaceffAkMo z3VU)D18f+i87qqv!aW6`>!O;j7+Ij9fn|VOnFlnK;*o+Y#X)ZG!6eZWydbn;xIQ^S zm^6ujLi8yx^sBJ408^;4D{N#5$$||L`0%KXA^(Mv2ylgm;FD`F{B@^k&a}6Z6yXP~ zeb!x~YJyJZHSLH$8yWC}jlnieG0RpM2D}24K*)lg6|*heEl*G33Rv2ZZRfzZslmHi zz&S{!Pl?4$iNgB}K_~$P+}-Dz%ck|hiULwR=)%@-fg}agD7F%Uoe^mrz~6j|jk5M{ zrJlH!vqqDLv?j8lJ^Vjy9Ca@^$+m_KR#W82;Mz(ucMrSUo+d-X_Ze>P`TOxPJrRMl*XI*@J(V7caan$SIXz6N_PGlE%RL-sAv0|+2-+)bA~q?&?7&29o61P&W=&< z*(nxrUd6c~xZ2QF)^>fSR-bF!fMRcsM{lr+?$Y|v;cI*>fJ-6X%`%7GLO`a>FL$J6Zc|G2Ab&zw)5OdTqHCqHtQgzsAIs|U#z?+fNS%gA_zGRd*4yaH}Vkbq$_@{7W3 zGy{p{2Tw{zjBW07(K13?EaRc|cQ3wmirjluKXK8GzCOIZ%|EX1FmIoZRn+0BOUTu? zdY}ZoL>|B5-P9Kw8UXq_Ts(EE8%lCd3Ev&QatdtidwJO!1|e}%I(jo#@{aPyGhXMX z3gw+|z}uaK7>%ujROa5{H8~Q#Q!W0^T z*$1Sv3eN^YQ(ogh-k9f&JMRp8@LNF3ZyT`9`G4{}4}(DZ(xn~g599;oRCK?4-}9pU z2I#=OYaY8vE4x3qCDF4v?MjVS6adBB&u;lp_?Y7_U0U0nx6hHnoDOmSQy>oB(R1H~ zUDG?_rwHybILiBBWj+9Kdqg_QpBvI);qGqZjsAdHAyM<*#iJvqKu%l1ff>HJTLm!l zJ6uEjXfkXnPw4>4wd-dyJzo6+0lx7yZvqEK_00iD5bqvU1;DkL>zrpY?3lvYfs~zT z2rTuIUcVF5IV904ea@jvJ5q85gMxa;Q13`1fr13?)17kz_tM2KYv&EELMYy2^-gu1 zi~o(YBt2#3?Y~ARcjw{wd6R0YN)~ht!_)IhRH9foIue$y8w+fLtx3kD4{z-rgL--T#9=-ahYqW<4+@FP?Ws;9$MD zT5?`oE#BcA4we1xp$b-ylneN1W6$NO#ey3#tC9GJp}hI-yj49!df9?QbZ%sdO!G#s z%cI-bo_oU`i3532XtazCMt)(SLJzkDK_qT9vM5_nr%u7K>9`Mwu#`6{1Pap1B!NH< zPuCkDy>6GgEdJ2zss*PUh}=h3xB!S>H*{&Mx+bS)&pR5_L4bPIOqVUEeqj^hcfH|y zB8DIJUw1?`QTEbu>^6n;e2+Koh9{%T#*h&KeF7}0havo;c+isF>QUHMz>TEO2!Z|S z%)q@Z7X+)ukwO&)P3Wt79;x|?AsZQj{!^ z^8^ifg0t0Y9EnP1l$-I{Q!9qeK)I!G&vCRj#{Zt`p?>c>vpBERDSG<~_Ekp<+~2E1AC6G9zyY4Sp&XK| z$xu)x!{&Lm$!)gTX z_b!Zu+M&V5i(OOT^g0XSBx5|G*sS^c@9%>2eu+ir3~DvD4@)qd6Zf%c2e4vnv}~b9 zgt%`ZC>1ggn|PH>5T`JrILU(qz~@AK*0^k#iow^w4s`FDP7TKAogOuDwMBQjX&CCY zaZT9S=ggE~7uI}KJ+5!=09BJ@1_@R`0#G)mxfwA5MiC$)26i0W{wF+;n?t`|ME=MB zy3*Z=WtgO9!Lyi_V4@R|0RroUX+r{LHZXC4^DE&d_Inzdq@O z?0!h{{3BZv{GFqEEi%Qqa0xfe=hnc0uU{lHtk_-Bj_bc{%L~-*o6m!n7E(TejOz8- z`Ar7IEAj|+&$#CXl*_Em%??2y*h18>lvJF3bLYy!rKB*Og!EO-B@*nRVUH}cA}0|j zcL7=hnXeIKAllU(3VQ0NUFqF~{$5xVM3z<4AJG>8vRdD!>P4HlqaMwQTMPyP{ebhs zvR1^PxLzsXEF|7GD0Et{Lh{vDK*PG!WjERh2>Ex9xCT4~daWpx=o^2x{Qn^`{f+;> zA=CdFXgB_ST*{8A_x~|{+KJO6v>7Hc8*Jm*^mZ-mVQ;zhEK6O>x%!;Tj$BL4n~7N? z(m%5mbxO7O3kcjKQ$e??vHnea_%H44TCvA2B70s--e$|^(_?n+M6X+(GCL(}gqD!8 z)$SzoE(Aj4&uMd7@tS>p{ATL&{hfe<9~rL&G&_0=$~XW4@A$Db#X46Q`eB&=c@0z4 zPM6FHv$Nmx;H5ur3`3I3WxEmscszUJ#K=;zmX3fIb))?pUsj#oSJ8!czY?O5h57rh zzC8Lbe|Qhoe)sn#JY0swMo@$wVR--g(ZBls^G{E@t;DidStKQ@SZ$y0-Kss-ZwHD4 zkfYEylBs``81_26jCh@(_Vj3(hNSd)W5_Gbvq{~j(Rta#Jml?6&75S`cYlaaFg@Lz70eXo7Tp%X! zr669lOQ%cuMLRF1`EpR$&55(faU`FhK3X;+Abut|BC-1$3KjCtSW6n89JC+sZF2x_QH!u;;C~Epy>* zxCG1$LPPk@yAKgt-*3S?>>b@TWy;~D7Z`n(OEf>kt#YV|&2op_L9L9%<=IujHjx0c49 zZlEmE*B|Pbpss0p`LoL?=*_iqSu}j!C#>o?rekiSqZxqgOwRUNtKNJo@AK>c${`-0 zrmPr$fUw&@@Z*H~4|H>q57mlTlxV!lTiV#V71B0INQrTI)b_1WbuU+qpi3OJ%T=GV z@>=7c&ZOrEIyF73AJWcQmTa7Sw1|nY6Ty^OZa@8 zqM$|ILItpu5=qukmqFKwe4N%;SMNfrg?jy4`X1Zw3u^5^%OFeLU=IjA@wMUa8#nY_ z!{O3AN^FrNpr0_HYzA4aQ+kbUb18et%$zsHl5$j7-xtpE_J(&|pW`j6XFoM{-B@HT zFW7vK|JL2%@7-ym$+@1vPYqhu3Ek}3I_arTm~(VbIHUGUXFlQXtoXCN&Et*FyNk9r zX={lIRNj~ig#L&3vKs9OjE<@vWNLQ5fraj+yX&?)?X{jG=Pku>A1$WN8aJ#*Z&>TL zOQ*~=Hq)FwrmwK(#oFw82bEV>`a_+)(Rb$j3eQ#7I@Wpw!GE@ zw+x$uP%g3Cv#&@UEg&LyKX zE(7hys&?x!or=gf7v_1~4q@Hezy>ksez9`Pw)!8=Ica9f&U&z4Gee;uTV8MSno%{L z7A=Tn%{0*cqV(a&|0#^(Td^#vP%$d3T9ng(qVRQ5 zF2R=dOjB_5-=HN|MgcW@)pEF^If~wGgaK*YjC-KAh)?9!VBYZak_9Y~kzh-Jf;)g% zA0w*`hBm@ck!_*fKl+7_q*uJSN0gB`Vijd64m7aS>Rho+s-kWKq>=1|+(VlNE(U?? z+KwDTP}8{RB~S9a?a8Yn&^md48I?9gvVxRVp%EA3=1tE=00(R@1XB_bkfd9+7H5akvPJ%GSQaaS7A%W{F}wir_vDA4u?@_k6K z4&V&@+!woD8W|VyK()u|VQNjd8jDGS|3vmCmmHaSF~t^IVaVe@O7Uz)@tlBIGUaZ> ziTMe))q|rE#h%x(wRuuO@exfhw{n?7kpzws-_#YFhJuE%{;W}w;Z?MthgER**MC`9 z?O3(U8OpI5f-~mEm5M8NXfo=MZ^5~yiB7?26DvsCbu}KZ8qP%Bk$tc)U}4u|<2Y*B zW0>eBTm#{VjT%8y^VX6(52r&SsHLpRSQ4PSCcy_7O9U~n4D%j|K?{C@DUPOIfvUQ5Q}P z#+wNkgp+Jy5*f%62`7W%JwC&hY`{^5Y!`$@fui7fPtYdjamp3>2yy!Y=OT`p8!-vF zQK&3sDyyvRgJU;l-4{Rp@h9BZdKbI)T9aNp=+QJWMD@X7D|?Q7KZY^Iti?xHWa#d&&RCdiEWfwMHP3v(Jw2wRR4B zr1hM2h-a_5#|yROHjB?#^~bD^#koC&%0r9uVGulOujE(yk$DuC5}|#2Eu3KeZlnKE zd;4335%jef87FPadTZT(X62Or#L8iBU%1G~BmQ=JfG?(-dU{&a;6mWrKS)lPFR$n) zKky14W62xZ)wN}fc92^>Vm;%CDw?H6Zto8_>@t+{yBV&&~GCpm;_cVSjJr(k| zo(hk9m31u)%88=VGo9gXEIY#AS+IfuRBmj!WnG{(&s*FZBp0A2tmF`!o4(|btM#8A z%AieMyfC*C&?(3m8Hb{Ha%vn=z$st1j5VW4F8sBDB#ykid^3M8xaloU9khgQ#_V?Y>Dt*G<{F~|Wa7qGc!de4ueq(%ei zF#yl!{wKcsPtb0bZ(TuWP5;BTYGNq-61Rd6E5NgNQ0;hNn7e@afu<#S!`_jS^lzNiV$mry)|W*=<$) zQg|;_M^-4m%Xyo4fG$(JxI)b(HH@k_yH%RlbOO~hf*q#wz6lie3xBxY7({)I?|;7& zmCli+dR8+~1^n0Q11rrvZODX1neG}U-1YTwd8QRDcj+I!|5FYI^TAd<^*wDo$bH7T zJ2o~@#?y65P)ec&^`rAu)%qAYZ5E*u4>Zs@6Is<$MB0r44=Wvsn{0%BZWCQn2QKZS zM>ds-e21q0-6a7~V`7f~T;owHJXbY$4~Ea3b*-C>oE>~FOdaLRD#z?DQXz%83DMLB z0Aa?f(ac(w>7zhax7(N(Kv;Y-wL({e&-_BmO(bX+QC#4|0!R7Ywq2Ogj_jDrt590j{YB(LV!1S{O|Lzf zidGDTXV~)3;^d_BBIeOQLNThlrMloHYP^RIqSd%cm4BIqwzM&coR;qkOrgDo8MLiX=haVtWE%l$EN*3DaY$3O)ZKLi zsY8I^o34}1ALE;xqiv{!dfup+0#I+*@U7~w+c$$eYYo#<{4^8aQ8JdH#0NP=eLm}f zl#F6$`zeyJF^2iH;4Nv%iD860QkIEyV3DR!raEqm!ExV)UcoC~R%Ue+s0c$7M!~sY zg~nG(v12^Swe??AijuCa2F4QUvZdu6m)J8eZgQSeO(YbG&QKFD&my5nr%;LW>owtv zUCM9`vZO&fSl`bL4x-cu3Wr=qksM@! zC6)MniUOicK}Jamo*+`1YUMG zJBsIEA~;DM0l`RA>x2ehuhI98d(lW3F7Wk~|M9-TXQ8c{|J<}J z(T+(wsMTDOz?IC0U z7VtG#^%X<;qkBKWpGMY{TXA(%>?9~&X_$lT-!S4B%H_-=JWIuC0L@nNuBC8_dbdR` z53rYHjk+eNfm{=0SH)yP0cs-)-V0$%?qDG4DttlMwXl}+E>fYc6aK(f#l)llq9o;( z20jjiZDC%zY+83;o%?@REgMBRHi}E*-yf@%{r~-993?OFA^!`(&5j2%79TY;o@C-3 zwjU1g@N&m+V73OLHMQ>Za*lW1T3dWRyQpvDoko3R#!9X5ywumaKuY05erYk>HnAuO z{p(yCS<+hHKw+eV$VpCo!N$>z1*BIUM+J_o7?y5(p%i58IkL?jK>+XNwW(XKVt1@m z1GIdV?3U2AQ4#UCC-&>SmBOvakkW&+0E(H4fg_fm)Q)j$p7h+5ET|SZR8b1 zxgt0NfWM;b4ZQOAe+H-M*n#(7#*co8{^bJL+rie`fQ0W~{BOF+ZXiOy+o>X(pcL#WUO&qf10IIj-IIHhbk=$OkvZ2CMbC=POb zZ1}cb{z1J2Y*snoW7`F(hXVL=d8@*XpfQk5|twBbC3Ba^gJjVdLMkVKi*@0-t)F3MV-g#gyl55`a?}bL4N_Gb3tu2OGPcQo@2x} z$*LzLtPiv>t}b+JX{s$~({^HP7q4^F-CeO?JTh1;c(P;O*G(KH9Hn>AH|}|Npr9`B zjzQ8sPglKHy4=)o)l&U54ae2aIZxedWP;}Toh#GUPH!LSpSLfYYu>7vHw-vI9Gdf_1Wwvwv6+#et~#Q|E~W5 z_qs%sS$J`x{L$D=%k^GfF4pOGo_vk)*SxP==XH73zHHns({Rl5cz}vR}>Wy>Lyzt-Fmy% zdVXri+sP}#i3aaHUm9P@4z6D&ukz0^ZX17Mnq$>(_*Hk(J79ZOuC9gtr9g_Y}iF=z33~pVLI6n&l37woCMet#@AVdU@>GBk84^ZPs2@) zheKpiMT&_7OmEGyg&_2o>=RMqq7*ExrzdDKV2TwZy#e4zm}(k1$Xvao%Xy^gWpSk6 z>ObgdR(gRX>1!HKt@f%4SW#L=Lq=*$ay_6cNWTmNc9@Gbd6R@dzq86N^b6U$O&|M|EPL zI3^1GNq!tjo`&N6(buSG=?32g%DH}AMnZ-zqS~pB(qr*mDh_8zLJT!p5~z~vqPVKi z=g#Kp3Rbqr3+d4nI-=vAgO<<2)JgeC=t!Z`IDeAg39M~2FbiEbWZre9>nD|$e^ z2Fowd9j(0bq8FpcTwY9cfn;x^SWI^=?0nehF3g%)*OHU+4JZhu8|P63BktR#f$~rN zKQLWWs>uG*dOl~YdMh}hA>`bOl;<*s#|8jWr03U8uN{?I;y# zbwuZ*I8Fhebj_g(*x9eBRL=B=Whoy@voxzRU0PiRG6~-GggdAIH%Ih3B{xk~Q=&0B z?6RdkJ-mvWlbp=C2Ad6x0ynvSlK2 zC%ds0T|VjxChU|M{Zl73!Lu|kFTWDhb3&}1^zNkwe>HUvKn!E(4%Sr56e z9+ww|(t>BkCAtq~={Cb60y^7S$K4Q0VF(BS*p$33aHC*+<_}ULT3hO>@5n|`lVO*u zj!#86Zd7n16DxKA{kwYo3^d6PRoyP3F~JFE-u}e zEnFHnP)Kx_W9tM;^~6srh+{aeXd{;)HgD@L4pLF-=$eae185y8k&c`@2KG`8Q&}iU zZXSTXgtI`BS<6eVmoQL#Qh_;Z81T90;B)tfV8|F(GEx>oJRg7xLQ$TyEZ12exh_%Y zlDq*cprPP#;W$Mv;4KSg`=7f*K5h4oc98L$$M@MUc>10{D|!nl`kbxLscmb`d?YRV zoj2$e>yZ1>Yvx^TzgOv<=$tpZ{@24}jo(?=yO#akV7s>`EZ+<+B>w$6j`JNzteMBQ z7k@XXx6O~gT>Q324+XbZ>Q;a1mbnn_Wo;utS((uv>$>cp*8Iw@#m9pZ-=zTz=;Oz& z9b2DY7@F9=?D31Oi-!_3PKxYg#5cF++oWqPb(yU(%SRBR7A*Q%eMi}lis)OwTNDE55( zfT7)THM-t9ncM4a_dfMbU`<=chuz~HJNbS-9ZdJP^{sb)e#L`&d1{3oI+tBsZ@$oz zW2McLMoQT-6ukKl+#7ext?G(q-sE4r7`}dulioiwlAZ-|VDAVHPozC#+B&&rW~$4F z?V^<#u_|)lgmYIPCkG1ldXVfJKb5n>?JmnXml}fbrN8~j@cfgMJ^xwMn#~=mbrjiM z)4LoFHTI4>pQBglyf=`0Rz6@1wl-TgBQW!v4FvbVEna(ak9(+g$Si-5_A=(P^fSM( zjD!dzXO=wkPL`iOJ%ow}>+3+6+i%jZXulmEI(4|bhRot4Xvc>-7wC7^&@EuI_{!n5 zSD6pp-QtPL1*0}N>7Q7+z?HpQcF=6%ri*;!Zu>z0weK%mv1{hCF&Sh(Soe&(e$~2_{-}276!lX_OFQetFZHNj{nk&Su^^fmKL6?MYVlfb z@X2Rdo)6XZ5Sp%C{A7Alk^GV&548Upz1}%Ey)~?%^lGi`fI9{Y={~lqU-BHIJDA!&g}TBw_f<=XIf6F2kc|X-!o6C z-?@D+iS$Y`yemp$E(V{WcRkmCWKrFn{IHC|(%KbZ)l)yuSG66~wD;*KQeYo==~vR{ zO?i30x5GRUcs10@ogp8y@2!{G#eJn6myF_HR93>aD=UL5J5DqGPI+H& z=yi|yQ{+SXDvmP%uWoPgM(8j7p7(r6Eu%Q{t&h=7#gWu=^1z{8qsE)c^=L&=L|H$O zlM~6|G=9F^!E2`bnJ)Vl3{?JU)+z1d$e~^CdO9$d+k3{ZcfRn`(FFIE+TT~rD}Qra zA8z6)`hJsljb1yv3}mpXT_#~L7?v-Tlx;YLbVt{-%AJMR4{ZVfe%`zpM4)fmKM*p7 za@)?a%Ddh`_+GIL=4?rSSTtk2>?wWDY%&WT>2wgApgC zelU!g=)*$l**)<=bV2ZzOq!Paa&M2HGOcv&u$}q`WOP%KYk%Fm&Q?t4hwJN|m`8hU z9EC5eC3SDu{(e(UotfJ2KIIbmXK-R7*oSWjdg}u-zTGO8k+|ID%ae+6~+1CRER{@uOed+Nb+{ZP<|$75J&pz@BY*%*;ob*ak~%Q zv7!>K0@;6PARJDK&d=^~hNgs8AE@t5)S+C`DDrlt&hs8tV9e7F*cZ?$!JV?bsb!Y% zls5T|EvF8nuE)qO;ainQsl$eM**tq~93{S+acFi`k}AEkdJ7a(C(&79@%3b=irhsv0@ej3x6p)((#dJcX*dEP*dAu zKZUyKZgbT{BJvYdmzu?d_%USp6aV-W&Sz!h{2CYbZb5UscQu#oWEeHceTNtFmAo|CMaoNeyd{REL z=@pRD$#o&ke>*es;DQStPE;ji>$wNwBrw+k-N1<;0+=B#7F|R1U&4X0XgrPt2$Oev z<7DcXw<*pvDXBOg22-Z6-D;DjM#fn;&mqx0xHHCK=Qwgqeo6(+QZEb&sX_d4#rs6d zkI}s6EqIY7_--k`i_=c2e-I~D%6DF+s+f((F8sUg+iZu763m#qdWN1#2&W4;{= zBPPUplB@!*@{lo$yot6z{5Ik-_DVxQ6&{5jM}oKDjW31SMehy|j>F`-^Q#S5J#s9$ z2H4czK!QjI&NBsdwe&+9>U=N@LMLbWdT4})ZsRq zZuWcZwWJjL)7MbRg372iHKMQT%w8pv)YQQl%n4u};-E3fb!WENhP0B|XlOUhE2&qTJ}X0^m#NwK)Q7QJS$J;8Pg1-gnJqOK3-h zkL`%4<8%LUPnb;;t++{e83Esvo)#(_VV(5R2(?+U%Z$1q_%nc&~5sDKo>l_BD?9Uf!;`%FAcGj zGQcW5W~(;tla@t_^x=hc`d08?m{qYT+7sQT7tl;a%pz-~<2?6r#qyuxZ@)aoS@_3f z{|YL^k(Gp>v2O+Z9KS{X+OH#;9pdoa3&{4a1ny$^?#g;Az*p^^MulWalx{3=RF}4J zLauy^zSW<7t3UCUot+3nfYsl&8+q7BnU&;iis(Gwi~XyS^at*r#TD#`AH9$Vk_U4} zzeau&UIC1C<^GTN|Bm1PO%>ukb{qTWkFceqU&4)F|LM2Hei9f%?8$Z3E*g&b3LQaC zbg9R3O_OhT-JH;Qv{y-8;2xLY*tXWqEEb1z-#TSxAQ-91i9sl}^#RbQONO51YR{ z#NHxDx`g7ox7Qu$ASNm}sKfo9y6t;0T}paiY@2pfo%KEL3-2sjNwcomNk5?EvjNqq z$sa&O&9&oP9O!7}1~yc0=(e6iAE&J1ji#3@Ra|?9wvQx^dq|D4ZW~mpnzNdNvX-xW ze-nImR7Zk5SM-!>2jAp^y%c3pk+lKT4VSd6+)guCv#*kiM-gtha}@Z=JXL zJl?M0EKfI^q;Sc0_%EYBm6Pj7idNvXh)$37&N2^#(4bQ?1e_b|A&lTWtR=FNG7I@+ zmVlN?E_yanDQKZ}S9s=gAMNMzv~IRr`UG#2ePqWf&ndA*jyz0FRlL2L-=d*Pe%uuI z7(5Pq$Lfbyyrq{o!TYv_rn}-Jv|By+eiBiUEvP6^0+^4Q&W?zXhE>p{ZqF6seO4g2 zxx-}K6Wq9*84F`|w5OsIA>aVs8Tv|A*)gAI3Vq_w6+}!0!I_rz82Wn=Bd=I_Nk`i< zLCE(~a59NqGi)&}vzDYJ2xgc(8mK0ba&EittpP8zECe&kG?2&InB<6~)HaZdY`B8a z9*CAO)iOg+@uM?R$_ zH+P#&lD^MvSwK0y6|Ep8&g(QcRuN5s4|cw4%EFdc=X3gkdn>L+h61&DWhgbS4``jpd-R2l&zn-Iw?F5|Ru_tmh_2glY^dh-i^4d{c!c>e zziqSY2NSc@C@;>(`x^bqTMq@?xpn4Y*XUd)u@=|_Na53gmCvP_%TlNOxE}aXyW|S{!0c^~^Vdi&|)J?$o8y$16!&?6x9{M2HcS%0Vb(i3Y&#Gk^P&;ABUJ(t{b% zj*-O_-t399uHc#*QYbD79*Wm?Yt#-pT?sYXgw|y+LCgn;J8Eem)?}3kHoz!JU>;UI z5>I<9$Sg<6O1_C_3V9g{P;flytDi+Nlg@d&+tj&(WfbBrfEs)h_+x6bi@^7wS+{2tHn(!D7fm` zhH8O5Vr;?I1;_2vY{R25709ar^bEE@Ok#+%ll((57Zyc;P9?-}0mq~@VBi8;z`+O+ zs3XpT)T3rUj*C;lk4nD&kysG;MC1g#Q(d^J72jQm!3kz9G3%~!=v#O#}gfC z73EZ08q`WltOHfK<1czeITQjK`$7qa?rrD}r#BRAY~R9y)`j+77I3@ym}n-&qJfqo z;;134238z+dHmtfWgzkfB`rK5HqS#&Pn_6fqu7xg3yzwS6CgrBR8q&hV=4(WQ#Rs2 zCmGUPy|+A3=+t$9g#A{(q3Dkr+zzdnNXAl%+cso@4?EX9?w)`bPV_}bM7I~dYG4qn zn%ys3)+~oabxTCDg_P2-bRtdwY!XOQYF$I=AnAYqO0>v7{^CFW*2X&s{ew~)uZU&; z^~;fj%dY5nvMWTII*)W10ThP)Zr$kXrOA{PZrgn!RB?0t$d0mMGwrz_7Uv9P~feZkvG$n*xndik&tq|oUK6v`9 z;0t;j^NATls);+$9#1g45%5y5!G8QRQ|RUv011c@lzkDjxG1P*vk`zZJgW`a7yK2z z3Gl2BpMd`8QwbEohbHceW6GEmg072P`{XvI$mETQI|kxL=+GHCB@~LVOD;lBySRId z8B!c+y&U@vt7{B|VE!eng0Gu`MxdK?$Cto`*1#05Xcc{E7_0^EC9Fak)PW>m`R@Fu zUx*zH2RujCwh_)_Xi=Tmgu3h*_{oq~?7#>UJLT9&!@_u4@mPG0VFTu);EH6U1aESs z2r~dDrd3SfUp~$Wm~-S^4cJ8+v%&3==l)1f;sF7uS9JGSFVBM7ILoSpRQOWULovnM zm^7ADnDs1}OHwy(AQUl0%oqd(Ti|VLm3QMjB-SB%Kg1FtX5*P462(7+3)@Bl3gj_`xbf8|A4Yge@IgmM#IxbbMhk>lfhN%w)8n`&+5-jFkIt$6V1!W4T-lK>Y>{qw6E0A#}V;!BtD|| z@QjUr9LRP23{uHhk_}yILHvt`5nZceTtcKO!{|f2ELfO#fBvlCv|tPh=SFn&6a!c_ zwo2+KZpol3WC&6g?Y_V_ssv|*Rt*~p#DMxTEHw6TSXAl+bAwR|hhz7U|Y!vwb(92=$?#0i-1OQLmpI3Bd0TVIM&J;RCYTuY4Mw(K^ zS&*|`M87^WG+-BF5-tK?ctqs3px-50WX7~%)547KhKsr7qiI?o7Q#auSJF%O{@{nN z7$1(K!IL*mbLrRURrt(%LY_QUY4D^{_SFk$M9gle!E0)@jcDo)?-NJwe__bj&tIOf z4rC)Y`@eqWj|q*yGC&C53ayNm5DZ3T$R zzO7e{@fO=k&y8O;{~ug?`2Mx1car>~*UcXX*?)B7OZP|dqZ@bC$H(E5bZ+|j=+b9? z|KXH%RzFM5k}-eMFPyW__En#3J-Ms(%~b2D_KAt>>7&*yua4ZxJv7^!ovcsN;&44h zj*;BjH2Mb;g1A7XP&0R*e6sb+se`rlOi(+Fsz;v3JU+uJIS7gTS+Yj>44L%N^=y?S zaA;|F)%)C=xzC+CeB{*O#F;PvxXLnTvL`CT;dF=y{>)5}VSUjfwvmEgRsZPVPYu-9eDv2F2z?x7A&ED2 z_*8OgA$Ne*Y344a>0y4Nev}T=)G)g!(-drHAzsrG)uAKRVGq@mF@9{<%w5LHy{xGC z+_^`{316Hcyk}b5jXB`RZox1tyw2FQ00pQ6J`>J_lVNEDlXzprKjFK#{V~5X7!nOy zY)O4BW3)%tL$|K7{cM^RqWr+e`yqH_|9F_KM@VV)vP;f1yy+ceF@o6l#eG+K~} zOweIr!8yOht>KXS#Xf@aG2~i!B|J+|`C(d37$=){8*erbd7hWC&)5N$g&k)n!UZ-R zmh5BUwXizZay!J(K;miD_X}06huD}4zTss8Xa+~&FddL+3o79WC^vK5io1$dc$Hir zJvJ7ky79ktDrZk*I(RQlEzmtQxj?hB7aQTTD34FMrlIToQgk5^ya|>$W!YXH?7Bp~ z;v$PdC&yJAob8`%>sV=O^+o0#Y_nuxi8a{NnM)NAFtkU&PFSHWVC;AmmGV1Qv>tHX z$)O`}wu9;!_fta9D_>;g?}kcPTp@*sRkY}@f=gD^o}R?XwuB+Zmr>`yQXH*TE#P?2_1s zMZ)^*osm3A7&Yu!T#gY(TNkfU?)d^=C515`LU>x$mb9K85Tg1>#OClAme>?u4ueu( z#7XzUuy`!&3tJ}q%30!$ge~E&zI?Sw%T%rV@&*De1C&kh2auK!8gpdSzwUqd`@ezH zn+?*kq7p7F^(1QJnc|xmz{GwlyKbe2DceUY3)yrZ9cZ}jMH#0ta47^9^8Lq;Jcz-X zY{IrZ&^gB|x3Gl-zdQ$tCHSqo2r2ubwp${aa3mtL6nLwR8OS5Z7#^0(##nd)6l}SG zO3&EB*!fSwg0dq*02ttvI>XEqqUCX?8A85uNThq!q5|%o2&T1Z(3p_So4pfW#^M$) zMbfllEwI9HDDeCs%)61$H=_$95mX&MHjy#8Wy!eQlEKHYE?`iBxuVx{B$MNEFolgS z^U2Vk3=4f>3BrvR*gZT5+9g19P&045*&FdLY>5dlFUKO{F|b~>NGxr`xx;_b9;T@} zfdUwV2p#id5QLD+kl_quDq$xv2xt6PK&q3#F?D0iFNpa7;`>c+1T@W9r;xn@qH>vK zu&M695-{4a1)({<5<*%};rK|IzX%Bjat2e?x!)#O(?}Hiq;EImNVSP*9vjDC?YxY= za)K`~3>O65Mk?yHa2H1VrGNbBjNV^W9R>Ro)uBKsk+4i~%7U~yWr0e}%N%9b!d_G@ z!CpqG2ynmgn!N(*=f#Q4rs(#z5VtVW`vZ+ADxth?%@XqAROt+xT5eQ0Uko{)4rdnq zZQ-OJNnya@9BKXgW4|C&<_NSA+BX<} z5J)6}qS7LmHM4G+d+974r7nXAuBULKJ3FUWL`|FYQ2{=W9oBAOV}Z3YHIqT7U{Xqq zK%e9d<1B%2BL32@C8V+}xwdC#YCCHt(wW2Kjj8jDVu1^{!wZnTzYRug0Xv}1QUO+m zUwd-5$e@~QRzhO=I;aeN?kLy-k!?}I*}MWFNuU%X7#d_x+$CWyDMnn760} z=uPL;;&dxi$JXyw3?DlJboQ|rg!F+Lkrb&xELad}V9he8ioc3(&IKsvNNw~a{3-;n zbdZ19%mk%UcsinZBM2*3p)MLB_5r!=W6ZOpd?h^B7u3{5&1fxDn=>^dgHZ>NtBmmq zC1eD$L?ck;P_9?Pwuir^@6++6H3Fe>(&+Q^e(kj*Zw_mq&?yUwJPk3Np$l2RFiZz{ zzrUsvl;n=Cjm(zBms>I&Vx|Vg3`8AV4SzXw*dlBk$ZIfar41suruHPhJY+BBCZe03 z?g&C&XVWO*`*e6b5)|5$2(zVpmb@R%_xTvQy}P5fOY@d2Ts~o9=#>TVwxu(&2y^a) z55tRKx-<4O=Qba3uh1(Tl)n=CI6#2i36hR@D4BH~bU#X=9rjRGyp8O^x=`7*H6lHx zU_x?Xzk_3-A|ov#F|0C=3?9FT@xv&JcJ~bF?kn_=-9~j+Yc+LjcMbKr!>Ne=tPxfg z8RSR|vGm~eV~Y$#+-6U=Rh}e?LeNB|5rmmvtS7gP;m;|jKB@K%VoF5*=QF2VHi1n# zfh)m2S3{U7UQxLmiUj>JNb8kQT2jrWrroE8THC783Vh|v>8}J~qtU1=G^Sw>hG9AU zLAfu){@|^JbsIJcn5Q=0)A!%4K(wJ?E0YTNUc=4He}54GS!BW5lfj8#&V~aC^|?%s zEPLbQ9m6!eOs`XD=3!pcM=W|?CpLS(VwUE#YUZ8tm_4J zB!mb1x7Xg#~Ns!sYsp@t*)W`g_e9VQfIAcLUMW>k=UdzOe5 zcLMd`@dWdve$Y6HD{E%-Tv#g5ZrpEOBYw*#WCsw%+%eCxA2Cp~?HMoj{ z*FCL9n>6GJ_sPh8SQESm^#x@O^BDr@Z+|8xzXt`Q`Fy86-BU0@%_E#Id*1a8*NVEY zP~Mvz;Azd{0vWQMx#^vw^YA^Oq7P7OxN&hfEDlrH!!1v5g*k_%MBX(` z#jDI1bFmEYQo@_TIlEw(HEm=BS;)(D!d{{bt3SgK6oNOZ5^x_wlK)>}xNu6E5>-6{ zrxUdRk~TzGv2-kq)Yr6Hx`x?pe4!5oy}=|y2Tk~^cp=;z*=qOAtvSr?gJTC`*{yQ4 z{>7-UJQ($e|6sJ~_FI{tC$~2m@_xFXao2gVLfs7kUGX9K0m3AzpczbMsFM7tG=tT) z9|m1yoC1t84As8jBgZmPg?2OAOt@!uqSmz(1_H+j&xf}|nCvI;*Ww#vzJJ2U-iBoX z4-JmF28|*@m__cK)5j*c!<6zal8?3t?3sJlK99`n4RF9b5$uAK8TrdFLV`b|QcBmC zDdkz&$4`BvrOObD%zn+bL0mHrVZ%8rCkVle6`3_c1zcJdyGcbXi)IfoQU3Hgd5;FwV)LarDTxjw~O} zTTu@E769CZQ@Lyp z?XS~Jo#t=BioQsci^C0VkKcNhpqFWneIhssvPJv=J{Y%wUBGnr;^)~goGqr1R*V9B z=(hiheHU!w0eE~6p`u`~z>fcBmlDRW!Bp!D3oBt|WpP^wdSX{79eq%@ByLpop@ZOH z5Zh5U1W2^wz_pPLO}_J&A3;CPt!%=Fv__Y55c^GtXV~vGy4UJo_OddR!hX>2BEzw& zIuz|$G8efsV&vX~)Gcs1a(NI_W1+(8jUZf?aVmM3_+^Vbe*Ob*?V<<5l6uGtO0zBg=4t(tX+LFaT2J{QaWQT zcO;h4n<3hMfTJoh7<`tHmQam=D~KzsIIz8HOUC%f5*pVA%aw21;V^9QVgpPv6Sl5| z(_65o-~#uN$-pG71y~dS>7sC*7kT;=x)&UQ68&(0pa=i*cYYFQ=jU`E=a)PMmE3F3 zgMJpXC-%_mUXHR+nz_o3QvF5huYF5LL6~mR3eTnoiw-Pkb>XWDtiRWgCDK zd@@oo)W|E3^iW$5#C8*0F$tWsQVPR><(2)A17YZgg|!eCXc=^d{X7r#3V%z?OOP*l z*pTTy2H`~DTKh#t$i4sgn*-U@i_pZ_>jjJfY?=pWCL#+mE$^i+WE`9!c@IrdIdxPA zn2Md9*cdJNxvFQZ4jHaKxWWVG#~|Lo$s5kD0FQk#*d3|2+9L;zO?DzMkxlqbTMocF zAv~8wKtFH%0QNX^*%egC#iUxHe_;E9z8jVpL^8|^*mVN91{?4bzl!Et6=+hTaE!uC zENdefzMPw2ki>~w6iGe%*d#3sGdIPyQo?-~dW_#^ z)mmNb@K*O2xnQ5Q4NzfsTVRMFZ>@yXh6qGC!Ou4)FuRd3sN9Cs!1XQmE({A+QB{RA zjSxvZLOvZpgm43RCDH>Nz9s4_t!sBJLJ2SM;Q0@I2W>{5?@QOe> zf^7#|pySl|#?XNaL0gxx-W=E@5MZDTB38WzPPUKL7#}}f3xX+og?>9iBQWwQ$UWti z#beOaMdSxyz9->bXh4kq+4fCO@Do6lqLK5$TI9z?>{R~1`@?Si(plXA&`c(Roj?)5 zhYWrxEDbM9^%TeGkhb0varPNh6w>7%j5Z?x$1JISgVV0RFm81wFX7QuUJ_<`qfF0HfyB$8z40+o&YQVN@ zYUWMrb7sdTVd_lX!(M~^HWR`mim>A8@VDXd7qP^1eJ6q*d&6=%XjHdDyUURX@&tHp z45$sZ^fu^{Mfl15g&0;B@c@8Ckzr;gCW}6doI16$hdrol2Wf&dMzh0cL^B6AKmi(Gj8Y5#9N6Is=$SgYz)F+x=kVI zRK^Vl0ck;rM25VWFblXo(tKvX;ot$qOhcHV6l8=BR@8g^@BnQ&wiQgcXv5I(N#6ID zb5I5~3it|2gx&&Ryq8Yay_8(MikPkjQ1vbiV=2&>$5zy` ztK@wH(--5*IhHr2e4PQeiY$N-5*xk(#E#)!u%Sqzm^r9*+D4lf4klpf38-gCh98vg z!F7flnTF9j0|rJ6s;7qx0r!EQg6+nE`$RD^r!R^w@B&W3eES>Da2*)r0m+bL|AIxAICD7OLe4A_Vpg2mu6x8aKqqo`}W_ zXFiga7EB@T<3K3UV8W@Pd+!gvJ;3VjMq~@fBA_QDIEgRe8@Y-7xxJ{um!ddF)k}pn z4#!`w+yGZb^oy>nqIym=LAWfNF!1y-X3G&s4&QUb8SS>=SgS~V8G;|YoH>nQPn}_v ze?*ro8G0Jje&emV$*>%O)v&^6u*$f5t!W5x1L((y)Oj93$9Na`4oHigcjrIc9iXXR zox|wN`yex{iVe{#KvBD?TQtY_u^GzOQaU&ouB!lKB?96_09HY8Y8(v5!NM$k0VkWI zo17&PTS5u>q$dJN5vcKN?Oq~~!eEJIDw`n~K({gk@H`C2N_0KE(n4&dNoxfg13iYY z7T`BRu=zgLtqi-BW4NE}+=OE&V4*U4rH_P#0LJ=A!haC5D(CcWpgWP1cbVllK+FM_ z0$E*zV|{Z2H{OJ;32_nt0>lr95n|MlXt<2s5!wGrISLCzeux)`^0DoB7~TrM9%7X* zhmmlbUIZu)&lG+WeC0x+whR6pycTaGr2<<6UM{dROe#Vp;EYcCPy8>NC_0AqMPDf% zvq=cs(U3BTRi4V(`>o_KZQAXNkv)O`!OKwvG9Up!*CVtTnluV8 z{Or%dQ2xI-dmrey&hyM~2D*~Q3Y`GMF>{Tg^33HWa*cQ$5oR2Ob=R2T1$a3gN)!V- zC=WNG5X6h%WY>~S?_m_3dhVS&-~~7oMTMKfZej!A5Q16tSh97z^k!R=^uU50%9dTW zVG?a4X<3)v&52Fho5N<)O@GfffCN$y!GJB9P~Mq@C5V;%S4ocr#PK#woJaMO|DWr1ztOE6YC)ixTfo0{e#rd zL5>Fx@`Q&C7@e%&$(sdxo^;FBhadui@Hm-ND8V5Zx1^9B%!G4Uh~STuNivn=sW`%& z;-5_z%|ggo;YUMAV~WwzLT#n7a)%7XUt=f`b1ze0nXMK`gks~e;$%dJS64R`3?FMx z3H}G{Ekydn+38RH!`<+G7Z9hAhQcp-rx7$xm1D@mSho;_hXE39?y-QaZ4rs?&B*|^ zv*|zypsEL>KvE{a0BNnANt)oC;2;wszIh&^>ZF-^R*f5O1{7&~fN*G)t}Q57LfD|> zc(o7~K)-F{OV}bzf;bb2V8}4J=@0sM`$IiG+ec`XB2qh(!&x-l`+aZEexCAvBK^w= zRM1Gp_i=FY=vgpuisYVhp;fb+AhhyxR7;``1MFnsS@b(80Kzf64r2#kT-@d&BXy%j zCVrVzoebEoCV63C`G9b)%igIFDvvB-2G~+zos7H!ZU@=u?z-{kO*Bgp;ETz}y&Ik> z^bxt9cUyj`ct7lA#cKEi{xXl++FjgTRLM&AxL1R}$dgDTu;vS8bX`A*T~bA($Q4_G z?dKu*HEma#oO4Gq^B#sE#o;tAO;?3=gJeZhNvF1JfoTL(1$TT2%ZQ<~?1V(EUJN*L^LUjaj` zF9pUJYo%3;Z2;I1{#^U|ou~-fN(%f$1i%97O%S8`l@7u)f?DeBdwcfHe)gE=Ywim5+{w z+YGD$I$riq`Thg^_zk@<0H!lK$s6T=a2GZsRf|@l*wlKX# zSv>YQq-FsdFHD%%{(4Cj#xK*bjwiYcXaFfJfLZDZ4hGhWlz;>ZDd7mtt$M-175K|_OO?fll$V%YN?DV{2Bzyv1|H}rmE=@3Cy;98p9;z z7V!GvAO8Sg*kchL7O*lAHwZ@CI62Sb$s(-huJL$R%ikRj`3I3x$1 z14ot@xR;PEx*A`NtuTcvNrw$${V;|NW&1EXX|QQ?61bI_NhadtTL}Z9GGT)gMcTSD zVk<>4NiL5BoCH=@2aYbGO&=@Y@j$Ua!bLo`QQY#yaS5Y8)b!apuKjRFE)4~_(O}C! z4u0xr#QPcEfV6!R^h(YrU=xkGfUZ&~isOGM0_5(*a%M{hM3s6G+h^q`l04f}PZDaq z(qXO8&&K726-CYj?5MnaCWS1;*opt~>A@V;zVJ;BYD&(|s$TW~(5>LtcRhz7Qooo9 zhwL2xMYp(&x#-ao!^zGw87XgJ1E4otmwFtrxPydy>3xY^qcjQAna-b9rm1|kNqoww z!qs`#k|Rm-Hj?er=mfiCr`SCzy^ZLx!Xq->AxX+KcIZaW_wUQ?+D@4=D7`?y?*gd2 z^3=x(tSZ(n7qTmO-uGkjRk_2qLV5dPZz5vzF#C%xfn&SGgL09uQ~HNrv{ zIFsBMxVSHB2GqVKGpKD6COG4W0og}uj#EuTouk39EhHagVmVY$4BGLdPd+&4=lsll z&_^i;tY3no%I4TNP|=7l9=a4RR}egWA=#Ept(Zww$vD>WE>Seq8+Ty7?+*+sSxo~M za2UX|Eb^z^qvPC><?#wJqomBeAE5&};aq?SikIZEfN3}S ze)SLzgIF_9Ag{VN3}LyK-9i^&R}TBFpga;^@R#i!IUf)L4t3!F*)(>Sij)a#pw6`B zE9Otq0ATD9XGfH!`{U0mAFYyFh<4%09hg) zsoup}8rF0UAt7A}GYFrD^Nb0bGe>=s;oY#oZ@QYjSNcsV2og=X0U_j(OFF>(K= zyD$z;GP#6~rpl&3GGSs=G-x8&tQS#Ohmb>J<$YtpiNBs9B8Hh%S?sZ{U;1Z1Gvsd~ z|EAIb&cD0qma4s^-uC*%7kz8Df1cSUIe#QBwu=11V3MBOEV}^DW?|SGWZYo6V}Oy} z02{z$c1=VAx#{Y{w!Cv!YHnr5yB4c*Gm0KhX09d3Di8uN;S@N8d`wdkSxGvlO#=dM zyL$b<`%9+WoWSCS0bBtW*$FAW6;EAq;~U}C+(9sT{; z{At8(_t@mZ@MIh+G;x}YTz&0m&iw3`NH2jA@{5ju0M3$VmGK3Q)5V2} zV#(nHUXEQ-aB+75pdgB>Yoc~El2oLzFbllDvv9F5gT=#_MclfX96NdM>}NSXG=fh_ zqfGO;?vS&V-%071WB!S{P5>Vn{p0qxmw$$yfNVW;2!qXj^{ZW}*V@Ic*OsyeLz_CO z&HF&Ep2-tFhOB6p)9qR%HWV3zUqKJe7q~u^?`_7I$I`F|DSBTrbB>8{S?sk+o0KD$ zNUGDRG?wqkTf(4-7v_y(K2SB$Y;t|q|9n2Tkf2$yrY z%dPN{+&ErfnqQ0QGzzK^@nqN?gb!SiHxI@O@f>l~G3PkROd}Hnh1oXNg~*YjkZyb&$)Nk~EtFYs z`l4Rfor>edu^DFx%6${wg=t|E~p{jFC*)M(lXZ+pJd00R=LE+y4c&y!& zy!CaIFS^CurP6MIgFl?}A0yQq6zibr(FW6000h(6J_3krHx;}%=)4nI_zfI^xMkTs z3V#MLG608uyMK&Qa<|+unSn&Al$(`{HA*RwOLTp@D^ugl$R=*xT3B=^7u-^xm~XHb z@=s|F84rbo@S(r{f4^8!j3Cw}>aFHti1khg`_xBLZY~mzql8)-yw= za(?l7(Vf|D=UHz%QEWK>aoa0*luEc~VoG-hw57HN|J{v-a9nHv<|n_fzi<990K^%a zEA^3}`|ISTq!rZI?5T<5Gw{gdL{uR0V+uZxZQZ%`bbt4m2Ps-p;p(^{%1aa%oFD;D zQ5E&|QnvKH-Vd^O)cx6<3NaPgg`wvG;wPKX7i1O3{mT1AWbDZ)fQjopfDfCHjrcL1 zjygbw$j8`NfK*RZUF*d}zAq_Y6)B*7M8!zY*dbj&;nu=@F_;@GPob~PI9Z|3C>JP2 zQwO2r%GgKP0HVBOs?&X6Hw0odI_SlR!Pa zJv-WcW^5ujKkn@X{O-z~^7owb>$ysg@8@9cQz2pudZnH(nFzTf|Db;0Otpn~MY!z|esUm~0mJld)-HF`T>R{NdmlU=J1G{R?b)tU5|OTdGuDh$feu zvr9=rh3GX79g{maV9#7n?3X!s@hT*85mtxD!Q<^ZoYbLMh3|Mt5(%)hSrQH>?cew} zFZ!<(RW1Hv5_Zk1_ByN`O+V^JZfm^qYKaQB%2mHr5lK)Vx#SO0D*6!I6Rc_h5B1bY zsk`Y+HpiVwj1-|-(-)C>Mf|Rk6VML0SE{Anry5@%TOWy?vlnS*`erjIorqh(QCUG0 z!u|}eco1$LbMcQop8m9B4|G5E;qp^kClT;CS}4i9TB7zstQ7eQ@wRr2-+$oh&)l2a z9S$LDvgxQ36mHkfaNC)8JnA~V?0s|W0f^bF{$bJH!TqRfD~^|KqD}H(*gq_}Zg1e6v zcR`J(xef}WIsj^#_e*n5-$zTuz%Ldne$Qc*;^d&h>;5=peqOrvP}Gl9Y~6aATm!x` z-%*a^*u9+4i~;{qD}>MtSz=bt^oX7AI&hk5-Gx$Va;Z#*U)gOXUk4^0Ndm;lwx6Wd z={RUsnQnM9yS(rVlXUhil&Yu-aS;G@7?7NrF36ixQgZ!YFYgN~--#)uQ}#p85~`D+ z`Qh%uwisHWLWJ*^N_)oR-9Yq%E972Due=Bm9>KU&&-mCzOD0AZ!OxWGB!dLV9->5v z!^P->R13Tvii)x>xXIbY);BlJTx~qJay5R8P?V^UQnMADA!tgd?bX_ku)Xv%^gEMh zKG}!-m{dt$lgyVgF-V@61fqyqShz6oz|p&Rbv-8nmf}sXzk&C{Fo$8`7Z!(|j~;gY z=f z?Bc}vXKq99TPjbw9Dmb4+GsQ>kxpP|pPl_i!nH8`?V{%#+syHF?OYr#Kc%goTpUKZ zh7tV|%@_viQem7XX^ETp@#7zPBG)*Xi>K8CcHFB15bbif;FMBBah6b5RKWu*x)@$4 z`gW!30|Ydbo+cDpQOsIZPKa7hH(o52^44^05xvr~u^sh--y;=qY4oha+19P$VlTBqGQ_dgdx}pXVa{6B z7ME7}^Yt(PFZbE^_WWrVu|K)_hq&L4RAP5XtLy24#Lcga;~j=B$Il5{BlJ{BU2YL? z0oJCAkYcIsg%Ox?xKy}5c32WpBF6?RWn2k?C}1hQGi}f9Fj>9@Kcbbg@y(_`b!6pA z5|dA%vTG^)>?~#2TX0i$?MvP~PD)wv?uFixR{|u^bHp2iGx2H|&~tXtV~h4BpZdWa z0)k5JP>REl{yZG+0W68`iJ}%F>@zknkcC>>7l0JvgqdSG!OZt7gD0y zO#)$}H0G48z6ggkY+nQH5u{54Nv0=XvM<_mo1Lu9fd0}L%tO=bvq~4gJ`vx)a+G?- zbNr!p^s{JjHr>` z!=B<0L(0A83xgTR%?C@wVfh7GFp_HHYU41wj>yaGA0_>E?496Pskt%y2Hps(C>)x9 z@WA{p?_b64!x^Y2x*+)fx0{;?Kem7G=bkU#+oOm_wRYyQPOA9i%m~M&@9+6P@m*mw z6rug2^^;0)ys~`olKG~RFk#goBVrPwk}Ao3pjv4H!hleSVCE#MbL-PGe0=3S?nWL8RL zY7(?Tyk>Q|Hy`OE1Lk$xy9p9FzSp7zc6Z$}ZrR+A+(R7wSdTdy%!;tb-2cXgV5Q(Y-KS}9>gB=ZE&~F4&nBfi7-AWqGg}vctqpp51gjb3xGrr#VMK8M0?d6eCWIWL*|9t(BJnM zm~YB84Pqw^vtFRz;(%H85zuG*Ls&HwD=3?@C9uD$`h%b5cz2%JeBjKGG$0`qX*4ij z$>ju9Iif?R2KHvDBqTYGJgYN+p6B)1B`}(F|_nJScN5+D3o7?wx)8fYl_WcLU;RFvDiLQp&vwWU8urS$&iZ zKJHzg+_Wmv{LY$03#WEBM-H6%?Y2z+?FQ!RrsW=Ny!HtvvGI=V6g4zNC2k!1$n2K@=|N2*}8v4M|>HE>R=&7u`w%V_DGAD#d3 zqb3}RIvn}j_{3{wYlD@4^_zDr8*S98&C0znwKF{9w^e!aEv?o_>jgNo~Kk@lNN_4VQJkuf|dE=4u#m>wOj`8M*r>WYk=Zar7I+7eMSWXPqVo=up= zc20xine#==;9DCb$!$Jx)xxfxEdrV1GPjuw2|6jP3Q{BPW@ZJXVTw>2fDeif#kQxY z8E7kr^oNMu=%H}*cm5zfG}0=HSu{-CBpHD>TY?Y2y}Jd`G(?J#7vcBQVQQ`e=MLhxutu=Al$lTeY7==5W1!c3 z#0HXM{L1Q{%dapsY9>Ry%CVqMYVW?}M6z_U8`cfM$&wFBXT|F)$(Xu{8!oEEQEE~@ zcebOgkFlc?hueq^TYta*{Myr_!wldOb4@J3t0eoX4rsjQ%QqAn0P*VpQO}=>lB%a9 zRcGP_OI^uSM&hglPoa<|Img%QC=k0>G{{8}#s{BzI}%VN4Ne;1EgxLQ$7n1M9#T;+ z+!G@(ge7|Z0Ba3x(YAEA+jpb1g*0xl6k&fFUXrOLG{GL3C0|N%_X#^syWIwcQ_pSO z)2AN2M|D(1hN!Se=4%+4StKtoP8{xk_hxXurmL5b8uK#LDeX{z7p41}BOdsPO!=z5 z5(}7eTtT3*22eJR)?9 zn$ow>fdT12`z-FHye*z1wtP8R3RW1>pUf;#5L-|?vC6ic{_Nal2Kvy9e~S)ZQ$UDy zJ7V9M{mH|0d@N_^mZ9FenhySp^qBW0`y`4=I-nNb)y=xS-Q@Y-K}B>aAtSmHgrUlL z0xz5(YXZ<6WZ+Ae!$B?3(L@^a=0m_)nIkZoFmksvAqzbyOCE-6j(7v(57Tqi$AO{8 zMk8{TbO8@(w4lU)sE>NxQNEQb96*lpvA2{|EsVZv6T%-)vQf=LPfbMWX zzkwo4E4~ol^L!EGPeBwH)&Cc6J=G>?vH~{2d91&ZU{VB~z)!XP<;;wQ4UIS9wjQS> zVg|nIGa_4|{^+{|*>&ZeLW~mJx`xn{QtN|m{F4VC&K)Mpu*GBQ6vpeeN3f>ToPoY^ z9XbM_9D`33mSD^{0?g5ElvxMU)_?=^M4!BxBA9@je>{BrtNFfZ>uL=Sfhu%OkcX2R)BD$GhhYA5)pnK|0nyf zkzb>n@KD%Dr^xKy#u<~dz!|sJyh2+GB>k9Flci9x>uG-?nNv>o!znyh(}#)yt4KlrcjHb|PR9N8o%n1nmlciS0^uM5&|at4Pny+t11 zu^l@f&6mnsEh>S8hhhqScyIH)!5Iig8&6-kWlFXCQWJW`{R&BJeUC@m$vD!X9RbP-j*nG}6XDH`4AUX-|8JGpWh^vfd7*^L6nyZuMshaz;C0bm*Ma%2 z{m=!?pqex)hTmK7TyJ7FYf@4iCZ6fh`R)5*Qr~cpZzQaL`G-9@j#48b6`FmQKhF*b z#+(r**7qAd(0bDPrAyzbJZPv~Hpr9H2L`1B${r}gh%9ivimGo%Z2owFX%=4^U>qt0 z^qF@+$TyE(2Frcu-Axs7sUXSxrL8N%Mq^o6xEImN(Ji*C7N ztg39+_Fyv6)G z)DlC+mIm&d!i8Jce*Mh%;3{6@DGi&oE^zQ~2^?--^kY4TbL(FkQOvHqND1aeaxLoR zc6LeXyDoYlf+qH_dSv-HEHRrHPn6dbT&-ErHuJm&xH#lD`j9uQasEH9TjSBFK0s~j zZ5-mwi=?pWU}IJ1k21ooMwB!<8E>O5dVIiNjyaze4Yky* z!4_%At=nrjoOQ=|C*__qo2nCQ`qsw&9O4_+XLQt9sQsRRz-{gC55DxX%dh84j(As+ z?H&;240(5KlaFCiifKJETW^@iKy2{h!qlC?1Rq_t#CN{N$8WGkxzIIugA2WrK+7ea zHsgzeH`1yE;_NXdG`=pW;^A+4-PZaB?)29Ey#9Z7trJ(oBXkUh$Qn7$x5}jHAfIn% z3=NK*E_68bpf57wW6Ev16TAme@lSW2=6nR@=)kMXasiGn9EKPs_Zm*4R&?{OgK-j$5iB*HciP>nQ zz3w2_yWpQ{ao6{MQQG)ekHJIjh@#eEJL)LZ_oDv>PUhwn%CxR~^%0K_FK0BEP-%=+ zCZeDcZ#~q!Rft|!N*&?>_&clGczPw7ffNupYK!e^wrpKS|K`^r=ms?0-i0I!>*N*J z$w`vGTsulU$oRU0^fz#uMgZC`R8@{e%nFAIfv*(zTHME=iH#CI>qU-$iD)U0J{~tB zrA6vhZ(&)h0EE|s>5U)rSGjv=qEH_ZL`23sKTY60AZQmOKP`2FsOhAXEufS?j+s8NOC6}-Zu zfR7#s-Dbdxv$HT?7?^|0>CofLOEHMkr}L0vM~Y{80641~xkcK? z#I12SRQxNmRvM&~)btoa-WQLO$GSkbpB-$H@4z!l@Em1+FzHoabFx*yOZh%1^ks^G zYIi7f&Snn~eN~etL`hV?2IYNUGQD*2v$M57PpBnhigu>P3$i7{isvmjA;eL0G8vez z(F~uOzN1LzP_2mZ>6BtBTSIF1e!udhyGu=){?M$?jE{qrI?q`gV#WXs&K^WD^>gBg z>4*R)sYHo78Ado1s7_b&^HEB9x7!TWnr8%2z8RM@`3$a9vuTbdhmvQI=C9BveU5tW z^K>_>!--b8IhW#CNil=`Ga9hOvt}7T@P$9Q;~CA23|~yLndx+u0VBdaC4bloe09La zrD=^K8L1Tgdvik*q@FsL=q08RgRoD<7cej{$5(+kbd)i=Ny7jN%*cRNx0!iop#zlv zSv(;X{Z|O{8;IZ0WUecmcD}3fAF>{~16#N8aC1Jlv2b~aicJ-0@_60X%YWWk@tGq) zw84m>4mj(2h=ZCib7)#PfF1tO2f!cC$IFyrQPq^o`5HmW9_1-h+CMhRNyn%pWZj&P zEQS;mous*f83`dx7O8%l>RT%M&z_ASBS7GdQyBVLw)0q0Go8_zVi!|_#q@)P&5C=g z8pciMXw{125+{$8r>o*zKKNtnYY+Ok1x7|3_j;LlF`tDc>?5XOQu&KWBm*%X4krB4 zzQ(>wAL{ZiWC4WR?ZH_))fe7H#NJCL+;ym&Bxt{n6bHrM=b02*OJ|=L$W{wmb?6Q) zFsUGV8RWdOl9~cmXcWfx!>=%j^^P={`JtL5Y^M+h`D>1%QZR0eA*v;CX9 z-c~6B&Z&gW)HB`MBABRcDtn4^&$&->{JYhxQ({|b;~%>07cJB;&N8p8gAu`t!`*It z*M$1>l~6iGy_ipbE2(HilrsOWa~^;1cAB}Y1C#c&!%YGCYh+D_H3yzLw^@5;o*^Zs zGzO{!W`XUk-Z{{11$`8&=Yd?NNkR&)i!F|Z>Ncp~_z%DMVr6@7D1$7v)A8(z~T~ELTUwwKV!b zmr=@7o*8XcJB0PJ?><-YCsaFIN%`=J2?JpnSc!1cM#*0GS{g8NJ~+=an~9RVts3Xj z4SKk`85Ezb#*S5uJhE`3$rBo}b|MMR*`HUQpZ(NuRa!!g7%)5)C*YG#sgkhOz#!HQ zg~J3^l{wyPKk)0DzE-3$gZ4Z+9F%B_iNPr_ec88YIk6fe+#3PQ+RX9%=!^uh?Ars}cEAPoET4u)jVMd0YCdF3 zP%1d+)cGVj1PF;6OYfZWLRA`{!}G_r`2v19U6rEXe^W7Ah4Gc%QS1K?$=febUG z46Hl$e-xKjZs88geoOrz_XnwZkA0AIYO9u}z+y0yb@B_`5{SaHagH(<^jNC)8{1%K z2NQY}mb&IPlY&UI!+jZGMm|&0EStxvsO8DuSorkC?xHLG!Tnvx<_v`VfY~P3bZVdV8dKeF?%bopz4{|LI zf)o+_WSC+qC_S3g=_t{G$02gA65j(vquOY4KkiY_*7dmkhrmaHT*eY6EI><;KEynQ zF@ea1=As^jS`L4y=dR@uHD>Bx;6#yhpS-M_YnFzd^MMb1^4CTf1nXPujb>oSMBPq+ z=31pH&j%-wSfM1CzWJ_|fqt6@tAdZBgyIU+^ob;{!L-bFfBu~~IO;1*4Gw0{?q1Yw zW~4n*hhnJDNIFGOe-77@zh-rl_{kFRlP!@Ab~M*c{;rHU85~K5 zHgThlKV)Yp7J7n6sz|qsiCFS64~QvY;t(xyK}PpCaJCce+YmGuy;~ZnY6JcqMb$){ z&u9(|HdjF+HW3Zqul>`NYpFqWo(lamc;$mn-Gvabm!LGjEP6laTYjgt9N3ic`(dZG zx?RLSRoA5h((D%bN6+HyxYA_x%cEQj@k)ipec4HaP<2bY6bw_km1o2@8{~>4S^&2c zTg8+8@WO%AP!A7)F!up})otA@(dz8xXeVy@)tog%2^F`ZDF0gpP|RMPLeG=Mk*l_(XUv)VcY%h1)r`xbIbr<36kJFI;b&Y z;aC6eo;r;^scJFERMUCjJt{S1(Yu5w4d+~o76>0}5hL0ddya-@=|hTe?+Qr9@%_`- z_brJTH939J0}qF34k^{AK(M;hV0_eQGG))s9ct6|Wt1T5k)<8l81+EK{N_&qkD$(RQ0JvNo|n&81)bOOs& z0^Qdv8r!o!WCjpnm2T0Yw+*qab|h6d^RVP+AYtbWeBLt4reKR$Yu@ZzRRv@`gzP*H zL9y2K^>_cH!OQW34U}F3z*4FGWh?JmyL_I?$J`I!sOX*mtbGvp2SJ72gGq0~Ve5(V zI0XZbs;2^`S%Z`>VCOkVPxoRn51O;YY!R=uj2EIs{oV6j?3^;}95LOf&^7vS4~z{1O-B@Y|pIPj_Q9HF=4QF9x;{F_q>{*iJv@iZC9O zsi1gn2TC`!bE@u&Br7XD61%aLw$)1wh2b#GnJQoXFF!B&q=ZNO0sHyG2?lT|GmWYU%IYJtGkNccI-Wm=tD(EjSmQ4CsKLg>ZBn;I? z1;!)q3gY2miXZp- znTg)FNSDgk7;j(V`P(hB#10G&Lks}=KmM1WfJ!J%x5s>|au1_8=VvjqET>puQcKP% zpYmI!WuL)ILl!oQFAQ%LT?3WyEC6I0*Ip!sWUZXjAdn+O{JJSbCWc04mKqUUPm{s3 zz`5yJMB?$}GoPQ%k|eJYPO7_RV1Nr94xIVqql;sG5d;Pma;oGgh1fu}9<97WA7ye6 zhYbD*jir$XEd^ZV0|Y2BR#XF0=pkD^h#U(p@SwsmEX9a`QUGXeCnt*>Vah0iu$fK_ zeSkjU$-Io3u#--L&RM1m{GNu*WMhW4uqkVFAlvVXw~70?Z#;FYy2Jt)x2@36c2*T%&=&z5zW1g z&=|tD6QxRYMl48PiFzuwj?H$zn=ZsHYCg^8^_XWq%-OMCoV|I*;fa`UzA&1Ub}9J$ zt8e2zm`J0VS6~wM1`~u-#)mOX!5&Iz;^oYcZLxoK{SGZGJR4RYSimn24q&smpR>Tc z1cp6Sm48Mt5MtJoOif^?8!I|yt^z2$+w?IhffT%t!9z)oP&(aO5; z9#lxz+v`4o5QYmpWfp-DRiKl~0#v0vJFtnDhDMX(kl%wqwx7{JP_#%78e6mx=RAv- zcY#2dSlwnqRMv?vhFo9CZ8^+vBRtd~loA-% zn_L{{xV7n}LE6T+aFvn?JG{?!YR*=eKjsZGnvh}AR2gF84=Dl)4h$Y=Y?yj7`LPQu&^y;N9%Ptm5Lj4sS1s{@x3~$)C~C zbH%yAN-CN;!bxX%y6y}fjy>z(hC*>%X$DYK*);}4BYSw&>=T*@^Oyg0pRe(0n1!Qk zg(s7g%f~L)H&z@p;{iYCw*28r?qI8)DefTzFdhmO8Z#vVH2y9)I$I{j0VY8%_$)C<+GPwW1`fg}!-&%FDg=>{Bt?ID6F(oQOo%&v(e#P=V^RPbIgUVu>P zpMEQ~rJ}y+iKq`!p#$AuCHZj(EgF|wl*BFPMk~uPGq-b4+GskZ#>|l973E%aj4t>D z*D?)~8O@<6{8r>(X*s7}NN+D%nMsn^np(j=&t&46dH$Q_`EbQf-?IalO5H}KBgL%- z4GW>ec;lVKVZtH&g)SA*=tZC+up3{6VJTm9WdnoO(2=0$U@`>zK9q}fi3t^GNLFgH zAT&nI6gg9tto3~XQmc(CblFH1b%beo+l8jb_ED_#M?vF{WMAUB>Ce2vZCU1)j}-=B zrTce6%&&Vtm7RQ^)bNa~fyZ(oJQpGq{C{jH$GCDUNRKG}Na~52) z1Hd>N4B2Yet@s%_sYzSJGLPJL881@r!S*c>vT?NK95I0;ULpu+BXk9=G`|_$lTR+K za6{+{Nwk%uG0m-TiEKC>wvs-x-c(+csu8{bj0>neB*?~~u21c~<9tqW0)!Uh9Mjc! zs$;_Qp(7E!58--;N0Q+k0Te-K*IPX_uye7ZMzv|Y2fxiWHpflaM)^3~2}fN`W2lhO ztsQgZI?lNH4rqcXI-k@t>|Om_a*gPqOT(twKVr##m5gk4(pef-my zS4nZ+R;&S{h;S$?%Q0se&TUScn>Gq*1QkhCUp=!d!^h2l6($q8>!M8#u%GU^69ssFf>T z0H?={gltbAPnRZAn88vW_@k%gUGr(Sk~xrE&(y8Km5ys+YE}?IK|{eUg-x~TZ$OD} z=YJ>do&6ra4pp=Nz}BW~6q+e5_^I=-BXKwA=nLVdI`jeOUrQ@SD8tdPM_=@#th+;Q zWOyJTU$V)+P%I*`%#Oj2X1T5~j#&x1LVRV;8UJd&3P>5&Mp+O9;63zUP%|9IQAh=` z-TiqIN`->vUK2<#S(x3Ekw+A{${I=x7sZepn$w>x+*vF3Xcz!t5y>Iyb{N)NL`5*r zIqMtrvKJX6Tgl}%F&3DxM6M&m=uM%-LNbuLrvgNS`*OH_1_324SJ>8MoKYSIEi(8D zc08P#W#YulyU&?rcKiW!J~Ba9veZ{!7?^NS^BORLjl%VNPo-LM>Se@)EgL9@ zX;yI29XjO}cf~pWj9h9J{djOZOq~ZK(>fH+@3*-|0~Fy>dgY?ME^k~l&IOBBaJDjk z0uQCVaGqY`Jd;#oM=~uF9f7tHwnGGbT`2PDtrUW?<-8F-x1R7uof*T|G_L>U-*C4@ z9fjbFC^Q&!Px-st94Mf3R0l}-1Sn;zfhZ)*9%RRp5)9^N&H z89cDW%{ZUM2Sa{}Fkm8~X`s<(>DG933=mrcHDPss@8>&xt|-&K>E9`}#j_ScO*tP} z2R>(v1&50dG3dArq767!c(l&45uGbQr{W<($|o4SscsDK9Q6+G#$be~B2=U~E~&6S zj5pOSbfEQPM0C2I)BWO2(LaWvCTXlbv z=%J&L97Kwe4g5lk*%aUp%8Xu#*D~QmSg#;CYkwZ9weRqiRl(rh>7}ag@s^AJ+~OVLp4!UdU)K${!*!mRkqew5)c^HCji^U8z25-sF2(x933G&10nGX zBjxs5t`d9P+`8`nsc-64RmLqw_X3NDz~sibu&|KT%UmoAC`;vzDnEJadPhvjPHl3& z*&vb%?q9hd=n^X}pacuheTfeDOssMz+mt@qbw12abRR6h(>HQ?#!wC(s5n&vH^655g z>WEArRzgY5U_-SWwm9!omHjN~`%AcnHEhKMNPdY#Q+1YH20wZ~b zw?&6pS+*KE?xF+W(^s`Z(y?OTD`I8OD5qib9ofNCVn#f+nPKJw(j-Q!b~aK&7$~m0s9T9v35-3x0H_( zAzqb6VIXK3MQnRAQmB)>%BHp{>c!8X?!c+r_v}ieBu9K7pdz{&k=2Nst*Gi}jwkp9 zEtjl}NtQO!f;jvp?(Trn`pi?0jmokHvkYLU1Wy0Qk(>sG58*~aX2o;C^UVfteRW~m z;2A|S=AlT)jT>Y@U#J=nosC+ENOy2HdT;YtZ=yt~04lIwwQszy(;%hk9^3E-k% z9r2m-l+&FqyD3>92RC{ttXH6xN%;(_xKcDV-iK*AIBTVps&bRW;*8ZL=D0)m2F>Se z3OC{4S~Ks#hs>%}f!@W&{K;8T`Q#E`2{j-|i2@K|TQ@)=2DU=Tsy3pbf@$@?)~^5A zhxYk^Y|K$-B6#ICb9t-EojLFKi#)9Ljl)53&@b+5_&D?d`#u{A0cL?4%fW^~fB6=& zcLQV3!t+8e46YR98IZ|O057rGE-!OGgG+G<7DWPZps6c z_-|a~78KAynMeggqbV>@GWrzGTP1)YgP9Z;djIdhpf$wH!6%|>La69*|NQuHtmI~; z6%Y!beq1Clv3Inv>~c-!A1y{_M7hB@gXR8h`Fmiq6a+;O;S`#6D)RV5(kWlvB+xhAO2mp zLJ!W82_LX2pb@T46TfaoAUjGI`y3GO%SqfghqiYn)4!8IW|1je;*!AA1+`(ZgG4M< z^oHvoIcoj`0_bMQoyK~8VLaW*r2$ZW1o)s4PVTBdE?>9jDkyjlXN^2koHS&ag|=BP zW1e)x6jznq9dNHapXQN^WLCF2zsu>)WDX^yifaQ&Bl&*#HfA4E`~*T?O`w@Kv|**T ztk|FH(g=zn_D?&>DM^x8{2;^aWN8ljv%&BYJxNSkokd}vz>MEwiq2HGig`@@72?YdEt)>n=t7=DqCvDrSzo4>X`6x#)JD@~c)Ocgg=6B({n? z!aO`yCLe_^g2q#N9#MjI9#S+gQk=D?i!hPAKh1m)QarvACaI*MyNY7$3t6<8S5`%R z3yG##TlYedLPNW8EJ8AMjtrp;yjO@~2!F1B>xE}}9x8qfoJJ_c3!vHALODD1H9+u0 z_y1AAzoHU#0458xJqlP5O+6;r%GfgDOgQalun1Kw8>iPO{BOuK*f30&IqD>VX{G3- z0oFHwKTPhMohJC2{*w1Mn-3$}}A#G>+rYcRDEQ2uN=?gZHx_~Y3@>q1;(+p*M& zitBZY+i|!`m*7xCK}pc=@P{g+m+GTI5p<=Y>L?P-rs@8L5Q$yYpFGT)i_#&pqq;)X z{bhF+xY=7@^ebno+S9OlB{^r3e_h>OFze5d8R>WWvF&Ty*@!LDOvkDCqpVRra@e3ziDh8!#QWD=;V>a+``hdXz#sLWX7*#4rL$UM62l+V&x{~sUO8(~65tXaktSozJFzSJ!aF0f5g`?3MMOH3 zwH}dsNC=T3sDLfFWV@E0CL*jBYH5JjQk*PAdG+fZUwH;>&4Hf)!JVUUjH%CrqKw20 zxpB*QaKrq|mrP$yb|B`GK*mp#$;`g1!gRSUM{>B5!2nT`=89{uhI3qF}^8KjKp}0=kv5;;@A}pUADc-r@{(@CXMO7<`1}8|7 zXWE@f)UZvb>k~Du-4NlJJ5WPy;1@7&veXa&QXBOWP?pWC@AuvT$YCJlBOn+lCLyQ~ zO_;i$N)VW)!*BvH)kO-Af()0zB_KB#9b9-bXS7UCkCow5=vFy_Z=`^9P`8b7=MkIx zgzZpPt;^j?B~9-q#9BE)I-jYnQ8G=`jO58alfRE<|?Gx%AwsjS#s1Cv7T z5#!=V(XCrY?cZ@9 zClLvhE{IpOh3+k3DO4z7S`idkc}jC{{Nx{{hJar@z49$=T^X$sh!_{EEW8^J?_e%C z`JkNNgKp#t65B!q*0%&I03eiZlt5PO0!JX0l+WmQPCLuLd;b$fiGI+Do&d>{dIQIE~sHjvNJkjFo1d%6RtpGs6xGzexjwhqNGJ8-K&gKYS% z<6LKQ;Q~Q^z{P-YY=l~z-W(tYci!?#G1lGx8mBw>0TN*(yJ(tF4LTDYVssr9a{a_Y z&fyZdFqE=hfEmSw%IMAK1jAvv6I!GZ!)FrgI^c2o-JiEfU_!>|0y&er|v!pE&b2||zzsOynI)N=W-v7}~gB`$>ayeBb&cBQX zar>M*?(fP`vB(_&qZRx+Unb>ml2`;;NQjwq!23c}>dq2InZo zkCzuwTFy~ck{Yx@acGxLo&8}eRj^YkJwck0;@PDxg4r5psX;-SpKod*4CqzuVLfw{ zpVP#OWVF6w#^-aM7+AE1Q8~a-^L!vNa3*EbaHbfEub%yxTACnM6`AZDdzUKbti3*M zHbefEhPwmVq>kA4FR09kw=hSHPUi*OkzdfbHAuL@6Sb?oj|?!@Jll_Vfsuijo&y;r zGx9Dk%2`I!-_SiaEP^S}L_Y>)n$mSa2@DsqCr_jyv-0DG;*DSYGB;$zAq^WuVYMhc z?KmY8EDLxQZf|pjEtS1oYwf7i4>n?d*&535%I!o43)zAE!aLYVOi$CDbRunOsZ1q< zoP{zKT^V$Z``b2SEYa|V#lEE^uYsd0rBHXG9SiTBecT4@XyV&M2o6Bu2DqZfOELGH z6I(G6Kn%KmC}iY5k=lQH^1j>_(`2k2r#+%njmCVeIEl9hTHM^%8jpuY;~~U#RMQq? zzA&a$D}NVS&XY>nVhRpYFQS+V`)HvW=*-X&nDX|R!(r~@zwxNpS%yf6i! z0yE`dn#8r3ALjEBYk(vN%rtKXQ>cpTzc~hI&+TAR(;yvR%xTu->O1A9D_``VWQzQp z{3Ce=GD+NWZ&6|mR~_0;wYi1S_Bl*p&)Iopi;*$I(R zjXL_gdjRp=IFJcd#StNOKpDVHVZrI%T7bW>C@{oUo(ix?s3v$uHN~~-f6G?lCt|oQ z(lyQA@>J9Jhb`(qso&)hOT$$54q1eS5itQ8v&K&1A3?1I#H&25rw?!4^UYQY;XXiZ=REB1UE72r((XL->w{pZkvG4}mpR z#h$|IIg{Hszp0Dwuo4x+~UtM-zJCd-YnkrP2sJK_SM7U4*#3I&^8&zH|a)LZY&QR03}4Z3BNu{z2J`AkVPE;CV{zQL4I)zf*1B) zHDy7K03Hv+cBNVTG_^liy|8d5N0CG-!g?oB>2cf*K_Oqbay6h8=VX-ku+^1pKask2a8m0+JV!8dB9r zLq}6mflXINItUKMB}7g;I$dCmbWX*;^xmJNF6;xk@#tuT4O8}{ACpAO-S4O$?YQtCL0|^{K7yo%{SZn zdCHFP6Ava-m*8%pmCP5wp6yXtNjG)mHTdD+#y|g+eL)LkmXl|4^~Dy}1puaStQ>Ro2iNToj)a&EA&4%B$9~DE={=#B z)469QnsGD3xIE1yndxG5TopXPO|+?eArz0B@8H^=ObvzyrzjIaZg<`yU#F|E=MeJ;}4<3HwSQpLSF86gsNy$p(m*y@wk3OmU4!9jBaGycG1 z?Z9PSs)JU_ZYYdRpz`8;CaEUKUL3>ra9xn|6dIx1?$8Bn1q#ctjy45C1ThqD_JD8pR;Y z0M*Bu@cBYJeUH0~@E`+%)-_<||!dnJA4?87ZZ34%dD!&*Tb%cN!WqH4?TjwcB zJOPQX&G&*DCLGV?>j-@jzWH}Jqr2s`7*h^H{rKuk4E zwpXE?1;78uo=zZ>$=T`Y7*yTI2ph_huMST=IsSl;VDI(g=i~apWSQ^L#)TI9w>H>1 zoO1>|ejO(=hMOYnQ9G5h@u z2ZEed7MV>)&H5zyd`iO_T$Ly?E=py9xR0cd=fuRXW>Y=y_`ozR&17sQCaUqmxUNIR z;Sc$bRm^}vI%}^quEUTbyX_Ovc|6ILaKz7NT&{nVPM8orps@(zlJ>nv1b^hmySXzZ zEQAcRn(9@tRj`6L`?`@(j^v7#W8X5wr9H0ZeeK04%f09mgz`D6;H8LC;;7?8$n>`# z@BH68N2RS`F8(mx8g=cM%TAslM^wIAdjI&uuB&7hEhAtt*R62LwT6D(>Bl@uK4659zC=lXqDO%{uupBsNCGyN*0do}s zO{43t320B;Rtk@tI0qzkq3FCr*S}5xoH7C??fV2@L4N;oFU4B%^8z%88q)tG52wXf z{ftXlWv^FRboaWnlot0K_KyUkD-ySr`b)4jkI*Qoow&j+(Rf-Md06Mph~_ZOIt)<7 zq&^quUMboHFj&?Dq-5j&2O6tEJvdg z5tUS7cxN6{(93HkFeYjbD&f@6gBQ{@8gU5fBZk~DN{;%jl2ct+$P*?L4w!IWM%vul zfHrJk7_=Dk(Ay8tz0N5C0Ei~cebyKUbJ3FOg;>}1mtKO_eE~&R5GK{Ql4OmOtcO5p zcjA6`qFCBvO1anOTP4dc1;y6}|7+m*eog+jC+9~}lZzjnoQfh@hnDd=84zv(0=#y? zgV)Dd&T%Dix~lW+bLfj*onHSKX2D3Y20YQiw$553JjS``gg71*nhIUAAY7tEpNbG2 zPx}w+{@8=}9MA3T`L>B8_*WUPKNvtxM$6$8E`1TJ{ayddN{4YBZ$(Mk`e=i5dO;H0 z^TMq*kC!cgpPhUh1ESOvN0+D_VD7SzpG2n%@6$P*p>Q01qA+|WCWibLCuESo5f)}f ztK4KE$jX|@X6=K5cl&KjD)40r)xf2is%Im0QYh`lz`q*US23RcW}`k4m*NZ5zQ!X|$kAT@TWCpAa#7 z1~x1(+G7@<}wXBbVO{wt2jScX-g zuuk-DYx7FP5AX|WNizB*vbX!k|0=mpH#D{N$P_a`RI`-}W5g~^+)Qz=3jkMl-tLwz zBA|&}D`~vB)oW*&36mzEkHB#>%M!%TQg9J=CG*><>o1TI+1j7gSuOfA3mz9Fyv))r zCyya{8o6R-9^N|lrhQa9H6f0p5@#68KV9MGLI5!A7Ou9fR!HBk{2ICW4eTCTP@3cV zn>`mn_E$+)u&iYqRC`q$8$POc8TzmY-zbs;V_QRyDVAR9dIl~WY7mc`cbI5F#jM-m zoxLZD<}iHiJ1*LIsEvr$@SL#~@)m1V@=Uf_XZV`F2%5ITHNR>W_Dg5p7QTLaiSag` zO5f)9kLsDc`?;*p-8Jr)%+Tn^f`f?w-jz!6B~wyi{L`x88=Va6O@DH+mqmf~g4EGi z0U+`|KsH*`R<`k5;3BQ)H1S|sV}Q<{orxl@aBEw11X+aBo84m}|MN!k2LRE&U6BZ~ z7pB67|Gw+@xfW_;xCcLi&PT8M)C;$Otq=Quzgj7V^*e6=Y1aecci^}M}+XE+d{I9DRc3P$J{^z+QMhRk1E2#pUG zu(-)++!p&0b*dd+9zb@`fD0~u{f$p|juQObg8%kUhIa}WjO{K_CA25@FSj&p`jYux znSO9%Yj~##EXutOs6^IqR{S?NVZjHh*=Qge_EViIyKo5 zji0>fs;JvxzyaDBWe(ZAcHypU=_7w~SKUX>j7#Gu^hh4QvN}#w4?-Zp0z%TN`5rNz zp#68KLAO$=9phil}otG%#5x%29Aq#L0QMC6Va{ysm*FJ^O0`lAwT4 zI&#(i=2iLvZK+O6&#M2m|6HH^Tfq40WAQ*d4J3$M47T`wKiCVa$fAx^gzhfYd!$M2 z=hg~MT+4%F5=4tV6XYn!AkC{r!v;^j1x`e$flt?S(?6-Y7b8jh;IDd+o8-wDg0%-1 zUNBG2yApD}4inVy@$9yay8oCl*6aq}M==hn=~U0`fVAar|9pJxML&))Yr?2ngO1?1 z{X%Z<8bZ;SZjahEm0}V0#IEjJ9CNVuz&d3mQaD#QUWQI>R~LL=!8r{NSqnixj?-*J z33?J3E#W}gW!{=^8X*0o`rv?^yi=eL`3^=&StaZq1y zOCpBb&BARV{MgoerWs*mfcKRIw19b9=qDC0*9%QR=f!ca(gCwx+6x9cUP0E7$rrF` zLv6o>(CLJ~(+>P7zlSe{wJcj^CI@&#(yITpe_kK{INUc@A|GEKbf<+)mGKjTM0NWWB5KDr-t{Muh z?D{*(FIGsw3)d0%p;sUGW+nGPPrT3K_#|v}uf}-^F5rb8cx~7r7GmXvo^Ka5es^to z-U(d@%HlXR`XF3hk9awlYNhAnVKXiz1z|7euoJL~pfkjvZ^7mFoU_ghm+%SH+#ZLMinS9X$`0v%gG0L@9S8X02=#R&cQTbF2bksPl65hL$(Nb6JOMQ8HW;f3B0 zISj2J<3s(%^YKyGA?ODz2je)yWs|&YW0sl{??$!yVHg5fZVrT`7RF=Rk{hC&5_zHO zg8U>Y7IkN_kDL3s*?qAW1F}GxKv@DwW1H_H(zw+RwROxyxGX{^M}b;w-KUg&*tu%x zb#lgbeCxk|F?f)S0?U5QwlVuJOYVY;4$ILPX9m#>&#WuUL{cqlk5&NXaa|UJZ80YC zr1)Lvli~8>Sb1S=j6Fron9EiQ2W2(7@iI`y%p^oD=3&4P$$_05o3ju_Ga7ZjY84el z8RJ0*&>Ni802jHf`l&)`46DeDE+PoA=7y9sMp1H41og2mGLs@UlEs6fHpVbw=h?_% z9G27P_Pf1TOJuQA^i39(a+#f{Kk?|cZHv7VeNBu6 z5J|g=wo}!q@KCwf+CvdVHJkaAooPo}xzKx-Pux_RSy|D+X~NU$`aX?#-8y|!D%XzY z3zRHCxe9=R{ES&e@7k6`FpRA^d8u>Ny&4O7BFYlUsXK9u(7-KY^e0NgWc6N3Mpi^l z0BA2=w{s7K*L&Lfx=Lwu$U<^^@Yp2AzUhK#DM}JIMT>s{6A~6xWHb>usAWGAIsXXK z@4>%59VB`*shJ5Ajg3Sz*Dvc6Mji<|+Q;?_1;rgf)m=R{8%(*zjIp@*?H43qtLLtb zKgZBbomuY`nS(iZ@<-#YwWMkae1$ZEdwW)2W6lhzjE*73*#2MJNoxjr>MZzU z9K4@WI;5lmA5y&WmJFpDirgA({K8f?VrM$bnPC+A&N^pl-ZOEd9Gdah7|M-(HL~%( zoh-3K?yPz>*7$1|2$zwo7Pe9Xe!lAmfB*L9i;Xr}ZVpZ9JrdTsNt|}u@pAU6&uy;p z3!u#r=;ccsnZ)^#9%Ef8i&fmbeLw{{_2#&qv=`2Y1HVh}3X(eEm7wRIrk2vyhvT;Uh%#TM_J#;rhc&4j+8_B5-o-RNf6sfme znuL(w7MlJ2pIc^v+^UTeqjV+tqPNxXce_Qu^r2qOv0Zm{0?r$9(;!;DgT3~*U;9)g zGLCy37m=VD*-@C7-w@Dyp25hLmU66Pcw|R0UYHum9VKs^JI1o6m|-io&pC5Eifc zB{Hlz_f-2%#lrz|$ZfK0%L5n8;6uZvivWCfpl}ClRLrf+%s`mxwbTl!vIG@fb;H3h zPaP8g7E0N=Q;E+Q)sOqUNtutb+jaG6b#q@$R=T9 z45`^yyhYp1_dkk);VUK=HLU>J0~T8C&VzwU)ybOC1CJ-G)fiX0lWssniqARwUWLS1 z5c(XH+ghb%SRA8R*Dvn>WAE+5qqxpI(W;^#jl<0JDOES6rh%@b>gIGKfg~DR#?HFV z>1t7u8X*bcv_B@OW#P!Ivj##uBsa6^DxGdhLK2eP_Q=kcB)1*KlS~3pJj~oYcO+Rj za^fUFn2ctg*(X3q9x|KR07DWbPj=_NjWe@zclZ8x|G4*gZuz4nRdrRJ@Av%P-}`(2 zwMSOGA61p@v<-BTJO|w3Jx!Z?fZ==In@YRENDIIuQt9OH{ae|g03IJPI1uB(p?k%; z0%Nn1YWF?7_Fa&xfEna>m%|F>R*RmF$`leEIyyk|nemhIk%+W8;X6uQAM|2MA=o-nA< zd;i`uo+?(GAtpLkV{ws?%nx+HRj)Y zJMe7+F*Wabl^~>hL+N*r=8AV7x)3NP{`a}!U&hmW-R^fAFIWoSd-?ZvYX6r3_`^T{ zgM@mYQ0OAfhA61!vo1z{m%$=~aJS;8qCc%1#6kyoQCY9l7d*FvIl3CbHe4OOK(|@l z$f~uEIR^0G^^e-BOoL+7{(VEJzU(Z3f4MVULRL(S$rkKWqCSZXosa%TW!sowE>gSMhlHAADqHWz3~2yz+q&SFGs*#G z2)b#lkE~F=f4qovAI|N=#-wiRNbsH@!mddwOmp2e`K*Hs3v~t)~)RaBS z9pQ{+uhSHopY$w|ITaRoYz-;xuFCq$soNoAAU^&qYRUM9bMl#Z(r`Yyr5K-P$& zr8rknE--I+*md(vGMa7462?je^#|CSz@~31#7taL1x)k4nK)ScZ>*<~)K7F&pn-}y zY^;G7B%6v%;YY_51wZs=&D15ho8|f#T;Z>rL%bvi^NI#nSj1GN4s3gQpWq4t=nhYFL4j|X4rU@Y3^4BAd)B>23xW>+L_o?M0@f=+ zbtXitR1UQkmMERtf>-&i!qJI{a2BkLdEUkW{eYvQi1n$x@3&y1$qOj!sMUG{3N9+z zCMEV1jvd2Q<9b~$#Bg+D+pYt~5e0!hxK<5h9diK%>7r6~spbWJlUs)$J{LWyzs~lt zc3#J~+lzH9v&_9z6Sq<)Ij~IND|`f1A!h;Un-%5Z3B6AL0Pc?cQQul@D6|l4*tRSZ=@rK5j+l05_mdnO(teG}@)N1G3`P<#No!9eXiNiAr6~x5( zqc2nn_5aNAsleKQxlyG7?j64irGYy9-I0g-{x=8t-Om*2`d_d4UA9Hxk>Yyvlw3Pb zr|WXq2`3d4p-exU@+=V{gp-UI?MijZw@o!}Stxy6cTo~YU3Mv!K%Y}?adv;QCS}Vg zjr&iuxv1ZtYN91=Lub80)(tY>@K54*NoeEcfM~aqN|^QpcUvdjza6z@G=urLnRSa z)C83T*JX0{yn;B2qaQI}RPIpjmrYsS?#AsI9#OiSqb#wgFIuBYzR`~2uHbN^6YPsy zf_7226;aRwD#cRGI^FWC+y^n9QpB?jIX+|5Ew#wPM4YvD6X7T|`n;iYTx#R)V5|Fg z_MPrkzf_0qhB_MQrcQJMMxLifERokL`V95=mgf~ii1>Ce>bE5SMAnCECGCq!`$$4xe%I1L zvn8rIOax5~kNI$nP{Wo7uUVaju=UgiM^GaotpU8$p0^S<-w+HXyUy_b(Jh9`04Eq`?acwg$v+hrVJgFR6ZI7Thq^<47a%WV%`k8LpaC zTE~r{WGBk6v%|dMZFoN?L?(c0#yG1QdOq7XDzIApTWs;|F16WeXa@1}O}aq;cXv!# z8kjcl2%DKwdU}7*uGkW5cnAWwy6xyW+mjhKT79SVd9R(2=rLSk{yXm~p(Ukut)FN{ zm!W9;z=x6fj7zt%I#r`%Ml+H}lt*V2s|wzD8y`Kau6)%dT6j&^p+<>r(g%H5-{*1G zPCnQC1cU}VGxi&6wY^?=f(RP%ukuzabVKp(D(%BI*H3zLF>h|j=w^EjzOCEuC3zz0(K-hsgxwWdhN z6{9LyZpX=a-gq?07Hg-Ym!Zfp+>jYQJE=A~c*n-njDCNET8~fBFWs-VvLV*^tQzQR zHthvt=Ztk-xr^PPjh|+kapCw$+-=01-toq5=x}amBSAfUi<^)Oiz$nw?HXx#8CLB_ zI~OyW^ib_K{JOCr)MVJ{ldTeoce=69yfL(ZYxNVZd#U%fVeeB$*|%)E))w#>bCwdK z$*g`w(Ie+HOdt&-y`;Rx{7Cm;Xn-IYp3#*ZmNKAIk)~pzVi?0>RLo0GJx(ks{+!Er zSc3J+8GD=pHI7+p)wnAuHC#qQlb#=UJAm+-M>yMfbjAW%71?wf*g&tB#h7`De}#yD z^J(yV8(<*#MVKM-FfIWpg89}LUB!yf12%82Qy;tdJM(}iJ3|BBzV zW>leBHVt`E6|8w%=w*ZNx6N9ZMI8Osz%Ts{SP7!KR{=9rjL6m6q=Qh}7JC#LUQl?I z($E+|TvkmT%rx9V2SmLEafc9yPwd5A$oiX)HN zTllfiOTf&84PX>Yrey35+pZ_js{2UMmAKFj?gr-eg96JS`pL}YULI# zS(a%`+k#>GcJLmyks%=(c3jJc0&K}DC_b%24|MB@jM2@lYGFbTl*tm<(lfee>p>gV zOzd~pE6o;?S{c*itO|1vHM7&s&Q1`cBZdv;TvRNGaj%g%d5I16skQPmpw{L%5$d>$ z*qpGa5V^gwVoG@ls(gy-c2v1yIHC!Q9*Q(5Iy9hwrYHgX-6hn|z~rWBie$$sNX-;m z))Le`^z=a)R#(O>p@_~iX$x@zn!Rp{CQ6A?W|26S#eq8voK}E{TWqjZkRjM~Ue`H| ztQ+=W!T!oGe^0QHpn@uRM2d+Wj>Rk`ysT}f2ok)!(g6Ha7I)JN3dD^tIq2wsp-jeo znY9B_mDpkDeVPH5ch+>RMzxmPMiv`5qJ&rzwsHP30#-4sRgx|Y$~^C}S#E=N9AOdp z5m)8{7wi_$*rh$q80#3v?!5Vg@Est86ykjCln@m6F)cwBrhMnW$3km-5U#U<4$=7`o!xeFlP+3T))i68AG3`y#3opY#S9 zcb|Gk7*sS%@^mi_Bh(SDI&zA`5Vrl&{+V@}!P$GJTN)Z80+m$*g%kXhZYt~TRDv+JwIY+^uq4_}dQ5Gs9n%rabvp>_oUF82sr zZfnA=D=C99_=5o39NCX%qJld}WSmceN*++LXcr+t+KXVVAG6WGTGl|1H9n)G^-AoL zvuKbQ68mA}ZABE@r_zYJMp#$K$sH;WCx~o zV#g$_RicmqE_~R67H0c|oUy8t~; zv>s-&_B@JjeQ8I0ayp(3xUJcpYA+y&>nxQ>WY3`e+k}E zd7v4ko#`yC@TBKn_j(o5R&uvmT5`EanrLy3O4a|sCTlwQV6wI41nUoU@$LPwZo8$= zPE6ZTX%X&qx)+1RTqxYS-wfNHfiCTFO45S|GwpPbjJ&u z^p+Q$;IZ(fWS?4x)Viv0=!Ix%_*^0hLx zKmJj}B0u1!7rWjn)0D-oyXptjoyjJy<(gS9K0}tu8;yav8sih4C&?8ja1!u_c-FFC3U;!BzXMhhkdl*R5=G zdCxKRhNg~d`-(fiF_&}oW9|z&-p8La=5oD`^BhF^hQ8muda9VHxosCZ6Mv*-|J$MV z8N7e6vvDg++)>qslXUPJ{o9|&i7xLW?D5!j`{Tu#fUqsWM##u;9Xs+9=d69+nCT3z z{FhlPai^qx#kfM@o8d2zL?hX6ugn-HK+o*FJ6i+U41>?reuI73`40aFe$Ba@nYEd5 zdiaS%Je|!f9_6#v*5;Y2GtTOg)p|ck-{q~XKXIKb-lYH4ANnrp-3l;x(AEHim3(YF z)&gD4;c4{Ok1I!h1(XzRCG9J$zBBYlu#A*;d$W%B((f(=rqO<~`qtt@V7={ZI@#!4 zHf}TaNbq&^;%^ogcdGLK{?%e}d*c@6ke0Q!?>aNx`OnXNX!N>{ZrA9Q^0(7?8SQ`O zv8VM!*X*Ibxu;&oqi*!itJVfC;}xab+c^6Hw*Bcd)3-hE5Id(_n!Ppni0REU zPZe%z*@O4I^uxb>DyL~|3bjnX3kWkWKJxG?*7LWWfsLr6Z>KTGUPp!1w->h`yv6x` z0xJx38;;zm z)cb1G&~BX>-Breew_92pZmHU9?hM}NM$fSu?&8qyvwD|z;WHODSx`ogx3lf=I)kGR z`Z@+Ty%Fl1jlMo?4&BxD(6#tqEPbgt!G7tw@q6Wr&fxDgi&~(n%M-SOO9>kNql)oi zOX(QVKk=xy$vgNO6ycrIj|6#6Z|zn(tZzYoX!CZ~eiA$Mj_d9g=`EUrXMF2RmNx3r z#0)x=)yh>{l&}BukFJrR^bjU{=_YG8d~5LVqgA-@8;kA?&S3Wsi#r1{Ku1qB)|}8* zoxyaWlRT&OtG6_zt_5}c%!oq?i^g_q2jN>adz@VOhVw9mfYM>RQu{A{ND&Iv5Yh7Z ze;L|f*qfDEw*<@F5zZrd#7ONPJvFxfQ9R=8c}fScq7fz*lDg>1F!uCNl5qFYr?y# zTz9+PtxJXPYMR9=r765Kpm$7e!VvFY_{O5|BA)9lPNNS)=@ZPFzy)9QRs6&1_iq6J z2L(hL5X~-2>-f5!^&bRHZB{b_>HuKz!?|=LjXAVSM@M;Y-!^4Xgl6yD{gGmPO*-32 zMwEjeVdRY7i62lB6KbHV>XsU`rf@m>14XXQcI{Nx8oKWAWE`p>R;k@`9VH{7nr`Ld zmpX?MQp)FF^@cV(Fubm+!}5L`Xs7!%*yR72BP=mtyLH4k>#e@c?F75gKgq5V4WG;X zen{PK5{URp9 z4&85cf}tCf%DWZGOHV*WbT|gj{uN1HXwZ46ZqDCP4Z8B zrtm1P_o9K#rr0gQZM5Pyow;@sto~0K}^RCeW}Zalhh-fhw`5DJ}&zuIWH= zcsRU39fw{mly%s$-db_YCL2bR=}>u_YO(_Env2L6K|#Ef}M$gt5Ytt`KqJ8ot z7oQc${HSA2dez!BS09I(vf3Sj)8h-QNBek8E*SDW->=1xT{iHNLmEx@_-nrxTnWko z>;|Dd!W^o>{Q7`wijhl4CUtCzrrkibj>hU4#XCHq^NwVbd2pN7p*<)o-JRx2mnND> zT&iPWOKaAL34nyH(g=@A{z=y3Obh(WO9b;%1T1WTyIqks`E4*NU6u!+PB533B9cwa zNsDQIc6V<)vM_s`L%21fXEWRB(rMhc%IuLpxG+0_C*l5@WN z%_nLT@R5R#YYVHR@Rh_hdhc_Gc80DNEB9E{_;XGFpS%fcol&;%pA!g2zgHU8dWZiV z7}7Q8v_*|1mW<${TH_p3+y-T&^x?twQs^aZ3(4pUUHeF##qBQJ%4K}kb~alxLAb|( zgl(JNq?KvlJ2(;WaF-33VeNRfo!G%|@P%?@Ts>;6+D43U4tWSi#sE?!_d!E{d-tmdH**S7JZ5 zRv zd_fJBtYVUFv0G>p+enMH*GXEaq(#p~Ei_{^{XlG=$=`94vk!LL8(W%Hshm8YZXV=+VFxi%i=s%&{m+nnEuBSK?L*wo{VLV!kZjx&){JeXtsh7X} zJY61dmiBASIMqnXSGxc<0T(aztvgE~8%fkoVXGF)SKjFu*U6=Il;`}+Lzuy|jSzGW2hn!&# zbYmzeM#p_szGkVMIl%zl$Ej&U!Oe2UQmw}cSB7VukgpIlMs>|D7hDzd9ki!9Dp?V_ zhj0*=a`lYE^)GU(x^Ho~-`U*CW0QccMYwnsCbPtP|2osS^Yi~aR%Pl?!$uzUqKp%L z+tHgnkp^|}Df?j+de9RMQw}P9t;QzXdCW%v%+}+c?*(l`>}CTiYwG<>e+p8+GAUv> zA*t@EnBjS{KISfZM(dFNQ_{(eSC+J0YJX|orlYxFV_(Eju6G$YNqSr}tayZQ>`ACZ zU{VXufM;P#k(1Q|J z%Vi*s>kh5ABC175me^yQa)D-iO7&sIK7}^pklSFic&%+7msn(ifKO#`k)zZ}WN0nP zYNc8S9~o!>v^vMtY1TYWAZ~?20#6tiPFzemCoOSU9M6w4j$L--EJIh=HCvSgwhiBU zy7^+5F}cUJ#;gwN4#_M5?qAx+6S?A|OKtNwM~MxzgIrWxqPpWXcCDOhQiqL4S#>9) z^i@NoPGLYdlH6AHEf#X(*7uzTi~RjAn)(^-rR=N3;MQ6PRI}vk%BG^K29UYy8E3@3MxyXRU7;5IorPb;#@uP2eR5u z_pX8JEhBOsqV?_?Z}q37+UvIdqXK?5v(OGz`{AbIO)9rEu!Wj;=|I0>UUnv|`sQM( zJH1MnRh7m56AS<>r!(alzgR-;I{Gr&(pz<0!|X6bfw#P7Fhv;XEm|4-1AP3gRT^%w ze`=q|IyByJ;eHWx2HcVSp?(X2Kt_(^Wt(M&^Dh{Yi@ml)|j>}H%WW43Na(4Lm+q548 zi0&R!wcogn0rwRPbM$C8X}Q7r%R<*qCcpccp`R@cd#}p##*dC`{5)`I{;a5m#nUjXIMhZ=^iDy1vo{>ibL z=yiV5!~d!1JJszJUkqGS|IVH)=nE~@N}^Fas!nK54Z9x8=`_2~i=X)3eGx!=T}xlG z0SWd?p6j2}sVjE40L;V}l!Oi16@X|imkUz9+cnk4h}FR@vl%ch7Lnp>rpN)TcB(^Z zrvzM25-iht0|NehIKNz>5YiTz0V=3PAPa5OSL3Uhv?I1?38oJ)=jL9XmjJ}Fe^`D$ z?r|e(H=#nk?&>wQ9=mCs-OiO!dF~0$JM9`9L0MClJ-|`}|Mh02!qFSZ+ zio;3E$@6|+n8!CCqHd}>3Y+coQhw==(j=l(4FsNW$Wmjx==VOjv46kj@EmbXODOvURIp8%I zfFk)^p@q(>YTno9dIMN(Z6JOMJPMZ5x)qOWBrK<}uh`90MXeGYJ>}virZ{TB@L^NV zi$WF4Iv~sI3Vx$kQIXpUCavX0h`zzGv>H~mtRB@$Xc6VO(4hhNsaV>W>l&w(H`_Y# zfe@F8AB{t5Sr8$U8!-yo7aR}-wdh%+^jgg&)z_i0S+IbulqLfpTJ%^lnOc``c?>*` z>y)cZE30US23Q#6$bpaZ3@walC^IF&W*zWa|UU zxGijU=LaldzOMz4cGc1I)37UG9`y-VLE%JKdaP>QNA^IDX|h=FI7P>3sz_mGNQ0Uh z=TqP$6}~#H&op_iM(ISYV(ZVab9hKr1{5NV7|`u)j)e%m`**(p8{@uV&MX}PvJ3KB zyjAX@7gbcUL)@{%xH#`72P6wX>#DUTUcvotJqp6O7lhqL5`6qihF^mAfW0~pKOkYJ z&jULJ3xmvO+e2v?bs^0UZ3x^Pbr?(`7|JLxi4Ye6RmuQzFZ{4CC0S89Vr|giPLf)X zQ9i4;`l@|jbwrn%NChDgPZqoh&qcOj4twImtYwi#qy+yB5qqzn35L^iop-=F^? z6?*hkvV~ePXTb?t*j`?ADmownj4`6b494X!lA#VWgvl(Xy+b1KZP4SG(B>^<5#h8A zw>zqF=!v>cd~ia!eJ4 zF{A=E&X}MJHHrfdH{n0fUsf$4(^qW?VHr1bZwb35%q(~a2A{B4?SmL?YtnII>p9d4 zL>%fqfsGNNDhE{q8zB~7qnbI#PbaIH(!smpl0MjHbYS(&+rQt9W0d+@B(QG`U_03@ z2JWRo5ny-Q(GnkzNkX#-qzBc6QK1m6a7?>IeHoA1%j13t{@Md9D0r(LC*VV-vj!|X z8D?TZxa!hwI1MXXPp9+AdR(JIN)u)qMaQm~&$w^IN4XmMN*U!ct& zx1O4Ka)Ne8e`+mcwQTq;dZyiZi&xnnbMP^~oPM;WA@}dnnU8<%r9!aR-UJ~&*I&sE zmvofzJ$b$$XX(D|;HSa=_Cead`Lm_X#wdDe0mQo1Ov`%kn@^O7=y_LNumgSkv%Ia9 zB4 zR#mNfyih&P!&E$SlK&+Tt47A#jIB>)wasdHZoDtlr#{gVYd|qB?_htDnDJi1@=Rj)up~p|0B*(LJM$SFWP8WB^h`RcX8yEYszuXk-@b;@E zy|ApNAD|t}DQUPXdx*W>7`(Go*yP0xfbI_PW2Mzry0!Vwl+P6pSG}MOt-9ZSs1KNo zuoCU#do{#wm7C)b!aY(r)H)Lk-pPz~#t%EA-~1Gl+N#^>R`y0!`tCB@ZVb$L+h$ov zq{=CGw3NsH#5rzVJIdRwUbhs%xH2kmNK=otTHiiYT&wwA5I*Y*9+ zbnXRw(%n3;z3((C_yXd7`_jQxgibkVAFqSw9CLnA<^ZGe!NA%r+M!S0t%**dP1aUr zW)~EA;SrVh5*_6G5IT)rR5i2fknQ^rt#}%&*}lSWJns!{LIVjixD}nnp*!L5*6?pB z4MC9^Qbs~MjfeF@KdC-{tay85IR0A&6(*fO=G#BEMM1M_#Yl7c>$O5;kSwzsgBl)Q|m*B5lz&r$Lr@t;W+FaMJYGqxe-cS{QYv(4l_q zRkTI<3O|v90%niz7QLP1rfNY-Xu(R0BU*=1bIn1&E|*)U(GOR$2jMH9{G=gkn`zZd z4c!V}65~2KIZ&lTISaEMs>a{9eC#N{%lVPuq?fo4x(d06&iWFUmTxc6jcO>@5BiYX|!D7AV(;h3h^r)2MCCZgzx?Cm-o9qr&A+-v~->w7Y7m+Iv6+ zKDUTW88W1#O3JX1Qp&3>77gpjnf3Q-<)$EJQ>BVs&E;Z>9eGft2^|@ zQ62%X_FnU?a_1h=Z-0rEwEbvy?JD;6>BKmWo0 z$IEDxzYcl*{;_SR;w#*tEsq4(4PW~196V^B8lAyA$W^0+&lqd02TsOk!28Pmb4VA{ zMyIDf1`W2kun~ly_YLeSBjXDk>G93_U|OQ&5aGMJxRpbWLb2>KiR*L$^l?;B~lV zeKRfR)oFb7;om+*6N|2{!n(jcHKxA+Wl5g^g}5=WM1W8X;+lz>##0M#>tz&_RfyHT zX;`A6wm01JinS13@bF4>HV~rxzAf4V$vWL!WpsNVMALr6+8*nnQE7m&UHHrw-k`xPgU zW43ni4-dj+O&{#aH_(lWLYu3=(_!kOIZoUjCG-NHvLee4ahx3vNW=m=o06HD7QNOu zTj~TLzqS*rj_@H}``#?1Gb=2+X@I8FclBv-iZq{}zK=J=G01cAxn3zF##JA~AO1hC z(oUrc#!2&9u+f3?5~3#zXHAj&BDSt0eVuCo!2ZgmhAaZTD_~uuJHcM#FfjC)fD!-? zO6ZtVBUJ_kMyeRe0-B8KiHit!g?h&$&L(fR0|KE04oI%InfBNLabDSt6kp(6W2Ixb zIxLrS%$W9O{8_YV$h;5FE8qaQY={*Vm-wCjf1W~tZip&zIy+Uz|6>?HJTi^CtFY7H zRQrw=L}8=4gGRDgkF1~-GzVmVpds8wl>|UeXJwTMJXQ%bb09+@s#I%LH-Z-p=%N~c zid8Fripa%F$W!cJ>%a;OOB&BR4K$$Z>ruY5VK=hbN2|obaTklD19bz+ReRR$Ab(PR zKmkYF10ZvGX-1Va!0daB_?^vPxVnHONCB!T{%J5XUPT?MX8yGzcOVv7C{)Q7R5JK} zDpy!4xDeDP9suuz3U17f2H|37STsd5iz=Y|w9|Ht)o*F(&PAkUj{gsf7@%6w2kC3N!fhikmgeB z1z;b(tT|$*Iy?4#U(3QmwXrZfuFfk}+FP2kd$Ga)q!PzU zXG%Bk;uI@PP~h9pz2M$B=keHOj)*(sH7l2E<)RI{g=#R#l7giY^F<(+JSdxiwZY}W zl+vLhbCOj{CU`E2qA*Iy#ViC4nx&M+!JAP)z_r2L07Fu<@>o)vz|&*L*C|tmrewSs zMSx7QV14IZr-?Jg+ee$YGZj?EQjyM+aO%sa1jWeHECwMStnU2Tn&6dxH%G6#$L_)J zz3x3UK6(F&y;@|O)cXN`Kh+EHJD30jOn{Y7eB;855?t9c2OnF8e-2>#J=_{Tue-P3 zL*IMd_u%;d_uZuQ=6l6?X7FBdUizPk1MvGjrvhtzuQc%cBY(W^bH+2*=sA2gdwC{$ z7Xn1jsXXVLR=3#gs-m^U695;cmifh2Ta)Z|l0WIXO|dQI;tb#Ep4Be%B|zw+GwOEg z9DYNsv&UjyhwUaNjoR}|6N`#X-nq7L*|@;>b#38Vz9BmtwPwq53>6C3>^W5;#FudY@42Qb71!>M(2dqBg)eJt!+xgHHd$mL|Egqk7N!~r|3?5#x zgB;nu#0~Y%uU;1N(5voImtYqIdw1B2+=JgMG$jGpT9sq4vcvh^M(18+K^`uHUE;l? zvj3`VC+-PWRz7b1S8Lv>y^fU6?M?fhG2{WOQTvkUREg-VGO@g!>bS8V@jp~Ll$ez@ zsJ@9S-zAnm)TaRV$Z2!kd9{Vgg(cPd_B1#eRFqgkw%x)H_IkDJYS#j#h9p)=^B&u| z9+y40#i72K7+f~Ou_U-ZrsCZBs?|^T0HS3{r$9L-*0(_;OV7b2~wk$E4;aB+I5bJBq31`(56&^j-;qNzE< zsn;!`1}MX3j*cx`Dd&6`pgj#ugS#Oi14^|MrdZ%i(y68=fxn*jOVvE44*nbQNqG$AS3@`M2$MftFryn zl#tDn2zy$!Sbfs+Swl-Sbh-2k%T>(=J?M)`RcqfsvMINYcl%Fr%o(wBW!)@m?yu~vfd6Z9T{xI zAx)ZcyA$$heE}19EWLACX89YIZ%O%X7!_pWzzGArqoWVI&i7IF?+H0$j>u^fX zzo`5pvjF+mYurVfl)thf0(vh1W7E&pIhkwLszJ5HP3uzA!+NaGFto8Z)UR@JSh)dn zrN%%=NgF7(`ZDrMdyK$hp_WbRHS0KaOk-N#OU$*+wUEWP6uHvs{sL%)1CFu$Bv!0y zxtxN~i->PvgOkb-emhO9h_-$#RK0E@y=(+I>0gYhKc2`rcFVc6O*~*77;PLE0SC0} zHH&IBzW?p)(W*wh$GvDTYQjZM<@h@*Pdk@2a;fevk57f84N9%?7x5E#PkA!t12*w^ zTyCVp12w!W_jXu5t@Sn^Ozp)3*RFgmrTFN_yVXJ(9lP-sT^Lc9tm&>NeWPtJTqzyX zNn^ufP2exXeuK~1(xX89y-XkvW&qZSX1o6fR`5hh-HcAe&sFytO8P;7efK8PmkZ+! zf6?i*MW05)UJ_(h&RO2`6PNnsOhJClm6~VV@|j1ZsTA#D3ddxSLKcd<+_g5SPPUYH zSX0b6gRhV~x}7qf*R5kE^mb$Q#6r9CdFu}KKFvqtRY`kLZq;eu;zqu_FI&~ioY_I= z9Aw~#d1A&5%yOpvnVRa2c}JHnxlLNlBX3QpS|5^{CJ7%`B3tq8Xi^zFh)qB+lS}^kMKE)o8dT2-nquPW(2dtgI_!PiWA(mwe#S#l%=M75P zB(h)U_l@X&?9Uv zvn5DL3XEq>V7ii*w!1<`gVO+Q2|Ux5dbGG%Jj;9xGnqD0k^wn?zdO zXAJMsNI|?vsRgRUCVdy8+-`BrX>o5OKzt`^3Bzj0cU9HI@y%p_H8{lv;0DQ zgU+gk-RYF7u7$@Di^*II>PyqmzN&}11V$ak2OpkmX_y?&x%S{Jb5e@oQ%v2ORbS26 z%Oo(H1iLHR50Rw9KDAoRj&tHGIEh4Hw*jH>=gheVi1CnCLZCjsU&#}Sql!LPvV8wOff>iV=)2ux3mbs zqDxw`kfVaR=K9FEtrTRl$+om+d0yv{@)r7ps|Zs@WXa3hvMZ#LD%)PFrIrNSwn?K6 zWcc!T-+F4y>eqCzQ7)@2pvP8Rwvm7=BDKsF+7uMUn1mRHHc)gucMb&VC zZmtsOu+(F~8N2nzPYXScR&XSssRNtooR(-Zf-{-`l%aavt8e{Q4YYET>-kGon*pRU zQ$~CelH{0%IQ6(d+f>Vx4r;E?Qa0I2%Ovc7lzJmf);msKF2WMUU=|PslhpL-gFc6~ z+R}oc$B;4$BJ-dJMS58T+*3a4U|smDBk!w*u7W5aHn!u}lgQ3!cU{Y9?14l!TJT^Ooq>L^oo1mhUu)Oc)FNv_b;5 z*YEapWuX5kz|64^OpqBj3K1Hv6iN7C2zro(F5`Mji4cZC|J?JxSFhJ+h=9OsRD=W4 z3s91PK@s!{pNjm@Z1oKTq_#3!0XXWojt8eiA z0jZEpLGb&sc3A8oK=sIjT_k8o?Ql#VI2|&?c2(shcJJz+jmS?UCk2GMhzKr~mkmF< zq$99UBjPEYjV*Y(>K6-gacxwz1y+?+hp8yAK!1jG&(nk@V@=bIDZ-dvjyS$$*PDVT zdq9YaBn^luV+PzR57RBgC54)3gvpADFr-XivZuE>5v8naxBeREFcWn_FWf(O;iKB!RMQK&@h2P9EzZV)!ik2-)~2fKWbFrlY(gJvdGeRw zS=b8lX@#0-bUM2C<+t8M|MA4R&QGX~sQNr4A=tWf-JPT7td|>hSPcJ(wLMGg9(^LY z#bSH;rwu-$C%!@dlrJtbZ*XwrCjW=lONGVKCK5Z*N&1V4{^oD9QLDpF^`X|Y+3W7t z>H5(=ui8CN9X@VNZ;TCeIkBWQxk)qsB7I36SL1)Kzq30v@DKRM?APkz{#U)P_1#vC zvDTe|4Nr9Kpc`W!B2gQ{mr-!H!a;zm`Z_wddqw+xyh(k*Io4n}4Qk5`XJWbOfo-j? zGABBt8P$(DBkE3mo0IKbXsENLh9=e{#cl3pbw|Jm{X@Jo+tOQeeax!iU4qu>tSgn7sP)j7ujH46PZg+uo1!Bf*`{=TWO{dh3@`~luj8fn>T zy#eI(+l#49F{k#VyGb1J(tq9wT;=`t>SC%I(%sCx4utwUUg3%VaOA1!3LC#m`Cj(n z3l&;?_%7vpj%nYp%7A-+?xn+j$zBK2S)28ExA&dTq-LKEo%LqF)#}_C2H^e^kUodE zC9;rD)jpql9eopIMs8R;x|a9b^69F*l(QX8XB?+|IJP=m8k#+kUp1_GQkca@q%)cG zg*Q5ta5k;&9XxG;o1k$oZSz{sk!v*j6WCfG3wPtzUk?6iUfo(M$3Xc?4Mwk3Da*?4 zuPL1#YP|sn*bnX1AOHCAA9%-rtiI>E-ub{IY8l<40N|H*okm+9{v_6OBo5@`QSaa{ zSq{Xc`Aa*EFwnt)MSfG255f0e1B&)$XRGE5-TuyZMlU4X+mr^TcYSZ+82x$ARU_CMsb&%+b9blw^+?9a~rQQ=!oVh`ZH`|a6g@G+bLRFyb@ zOYixYT+=(B zzgPN}maS^J^2~w7xI6vwLms*{IT?T`#pU7$Qrpom!2Gk;d;xqMT?IfIA+N>AyKV0R z<=hTTS8WL&>b{}8j`r)1Jr4x)U7=rF>E=%Fd&}AG_FU+a=gkJ@3;PO*jkYd1nc1f` zXV{PnPaX_RH*Qf8kN~!XP?h}b~rFQt!G+W4O|Hq0(AtS-Fv31Ei@Zr@WJ{p`E@?b-=# zleL**KzeTk<$W(5d^5MM4F!_a+3#sD>e}$^@Z)PY^F#LR@q#vuJG=}jc7g^A^Up3p z88c{sh7Qa&=hXS)PHmY2Gh2}gAIE30Gy*Mo->m@$d`2L!!~@d}Thx902y`GDU?F&m zGt)@gd0YTy=gBNKwePj(1=6S!CH)OugnraMM6y$(+CQmSNgeSMDQy$gLONt_$y4Pk< zppStMWyPyB8SMtiIvCaI`|%m)`!~_d(_8=QKCS9R%_VP5Ag4GT2)rIs$p0NZgjV?= zl#2#{3O*i~)<-m!z|-D?koLr~Pq}R#3n9(o@`2UEg+1$lhuyIBhiRg9pgmphez1E- zPT5cBZWg)&ITfZYC!HzxYNtJ~Ro?q= z7vsx7Acss{5J^Jnd6-_fowbC3Z_gTlzC{4do5pCBJB@Z~zN-MfGXfoGUW5JB=EA1oEG)X4FI3AK?08Pl zaXSCf7{!Vo@G0boo-pQUfDHNVW4Z$Xn;$d~kl0j4N2D>w21phFV+px{U^`CE2sksS zh-JgjP35|BX9YG$flJ;%@rnCV2Jw?fUgb)7%EqO2gqN>+{!0q%69KFg^D;;tRXF$zXbV7eQJdxqd2x&h zPj0A?>^soB2i_nB1%O6h@dXfnCu$YZ2dDtQYV%yUh`N#aB9<}!+NBbf(IZ4vftn$@Dtyd_YBuXCS-;& zgLpeJ2xNW^q_P{XSbU*Ds7nzOiDrpKs2EvTibw+9;=z`T!ovCZ|4+sLH<1PGf287X zG7inkE`-K|02ee6aQdvYw9}g-%OnB$D3(ZEc7mQY+eP9!!sW+L>xcWTZEgl~D+AsS zXs~xbiMX!sS>JXS^y}OlKb>v&YF{hVb+LLIvMm`aw$zFTwogKA8XsCJ4NC+MFY-1c zlPe4!=BAbz&Oy4ob6T$l*klu21hPics&YCw+Mie^UU9iZ3nwhw;1Gb(ZVWB)$QHnm)G~%WWjfHUB4 zwRiGf9e*Jeo3y^=G(1^U%M0|(u0P07TPmRu-Hs&;`DfCAy~~TZC64@ZO!_876EPg2 z_#0djo^of|SB-9h={{f?T1h6*6v=WmwZs|8luAmVkg4@A>U_D*$LTePYwV*4FnU`HoMFE@}C&>$U~VXV;gm<*9__)*QGBSwNBWe z@}o=i=RcEoP$dT`7!6aLj(BS`Ypnm1z4vi%<2vtrXT~E1_NpyrFocE>MPY^mXh@L~ zNzo21J8PVS1MmO>B@%*U_(yA!hHP4Kt|dijm2K`CGdQ3I6eyE4)8>&YLayDY;i zIAiABWfK8$*yc2~WlFM|G}Hhf3;OitD=9NsBHn|F0PU^T#+F%|@-lnaA<=pHnHaEb zT;6#mXU4={``zx0)wJ2E8FAfE+j&1sqmU0QQE%Mp&J0-NbJ(3<(tV01;N7yaw@7ic z^~0V~tEHQfMMX;>k;N@hW?2fGebs;a$n%|WL4)wWNx>n^=IJ?c;}XiJ+VZwOTFaMq zOmq9kTu93%0gctE%5Z11%_m(Z+Du}SOynN$@-$n7`1e|U1y7l{#awU@&$ftaoI+dJ zHw^wf%P@czB@no3;@w3n$8$4qORhA9T=yxP#kkpJdZCmg+Hq?THW;oK=>7lppK59I5$`G4Tv(|x%hwwV-SGH^y%g&SG>&~zqc}-){$JtvXEmDxfzgk=-xx-2*Mra zQQZC|3-#5~sY%%yZS{cTzU8px?M9@Wx;1zWpdcS$Gy)>wNzJzXm@^dTEX zJLfa0sAPWGWaGm)N2N0EI;!Dn6O>VCV-ErX=xJ$$jci7iIsTMQ=u*u1h)8tw=vtgO z!Q$PiC{HJpDEnUfoz(1;ZQtz?CyY&ICYl*d}qrL58*G5tAe6g$8;d~Q3 zM(Mqkqq4klQ=&VqZ)Y7?k3Yhy#cBFugGvI}<*OlVi$nRAS;obwXaWKQZrxeYyQHE} zm-zu8cPUs$7v=D_PLrAKo-F&n}6o2=; zPHab=!w{1k7o3qXL&S{Q=Q0Rog?zVa^c8Ka#gSm)n9yMpxXB!iht7~H=Dv%l`n}G(Tie(Dy8s%Z8bn`!c2&Y?0z*TwS30{$@kfyPG!BG`kS}E`oI0oq!F14 zz&>u2RllLw&fVY{H#K1G_<_aPat{}CT$68gYu`Jg!g@~&m64fn4asX!uFDA>(x)U9 z1*NM5 zgpCe2q|?sCrU2}vCS{u7xoj^Ku23AOn7^tlB2|i2u;$GWGU~P<3$TienVB&wVe*Z9 zL#J4rxG_gss`El>p>5=(gS2UdFs)_O2xw{%xivL?B;_ev!d@QiDL5Qv*x{$}?Z! zs~m*bCX_wqa5Z$R@hHbU9@kNvgR92CHXvUJvb%J>u$M6K7ja1P*i(UWoTnjiKawwW zrwkCjvw8+T2%HJqBe_9SIfGd#2%63FsHE=*bERG zQDE=+g`)_NNWEb~YDQLw-~QnDvkLzPLgIR*l`Mg29v9%mwGbcCR57&(+qxwZDk*m7 zF@sar8DO`UISc&40todYT!U29V8-G=s)kOJfR~$F%EA>$@O;RnGpLjnndBmE$?-5uFXO&el{0e^+PSzHgUrTifXC+DILp@rkf|aD? z$Mm29raCzBTLuxg)|MG#5H66sJ@rZzkFjN6Nx1^6hU(RsfDGY+kmz5{Nm5QklN#_7 zr4U>wX?U-l7W9i%n`}uv^%ySMerAbYSjOBgINTs6i~)DZd(BWwChWo02-<5>Dl$6d|>LZ?*r>X(|4=GYQtgWfOWlGt;8;%6&uC2 zdR|U`ZM`w}a;x^03&yL;PJQ->7QV1?siE0iKYgrqLizP@zN>M9{I2=!u66~TO>KYm z>tGiV7nNv*$?v{bdu*YFXL}ZaPfx?8fap$J++w2V%Zd8LWjr{cVp?TlveHCq^ z-v0S*zxXD(s9mBLtpn1b!O`R2N7c`fZz|1bLjqdeb*Nvs|FPcnZ8^%Xn4BRmei$hud=$xzqSsZj`tf;ohbn!uFC@!k1DVZlAcq+$kw)c3#o1tb zfI(pV72ceB?cT4n{e0<;q5hS3<4<4EZ|Eyuf5v}d4^$ffgs<#W76x(p#+IH16ab6% z(|RkwaOzq6O&;$^>ao{0C6CPhy#KLtZ3jxp?ndX9T8y01K*5i#M7OUqinq#R&mI0= zSJF9u-@{TF=y-=oXE)O{?BLZ=2f& zp+`a>e}jHf!>#<;-&Dw>XU)V4Bf1>D#f(k(2xx$2MSsVMUnlc&KYPEtfEIfHn%pQY zpkJx#6?pAYXxxxmN96n+gFFgaT6*Pd?NNS5evqD57e0iFL!n+QnFrhR&QU0L$U9?~ z*}sJA?WIRgAGoJke*V&(VFbUbdLZ)4;bH6h%?}w1D1R$I9{3d)@(&k(-rFmKySS2X z`&jjT>66;oZsXovfFn7fHvCcpGEb@WT!!#FTR*A3^I19X-0JIlNS@Pn-;RyN7!dtx2^!)BSVdTDr$(Dwc1Eq-Y z*-g8R-Me>d=l}T5wGV-s^p_02VH?B{pgD1-F1^Cv#(z}bkNpfx{)hemxGA3}`=Tpw zR-_g*oIcmoGw8eUQ93HiXMb+?%5vn+Y+xJkgns!EW&FJ|y!dw?*$uG=-y=$BrRk;T zAt0e~J9`doV`5vnI!92;W1~x{2T%?6I}pnB8-6aD;Pgd1p*b( z8}u;QB@fW;%jqlXjmKS~LDF{3I>5v>d7e-h{^fU@j8EV3S|!IfoE{PKY42wh`Hkp8 zGICj3IZ|!mmEEfbjy|8-oOHgeD7lZ-rdTDTLi&X0z1s^rmNrE za(kToufk{pDA@huf%|08U(*|Uo!p@G@T1$phodXC2q>(9qz7^%>A^N2C!s%!^l0ae zm1-0Yv};4p9c_5Pc&4&D^spzcq+iy7Lk~wdpC6b9-~IumMAvI?D4)K`B*X9~%WOwj z8erq|sW+^ZJlr<{TXTlFK0T`3d-G)5Ra!=IIG_Nu+29ohp5B2%@sknuySep8e5)R& zyH^><|NGH50%lMn+x<&RgX1UX@2&S|gLihGq=~fcW2LkkSylGjgO@zQO%jpZJ>=0>rO2+e zk4EO5e$5Znl_#Kay+`s@mEtAIKBWwIJnf>{JSgHSZreOs0Gvi@yHfRKX>P4UR9B=ja;K&#HCjn=AqydEtWhS1;fLQUbStzlpgSXGNrnJpfXL#YO?VLGqN0J!<0atSMQu;dBV z?AD9-|CWLDNhNwo60b|%S*hP4t(Nq=lBS}Q6=_0_{ER|EhWDK+^SaNvKLV(qhJfrc z^Z_F*4k)`tYwU0!P=|^SmvaW%Z@Q^rW$9Crr>jq@aaI6rY|v z;IFD^uRKg9zX_RjEtjpIKPS3mvNKY#7h zPyh7;>~-OR|Mj1o4WE7bwVN&XaUH;Xr|ln>x{XQij&=qY-JclgCh7i?7506M1H|sY z-tW*6x?eAi${<2T1Y^BUvV&|b4lgCM(iw&XS*^YxPicF*m|O5o>p{`kAwGz^SoG-GghH=Z_VfG5Qs+@gES>ZCI!j_GuDMG5t`Z)Ax( zaqXo;Bi7a@y&`J49+Q{gaIj{EzV%M%kc>r^xL%S4IZRy7D8l?6@+I1%jI9{hbMd9s zlQ`I-h%LIU)f_H#8fKw3$CgEIK$%Qf-U|$T|L$ph2)DuQRp~V4#i(C!uhIK)v|_lI zU{N#%`oALT)N!Y1wrslNcpb-8xefA?`Atd-=J zsmuUagU!3idCIHGoZk^qnCcK3lhN0dRY8$x37Ui^p7|8gTN~^tweV$A@QTi60Ov@% zC~wJ{KA_BnhZBi}gt|y}l?0LqwXl{^=9{DsB{9nT#cWEW9R_Y-tE09^f~qTb#&8+& zWyqK*HcRy2YR7Q+47R6ig50OsR-BN>U0(8=wLgPhdj|GL?xfmcb*n&j3+?vTjKZc-$r01rTtY#}NJG%;nt?p4~ zesx&%O1h|CNt{mTtImm`*JVTQw;D2SvW-bjY+8+M-SkV>G;lWh9dZrz$V)J9ZcZ_p zsL>&`V@yR^hrW)NTA5(Rr74qS*+{LR^X7TG#&m{lYDquPb+Ew-+fCMOC7h`Atj-k$ z3lP~^S1@I30gbtq;9gA1)EHlYklU0VQ|7f9%CecrL%UYX8XflVkB#<^CZ)fE(0P8r zfU3oFfn-G%4c87UTR~v;{C+IWU#Af!Gc7E7wIGK!%8VSYJZaf9tsU!Lh z>pFhhCVcockX<_7S4DiUCzW@XEBR zt7Buhq_X|G^AgKzUEO(n=1?z1PcrHB)}Hq1_-QAYWasq^iC$#osuip$1u?kSiT94O z_QP;0s>amip;5m$nQ1eZiu2(7#UK`0+w&VGQqT(R-(d@TmQd4Km36A`iY;?;Utxdm z0-xJh>dgokqVz7sep^JEKIG`*I0U$)Y?XGk;L93{2btCO%V)B^hXUfP3#QgC7;NA)BI*3p^TJXnsis zX{oo}442_cWyoZ?ig$s*gd+%OJr?7fn3xs!GsnIsVS_s8U-|Q}g5AAR77H8{#{}T? z^GDUf;U1@0bD zj!*}3FPFJ66GN_$D(XIg1IN177L*xT>I@9N+F3E{iMUPAzOKOU{(89DwzF zRyRehT^8-d3^;@psNF!bPrs`2)Guo8vX*uDV7Hdlg~GH6OEwejPiQ60%~p3xF}20U19k|xTDm0PMT@1( zVvP;StQNXt^El9(ri%?GX1ZTn6FftDP4^9KQL(X0E!_51)*7@;T2Lxh^9~9gg>V1r zGjJw?h^&Srleefs;b1H9;wTWX7&H;!AyZ@tYjP7FBjA)=6wB?&gHcSFcegQlxcZ<%sq#dE+qq&a#Ld6IzP zb!EGqkO&+xt-lL!^*^;f*B;O9ep&=soW$U2mDDMaRo&2_oKYY-OwQY*-&P;Qcv zGYDn?Pg4@hBMQkTpwe>?{;gdcvjZ%xW5EvM|CGc>-UJ+vbR! z6(E+#d@hol=O&{JYBo2O*bo6^s?BrtE{llu(jZDi?XkdloI_VG;e8X^R zntD(fMl-!!RJ7`M{+I~dU2%%z-XONYV~xwE8Rg1~>RxuT7KJvE8kkSAvPd0Hao`oe zzx-@m5@l$=!?_PXyUGahZXwG{Y{s(vIk8i56UukW8rzQ5jm`80WY~$^9T2!l zhm#?EC2~+2Q$kixdz8mxE*h(8z(rg%6#Ys;Pbr#v$rz!FMmB8R{`~9b^;u)HlWReOc1imtTM~T!+$lu_d!M_gU1Isy6P$ zp4+B`<+pp|58MCE?wcl_JErtlZ{saRYy6^gNFBBsei}J#patVY^ykKI``OiMFoS<_ z$<>mvq$99j_m80B2iBBamJ2_z6xWXFIcJO0aKX}A55L6w&ILy{kTQBfN*gt{Svh$| z)h`APN4nXIk2E!7ee6W6KX#u&{wU=+WeC*d9ZKs#is%HI_)<1Y+`VX5%WY6th^wW0{S!=)G z)lM>7dB?i}FSl&AN)z`s-K%-8%CY+=T1R}F&-LlSLp`@gnK9C*>&2^h)Ox~l2+B}f*x;`HV2WV1V_f&kZ_5-&4wbn1Gm(vwT?Q4DY6I5#(9{$CN z2T|@KNxvy=HeXn#-}z$na^{mU06;jAH&4D!n$7%o11D3>a`awn(?R*r6Nxo_pvTu! z1m0hbRdHd~`2yXSbl#^c%v!hih@EIODlL)gdZl5)%x@cuNB)tr@R{z zqdFq-O84%Ju~D+}zdn-prJRO4dFI2nx6^fh|0(MRytubcoH+h7d9HhB>=T6cy{X6FWCA==e71r;q-5_bw;$tFOPh%^o)NZ66np8Eq9$|Jcrq z*mlvm#hAYHCu?@nSpqnm7H>KlPkuTAbsCYew*ra01KMm#wqKirmbE{=#7ubme=KbS z2Gajr{tD6#Dyc}rf!-Tc`W-FlkS~4@B=*6~CTJHw7}-e#d3OLPM1v2L(CKhS*)}UZ zmjJ!hx=bq3Jh+PEKoja`5d$QG(~!KFdJb^q4Y%@aTln<$g{!aC@kOqirQ-2Z+MIq2 zH0(HJ7DBT@YkZ!p3m&nq7~;+13lD)lb_H4=%ys7_nm6h-HX6jt|*@e1pcf; z|K+eAMyJdqiKHYz#h;dywDlYXHqF>AmIs~D2S*h~)B%Tm=|lk{8g18N-yrpI*^g$U zg*j)fvO&IX-PO*-ubO9`Tz$ovf9?GIpgjIs%Y@w0qqp65irOaZ3*W)m)bo(mxP9XD zp$b`-(dE4&P=t1XT`L4{v8pQmJU=dYSNi+?v(l@eTtg-3SPig0N<_J3s{N&gPY>a9 ziQd5+ItlPWG!>YUlrb>zD-Wka7iFjv$<4|`Y0+nu31trOmNuJ!hB1lJiqh)yX_L4h zv(jyRTlv?6r|x`L{iOb;AQ%##19p4cbvRMiLp4zcR^-mhE1KRSjHgN4Aj}u`YqH#= z*td#~wkfEiFW@%2e&FWyZ#TGN+JZKxy)FqR_mFh2>Iae#9rpSHb-c7(lq?hBA4vwj z4f*9sMN!P1%Y$7H-~r?L#8(hZ#5g@5?Tp$6z)0s?29(EL##$wA?8XUQhrCy|Abpmd2W=(Ye&FrIm0QUPnY*TseAVBG|bbk{|pJI>ssnsrdpiW|au zs?uG^;M;v#I`b;tIvLY$shj5@Zl?72= z2Iq>q9w3moW`%pf7L>R!q_mxsLIO-SJU4!i5tuUM_AacOM%F;OA#gWObWbYS^o>{= z#{uXJR2ekvh1DfU?Vpb3Wyuge=O~c)0A_r%YOKTD)*h)aXv_=_C_6MdkUD1R?hgiC z2F5^Gh8Xw^w$S?eUJk^6@B%apdMKdmHU5aAxFO(qoX_%cou9z~#)WgbWDXfz%uw_<4foUwGy**&E7oYwaEPY`oW`J(&d(wgs>>D;1D0oo$Xanzc zL2qJs>13wC$2Y;YLmC8O9nRUh?ykXBkHtg|R*C~sh*-jL9whoY;Rkdn0MJNWEd)}1 zk#B&H&^}MoxmE+qwvI23K$nT6&Q)M&ZYDnpJxGI=pk*~Q2Vz%rR@{Bcd)73Lvg}gPn$bdYSnbmiszeVh<#oaIF@6hhTvRE%<~&IAy_rVW5X8)*y=*;% zr8T}07SFR2X%49?A#^=vp|w1F{Ch~4gzG|G?M^F=OKL(D^t>Vj=b+|8--CVo?C3scY~Iw5neB&bD>^Y}qx01HX8N3qgpAWF zea&bE)cyY8ve9BPv0Z<2`lKDx@fq_@%}lJBWvcT{Fjf1OW%FsLnQbw(lpSBfoq9s9 zDFOAeeJ$#&zJeh`bup@ru(WA=%T2`IQv$1TuBvkR>rRD%Z8E{YWLNv3hKkuOe011C$j+n^nPciLXGVvSXO~(WULQS73Qq;sYLfZP=|OWAxIs-1DYRV(X{B z1=2Vse_}k?a~w839cUeE9Xa}SIl0LQmW+)UxIgxNndpul9mj5e>k;T?o2V%a_LFki zl*8t+Wo^%Osidf3d5}T{!&ij8aSId2^{$lf_EMAlciO1#+&oEJ^a#c5fHMs-em!Qr zf(f?9@CaFp(R7q8DVbQeB1h(MtW0sA-6n<%WX>z0VU^{O3Sn{Y1>5+d&f3jkwfz+3 zo2F@&X+3JY3DvO->homVr~{OC@%&T&!CNy5cg<+-Zz$m2 z1JYPJB&STP9(wX5MtV$3RT`({cAzto_Gwm&vQcu>Sadqq`VOgc25D*{(MwjE6-S*7 z!)7A{;%Nq2fxm8L$63mVs&bZ{JM3gw zgMM1N$ezs|(MTRIhrNBoNy>di?cLLO&d}6Y#cb_@v;RqwxQkmq!6inFIOdNUBkYQ9 z;A|S$G}e56MdZpARJ!5ksP&P+8t6}RtBwS zgh@L*1s>X2gKQ?GBhrCiH@ZfVbCk}2gI_hC(Qqr#nEVroOqp`1OQR~p>zBw9*%-jA z%Ek@l1Em~L96dU(ZotLbL3as|8g!^A1CZ!__S>yc$YNX|$XoVN#eb2y^u_pO6Sl0P z>!w2O7GuPQ`bQ4<+yHL4ZpGDt5SULU_Es2$ifcr0!VW{sm}lsUW*=sTK;?(eN+dv}Cg&wj@kWwQ6iAKrz-OIXkIo9Pz4M_t4-=wMPni3Ru(a0I)o>RdCv}e5Sc&bTqO-gV7j+%3f%%%NKP8Hh<^VBkq z4*Om*V$Y3Yaav$(MzH?NtZ(lC$BPnxbVdGRR?|clvdp? zlU7^4jk^oT{&4xbYGw()K9zAS`$_4Ntz4HGSV4|>PvK-Q)}(VfHj+?Z#=oV7OG-fh za{4EuOKfLOG|e+HjL)>OY5i^eRh9MID4ioCa`+Wm05<$)qP(lSj9qg?WC>gtf9i?o(b;eS9ot-70BY*j# z*zzj7V%RPGQyE7;_AcksEnHGMjS&rRv|1$H09^`)ZGKVbMzgbYLJHlbO@dJ5uNViQ zge5;H9cd#+Wv8=ff_zKeE-k?m69PeTlTnd@@alq^9|+;Ds4_oBD0n--lCKs=Y@KQe zUz&OyvDt|{Q3uYs+`ctJ&xZ& z%YcyxQgIV5@FB6A=vw_IP%vO|0B=YK`7V2ro=_bSorl@}ZXTnkfWbzb=q0eK$y!Tx z+bUU-0i*7iPIeju=-?_E0%ZGu3c7x%hxJ33B*W12U5d^bIw-E~x0{#!Vu;t>cfpOP zNRewfCNKknGWA#^)Dhgn@Vg@#1V!auvPWEss?!c6njDxsj3$6QVmxFm}qIf^JSN;3~z-c3xbR8wJ3|=pTtrRvkf-64fOgWojH}sL-5%akyWDNRc@Tk%ycvpCTh9 zWEx__l8NFn-wDPMy+wq^y$1a6y!swLttG6#YyK_A?2t6za~lLSS0y(Lf$OHQGpHH+ zb*O7N^EN!c6KWs|D>Lwww?R6jOq{H%%@x#LoO4K49h@EUnoWd32hSO3ZpkYNwkM|8 zfvPeQd5U4WhHEHkO`_JYCXXz@M>X<{v4X3iJZ>gNA0w(HH6hJ%?r!HHlZbOQOZ)cr zdy0=BSQtEL@tnVE`e)Vcv%l*=Qx)~1*MgE5u^?63A@`S!tgZ|*oyL}kyR5euXtzzc zO{jhjG_WezxHFNC$DgI*Mw@BsU}zaZDJv>A83$$Kw86Vw37V#EaZDVrMhilAcx;Ta z3f!IMslI#OP@J@_ZZek4r%qavczllPnxi_h$!%y{-3is68I9|d!9b|_dDs-#ez+fw z*=wZ5k})o^8ls&LtKnMDNT%b`G>0=|E@$!aR$j~6Cu~pImO{ftC?2uR6wB0WFuv13 z(6M=1VKD&xFk#Z*I0+bFT+W4{4YmrtnEIk>Nq664ak4J!6XfaUPVDEQqXry7*o0Y} z+3u@)xR8YF+PHkfr6M#>=DzlM+T$wfUS2l$k1?OrYq+nt;1CP@q54RC)2P4o_P7u4@vd*3%{> zJj>{EZz!n_X)=TuxJE&9^Ic#y6CK5XgR0I$aml_LZnv@C3hcO0pW3fAwqZC+gdxLB z4w>D-Yui>y6BVLn?L82JbeFIL7hG7#igpBaasu{q21f$U1Lik$fy+_B@3>}EdC9~k zSJq*9YHEI0%OyC;Tv>4T0hgCAbD?>4Mp-l(psB?ywuWHi-8;CU0Tb*`~M<7ETH} znp7@?{tOVaSPtPOnRc2(Oo~c~$989&xKWqYq`THxkmkt*HP{R5ykFO`=pV5|t}&IF zJVzYAF8G&qby9{pv#fDu6yLh|_9B3wB)0@SSzbcWni$q?-L~|G#&c7((5ld|36rJU zg+pLsb5da{Vq3LoNW)S_US!S~1qViuXG3JNUXDVGgwq%lTkbIysNX|9Q@(JA9X3~v_|jl`y}t+Fx{hE zR6lM}zc96-aC+|w?0$El2Biv{|HBEv z^bxgRf6-X3G|zfY?;TawRd#mw3^pBq@dq+{L6?>>Jw|<(=Vpy9r+>5K*Fy@h^1C2D z>)Gm2Hg?Ifk7H+i5>{X1SSl96Y$O<$rv~e=EBE z{<&_sXWhm{Z{S5qKKmDQ6{Xqi`NR2rPtU&p_!(9tHTy%9yba-LA24ISK82-`kYgcA)qQUeC7u#9SH6 zZ7r$&tRIp9FW9G@8@&(i(kGgZg+13T=}fTFU_QQ*&+Nk>%vhVYdXxX-;e_5-_|y0f z)^-813df;#06k3WKRb9%Sr^bJ%KcC~0Hpl(<@lG>6$j8q!9C6o@{gHqd#(3V->d4~ zC1^K5UiTzc&QUWrSJ>jbZfv`XK6!g-oz~x*y_)IKLEi6qNERZ=7U=Fe`14K9?+ro? z;X8A$J$+PqfBA+wFZoWL{ms{AXQUUH0^)1zmUW~{{u8!AfqxxyK1BdsCb!7h;H=~s zHMdBB)9*jB6VLs@ewIq9K;4POA0W`lwNaVv8oQmdwkXCR*#RPKUHgBp-X1r8EbsEsNpJ-ip^YGEFXPa&f zBaocZo$(vech!&cV^93&Z$UR$#x@(9^ah=JT;R40!$3DVpki+E&3(}~yu8lz-+Jqj+9nYG2Or#m=RSLWP=!{o7YaSFo9&X?-h!S9 zpM?VKlom8skiAi9lha*Y#X%^2M6S@4%JsPLV-;Z415Z4WHm_+1;@j{xsBk=yhIi}x ziFQH04jZXmwBf1}yy&Qvr<&FLVOD{tzz4s4=j4F__S$W5oXR`qGOs&8#k z@9~|A!I34$>>!vdQu39;%MmDxkw>8C2?dXQ~!w3 zM~qxolqGZgM$?&aMYnc@>+q#~FL`v;_>CRk8o604D%<#9-m-wHrOF#Cn~3i!9MHoW zaDilK@cJeMd?a8n>0pS3u!?oe-UMeN@Tf+HwfayPY{2w4SV z%bSXA!Gp?4$NbBt-}Wts1GC*~!(Yq7K=%Xxd>kJQ@LSZmZXf!;BuAS)7ld__4?*iB z-;X4Cn@6QxJ&2J`+BhCgREf3TaF$zOaOMoB@io}J;BT`#9`G3H-3^CXhT$1MW zHxL6*+F5!^vvFE#Qz(52=SS5I|H(-@i-x}c?8mcK8@`Qzt>eR|sVTHuF5lN0qx$Wp z_Ur`-JSGsAE-jcd5zVM6YNK2sQX>Bccz`%8%*=eNkV4XaSe%jaFP8_f=@V=0L< zpd*E!@V*NYDDHsT8FC)3`y5gY9>I1CyOV=)@nJQx>PqR7M>L>tGd6AAFT(oCjsrw3 z#KVFr;zoHO2Wi3w7X~Z(5aUooO#{&y+`mc|267#?Sd=M;t)(My2{%9$=wRP2*)%?f z8!MWz1+*>$NMtXK7%kUQt5}m_@tisU4witW^P5aS-!nil@#iV64`ahF00mJPQ84L4 zVYz`10Xhjv1`WsG;y|w#88qY#9Y8#5REF)Ws9F?r^-i{$I2q;wAh55gXD%TPAZGax z{IIa!p2nf6C%FgJ7NO%vfFEenfRHU1H-9FDRLABgVSTKIc365i=Ro%PvfjSx01TOY z?kmi?iVm=;mPHjRD01#Hf=LkVg4tu)YMqk@H&Qx8pM%X^;lzkq0V)d|-m%XNEmwzZ z+txt-_X7h-4;e!Kl3tMq-b$J0X)g<<203PVWYwjstZ6|L$5zN&ad}kTI0DMz1$@#l zJPY<}V*}}ZN8XQB;iXp`f6FY>-~W@TprZk;383rcxSp$WfWdIEITJ_oj)2d9;<;PXcHXVUcoJ|LnKW-U{{d;xc ze^FgH{R1Jfgz*-e*{oYPhlw_!?0t{5D!ul|c#WYvh+jW2H)8+^g$_AF*6E?&qDNni z?In8RBG_^++9k)xm7-*r087;5CU9S|qr|is`Oy_S=?r@pY-`k>-)PPUfFj#1tH3xo zDn~%a(x;8h%0L_?Tm}9cq^ZUhS-X_B;d(AA<~)OXWA3`c8cD`+xJAM^noP@u$m?)n zoM&C?v2^s*`v1UjApth3uxYP9^bntghHmf)`~kDpzdc}!8mWK%U%pY}z}ocbcftMx z9zY4JKSClTv9l|`{u$Q}=4j5y3A4G6y{0@iIvbm9@+?Ck!Cvo78^Mf54O>I$!~z>M zg6y8w%dA3!3zir=;hll(`U2=OMb}uZ{F683Y2~wHlc>=kOC5K@n zd>()aqlr%HF1E0pyysriTkUF`8wM~&m)6|2&5RmlWX0w!s=^kkxp*vLwxJVjo$4Gl z(Yz%GZ`>>V4ElDB89mWsN_RLN*f8>$5rZlWOGeN&WXZbH%D48yR5))H06Am+nOw6& zVxfwUDPc{&p*hvs;(bb1#Z0;jQ=J<#2*ZE7S-?J0##%O6jo3yP&XV3Jld|Hn)w0GS zY*P}qHSr$nB}rDiq7LYmujO*WQOg{n+f@qvX+EHXw76Z#&~;G9URE_V(Q6uBW2qZB zQQ92a|BDz89zVz)I2hw)Jy`5=ju_qw(CxC4w<=E>TBv3ls8tc&LBX-c8Cw&rDgNsO zUt%sgMqSO$#*=DyR3qAW#h#Qqh#ykpt_CCS@|K&m38{rAGfIezA{8r`B{H(vRyu@e z3WFj_7E#b;&VX{D&$Ahg&0ss2fS(Mmyd@7g%(jiEGE_y|&9DB&JupU0;B-F}K6*)e z1JqHV=t~@Vv*KN*z8VdVB2G2Z9-f4SyrH$-?Tim=iwcVx&fP&*TJ`G{!#^i$_p<9C zWPm|_yk~2XA~&3>I>DNVIVCr3aCk4V%`$Z+n4Qy8%)vG^hVWiNu5qhu znW0{TgOoX(HZf-wES^3CnRn3lIh??*v}qmu1{%e2LC;r`v1uhJ5WFXNiU{tCIYdI& zk&>c8B1}6+NHT$Y%-D#b*A0+E2D1ayj*4>u*V)qvm)j|s#W~yG-r#f-)33W?;1wLL zNXUPoeMGauwAUz4JDfPI|q4fF}6xaizM+D+AEjdABE( z*lHA7t{LXICc>bO1}tZGhunskzRwQ5R5C#1^V>r4byP4TO|$nG&12?K6h;+lA5+Iaz=2E5%*0q!v*F67>e2Xi)ZI{= zB85Pn{lsE(?9tm#{O30aCQVG=V?d9}f_=@b(T$PTy$J6ydW;a8rmUdEm(}+T+~c$i z4=*D5raX~&bUOD6i8x7niM0NoMPorJU*4>?p)xE;-qIV7L!S*x{XB}_mi5+ckES$|&{wSj_`y>2PXDVofa(M0yn|GjMpgK2vPghUhT z*?TgSg0=^9pQ(99b#9{;JA@gl>d2mThU`Tdnni$>gtLYSWSqb&+VKoYiOIL~qpgu&G7?>5H zv5D#vpt?4qUsJ0&VO$8zn-++cDVkHM$k`pHnJ3C=b@hb8Ivqa%-8tt*c3kB0%&Aoo z^@D<`WCv9ah&!A`xyQ;JvL^MAKm)3arZJbjn*&rfMYFK1bc1#_18#{L$tG=1lezFL zpq?#|o`KvGf{GOIVU%ed#e{0T&j74}VBVNjFxW-huBHr9!!AF+qY{%`7zdf?XM}HZ z4VBRn%z^Nt?cxY{dWI9QLHgqdxsAFWTg`&-E=W-@z^UKaj@o#d@4=liHy3UL1(8rY z9IV)jHpe*-x^SDbwv6k@av_>Q>y49Lo>0}w)}C~hi?hfkd2-d7wlL%brZswZ(?t`& zoeptM5CZ0LWY=Oub-7g+I_Glob^185;4M2MMHtSHou6~fP`5gY-D0fULK_Wo(=**oZ~kI8CfBLSzNXRtsvk|75 zaXPP-i8a97hG65kWQqv8A?3Hza^<9XjP+!;OK}jiTHOwz%`^YF111;-#dwwz=w!U; z$UOYNj*0RTUDj*~mJwkR{?X2}iVGt?THkBGp`6=xE9FjY+lX z-By`K8w?W5Z`?{mSyXrzv{PJt^L_db*$ewn{J_V&U-SOn=lA@c2lIPZe@;1} zGz^tA?UMojYoc6T30*8ZMhlUegIuO;fsl2SsSJdH4ZR25SA!&+K0w%=F5j5E${J|$gn+`xa?ue5*~(iz3Dhx z#xk?q4GHcH^8!bR=8VY3>%)= z(jhOTaxrn7Vbbc|j)|#`I-6BBA?d7)%78==V6i#Gi;*CE!^igt*)(dJvNR@}NLUzB z6{faIVjANq*fHef#sfu$t*)&C*Tp;y7{dp*v-Xk~lX;?8W!*CS(KfjaqJwr=n?*r` zyJFhmS(w@FMKL#bY9ZHzNb@<)ebr&8iCziry%Vy9OlXquN2l#RHwZ9hf>H>^MSG=OSXeFHuf^G@dtba37+ZN5`JXis&;$rTeyQso+}E=_y{3|H+c(7 z5@M1yUtk+luY~9#{|I^*>=L8|4rTW>sP{ST)9ryxmIXTz`U->{>t`&5)Z1zZUF^-O zK3*$;1cg`FCcd4J@!n`S`r-CV-;c?5A7Um|Q1g-?>w5svu-=uF_u#9JI|1?P0lh(6rxa=>LW}Xy> z#`{OB-s#*J8z1Bdu!rNq&Kav9`n-Kb-Q~S9QDjnz26E%~*(%+Zp854(duwd7g`Af8 z>-M{Je*3ok9mkvarlnl5k0^uo*7R?&UZeTlXUEuYlgM%98LnPV{}f)`y$$0RSuo<07ZPriCk@!1&;>&|~;Zl42<~p^J@&U8&UK#BT!#-AjdQ);9;%r^;v}+Z)6~rvto|FfUlG7rW=xQ@rja zT;0*&R_Eg5%~#mnH>=wedbe0iMg0C_Pn08E+yB_BjrpN{n^D-SAGPO|vqRs;r)1Z1 z(rr!K$KyBrfvh9sp+S#BbPt5lgOkOye9n<-twKZe{mcEULXXqSX#D64-(+XaFWCai zKpW>4vrLu!amO9KEA>f+Mp-)`ZGr)mqOUoftS>O;I`)qZQE0Y1V_-nu<=WF(IOd{N zhVbo`$JVDTIWGrY-rLB7BoNBoev^L`_RAZLDf4u2%PJ)=RquW)|0#th9cT%q9(fcB zN&Q>Od)B31Hg)fzer0JA)R28VC+wZhzCTKilaQmK;cQ%8#rVkQRxvsY3K;5_d4Dzh z8pkI&@f(E;ykhP@ub8 zB#Q3+t8W^ zjSU2I=c!J-8GoR&mFCHiveS;=04dGe)F1Q&5JIS{n`e3Fj^(M|i9l2HDm9K2udD`^ zftG}CI`U5P#u$QCNE>HmXu^VP@@*%Jv=;i>oNlNJ$uUM@sMTA&T|gRbj(MD!Cax3~ zIJ~^6k2OnYoTL;MyLu3W*aAPL_0+b@+BFIY6+=|8JfSbwSl-0y`qh{Ww}zM%O3Ju2 z<*|^qg#*phbWix%@LK|7=g0tdX6(unl9#V?VJe_;an6Jy9WDp%0K;#k<-gb}usD<{ zn2E@NGaAk@SIME6nPHHR-xP5996=EZRtfr{rL2N^dlF5eiTlAV(G#KCAD~qDVF^DiaiOTo zOSK-;U8(jLL`W@CSWc{R*OpO`DyeDdJO3c@5cbB-{+M%$z*hY_!rC*#WqBPTwRrDsZ~*zNCr zbvW2T1?H~k%qBwWB`d7+(3#OVP#kFqp}CNl?FL6d(6eI%VV5Uj(D`RU#l6>MW;kNp z@YXsyZFfws_Z4T_5K`VcO#w7Lnpi^_^rZnJRehnjW?@+8REFRax;FU|1Gfc@jagzA z@btKhK|wvl0rCGwCb!zZ=h^#jnB4BKe8AUX%yZT0urdoYw%gg|ORZ1|NZ>}glkX2! z_$Aaln$JGQjPW`tl}jE;@p2>kn=;-jO)5Y#7^ zp_1x|jg@lhu46R?K~;;)&W;?8+$Gv6lD!X7HnWjirm<)~k#AWazn=eIb5kjj1zF46 z2Mba}xu={!oznKBbszh|4CU7_Rsu+fEj|5fEorN z=Kp@+j`II_U;@7X`1#XnN1*o~wPlRM$i1(^>C8S~Uoo+Bm#O8joNB$<6)T7hatX&C2aDMg*+F1n(g>1cdWR#OocKy-1gy_S$>2i#2->53z;WtR1pEmBXZtSohg zB{ppH)h8}6!b|!Y);+7kSw=Bef+`M;mwXhh&H4_X>?8?jPdM67FYFa8E4w@HN*+zK zvMqS&AwO*?P!~{FK@@V|fd_zKW-w|eM`KYg5}zbyRhl3jmKnZJKS@E&k|}RnQ9o%& zTSp6$H%>a82~kMu;NHm-(mp+y^8hI=ccj;2tMuC85V0ZRkQp)&=($v^Eff#B9D1h9 zbmqEtMh22*Fb^2)c|CSFx+3UioQa7A+f>J$P&3e?;u@gYB1Rav28>Fu)xWtyEbBTuPzY+)qdsZG%?Ks~A%qsyoEfNa^}kdE%{{;Ya( zlr!#!hs>Er4CRN-ip(c(TBF|Y`g+(K>t-INt#kcl5{l*u6j!oI(i<;moow8ePnbg7 zdcENwd54ig<*V77wq?GWKIa6hRZ0tOFFSVp7VLNy5%XN8O+=}9pZ@g^s&p9uAbC=x zUdK|_i5*p|x>qp2LTI*mV!?>S<#uYB^}D0iDW^DKPPK8>`;SAT`KwmyYMZoqz96xn z*k!d`X92xcT8Nxc&y4PkCaqsY*!*(m&Vvh0!N@MB%o}%8okaeYDmQJX)7&?f@7z1K zb+pUgZgvNqDhlR*tGtVy=c;98$PcNm%L83xwknV}bHS7~5m+61I=;xo=!C?>LFlv7 zD5?Nm_@L>h0(b@?!ieoAF_C})D#UY!P7-F$kJ+>Ou${PSNt-r?a}Ge0OZo;&Fek-@ zb}cb9(Tf0XH7p_+i^;B}02k_N$vI(hl#L0rf;-_HH9Zsh5o5ky@Is?*$i$ASUW=|5 zQjVDDz&&2DE~56SLlc@;Nv!19F~ftzyoFE*$r#8hNQsa5fUBUpG;8S*b3&X9B`E96 zRe?7F-sobWueL~Lq+t)&(`4l%w(EaYZ4)u;hP^f!*HF+T$BA&y*sUW<=q5SGHQ(TL z@5G!&0o29b`OAj{ic4jVU?x<_)uC(?E=P=JCnEzefn$@}ARFBd$B~7SCMJWq>N?cF z-@E1`n6fO}gm;3~QZ56)j@{>q!*-bYQuiSa3aIQks@_M&R_5_aj6{x_)Yb2 z1yCvwLIhX0|K;20RY#w*OIK?Vuj|;Xz%X%-nzKf`p{Y^Pvl1ehq<~kwahw{NGsa`{ zKo*TH^Xf;{lPWZMFrGd)48y%o5Vkvjx90^OurMnb)QE`z{%^zQy2OwLdZrq?A9oJw z5mybwtw9=Im4F_9^*_X{T4`5IlTpo969Y>~5ly02Lh`1dCVrhStII<25EJ@*x8T|0 zoR6+c$XnaYb~=FZ*63IbT65*7IPc2BoI_%>YO|yYLyf)!luqz*VS+FuxLnX&e30ZHNMI`^a2!Z@-rlvbz2<9z|$K>J# z-!cq9hU#1-2ta~G&SKISb$To6VdiacibjquIe7CL8156(2^g{$6D197W7l6ClLvsd zCgeG*w-j9&BD%m`$#$==-8ak|J1Nc(C^J9_25^1^;z0r<-myud-WDzFEmNF$Q}TA3 zW$i(`yVKJl0WWaJs8BUeYJzfI2qbkqA-A@r7zaVlfF# zj@A~DfSDx$OgzPa=?k|vZE>Z9rVuTZkm;yr4m&1#JE207f7l-w zbVl@Dbz=(#S1>&EG#Ao=X;?g-W3i3Y^3n7@DiF_hu(*g}ZxmuLIj#ddRW!Xoh{4>% zajg|DEHMtdThc9Gx^chYSXi-G`JtG|g>U5iw7f)zX-pKlZO^s`aO39Titdm;T`-lo zWGqyW434a`U=5Rm#f=3_@7TOy1yo zn&h-pTGADY`2<-Ol-vkrNnNRL%OL?`X`5PBJ!Sypbs~}lF?ZpTy4$H;-5FnK_iG4z z42`W0VLDMqW@ke&3H)$S&}AGm8HUjo5*1&7wQXn&H3wK2@Rkv@y>H-If^gWeA-1j* zP{T9bPK9nHq9UOL4tfblX!@dcSX)zdDHYNxP9YO2tl<1tfHfbv#n55kiO;Et*`Qy* zstu|vf$8N+F)@L6bGvd*kdPp}HOc*u;4&EnDtizmdZQB(mhGRvv;k*1bHR$}fI1#( zNH^%_ppnx9Y3P*}qqBTCW9Pr0bggD5oq=yp}-_#)9M*P3r}9Vv+MIi_Kfx ziC$bN7aePsMQ3d4Q}edz&&|vPt4(S(o9whQv)TmbJ`c3=*hCwdSB$X zB)W2Z()PpO*@1Shq9 z_F-MW-dt5ql2d%Yv!FsQT_YFF)ZOB#k4MDh&?ewuU>=`-VbRv^YFcl)#>IW=bM|Iq zC;7_~G`5rY>x-v8oB34#)~>;A=f zSIF(zQo7s}_g~{@59Zghl}vAc@e1jhc;=DH>5E;w#k%}dx%n`!u$|@jb#mNaFbngA z-VF2%*#2yJ`z7{aPE>Diccx0FKo;E->XwEgYk_5*FI^69vfo#u&t>WOjr1*Ew)XUA zoz2GYc3v}%beDk{!tm414;3F^AfiGuB7cG3EtmKFp?*Dp7kB%A*a{R7$Xj%T>_V@b z^Yt0&ga_t(HNK$-V%EUro;}WLur(Ory{|S}DXA5g*Ii*X+IX3%&%ftn@%pco@MX^< z7xDGaU;KsWo$h-8=|l63d%~0gY)|2n7s{_b2=(?_OV|Bn@t2wf!>!rC!f>(2rZ^&g zYST9LN-C^yINHRt1d?>l^N=$@*zCa=StGDM?xP;1SUQuD^$v+jq- z%~06(mh~x?k)reLQqaG;9hwq1e6$8i^MyM>&{Bb_M%x7wBlZpCv%j}#q0u^-aqTs* zp7fs$mTi^IYpjjk4T4%*ZgU=g1RamN=kud|&~E|%cRMlWel|v&XQT-Uzsw(J0CbTP zSZM6Sxa7xphKqUf%I@cJVv76s&2{9@viQ;0Hr@s#811h{@z#j{JnPv ze5cBTXNtJ#Dv;f{Hz1Jg`&0W}!$_b3wjHSiM#c#FDr|J)av0R^j+)|f>M26i_pg0f zx1@7bUK@r<0Q&caq3>s-K&0rhkEV;!f^<@!yLf2BDL(mcj)4VtQ%86{;AL~xnKR#j zyRSLi@oy*pzp(mYAp(EA8(Wd;gl9Dn`f}Y19Ue%ghYZ67kwY3 zV@ZXyiog(BSW?WwH53sB(HLk8LLB?upa07TEsD?pUiMy0!YjRL;SxXI2#FD)Fv9CX z_g0oE6-B3RTIWV4WCyKAf{5X+T93#&55OxCCT+;CRYtiDMA}tAXH4;XT_OIgCrn%r z6@pAHd?`w9ZR(6m?l)LWk#3LwSwhDSU&NmPIU>(}FOk0`?}iW?AS|(=2$S&e3;@7_ z@Dw6(2@SPzT9AYWEZ&%O?+;l#_h-)+67v?G+Jewt$hIMdLj!f;w&f+dGNxca3t~6; z4R*b0qExs9Z6)4QF@@}L@TL`-_)SL{@ouIiJz{Ay`tsm$v##pt!md4*#9RE(mWc^N z2?EQ8a%GDJ{!b9mt9UQb*rScD;?2MdxyCMVF=!-WvCDB4W=+612mE5wgc7OH0*13h zbnFzW{yLWcPOD7#l}`)@77jm){(Kl*L zTB>GDQ5aqZMKE!v`r`kP5C4CY56`^AzZaXOTdm}I{mKG3zhSfLocn5(^Wc%|gR72i zXDi0((f`7anspu~&l-7xx7@VX%!h#e{*~R~XDT$iLhEl#mvkdDVoYhfDrL1rT_xcV zX`45GZ-DEM-XiN;qhyXRMBk3G#>r>=1#6dA)l#>ZOj~Z!w@AT}6IZ>DF`@)iwHuAmT@I#%=mL}6$13d5`wJ-M`_&I?E`2K^v|BrP0nj%(6Tq(FjjXXqqEfy(pUdJ zc+1*xwS4K&^`0|I68w(s z70wr_wctg#^_1bV(%6&AAp>rr*SnR9-43n|PmEQkyrd>2HEougwo2o0d)-Q6&+-^l zOMD@%{`0RrC=kNBv z9fhRwC|upD`YvO_Ff%Y3S^+;%3ED`A zHIA9g+dI%lA2n%3>sX}@YcWQvYDQM=)J(w8C9gZM#!@ruGRvF@X@kg5%3m^pJqURw zmz*;rg$7ff(UQP@6QfJXYU1uMChlmYAUZwDoPDO)t&e5RLKkT%16X$* z=ORw+UhFLxmZ};72WwWFiRp4dl@oznL}?bu6W`IC8HWaW{!>8 zNg7^Xfspc!$cv)dd$=J|gQU6G7`Q&U9SA2*17)45_oUj@zp##Q6|&@Dfd zrlI(8IsoK?4O0kNb~$B0FU~HSMG?b8T83rjIKl zAW)C6y|mq)yyAd3J*O5zgmJ&;M6-6^Bh!3J)At2M_MXqiJM@z#rFFUMqn4L?+wtvg z`Vsz;E$Z4{a?SC{j5ae~_0{pWNJ@^fG3pmfL31;)UbVJEA@&@RRQu5Npr6woYp&$Y zQNGEbEtImH#9h_Cr7k!?4%``H$E}%B)>a+bSHE*5W3EvCdOy$S z1^{n$BKhHRDRafE(EQDrX>J#TY2GYH7o6}QcaEw}*)F~C1)IcW$M&tTZ?u)jnPAfI z@?VjiDN8-|)EpOHeKt46tA&bAUi=B}ZJK$8wVA$VbyHEW-Fra{+qj>Li9^hBnJCl; zvYRS9-gYi{JDr3Mfo~JokTLH0mLF;^0GCmQ`8OmQwIpBA-jc&sC(+%Mh_v#$u*1EB zG!Xugi%lEmmcz1(+I?wCb;ZCVbPUs)Wd8yC+I&1wf7m9g9ADhO~=_#yJv zthyO7=_vKB%pFtL_mW^=k}PRZ((s&^R^^DjqUIsTJwNJ=YTfc=$y}mKHHGb}B?Me} zjIP?Xx{Jar;7m_W+MN^yex;y5J$V2C^``=VKrsCxkd~vINORm>@2hMA@@(Av^5;Kb z4#aLhASUVLuGbUTX5g4O|f6iIL=X@}Eeb)z6p)-t1>3PVG$J@1cqu)LD; zM|Yobwm7cnRsjZE+8CKDr8l_Tx@no~6H;5nj*nP*%Sc-*VDe04m>k<(R_7f1rQOAt zXUhO7LoojF4JK#&%OCqi+>a6}>B!iM(5~2tPODiKhLi2g6Pm?G7IAY1_+;8Ku?c{a z1}wc^cI#vxfteSEOanj1LYTu=fHo4S(G%ncRnN^gfz=APXD3FWlo4r`$MK_|2xf!Y zHr&RISJXHZF8-|duo`L+K~@MwwQ6MPLF6`c3A;M$p7w+oxFHjcVK3Wn1uZ&9ytrgi=ptA7YF`kfOO)Oxmz&78xxGScL^O|Wc$HbTqGB6r--UwkAB6du2 zfk|pcV2O!NsHn>^h>i7v^K5)8W|~?H0uB`vV5sQ2VU2q%9@Ae1`^XRRgXiB&3?o zjn{3hI{u_&#x^2k=I9PTh9pA5fA`mVUFOVNg0N2!k|I#c5CK^w9wGvc4~Lym3sJGJ zn?gdnU~%rtq*)!p6QTjxh@shSBsK^(?*>e7X~uMb;Y073NhbMJ$k?VW#!8l&2DMl4 zpo6W$(-g9aP^h!sWTTFNcbFY@<->ovUo?>dmm*T1yV z#VwIV%z(6r!0+w-;2DXA6I(~l3?{_0I9#LEt_tQ-TH0*cfqE4qy2Q+ezcDLln?C8#M$SKt>wrC zu9nKD>1F5EDoO=TPV8OI{$u)l<+}HZ_WET`ZsAHDCwPOH%#K}Pcc>b@F>#%n#ezBFc&d|MT$A0tJNSD4;H*t*ZHX6R@yu)cB zGQ!ec#|xEqYrp1?@P~7QF+F{kjN3(Jw1FNDM!)1WjrhN7Jgh&dw`hO;%5I(8`-Zx| zuATJk!4~Ud%PYnF=bA!z0zqz z{2^`qgMY<2GSNZ%o@jl$=7<;EivRIwZoY^4NH~0Naxpb=gg>T&b*!e|D-75UHfDtt-nLZ|1CINyLf zDIBLCOx+xtrE|}vYB(=+yK${?`jZJ>Qxkvo{K_rGJHmfjE*&APJ zV}lMdoA8##Kqhv z?zMM|5j85fSoHqHyCcS&k}BtR5}#$HH@;atTQ~Q9LuZ9^UfbCR%iFDo<~L1T*$fmk zz7RakfP?1sA)6NIqaQN*tVye^z~u7!jmAfVI_b6^eGP);iuKSDd;UQilxb={e_!U9 zJ_gPS?eHO6Z=d(uj5`6}pQ&i-8)p|Fozeojb7cpGp2w)&c7aOKPna#@2|&|_zMtEe zvhc}M<{ZONig1`bF_6oAlY(8_e?X|aV;CgyE_=eI$K)MH%y7|Tw`(rA7Z6>uyVanNKg9IBt%8yQzp}}rF4)4a1RS3h>Mf+DVVpc1g zl&u}L@ycE&x_D})duH@-FvP}A@A+WLg7gWWW5VIo%c;2db~y!2=GydvGP9Wbs70of z!^XT4;}f>DP2CVx_zhpQ;~OwQmG=k8;~>0N{XOgc=S3rG&t$f#re9%{d#Qef=b(Kr z_%y2A`dIT|P@8vNfAm*v0UYZ1I!tv5V=@>-xMW(5mDIn(b8J4&Njg+~ns(&kp2fUvLXF%ETs0opfKx%`O#~hX$-L`XsaE1tR z)XT-O`+Et*pCmE!1ok;!BQS3jX&+-55fgqvIm0OSbEM7hFMGlXNT6%PhrC1|YBX7$ zBBo6FwpR#@zLa3qL0AQ|AY?PpP60>*osWw>NC;cT$f}9FKp;@TwZsUQuaSCDh`rl6 z0hWpwQ{`@Jd;rDE_c|-?i|mu=G{Nuoq#n?6m{c@nN9R;Pnji8au>hGDbP*;4t_5>2 zQ0kUpQu`8G;)}#gBUZ#UL!>f3sZ+ z95+N_;A_A8zYHZ1;LIVsO8g9rK4aA6r(F>d)trS0s1MNUJ(N0j{}LD6K?N^JgmeZy zZV+XCPWNu)-Vza@lTG6xdt!Qb zGr|yfa#@lEPjY15#cm!5AKLMf9>rqiw)3oEB4B>wGM;wI4oH3_-veVk3Iz}k zrI3uq4cnCLCa<|8sI*x2RnyYeeB{Gda1K>Ed85RaV+yzY2T}Qn6mh<>qc~|wsk=U< zo)ZY!Z*no~5$TlfK{$_+7e8HNC8N>Vu|>?9s~=mmZ}>;_s}C>Qqy7o|bIHw}53f0QC+OyXm%H1A?OEN* ze}sQ;_;+;vG`<=2Puq7V{y+I9|27qQrXke)C+UI3I#&N)b7o6tWL`_ImJT?be5bd~ zo0;XBa$=yDe1xs3q2`s~X;LnOhWwa$*u3mqDJ@&g!59f1pVpuF0n=Cbk}3TXusoqSn)|DBJ6^ABGBW-Cald)p++qCzx}!P0}es8fBw4%mNSl{ zIJj8v|N8d!CvKab(mZ0562k0#hTYt7%mT?eStSi4QPi0Z>(7rypnJQZo>Y)kbUY{N zSM)7bJ0+==vF2bwzs{1=%a^qCJG~peqnF3Yg*$rQInD?y|%Kft_+DI>>L$P4VCZ`rtSmPq z`}MKO@la7T3JWdO;t(A+le=>VHK#LpMy7R4k+jSedKK2O8k2JS>~V2ZCMz4UV5PIk zr_h{qs+J$_G2bRntpxjsy#zk^wPRPxtK=_ywK{9sCkFsPO<1!*lG+!YjA3cB#qH%c z7rAMK+_G&qy`=f1P&mR?t!77dOVns4hL!i1xq1F%x!j(4c$PI)(%JjF`7&K9p5#;G zLfR4em6aNu}S+u62a0oy_l6N-HNQ z3)56-zL9|}7nCe0VZW}@=y}iFP50}{GOq%f$!Rx~OvtV3?reYjx-z7NZrR#0Hxhy8%M?!zY7ysE z)$9&5r)u|_sS&+fezC;1uymKxqYHKCo7nD8cQ+3@gKDD))f!W3&RPCu-}C#NcvDyR znL^vNHE~%tXGzkA26x1Z8rm{Pt}X~1Z@2fzhT?jtrML5#7v*LBq!F>!W#2_2<_n{# zwi_pIjm@jb3ZMx;Uf!p;!No5j)nKQN^UI~{D;F|9v8}87&RfL$x-;0BrejL0^NI68XfQdb2eFWn{Xk*1 zI8?ln|KC(-A}B$F{I%|H*B2}miaL|Z3p0T$kH4fPOJlL=r*RTxsKHAX{V@ z`s8|}5AK&QJo@Mbf1=EV-g4rd_-mbEPjnThmc(n~B$tVS0l;!jQjw!{qaZA)+{^f# zh^=CwC5N7ZzSV>#1=&Hp1+0lgC{XDpANw$fvs%mx-W3xR-WgqQArT~!iLU7 z%)H6P$=#}B3S4h%l!0Jc9!+`@0*VEleCpwth@`*a#g73p54i6L_0J~bV|H?$xSl+% z`BrZbG3S$-Nj2A0JC#llpyeQOzu8`nYjzGQdD>g6=NKikmR)E(qCE+3BL}(}fJTcC zlkbMOmI&=9i~UI1!_7Qqj44I=by0AaKMA&@3zUNYPu!V%J!|r#C{;# zrN%4T8S_k2bA#9k%wK@EGi+@VmK?w3`5<1`8zF2|oCOGF&RENW1r$%{o4^*8GHk?# z0jcW30Me>|_jeX^!N6Dt;QnRn<+VV>J4gtz|6}x)+^>6n%1J zcFOB9Y&#fN0?&q$kvG&1OZ2)xTyXk8${n<|rU}aS4(ohHy4Sjo^~6;3gQG7y*m;_7{IU#-REJT0U-)79Z~# zL*fXp1zanF083*V!r~q-xmm@B+hS3K>O@!`_U$LdJ|iL82~Q3;A-iO1L^)H6g_0VP z@LKF+^FrFpQKzLKQP5)tok^t@+n8;dMIPvK8tF@D*{@D4F+s^-;bT2hoaq=AM(BaUu!EuS zW0Ei#vXdgzG4Svcn6xp>Pq`43G@GYK{uWw+OQh)YWlL+~nph{Xge?}pp7)F@hx1Bz ztqZ}_MaNunL@za66Pf{0xZ+Grzk1(@;mA!gxNln9}~0dKCFCQT5@1xjzap#<8FJOV9-s4e&_zMm0s7ei`E zCAI#D!PHunVi;88)8CNKSTRv}L$sMG(8L|vbanlc8qz+X{kPM=5)9%D7~Lf=&5h8h zS~tW*FC0Rb>Jg*cBbsE#%*uQxZ;SzZR47FQIY2;ax5W$>j_6j;v}pGqFbAwg5H8E8O<_#eayIUhi1?D zYCA0_)GE9cbSC14WAlCg$0hm%`>fjWfL}Oj++y!o{eO6j1qRi+ujZ@MySyvTGRc?i zdUD7w^oBP|+nrN8#_gOPDH9~6CsC~Q za))!0B^Tq@0m<6GZGGf7?6J1J7N69f4PMpw)wCR6FElR`g~Tgq{gRVj)$7-}W6Low zpMS#G&*w)@WuAIf&;I9f$!~RbF{FU>?zNR8xr#qIqw0lsP3NsaKRa#0vPugU=>q+f z^*d(qsQKP^O=}B#OKmb{$W$cNMK@_LTc&x+DRkHmY~O0W=udWcZc2A457=o$l#lPR zUQ(N!{;?z*Q%GauW%DM}jen?KXWn(^sCQl;^SspP?#adhzxXHmP@4a*ZN+M^ll`ZT zhmYwmPn>F8{ZN~|jVrUdUmwz9lC#A!n{B6JZJtTktI%SGrwaAeD^r5SWL(T_7PqeC$cUB9eT8>ur#epYr!T;K z>$H7`+3E>@cE7Im2g;$GM)u`5gE&k#zd97{*A@Lc1^bRs2H<&4pMS2`p;5rPyV}k+ znfprn+se%!15tXHDdFqyn}_uuuu8o3=n3!TSE1W6WM(T$9%ubACKgHm4JHsmOBrp#{V^V?K0zj0gic{SSaL5UTRqVj+`_nZ)& zp9S3)P5t&tOW9178I&Z**8^ujy&VLvZUl)L0vcVX=ldr!64HzP!G55jlXt6cwjPW= zax?X?`Q`t3f9kK5+C}sSbIr{|mCyA&WKea1C9czEd#L`?bU`_sKX5>3@?Pn<-FvYv zv{mb+K&5Mrk{?GHaG-{7=04Yr7;)&K*2YWqvU*7wIv{-1-WFL<%YQVD=CQjczO_x; zpl-2;{oCHM!d*1V%agv~wr9j_z-X{y1c-4e zpa{p~SAzaY3Riv zSAx2bZuHtv?0&mg=h?ZR57URVx!}Oda5v1gRt+_Pj>)smoRvs z=H(Z=T!cO`s|^GuTGN0cynp?l>voNyhvMRkwXuHg!1_y@kYmt!Z4e-2!-nv}I*H!~ zhxz>>@Bp{fE?fgVuWd1~DMtfII7KO84mshU3@oEBnAC9(B_=u^Tspz+4^H{UT(JKs z$g3-PXVW`>mg=+S=pHH`S|kIN2iTm6Vw;hs{vdzXXj0Wj93Z8a6;5w3`HTiOF%J5% zAtXG8!BjJ#$)QgHaXb+SRYlUJ= zZjcZdEo0YH)Wi7@q>mls(&b^uX1SfT7MgUb(3i61z5x93$=Cpa?lZZjalA~Z1BW63 z6=&>nbs;x|?LOw+7h8__G$9dU0nQeYJy*oON-d|2%{?_hZ=Y=XSs$6ERkt1;G)!?g zVrqMyEvZX(yw*9`@fD|0@^M&V270K)^JKj+f<%6;8ixi*iPZ;^>Lg^rb0O~`daj6< z{Jb~7>X_DAIw@JAE>2ax39OWOO+-qFVX&iXePFTMAN#L(IbvQ{s-ec7Bz$-S>Z}Iz zP*_adFQ~Ok{y8`u)TA=-ql1vbMc7!X)hY8!KX`skT^&{t_EqJpkshPgL8mq z);{5Of2ptRjiJuJctrOlGXY?^-BLZiV{|}=LWjM=_d->3FBkYYB8@h1DAGLCr~Q&) z2DYIV*8nW9VoreL9JhNbluUrgkse_H=pZauGE5s&w`C^}#g_H#1@4>oxy!SN7@zXm z%4*W%rs;U5NyLZ@c-glhk_O0I^^#Ho6g^X6(vQYI$kUQ3z!8$!6!1EAs?>C6y9FV( zTqUuP5NQxiHO6fz7CL2jMRZw55iwLd6021TOgXV;*6`?SP)uV#?=v0fb**a>2)Yq% z1xaFsAcZXmtaYpw{Nism(0LFTjSpS^A7tr&k1Ty#v397Lcg%iIs~?>qPYycU#|y7# z&d|bLK6bLy~ zMkGLyxBk?!9u2Hz^@RO&P~}a~H6doD$LrPF_+6D`-g3ZKG=V^JGc)59krlucc>D(Q zZ?Z`nZVo#>hgE{ffpzl!2eR|; zhE;8+&fWlPG+*bB7~_nM+FH{ne@r}OsgYTY{fauIIK`LMUgKMB+40M&lHaY`yPOWN zW;0~BcEXNYo;@*=BwNePq;Os*6dIk6Y9zB@rO8$GQ@q}KjdytNsuIyw*+f-S3#`Sw zXv7U!|G~0ic5OANJJD1sjTNVT(h{+#e@YKD8b}MeXU9Wo`Jfb+8b$NT&pQ;N31W{m z*8lNcGS0b738m`ewkcVO&^Y{HP2q%XHZ^H^OFC%JHZLh#xRneg$FzhO;6SFSR%~W0 za0A?y&KZ(PoM6f6?gHfS0z7@vA@*j@ChhgXUoU!MtJki*ttg#jlbH%igN4_)ag|JS zOHWD`@PU{#=M4z15BOp15|v(>p*X|_=Cnp{fA_;~M^jfgyNH`+hxf1rjxlaXOB`iM zN?C0+P$$-9>;(`N;(EAb797b@*-33^CIRoyYxKHLLX-0}+l3w5j&+i(xj0UFXmY`@ zeGQDBIjx&mVysd0O||GRYeHIdTv%H5mfeTR@$i_1=a`9A{&2$8K#P0^rC(a?#x~(Bb#1hW=Hg0gqCNnE~!fhk|>q+;W|F? z%;k&G%MnCTEY?PNm@I|hsIa=-N(xWP?U0--lS5YvC-SJOybrA(Ki09Oyr0}%ZJgi#vyIG% ztHZG9Y{@6>V%C8u_{>yD0Ng3X*@Gl%?b=t~7w*nh&s~TRcOL>`gfgYzgkLS^%k(E; zq|;Sz(zPWmNnMrMcyrz@JyujBIl_4Pm!4O&HTi5gdMrQhCk8nU-3bc0H9oTAJ74&V zPm?HRDtfl*L|gK21jC3@@1R*O(gG~XFBL00L*?wrZ;#$T{X+gqT=+X)QnjDowH%dq zRJW|=pV!%~v-w6Ld1p&ePy6qw{QmHee<(jf(p5YEYVnCEvdc+O3jCJ!tET*sUyRu; z8^>>(ob&gd$)D~P^QV)-<1e51%>PtKYQyqgo1dvp@Z_(*SJx+)pHuqb2Y&%y5oNYj zv(7liRbspC7nAH}*Ev)y=8N8ia_6z)NsL2$;{Q2x^r2{^x?5Fu8JcPZhZ5)K{V8YE zovKbmj|Pwmy1oio=GDWazRvF|j|Q5;rBh)EUO%(%)QUfnJoebzbD3b=zI3{gae`!= z8n$4YTYbXJeQ_lj$?iT9o&WPsF^rO^lnURFgL2XNOvWKV|5O}3L*EAS&I)AII_p$V zxwu@-mvUw3p+=VVEM?^0eO2=D93E+LfxJ>7>Oe~+I=aYfo-p@Y(1gA5gAbg1B^#X_ ziL#|K??7%Txs=9hyB8)3OZj&z_EQ!8f@%BNiu#Ps4^6_m(m!Z_QRSWdz3LRYAp!A#qEj5n3n1NJC7{aVtDQn4uA;$>;_XJWBZKm`L}g^Fx&_{-S1M5EU#&V@ z_hd@B9^_8Nt>g=zE!Vn;L4R;=jjkgql-?V6lb29VhuwblHtDXBEsrSW}T-^S(m{?mTHHp>->F{&yLxr zL&YNH5Bb%WpDocMG=8aE+>*@~$b>9zrs61D-cdXeG~7S=d0C+Um=D?Z|Ii1!dE@_n zgDa|R2a1lEZGn}Mjlc8Jpd$ePYOr0htAb@^`x=Z+C~Pz*TakXqeo&l_o?`9Bg*VB4GI9sC9SyfBJ3&gLElB1c9=uPDRXf}1sSJOkl&1#U3G{K+qoE? zAHkMedh%jk5Ft0uo6LooF_+&srJKW7sNUg4OVkkN{d*tv<)YwkyOrvr=Cu}xJ?1(E z+dbly_wyPRf3{pB-`!;B)acO3f>WDeuqS{8%ZjmNtB|U^@)Z9cS2Qo|RRbKpk!NtU zYMGK7vtYOYPK93;9Gpp~LW_M7qpOfoao0L;p$;Y>TKST0VBjfD8u7TqFY%7=kn$x~*h1F30 zxrld{-#QLSK9v9f!Hb(8`Smovj_I3eoqzjPCmsFzE{;SbogmA*S!oBR3_o&XdxOYx z4yhf+aZn)4kKeO~`62>=cWxs*0SZuSv-<;-g+R@;x6-9ZoBs)3tM?3 zavRmm+o&Ke30P@Va6aUo2UV4)iNB-L5%AkarW!c+#=`#x;)#V%nvu*%alhqdFCgOk z^5cvXpzJ!0cU6uYsj|G)jX(aw%CubL(Qm4HvHY-41@?*k4=N;X@*~sYZCQGXIx;xS zWuQmn9a%dsU%X(BnQd&Ok(cpUy!7{!oN{lza?u>SeeX)XQp^^&B)tE2?j6az7s}CxELvX?ktCTeC0hJPx=sl{#2nvy19>;OmK1PY>Gc0cvLi+L z|5%J%nHM2YhKl6+8z266T*`lB<+~hPetYKwiE3nc9eJDdgM|&!A22ok`hRhN+2Ff) zjY9rY`BM5qH-7bd&It570}XhWQd}@~A$LUkx$gA=cmk`IOhpIM}RhnO61W46kF8ZM*ZdYAc*#g#t6Q`8pnCNADr4u3BH zOG!EZ_x)45f6jkoGroDS*L-mqu7pLsTpc_a6_<$gt~~AA9AQ^;h0< z^(SBaR}19SY>S%%eP4)u&>zR{453^cQ^JAM@dC!smjl-u*%JN{trQkE^}<(h>ce`XD)< z!%NeX2EC2SM^%}ir=oG?2j*O)e!#P$g4v*XSU;u>XS(%~=XpE4&GvPVaWC^k(Q*(3 zM#eJiob4vv{v@SX6#w>Z_lUh7)A^uTeup`ZmNTQhiTW7@u}|f7f4FwmcFta2)$Olw zxSizXa62qR=J$X1(=C1ZErlVzj~?qqb91_Oiau6)^2*@DNxi6B2N|<%ZPC+R z%-H%DHn8B&29Gd&8FD3CpAEKOGgC=z&Ts{*w|Oq!JZODM;kIjiz8KEs zWA^A(d)?9kUczwaeSMfzhX5gY{du>&@ZXHS>GJ^W53D$NBT}=av@o zUblR6`wvI9xLEM^i{Y+tjE6@0r~N1#^I!4(=t(L+qusNrc0|kP!EWo|5p3io-3VxSv$=mWja|D{vm=5!|9u>GW0C_MFt zcUPteLSG1DzvQ2e{KzT!Gir}=RWvbWO>4`PzSt^Gt2kx`af`-{j*iHpqR@e;Nr|1% zH969~U}w}Ua>>YC4A7C`$8nnzUGQ{aG~fu;&zfY}CY|fu;QOZ6?Sx_9CSSM7NI&n0 z8Y;(kjiR%VxWQT&o}FClO|E{suU3ebFglwQjJsI8%3=B;pjy^r~4dvWWJSamNQM7FBY1-x~yE? zoT+M3AHv=+(tA;xi`EdodC%_hzHZHYT;QX%e(Cbmeo88XZcnoglO^Vqvkmm+W-QQ+ z--;_!uuIc+N9a4DAClbwjb7ao@#M*acI4pXK2=qugMeLf95tmM39k5uqtl8ve^71x zK~(Qfjn&XH2--f&TT)R|Fl=w3)GN|3q(r??y+5d5x3hLpqtDH}?Vh)G&Ni#N2)W6b z47r*KtxVJdw@$1q?DlBgWT!~PNn)=V|6ew{CCd7E@5FrwzFhkqYtv3Z!hHMk$3rA+_)S)A`22lowJ51Mr%EdpeIL3kor2W z+Xt4@JSDAS%~gj-NT+ zJFKw@$TUh^dm1CeLZ|XNT`J#&phO*ad8k*LX30{M?&ZGDDY?&sI}%BadlRE32;69> zZ9VKyw$5W!okc|6jFvf9&6~r2g|j3FpMo6XN206)YBt`BiYnk4AvL!26bZ0=<=IhS@Zk8;CkY___3*(_^{oF?nR*~#cl+nvKHPF}uEns!S%35LAD~h$dg%7oW`8QKUW^$o`Xw83bRrJch~b&?-7zjh3wK)EI$}d_uKkrcB#&fBPDp)a3Iw;TM87JA7%iv;R0B?L z_w}XBq4-9>N~J#=1@L^B&jla7K+Q2{D4a}w5X}1ONmZ@kj$P6RwW0$fs4vDNN{stR za4w?lXyimudAqtg7N}e$-M_Egr7u*z3yG)`_1mJp(|y_8FgzZRi`jN zekt+)h{Ur=&M?C~s3(flhKn+#uU>?nUyZ1R z#RwLrmnRM&$&7dtR)>?M>nhi=VeTvB3BBf_OUd5lGR!Jzv;t0-t*-aUK^DrTnd^`z z)XbPi{_0P`$+UD1xkMwqLa!NJf2CG`OwH|%dU)winJN9aQPmdf2AUW1Bz81-AgE&? z+5D}KMSJy)NH>c>=UtxDeBFzICv7BeG>%u)G>Tkmu@<1s)YmMH1!4vYW?hFvdoh41jQjJ zpuoy;{JB`v8eip=>VdnEaxPxhtBqc_IUIf)`qbtH>}LbyU+UZNq*ZN3gJQZN9#eLU9h;aJ8P31G3k)k60|6=?s@hbXv%_s~bw!iy^T!8KZ8gP&Tm30N3LXi9Su-CT zGMH?5U_8;29#Scr9A^#$D?kyunyf~s)Gbzop}Qn_gcG+Uwxi{x-YCa&v{$Wj=ECv5 zErkQSsGHXeH(+YX7hTCe^_5TWQUY_p>Vj#OoGQPU&3(OiLqTpu~s+TU>$nwN$xjsuC}J2Nv62P`Y?6Wv3D%9hD3p4-ACN%M3xLLD9+ zV~cHgEt(DXMzue$>u&^+XtCUKzWub_fjUFsYwy5TcEbp-Fp29@`)H_-o3qBC!p_s{ zC25}b@N-NPQi98ui=&8l)ELi2dM(IBb=Nk{lZ{4^ce0s5rvv>5dPO9R&hbyzf+UR6+`}G8Q+hQR%`=w zk7!%BVf(|n89&?QNh0Pb7)!LkOX;OB`mw0cc&j^VTs^dQc~}pwcR#ecJ!=|5Q>=I| z{{b-A`LjR#>DXKx(KZSTIShl_$cFWTTUC1oO0|}Bd`V3Y(ZkfOL0>tI0e(_+f6?C= zMJ(ptXnZH%7WHxZn2P^X(VT0ffAdgI_Go4_Xk~QCjXH>B$BbXKW|t+$a-PPeHa8jz zwR7jvGgG*mQ39FL#Voj_7lmF<hzzf;peqxR$r)MTzSRJL6hnEGB zkOMxUR-N&~(M*6_#r8AMt)~_K%g(5aR?#U|ELC$rEp}Uo18=kyBWn@-nO@5^x@UEF zlR0|bdSUqM7WhC2c7t9(*y}xmTWj1-cM{A9Y?!m1i%X-KQhf8vC--S3s_9+3N*6V! zVcf9<~5lOtj{g%Z)@=2}pEj4A{11l-_3)t&11=1nDM3dX=S z#fA>WC~St%YMrT4p3>(T5S{Vdw}-Zl0Wz(Ae3T?lxRChvm2q4yyE>}dZcazv7CHWR zL(W}liJO0b@<-hX4*#>kr!Og-Jx^axgk|!1NJ8lqRm!UBww{`BpHkOY7!^;r6Pr|O z0T}Z5DMo7)3mjFl4*+MPZjUz;Z*GD!$-QQEPM9I_ zloomWMUNla>4gl|5ItTcXECaO-w0|rY+0k@{O~O}G|SxHu{S;M%TH!5PHdUPe@*EL zA0mU`P1V;R?Pxcg#DsfEZ<(A%IdK89uwAVps`vK*hG^HGdLXFH^lEcKjdN!Tj^Ivw zjrmxtB3x2bFUPbo3%k_5aBz}nQAV7^FNWtD~Jex#qlA5#W-Z3I7D)Af!v$mo;B7f-IvRN~+JBn09bp-ehwF^daL#!76eN~g zL11#794`K_oIXAA<#AxG#g03+#qq=_vs^;dgCM35OlG`rd0e2?fUb zwWl3Z6;hyE@CbUtGvLLJxx0f1{Ic7

z{37KhtRxK&n_Ng)vq##@u>r~O5K6*bEStIs zU}(%W!+frbdj0INC7jLF_P+JKpPi6XCbTOHhk|uz?=+>zPnlAteNMXgWAMRnz1?NbQCFbL zC~03J)-8c9a%H)EW&+a@fzT7qLZa(CheM}}0q*PxSMnm(|6Q6-^uG8)2-b07g$1e+CPK&kA!E`ZxTxw@}zi^Bt*I8r7fi(I}yKFl{)YVs=Qu*dz zJU+s+OR$K%HTgHhM|`EC(v-TOn@S%v@ue-fOKkMImmSoy<5zqa&5G+1jEkQLi&?MW z#S$}OY|!!)@RTz=+XLb->Es%HlQgf`-(;^BZF|m+_Qlt3z5stvFpgJXdk&zbl-K}P z52vBio7`)gv$h@f!6$R=<4F8+{$CU-YReYQj!O`D%%y$UHANcq+sdsj>I%K}E}@xH zCpX>g6Vdi5e>}JrKnmhy(tt&%K~x5UD}L5}8?l>mTn@^yTQWAZ<9)M3>?j)2({kcD zkE8kAI=8yD$1Z~-Oa%O9r>~pwf2VaVhuI~K{yy~L=%Yx)pMCl6mLdpZLCZ4Il3QoD z^_~x+ta@7w^hC%5uC??$A ze$z$i1+2faZl?@nsA5{!ANH+|2j$Ux+uQwAv73 z3jdq9%e9&1AlyA0?U_W-!gCQkB^1{4UU(9- zw~%jEm*ng=fF*Tzd0>;(PS;-RhC_QCoG+eKF4>%3dps_KH@Vi??==Fqj&uxApqJN9 z>4h#5Y^j)OgU9Lea`V*Ra@bN9axrvbLNtjBQ=Hv98&(0b9CAHBs2v<4mlH1d0J(h| zlqdU)`g8-pN>=wC>0QGyapKZ5acjt96To{M@RMv6JhihQW>>uXXxXkF8@^{YEwK0T zyluYPZb3td+;PZL>LAnTO_Z?yvh|#y4i50zl106NuHUh*d(WV53vb>3>3C$y3P3I7 z{1PXNB{diIT6h0R83WVYu zI#gUEud(v#J52y^l@0yRjPVcAr#xPN}L!w`+x8!l`0iaYIK60;C@Z zgjUlJMP(NE0~4Inv*-;Do7iFW5ugL5A7_yGzCp!=X9pc+e;M_>jI}SJhK;z>e4Hur z2r>MAh!xhdi>NFOv0F!X+0Ogz@>lT$?(@F+PL{M)u&-cbr{R)e;!YOTK`+A?@q|=_e@rb*=}Z;g%t?me%uU~3 z(%sN{X@t>MkWsI@VXop}rMh4CTLJS48{xIo4Rrvf(f{n|3eV3mqc8k7_q2-8SE6s| z80g=vUkhFe^rVY+zvN9DTzrL2Vu1+Z!ts;-A3##AM&ncd)@V(a0T;%U(G| zNLhc^HcWqVb(QfpcWTraQC_>fe9vYtyqWyfeUk&BYEUpx(}4Y>E-*>)wB#Z_eG}zr zE^SgUmEsRG6AsZ#z|uy#%)W#2#sd(8ia<*m6BD=J$F5(5eiT!keqq*iityIXYRxla zDfT@Y_T#Uk$KMCFE_!d@-iFZ?XiY>wCwk51v|Z56;Fs;u5Pf$&-nFzDBewaYe|CDG zCcZPx!CwqTVMm(simwFE2UF@vXeu*tbqH)y7b`AHhxQd0zsBN11H=8$y)HNv-G42( zhEfp%YjbYodK~9M0n6%XT>{iDQd*WZr=QdzvG~Qz-}^DqAFTqkhL9Zj~l58bq=Oy0K;-g96ux% z(bo5$iURQRq2O)bjkXV<*Xm+MQ&1otj|b7vPQ|fYX2CK%BVyA~@Oy4iCo$~FRV4Cg z$fUr6sZ+p46i7Yb;UH)#1$iCzREIF!yjj!^fSZDU&S6}+YH$9&2r zR}1uQax1^7G%Q-mTBmC&3op27>r42~!kr8v!c^M>>7g9KAm*ISOP+^MV$f408f4^n z4HHgEf?tR?Vw$-_@WgO7>sLf+%neirW*+LbzCEGiHl8V3YT>hm`8K9+{JA?-R4epNpC{cx^iatJqo~O{WRVl)FgK5ihnLLSNvI%24jo? zE8^lneQpRURKM#0O*XOnU(?;3O+XcWdlc5>qRd6kp13T9(XDv)b$fOo8ugg&&YI4W zHSyAdmn={%z|OZm+W9v|CgE>P{91^&-*6jlJ^Os%PMlJYi^*Wac`SAH5}G!R`;>TW zAJ7T!0)y{AsLFeF>jyfT7tx{r7Cy9h-g{q`3v*hIw?f{z=#4O^JGrB~^gJ-7eI3(3 zO5{zN8P3NI^j2ZIP$vWsA#{CZiTp`46C;;fI9c#wZ*%U;f0Vgc9pN;!><0;MXgFq$ z-tB0Aj|*VXhlr<7y0{+z9t;x+n%nU{PyjU&d1{ZL0miSH*`VdC97x*WExluD86i4o zUFNDVOcKjAeY~Y8srM&qN08)BF0l?SJh?HDW+|>`QG3L+Kph4>u^O4$aA>-4tln~a z^aanmj^lds_l{Pk2I94uhr@P~5VZjCQQvmj$8&3t>0*Wb6Z^nleBaCF?*uZVtb*}D zB;Z;1jt3YKyd`R6039q<0Xt5ntRluzB|LE+lJn?)cyQ^rb10HujVEMK= zY;)~g#|t%4h`c9*-dJ5Qp164u*El;6LYuSS?2#iTbf6%rxSjdJHS$JXV?zD`=a=QR zmxFo|%&N)YT?wl`ZqQOB>F}U4s0T0=8Z{^fG=a&Mx0BWKOZE9c@-AAO?FvJn>$jX2 zx`XUT24V1U^LxDEoLL2@95#$O}JJF2^lUfOsWXf5OB}ENXqEZL)xKlJm$s ztmK}m-?MK}MYV+^p`XPMeQsL-{Sdq{OLmlMT*0|YjRSqeg*`=4kSn=$zkh+Iybyj{ zBX2rE%kJN-OXH6D?*#?-@2EJL_rOYC~L{7gy#5Y!6H3LzH} zO|HaY-ihLO;-w2DhLB3}`_IAOBVUq4;f$fFwz(RxcL>8&zrsIfO*|Ovn@mBptRHo< z?{b#Pz)czE*6IEkL2eGT8L>X1Qz44kX`mKdM4SWzXa^Gvpu?_NJI6RXsdqsCp@rJ>g$X7EaSj4x=J?KUMxU5l+P5R99U3XP)UTq4JG#{*C-30#8xkxNUstE zfpFGGhF95X94FKK)3#hkq6N+?)5349Lq9RzWm5{lYWkS&xC`c(HCVB4*=O3EQ1K+b z`SW*?GK<&cHxnQ36bl-_Ky5S8EcD0(yeVwiFm4rz{i3E=hJ8fa7_d|TZzbQ#PW77# z1TL#7YQJ0Eu;N5Z(hMGUyWd@-DfZ=~2psll9xP>FMpd=?gdjH95;8615Y-_mhJeM@ zy?9)~Z1*@D!PS`16JC7d`ai5FB`~=)m-sMHzJGV=?uyy3o>D!v`;>~JdDR44fmb=v zDQ)A7B<|J^0+qdAw1TAj9O!QqG7|J66eC-=!G079`@ttsv}S{@B`s-}ZJi&Af=ZI+ zb(VRZx+EI3fo;iT0wT!Lf~g>f8w2|$vQs?5klCE2m3D$Bb;4UL?3+|VewOxITq&(X zT~8A8i?dIF@;Uz#x%SRU6#VqCo? zVkn2@V!g}=q7rRm#&hP|B*-8Ph$QKFpCfM%-h`_fD^rn#f{1CG9;*5%X;(XS8y*T; z0tjFhLG0&ug1K z6b^{4LKWEHtXT`l)eFC7c+BY;aii!KdNrVKMMy>ZD;W4byA4+rGih@rW>~=Cl5|m#Q_z{e=9mQTSnWQind=14%dAQSx)#b%u_di z3(r^gr}4#7U@=covsKkdR{D6%tJ&-ioCUQwt0CscJ=O}aJZ32CL>jZhECG;SR; z8r1OiwI=FA_M#3Rssm7Ca+KO|9{?o=AjwBhM;g_ICyj)zzRBfwwVR_aSt$hm6*lK& z-wVt4jC5GS~@j23md=)LvUPs4$ts>1F}0s_GWjl$A4w5)oKL*Lq^ z-gKzz?dSFizlar*g3I^eB8s1uK3Re4dgCHzDmKQtpFUCEOxy)|~1 zO+ANCZF`sF1rrm7)M2>NHgQ4xRmqd)+PGDQ8G5U=t4q13>mktn1$Yk(>+A6M^aUyTn{;A zv}yVeF>!K$P!OO_w$$`>#|HMg`DHvh0m{G?`_fnDhy`#RMi5kjdeA@_{v_5m&uNYk zD50fTq_rN_;B1$* zLjF3sZ%2pLX=W;tEhKAt25Gq~qQ@GFN=2R7ZvBhhqv&3o+NBOiVl=+_@4pB>;y~@Q z^lS!5jiFTl1Bv+~MPqcO_NsdE3a0t6A_;^9kV}#zjZ)=kl^d=If~46X8YBDthjif-X{s~%;b3T zQpgDd%HX8B6**nF{nd*XmEr`Z5Z{3^hu43I1r;=)Yo`_22$5tbfVVb2u;*^n2u(Na zTiubROl*@-Z?4IUq;f270p}94v^?naw64!}Wud~{f_IE2QwT=$<{$iB@=hGs^6tLNG3836^`N!n)HKuw;w$5`xeiHbkw4W59CJbZ z2qcQ{@8d2!%67x7-k?72adP=2&8xq0(E`d#Zd!Lnxa+{m(*>Dd zZWU`gL>>v|97FTk7_w(MU-+&66gBGM$j4o{UEd#bwNna|Ivf<;CpkVa7ue6iV8WB+ zL_kLlb)#|d_W2E0)Hse*wEarob)b}aKQSQ-e20&{HHN48?}9ENZfxi{Tb~d^a){I{ zeD>uPI7jZd&ud=1J|j_Q3|FB}k``2!*U3mE=Kpo~nTsH!*lFXM zhLL_QNP?NDin53Gb7jb0?&3boD0|0Jr^M6;9AG%!GNIiP1cma8e;ghb-GMT|LWqM1 z{&!2^3ha53~VJ9^%Lt7{Bkri8V>@GD=}Vv5^koKiZB3-Ak5CEn7*hF~*(I zym=rHEWnu@A$^?DUhtY3i96#k_EL*?<$Y?>7?I5gIu*zEfFyRIQ6Vh zy}EH8`dj2>$);B8P>l2QH!r2Bks;<`EEU*?gBexnv5>Og-(h%ak|u@~F*;a~AR_O(ld@dsT8hWABmkpN>V*7$6hf<*{~-KRKnwvW##riOHNK zO>TNPQk3XaEUH~=+^5IjBC`#nBoMK67seI?Sp^e;yQgdC zC8kDV{8Hi_6|%y#U;nk78c7$eMFCWUrJZ>WHo~p$+3c!4hgG*Yefg3)IG8AIN!K<# zNe#F&#PoqcGNdkGaD?kS9C?(kfw&k#8zW=dFMy>Rk_p+nm&60kNhe44+gV)$^M?dZ zPC(i;p5efy*E~Hcy0zO8bT7*Flx_~}$a!0)W!)~c!#wce3-+!`G3Veng{3T>X%>M0 zEWzd(wOgzup8CL5W-wT%q&TTgiNr&U5ghu~tmO6pp!C%wC`z6>!THQ)z)L@0$}B&P zsJg=h`*c>VT{l;)dklj4Xb2=pV)UBf;H_VZM<8yg33F>uyL#A$Kp_Ui$|f(F&6F0t z|0h3NC016km`tDgpCG{t=|y9;bHRRqeswSWgo2uAxzsORQpF-Bz9pKc5}j6nyoaQ0 zDJbGajn4v~=Y!v=gA^&>b4DOL#j2sT9Z!#*H>cl&%r8Oj;I3Fhhf}Z+`b@FS1Xfz~P_#a3*}N zSK}3DYM34D_)dkACs+nA;Yb0s=y>9|hrposyCwGlG~f2wUc{g|LsW_js0K_)Sq|82 z$bqNaK_`t})}TEpH{pzNAs@WDpglvzF&Qv#>WJ|!QQDRv*y8=d5ATjaX4NPKrV<^nR+v$Kj6{@t_Yk^~wtepUD$F1r8@ z-l&H{6=lZ(Cq!tOD=VB0Dl z2ZaZZ*Pay3NnB)6eMdn$OiW$AG^H@_s3hJ6*Z3L*2-n2eF)Z=JB>HqM_^^&ES`#DB z84~RBwk3rxrS6;t9rfTbma)1Db{0Fj0IykEa+pns7cU- z;y|S>+T-^1rT60>-kv5C{_?NL7%&r&1&%a!Oc~*O2{v z#C%wTE_%RtlZB$dx2KRPHI zmqhBdT$Jn`$}iX!up(P?erfpQckrl-bzex80AoR4bxHq3^~zl$14|1hKY2iJUZ1(G zX1Fxrsc$jm#caeZb7jU~`ti9w#S5y(fodF5C6mR5q<*TLQ4s=}ZD3^D>F$0Q)kSbO zrYc|)+`tjNN{Xu-(Ri7BuhHHVL4%&L!%jEc1hH+e$Oc~J+j0BVrLQxCAHAhe$6*)P zk?V9xFfbyz>l-d$8u#hrTl#+YV_SL^jsYhBw7D*+xl@kc9Xvsn;^SdwSc3C@Z|T(=}o2))Id?^R9lD5h*Yfqw_mfz|-%fOLAQG7|)~ z4}#g=apI;wLC?UQqJCW%!A{?-xddjk(DCW`7@e59kBv_BDy7j9@K7WYK{vD0Lgm(S zrY2vt6)yyNYQGJ-2zwfdqV*O+u$Ci$h+`~FA z;!vs`*QQ`w?W?#?k9m4CJvV1IhFRze|YMR8ufBm11Xo6mG=`qxXGbToW z35c59eJ;2rg7yTTNY8@WO<~tS5;N8(P+5h%C3XX9C25}+epmM4H#USy@&Iq2AaEx9 zl3GF#*_lQg06n>GxOWOaP;Xgf!{6%Yh zD*O_TiSssj6JA1|AQfUa{)d_M9Nw;<5RweXIHKusko8|hdKf!;NxjAVql=~`sv2Af zTOp;fmD4JKts;-Y3=pNg5hW8pdGx%5K>vXdD+x7Xiwyrb5+pu867*HhDJIKbbRTP;pVI>Bz+a+#3ar*&yN&9|{0Dt@TzA0H{x@T@J9z7{O?U@$o0)-pj|3SsAQu2e$ zz_iXix4!zXJo{W=IK$T%Jm-a#zjUx$9bxSehDFejw^RL%WBQj#JR$?jmRns5&knm{4+vMwkwx_^VV{>iW)Z+>BtM;*+E324 zYb}onx7Lktj4AqMR&nK{Uwx3=RHyL<VBti`uVw2Pa7x zBqNh0PZzymTrp5?z@jsYnO?1LV@Wo<;A6eWg1hKZ$MU8u9jAp>gw>0UF;iA%(*Zjf=pM99AUd!`Wc&OL9_E zHCf*YRipS|RY}Xj@#aNkL{SO1l8-+|gZ(5uk2y%;NHm0b7+$crEW>#SSdn$9z3Adu zwvI?zpdd|zMF?nEu?Z{*ojwN0N|zjWge>WVM<5(tUda=CuKu$TfB_rScrm0O5abQR zmW2_7xclh$MAZ2@$C=$pe-1OTg=9?#5rN$ftIoO)B6N+M+d54|ZmWq;9#(vILPRd= zVzqQlL)){iWczG*J$q&>98jD~2UMbEsp z?PsXDfdKJSff37E0|m*rUy{|90V6Ugnyq=0nq)aTM#(Y7;IJSiR$azjn+a;sZ-8fh z!n!wH2GI!I1ccVVd~C=RQ|D4nX=~RKo&~Jmon`lMwHuVa0tZVyfMG}$&rr7mdK2uh zg_ofcP%mHjEy-??ESa%HTn|dEc?dt%C5mi2r zRaYP2L`ip}bkR}OKZ1&1U@bQii>JM5hD7+;)`Rih=WDe^lIrYh^`TX zs9LV6q^^_9oryF!unsr@MW+5-Eap#8HLmC?f!HP?GbZ>xM}&l%RLQ6A{jK|016d%r zD8@nc2X6B4hSeO8E=p<1Qk?p#P<62XU;fW>F$FrNMHcEO3>BYs*yxQN5PBj#d}O+&7H0`Bk4S1cK>Er1gb#wS zW#PqX7u*axA+g7g*(mOSBw8ufTP$}#IH*6l7Hk@s1KlRgq1SnuwkqBiKKvON%-Eq_ z1tn8rtn~P_tA5+VqclSUi}nHQJcO@(G-L`M4&)}l9VZsV+G~T37`a^=3hzR z9X3{91cq)@GI}YZ+W283jJ;BvW_`oJn(<90HC7Ta6rKP2(lg@mtKzfvAOJI`Y zTPjqv5spm^b5`#amr)j_@&05)hm*C#dZ@o0<`yj>#r{`eoLBPyvGmH8gtgUQ^OynseOs2%DJl2y5M!!tf^iDjoZZosv68D)fR4503%^#wlIyb-C336X2*yOwM9j}V_V zal`jXdvU-E*e-^x>f63O`<$EuftjEk@-t~O<5ttK_P8s34IWe@e(|*Qkcwt{-!R|k z-8#flXDdSxE6VWN8D!d=q0cQ;w&}n`+o&u0_IQ`k3fhr#ke--ZGPHgUI0)$KQcnKV zaz|y&JCC9`$yI56H29`70J>Q5^qsL3Wy&FV?i#e?Ks^49V}Nf9Z>4CBa%=FyxlunT z1RNAbBSmWbu=Q&H%5QI&f&Z{Ft+!fodUV7VDBGFi7+}y+oy1QV*TQAo z{k!PL={SkgO|v&zdbmXNOo(ugXwSbn_%W(xF>I}Ov=c!RtH!iye|PqZ`q#6}i(N^- zi7O1rI0cCz->VW+a~jNKop)p7VBb;)Kb`0!7!+u!j~lx6GRcio-Y!%2RW>WgI@%T{ zesZm+I^U;BiD=POWyx7T?_F;nSxTqJjq7u~RlXttdy$Z#L)mq@w|r06a_P=#DJvM7|%s_*wkx1$hSKb zBZVC@j14zN&K0?CL=tcz3^`%8bXKSO41)r9NWgFx0fisaXW%KwaVJU|Zso`@on6Y* zY-r3o6MSUp4jZ)?E}|433ma%T)by?Sv6UZwY%3>kZ>5kmvXv<${}{<8v~gfWR8xvE zC5vwxO&p6O;-Cq9LQhHwf%x~>!k~|k_RWQ%FdLjW1`Lq_T_b#(@O1n|8L9+Wc~+ga zwiM(vug0a^v9Wh7T)NZi-`@Dr?Y-mZqVFUl#ous}WD7+opM;J2_y&U8ABTmy%=9iX zJx~~*pa9`cWdk>6D(#8Zsnk?hkKZPV(;ZChr1;P+Nk5kkK*jo2z=0#s3{LzyvMmxS zrd1?%*V0#xEXkT|oJG5#&EA~!<7-8r4-F~dTj zO6$Y)d}1-k$x2%u;d#+pkCdD_BLSL}U`*%yV^p{3o|C8-&#TjALSr-fx7oX9)3^)Z?|MqE86$Q|1~6lpp{H^dJY6q9j-p z={WSs`EI{8`*nu=cD&=qOkF$0(RkBhxbPcj@wEEphSwlY@)0%~jA_0>iW`{{Hlzd(gkK4#xQdC!o~J&B zY|)~n#iqD+GS6GLG~5Pn5r!5^3YuQm&g*{*Z4BM?vCX`6&z(tr6o$yr@`miDhn3mg zqEN3@Iwi|Op^UC?Wy`@5xf6Tbt zLJI4LHQgZ!PQdU}(qEHI!%kl%tDWI6J*t8>ML{u#1q*CgH^AaSUbPf-)7q3unhbac z&9rJSh!U2|*Z-um{rKMg%G4*ql8a$X*E$hId`S@X-r2_$$8-ZNq;q~ki5-<`-m;^q zp}B2WI1_9m%?7`r*6_)6?~`)GFK6B#JtCX{iN~5T*=$ zmv?mikfq;wdTp7+VPFCjN{SQKIXgBLzu~^6kH5ps|NRb95KZ*1q?oL0BEbqZG?Cbv zk8dZA!#Ywxc2PuAHnpX<4U0%FdY69-IsyZxP~j7(Xu~uzXH02{h7hu(PRE)}_3rn( z65G*2b*-D3=5?%%ERR@I4p%AD=v6_wL13*Rtbhxu*MH6Iek7`Jv+&!uEsKO(iCdBN zF(=vwJqr^0OK{L$^&LS75=6(4l9(Wb2DONs#r2@MOb(2YLcRx;D4*Lnp;^6!%h#dM zf;7Zjd78W$p=ZdChJjz3lc~tz+Bj!TiMek;3w}fB66r2)bxS?d$VGLXhJwT!lv#?| z`h@y<>&nmUOEX$1{_wMNGyoI>G8XMrN;)e}3IQ*E_C=)zH2DD6A&~q0I$bGFML`*c zNpb=YNA-{DkyKUiL?V(h^11bLNf0Y8HgZ>Y>-`}fQD3JWc1ZuVN68cYYDempC_q0> z8V3f{X&`n)mXSQCF~{lhBzME0Sj`vy?Ix*~9$5+xB_!P8P(%^AR?iV6ovlvniwelB65|X*;|}y5m3c&p)jwLL$GT zPtWTF*9Qlz9(+&zsv3K77L+_WtEjD%)Zn3_Abed$w)%$dBM&O+mV#oEOyviHCi#}S zk|W)VHKi5`mY{(}a^bwNFTL*aWb$pPs?R0u^A`8ALU%&sP2}4x5pfshn)VPBo)N|Y zX*lMsLGB`v2+Sg_Uc+TS1Z4LJy^MV?%0A4FJ9`GO*M}!P(!g@k6o831PC%y@ANO~h z-2d%HD%5+eBlQCmTvDSY$+cvs(3^r3A6&^Yi8v6CeR6y2;hbT8=1u3i)waKM%+iC1 zy+%$MOd~GKFinowtjg6wGH{08C=X=~t6}Ene`7?EgW4$M$e{NVW76(((YABJOS7tO zrqu4@Kz~Onz_5Y{CTUq@-RzLc|A4yRAOgHnM;X(~kt{OYz#eaJ2DBZf672(Uu-GNUCwW=j|!&BxDSJ)|ht296z49 z$fCt2T<{+bn^_;g!eJSECAcuV&D{+O>nRo0<`k7wbSIXHBe9AH-n$f$b zr$R~^1iXOKBrjER`O8RVmD>M$YS=kZ=msNVM;wi+`){Ob4H@m!T?C7>rvr<*BtF@i# z@)S*=D|Gh1Gw3>t05+-*Ac7W@C>WWKC;oGjlPuh%%U~OQ*zLYqt@V*>-g=4hQ_%ps zP{|`9nXG9y74kA=QI{E?xsJ?|olCx>I0Z{YJZVz$`VZ+y2W$ z8wImd>D|FVH`8(jeQROU*dP8fFHBUSCIe(CS#l0Fu6+}GPNPTq3Z7BmJk#k~#8xcA zb%T0sQq~u~+P;rUnRGdu)pf^4e3W$w;D8C!1CN($lW;P6kJ)@3d;9P2bz81P5Go-B zE7=g5tw%dezy3;4-8QS}P3P_#s0q5j#^f@?I+J;n(w4yKfHfn0t`S_J)KZ2xZTupc z_hqSABc}>agLnhb8|D@Iz4OIx{fa$r^)B0H|4*{zqSvGauW$_XM@F+ ze@!=XN9P2U-?#LY^VBkT#Y2;{6*0N=uW9f=nNAR>AxAUXVViW0f89P4mojhtwG1VP z%=>z>8j5-fJzbo$Z2_CFdeqDy#8OJB*PAGn%YYSD+$Ir1 zS<7|V)+KtSdLCtOWMuAokT4{f{*qFYrh-Lv|MZMJ7DK$;mnfoAQMG{uxRgVo=2Grr z{WKAtVms53w+C7X6$#MNPvCm098u`0z{O`ij}2hCu=mlhbB$gKqzH)zK*;%9mSgvR z%sHXOoJRD}!tyPH^5+ir1lAlJusuhUQ172UL~?@U$UrF(Y$O}JR(C;+(G|5{Jswq` z6h-v}R5J}?s8nwmB?B;WTLk9a!be& zA?N?~F?xM~JlgN#_b-uLPp?3mMfi;ZMI9BVa6f63V-%r|8z@95X3BoAQm}SY&{>lCVnEV*D=c|;-2mN^*tnHJgIR*z zgSD>IR(6T+KX0$wr6q5P9U;@{eHxdSQb`4A;mdV$6baTmjbAiJ#`|VzMHpumc(gb% zOiRHJ840N|KRsKy7G)=jK&&T}Ij$7R_G-cfR0}0Y`^;HN0_y6GNRYpD(@~6?Ko#BP zh_}amFL*i3fYnnQ4f%QHHI6HMBrVe1y%HXO4ZED=MVIxHACG6KdsTEVAH&L zO@}DbKI-Wh`jW?q^9@=;gp_T@b7HG;ppx!NoV*;vr2okeGXhCP|1J12*1h4AqQ8v@ z@V2p+)FDj!GKZed^qRL9ZNGy;I`){=yTIUr?QZbul`-kAuwg9C$S$55w=%JxbE}5+ zT(rtF5*S%i;=T!$Tox!^L2)^#tqiJz6%KOjx>GuDb4xG3`q{Km=FQ&-NXwv&F^;u7 zrOBawM!y&RU^aNdM{aPGo=}TwP&LZ1(;G-x*OJ-b`6yBTAgy00Q{4GtG@6qqlXZo> zqxjgtrjmD#=o!~q{7y+G(Yo!5Mo%Qe>xb6sW|$7wiS#YATn(rh(lnsIUTr6ZE(zYF zH-Grw(y3YMNAQkQj4_8bS3Eei_6FuqNt=N!#YuYkdmg7lCL@bS!0lZh*8@^&z&F}T zyS=UW$2|7xZA&Gw2^Z-Ds~q^*X-!Btc!+FMHJ32j}T_O58 zQ<8L9<``ja9GpCF&P0@cN(ssJG^09Gdf}l>g!3!j5c8cCk8_5n;Fy3%VDx4tfN9a= z3J2ml0}$xc==U@62n~}-L3Kohc3?m52hJ*I3 zh9Nk;KpMP(v$owcQuQNGgM>~B4Y1oq8s89Gbj@7VVJX3ahNXv#h4=}Z61OlM{YHx$H`sVOMkVFct#6f zw1z_8h&myRlli+1Y-8+l-JA;BEaPg(pr9I3yxQZSM-)a9*pCZOz8ajX41=htPaJpw z+J)+KaW=^6@%^&ufUQvkUtz%X!}QlZq|%l0a{!ORXXGGYWUFHo357-Jqi(807cp^~ ztaZkXqS-t)()7yR?YfMa2jPPnvKmB%`w4E5u;0WZmXcP zt05S95{?=bMw0h}Cqoe6rm@Tb55Se5#A@NjAH}k$x=#C}e}GX7`8{nF6$`=iaRYfm z=0v)~r14#FCVLp{4)ox!7SMoM1m{pqnU@kwF#5dfBs(C3PzXPiz`Ie6*ch;yygY}- zCwZTrw@2u`0V%L4v*Jxv&i2*VlhD&pf+c7fZGbx4aDbk;IG-!i00)-~03s(3cosu* za|z|J8ic`7?e3?j`GqGjHv$goVi=ff-mcmM+-#FQ-@B7(=!6G4lh zKcYdX>s-|=<*DJ1B>%gmvG6nIz|x?6rR<< z(i9mYD;~`e;3L!dg>itnIB`~zay9&xEAo47v{=^GC8O0L?+ix7#2!{|ok;I?c4gCS zzdP$@K#%CL?4orfatVCW={P+oqR1zM1xtQ%q=cY-fmFgAK%m*j`aF1z?U06jwbL~Z z%gZCs1tO%*O*ooDh)!L&To*Jea=6>Dw93j5I?$Bgo>P3>#+4?EvpMvKdo4gkE>_lR zA|vQEhelU?GRnvDdQJCJz=m85kwb27-Lb{lrJ0EXgoOb>V-EcWLJmGHhvnCo4*UYa z(sqWq87t5+$RtFhMLHDDfj^L~PSUG>Xb!+^XPTVZr7%|U(KsyRmB0VlKm?#tauXt; z$RP({0Z_?=BdJ;5QJx9&!)B7`oTRq}(pr|*|7OV~4M@0QD7KH&uAU&)tqRHrH z(Jn2sQUh1y%V1(uvWEE@u{v2v01Jp4oRA(cd&Nshg^3V?8P<{J_piL$1pq< zh8xC-(RCA!Nw758G!Q1o&(5A*Bd#Z-x;>P5eaMK@7)6F+s$UD<9*sEG2%yaJjf!VrWii$GnlCWH|#F;0LU zqTW7(ZuBvN3h(B54==c6K1V1n&PzIT!ebWsW~GyJ&xDTy4BL+8n2<93ynGX(U5F$M zl{0VBf)W`t4}4X!-3Zt8twVrC(R>8q)YdeGQ=PwYXR_lcobGffHX@-5egS7gWQM!K zyGKlKVjYb2kzWt<55X3NEAdDWWbi!zt->0BJAa&=ajDN?8JVaSB8%kHD43o#SF7 zzH)$RLTZ3U(u7~-RdOt`9+=J{Le1%0@Ov!X!hnNnaHc)WAZdfiuu?oS7uOMjz`bJ} z41aw=Q_|yzJOGgoXV3#+N&EPVv31B;^w3=(PxmrHjH2&>Co7}LoCafMSV`uma70+Hc25!RB2Cop-n10 z@->JZB^dLt7hvflvp2|xawuQ>%6EYoLgq1`+qobxjMj4NxDy9*(7FmntP2Y>3t&d< zk$OZdDw3fLyognKpfVscbzTHd!>0ibBVti!!uApx6`Pez{shheweC=fPympE*PRUf zIWTO=OIzq2%uIdCx2Cref<^#IpHT!;l!iSPY=Np~xeVUp6xx+3t?4 z%Vii^m1bfXsDKvRBq|^{ad~uTaXdO)A5gYo==LTab24B+Q*Q>zJsh!6@= zkR>z$td&0Hg|l>(e(4$5J4d5**RBHh0il7xO;9)%2m+?NMBIk*8lX8)k!_D#G!Ec)>-e#5O7O9E$0BR6slOhghWt!G9zi0i-ZU1ryvQc415ek zIjQkUq^f=6yF}&MB><5SwYexSnvz#mQ=Qq~uTr7|b<==KK$=6w6|2jx3pfHBqk|-u zB_coAjV8q=Lg>e6!G4Jna$&|qUm)jTE27D?Lo%g;Mt`+4S=tvek3wJ=WL+Y$;rH+- zl)n=tM8KG*>9yA{pG;ObjwOV2{DtBYY*zn2|HpOYo#0LVZ2%2m1J92`Nlez~!9Tr6A!*tk^vhX)x!p8^Vs zp>e^t$1~y7B`5?0eQF?B>=C&{KB{`?FRtGe&IWzz>QoEcf*rv>;86vd|9LocfE2k$ zf9*X<4Lp%EUbK`7$aaw~JX(5c4?@B}NJVNmT6>@C5Pd}SOOg&yc}r)x*b2UY;MJm+JM8xIScC}pZbx${S8i4YKPuDVEM7Lf_QB6W|Jji%5c zQZoSz6)Pc|_o2;mJ2dUN4~aG=q{XCd)?wHa@RSm?nBDcY?`#EQMkJ0!uey}01oRco z93(3PLqb?Y!iTmAIR`eo!IE(KqU)~j0!PiDlk?`pLY~4Jgn#|~+ zH;Oi>Ig%eBX%jRJdX7j0QPG3!23eyS5tbU&i$wCIZbw3;I;aT^z3@zUsq{t-D}E#* zYa(qzWUpuLMz`amCsuC3uA|2uh{S^h9t1_dtpXg%*Z%XD-%VI0D;ckb0?rO*8_JB3 z9k#pA^me8wL!Jr~aL(B(b-1%*bqgsDLl=h!+2=S>QL&0bS9A$M>MVr1y@mO+_}rl9#H%fhhXV z%u(~CrY90>l7PNAYQY>2%wq-;?LO&d77fS*$4ytgQ<(pR9-$E8#PHB*q}?KY?(}(u ziklY^dBf03Mk+*t@<{6@PiAl>JY%urXJMzG1F432_^AjCW7>-kw()@r!1(m*2#Lc1 z4GYO790{T6Fstr}#wAbw<(dHoF0ohw+Jl@`G!9K>5yVuO_#nc;aI{34Dg5AtQX?eeDp82JR~kkIr8pt z5e^x7P8xIyq@H1q1kqynCy>;=r`I5S1xa8foRGjyVIKa2nRv|+>=cA*FZ_pY*VRPZ zhB^bElQJ-2k3fyV6@-{_@+7!mQc~Lu@HRwfT_DXv{sa6fiOA(R5Td4H%Ca^)E>707C%gFlO@lpFfFKf#}qizw+Gga6Nc2P}!n^C^a{M)n%QDZ7vQaC8q92YcC8T zvRM>H!YGx!Sg|aBFgxqfa(M#{{5eepFR%?ZbiC7(&9H= zwDWYyuO{V_1NIT!q~jH89$A{8VTS7k7sUCv>DTGLoo3F4=`2fKVkhA|<>$gF>sBOa z_&z)t1b5<5j6B5s0e{Go#mT@BxB5)uzVPwek|KH59Ly%eb0+4|G0jP3on2-tjT->& zcEW|@VAI7#7CM$hWW~iXZxZ9pupqy1QnSI02%f~wLu^2B)txLc450Q=0v$3~YcRtr zR4B>8@r>HfkvRv64B1u)Rjk{eKMLa{;B6mc3VD zrDME|m=uxxMnbK=s3^>ZhdPLmuX+)D5<}JpE_4%&$f)1l(>)|AY5?0-YJiSvhdh=i zSakxzEC`T@hIt+|MT$&K&;xR_g4`uqS)CF6fT0)Jg8b6eb;}4Tg3(SQ^+Djc3DkPN z2JF}9cCu~?GGe3aZoKaQ;b}Qg1-6Q}hGE5M;_rL1 zWoQP?;1v`v0Ys%|QnOn;{RTuiPlQI9Eh&kSDnoJ!&0>Fa>#z8rv1BL!F`{f?5B1hS zr(3&&tb>lxuE^lX47jK84G13s3~M4PBdcZJEt(<-E2qOTM+6IQZe&R6kV@cE=}g59 zys0enR}kh3h{oD(rJi>T-Ze}|6x`&bV2Z5^d?#N8+*J#kY7{8POI`lKr@`NlT^PV^ z7~FWy08_f#%w48Io_nX8I^b=Dy;$%>Vo2N=s2(l@eS*;7R1o1~ni?y?2SiF6A4ka< zSQ5X2Tq=nU2Gn>tj4qIZNr|w2k|Wodu^d7(R9AAVuqe91aA__Nzgb2a=1U*qm*F6R zClLY*1Omp#p^dg>yA^oJfWrZpBK-$&QRHdi72}Wt^?O9YV-`*(0a6+RBo2chhZ96V zZk`1m3_uSp&dz#8sL5a+GhXL_$471qqBGbUeFkU>85BhyVJ6+;8$;wnJopjh$Vmg? z63lD5H@FA}3446g3I)_-BIoNAu=-^mvV_w1qivFc^l~EJJF2ve@FQ>~+6d#<3M8$M zWdoTC=HX3%Zgks zC(c*}hlTh)`&12;zn2IbiqXT;v0{xi>VT1A)pu` zCrmgBi~>{T<{jZ*`v;6dVh2m?|cd!+uLWI@jmuLQniS&m+UgDaqLDD@<3&>Lw& z78Ncs&a6w!+E^hlUJB9Z`fH(%pcyN95ID7ij`8%2M>ge&y2m%|7+$^k@& zYT%nNV zk*@*x5#<}7{>FP;SSjqVm8ZfuWV({=YIykKWP zE}3PQ(Mu5=Kxz_~qCikVzDGtZNf$Q7OlE}>r95sK$p&FS64o#3=r>N{A4hj%b+kfD z%8&Vtu+k3tm#x3WY%9Dc%sli>NSH9d%1(OiHghTapqpan;BBEp#j*{rJmZQaVo69s z%0g<<#R4uB{WLfg@)g!Kc`XWy4h0A}`R)*G=@M30L!DNUfhVFoC+KptAjAWKGa3S> z(F#LaCWHASYP!bSsxdiJ!0hXN~_pC%}73mQBOcfST_X*ei6ksi! zUe9$!fFHWSwile5El~gQua71 z>o9v}-JVWZ+gKkBTz%^VVaY&)lbedm?(>)fYbY8_0N8+yb&Vb zCcb~)np4R9!zC;sw*?kB&m_yJM2J_L%IEXx=U{E?_G`W7^3YLYAi6@|05pO?_ zg-s#|iMFsrJ$@Y84%@@oLb~JFIz9XbMKkfXTk&##@@dTUl#(?xqD$b&gai`|bb`%B zqi{#vUNQAZ2twQlK}Xj`3&=$zj+Z1u$wf2(I0>@aVNJr^A_>aP%UCz0+{(Or24_}6 zf^_XP1a(*FkA@uVDI9-fl3u?^G9b7dcmhqceB|jouz?4N0fk?B6-W)*OE}Q0X4!Ce zwj%#H*$ENiK63UHj1kO9jI@5F97uhUEy*zbJ*DB4(AxV6907cESi8J4(quxsRac<2 z;NZcOEVe&Irgv8e{J99tihKtJn}}5F)AcNd=vqOoaX4a4GI^bw)9>Q2VH($(5Rki# zb-{+qx^=BpMwDvFJGBl0cyF2PB(jF;oEI0te*+=1D?#$`q%=J6NqWE=f@^RHxJm?S z&y-pEQn<=25*;N3$-*O87vR}Q_>MeGvPdLep*zI~l3|rEG_K`99*9?A?3n=iN*I%C zNnu%;7fmSpjM(prB}#+FnIQuji=w-%e~=Uh3)a0`aCkw3T*He&d3h96pi^@Y-}a{3 z%ifu)_q}j5%wugANcFB{sm<7lm1jm>u(qjP&<4W=Yx?PAif`yoA zGNLs^xmTd^R}Opm48VFRAJ!n3k+*=YL`n-@D3DK>0`%ag3C|}_bq@JV@+Dxj1F&?U z@@G(Ox(HU_aC+nEtYh>*t-tIt(S|Du8lT8zWg^op~nJfM^#dP7Vn2fg9m zNl(^$nR~dw`Lh==*}5;xpM})O-zl1!W^|^cxmT* zXs`-h$Lki|tuf(D#3x%{bN885(|aD#ci@eTGFz8jj^V$EwP1*VJw#ZqZ5aGgpy=hlm=3S5?@!4*79JYf-W#N8ublja5ozfvhj7F$50js zq5!P062`^21;O2>>xfEjZsdq!FraOPB5d<1LL!fu&iF33yEW@NE|Qa=LX(dm%0??> zqz?#LfDnOLO-)2mKb*RZfKSmzCJB67+5l7(uIM-ey6bi!zE-l3cQpsd00aqVx~@Qp z_z4?z9mKH$F23MTQM-yi{3=J_5HgfYps_ereHgh`==Vkl4q8V622$0J1V^+Y5~R3E zupmCY!=4v;ve+4EOr$GUM!OwH4%|d!-XKNRcTi%v(0j zjo@1}&8Nf%IzD=Nr!)}~+v5tf(huPcQf~I%4`5{Cjgo&)PVHL-S@p&DolmY#LplDD=r$& zp5O=WzU*5aQ+m#Uu~Gee)7mAX2s-l{pD^Wae4B_%iEgQKJd5idh6T|SU7nY(7*{6T`sZe z?sKVpC2DsL@9jo;I_<#5vVY;Jr_q&&Y&Os{ny_gwSQ|-Yqe*spp$8TrBZ6tKlmZWO z0CK$o!=`w0j@RqD_E@@OjgTzePEe3W?ziFJJct0OT>a@}v;SoMr96)Xq9*YN!&c2AHf& zlbC}g=nAf+N0v!0l3{VnV&3(**7@){{k*-2i9Glw{ZOen6}{x3toC3ivtaa@pm-vE ztR07bGwq1c`M)FSfDI41c@f}lWWx~ziSMAiFf;_hkTfb9tRn%#0~A3+;U+>B4YZlezD$SOMrLi%NP zaKfW>N?2q79^h{y*TyfxN+2f{3_^BjFptU-^a?%5ijRdzjDRYkB3Q(hFqwvsP`-Wx zFc9!#oCsCI*SnMaGbZ@xm)_h${R-;YN{%(22JnNjga=V^w#)5z31aEZ{u^&$m90`X z8M(Z4{$w41mm@gCEZ`Q}*CAafQ#*o$gc>w7I2fRDg`SIqAq6Q<*aC%>zytq=Jv`a> z?zb2@>O!3d6~X_4i+RjImmfq0@PEY2{r^-9MN;UU`GD$sAwkLL(qRIX5QGM(K}lJZ z{Nc@qNOShiz=lM)_hc=+1WyIbY{4LgWP>UrfsaSDTsU%_lprPHp1y-D`l~;C^BS&G z5*nW+fW0Lqh0N)Zm3&jyB0f*AFQJ@544ney*H;_OA zGf?nxB@9qUTXu_9C7eW_0_s4nAYB}p`K8FQkNYhx&<682YlC;(!`>5X-@Jyy)?o{B z8-_ceCOA9CU=Q~@uc8|O#z*YOHfEzuWNz9-WU~Aso(8Z;zI;ipA%z=RXjFHuOIcy>b zCPg$~0V~j%lR)Yj@yMpA(!cQu2##^TXbXqjCz(n198Hf`fd9c#fTyQmV$Z`oP&k-{ z^O-bzjP-fIHzqBB&VK^0guqeCNW~}4AASmYbJUHAHyHs9g{c3%1zv&JjQX?>R z94$J)aZYN#`BslI5=tjrKwPwZw8^263}6uG|94Pot1ydb2k>ghUxhFNJ(1-EswQw4 z_GTswlM^M%djWpQF#mcE$;2|A4>%-RKP=x1&|~;x>J3v@2ydU_aOK<=-nRNEmxP1s z8o;nP4Q1T#kQk*EQxf6zgC|Q44E77i2L?q{0YuA1Kro3@hmD2~k5Bht-3UZh+_1L7 z{L*U4B5jMclNm&kl%!|<*tt64N1E)NOrgyyYu?7X@EfBDj#)OH2?sgvWC`_LrQGu9 z(Mx>_39PjKe|7Ps%mCO)N%Vn4maHyE*3i6)2oJ<#Fcq$&5$Pupnm$?U0uU5dHslDD zgZrUC@4>EDa`he#Xi|9HocKlh`0s!C=J8YOtcZhQkS5HviDS+ zk-mv-qXO#`b^=Bt7Jet{p#~X*XcnN9^E~R|6*^vgfwv0;5+8&UkY%0zQR!;UI}Dji zf*xcB$xp?NKIRJDaNFZ$hICX9YWo@`|3oQE5ikF<@d}&o7v6R)@C;zyAx%(p5GLJ* z7H>l&O>r+^XoMpp%kC7e1ojdb4=Cl9s~u7bG`{6+M)uu@Z*p!TBiqjj zmV?N%3H#zz>hnde4GAKof?aRM7YS7e3b-WjS>E&sA_FM;7qZ=fAc`?u2NW~N1oTce z15hzMo!Yy?JwWwQ*(-K>K>P#0hz&BbXlZ8mb^9p5b64uBIl2Ve2-=_glWtGn{ew4& z3e0~1HY(5_0onOzXq6#>ge`zAh@g7G6humO3me2lR=_(*qi7GnSOA0g#1%wUAf+6G zi$GF7hv{c{&MHXAgLj5byM*r0BsYLD5d*;-WFj#?z^UV9I!*ITqD`i>!n&*w8Dv6RxaU#%Ykz8_s(@60ej+9zs)LW26 z1XO$*0sZ{aOS`4QoZwcsbSBU(2zl3SwXeI-w1DQ^M7UBrHM0yOU8$r@I&KuqVj{Q$= z(qqtQFVfWe8}qdGS?AFvEKY?)VBJ)`H9|!96yPchpCzKHb1uX?3GiP`_;lia5v|!M zf9-h<&I^i}5M8FBQ)an}E~zz0m+v82q%SPeGUrBph|}#F{0USFXuyR)`OcJtupPJz zWOzMi63xKguFzh1?6#W(PyyzI4+jT`IvSh9q$3XpCIv(g8AY>1LXbVxNEiPL8V#fk zBoC$pQ3Dh#p*c{(T$q+tRsc<)c;S2`J(+eSY(!BAR#*V=LrDmDW}GlZWP6exCMg@q z9U4qdb;Zy$QTW=H+sOq&ZHjISZ-3Lf-#}tWP(>sH#1PpE&|UC=Ab<$XXt@Oea9U;!V9Xgz z8bL?@H)w$bDbcL1A0h<{?Mm$P&>PfGiU3kv8p=0sTpjK2_}TBFRS8{P>H7BY$6o_8 zy-f!HMf1&fM`o3k6UHqErqycz$$HSe5(Wr%*k2tk6+V@Dix&U2yCc_#dVayl0n7wN z4g(`XI~1^r2#6I~c(}R>Mi$ad9IDdQ(Y4Vwr+}X4W!y9zy_}A$!hhfHp6h+f$|Ayq z@hjwnG@FD%=%bB6H`b-agVpbPhcn;0!EFDQ8zjdgPidy4>9I=?Xk=?es@}`d_5dK4 z&LGj2rr1(8^&wmVe)zE>?3D=qA=8Y)2>*_o`|&5=-f8u)RYZ7W-XQsJ0N-)V=<-N~ zR$pSnzYN>euMXxPp*0M22@9VLVlR$}2&fXGB&2Q89Fwo(#x7w~G$eb9EE!@`L`eQe zuITi$o%kY!l*-hl6PmjI-&Hqr>U<3l-kTcxUz3v1aCC1ZML6woG$4=> zhC=?ThdvZ2@WRG$al~v#JgUG49Y*32cnhwK!P>q_x%^Uldf}5d+kXV=6$5-=Q`8IA z-Lr}H~)6vumDz9?64*j4L6F~Mcagfb&(>iyj!F^a%cbdk4Y9k!B zR%hn7v#Ou$WJ^=>#}!@G*>;)ZcA@a1SCT0^Wt=59b~>_RbKzaubQ`C)IXX?pW2Kim~BUMbSn5E)$L2 z90adb5uJWfR`DCYT3VLX^<%QKB(GO&eOzgn9sk&HtNRJZ)>9&Uc{_$7Q=Z&AAvf-j zH`rtP2Kfoy=xDx6`S3oy(@C9n+h$XDnbVU&rp7XJ%svQMC^m==8S7rI#dH93So*ZUL&-1P+-=IzXfAjI_28w-hTn2}Vj~Kp0 zml*w{jvYk5poiQ)`S+W@c`<9r&bAzg!y4Q?tctZDNo2@i+cY4`iQ0_Kf@rCKuiw#4zZyK)M*eh>Y zREO8^V}to&dD2{NPB}XiwX=87QB}ol);d}~H>|MEX>IbHE~`7-lBy1lsqKqugVo_Q z@3)0rni=Rvl%zB4eB||x|EMNs4ViJX_xs=&PL1mdAINPopN+|Ly2<&f$Elh+2HJ`Z zcgt~CguIa_Z{4o9jW`eWX3ZXZhbcF#iz&m}@9O@v;ktKf4X4p4@|N!ga)TIb*G+lA z?(9)ao9_v<4m~A**w}6;?Q^oRJqy9pfaXBE1ifMHGs_OLMTHhu{pl9mt)=bSf%>xI zylQfHutvuexZR34-Wcee#xA*6=DFYChnbwV1*If#_D1{94Y4$%wMw!5fX|bG6!U#S z?(WzLR?%97n6;=sugkV1yZ7*I?j%1y3l6qq<|1gw`?l$-CQB{{veA5t1>ZF3)`DCw zoiIMM3&N#L|yibYT2CS_#PLAZCz#`xY|xZUgR!L@zXWS z<9-#~97mU(Nol~z2^rft8K@en-r@_|Tyll{K(0yZhzSbI2;(|8XXnITS4v3p7L1CC zSZEUb(;2YKlYf^KG2DH-ZS5Ru7uwhBmXkHN!)9#FvdK_o`poc5u_Vc9A#drtn=%bs zNOkngE6JJT8Ih%iG$cv5&jGiY5ORcg1M7nfIzKb}Tt(rR0QJ(x=2jUTfBz z0z$?$DsxQ=4&b8&FP62H=bFvofT3YVDp>I4Q7iACV7%^+%vlD6{P02hvKszz z&^YGy%*bu87|TwfXF}c~U-tZ#7wua&u3A|(`v_aAn@a@Bm|+!`&xj4^L1C^j-v*vWdr#6>T-g|n3L2c8j}xDa!A2X!2_Hdy32 zcUrxJ>P%wd=7eel`k3d{tL9F39E>~(nOFKR%`qGxsB24VNteu2z$f^Ch4I^vdu2WM7gDRBq;yB<7U|XF@)ujU zz}&!d5E;Jwvd;S)x1{?AU8{FZZk-93*j^*|@Qu)gY(SAYzmXM(7!gwG;KkszxoILAIuA)f<1N+90I*8wj7H4D(eqQxpiX<0VtHe#aK@N%`&@R94 zJEphQ(7dC_d&X%nf2Y6Q?F|JbeyhRF#)B2u1=gtXylq(r=1gFYi$yE6))>b6`@&pR zYnDalpdHTJN>N-=l4Ab%<`722D{~CSS&$RsIs_WpOlqMWN0&Jl%?@VUnPj=U5qu2X zQCBy)xH7|jlU0+#7f!zGK_xIWpmi}GX?mQi%9a&F@sTi%5p!`s3QMxry5cf)4pdhh z7z0TmZVL`KVtAaL41C@sQ^6@izHkV|pEqqGx1s{w4YU{&eJt zS=1bJy(_3#A*KN3eA$uCN=6rFGgINZg@g`yzFoDJ(H!Sxr$-5$7sKG3x9$iulkHgH ztOL`&(b2U}HsJobVqYbc>#vjK^js)1yJ$U$JCMj;jLbJgx_UW>j6v z3SvBKnQ$B@X07$MI~sUA=^Qm6sbO9=2Aa@rn^GL$px!1bF~^ji7M$iC)DytVd26{A zQ_`BJ?2#bJzzboU#4QC@oX?4RyKA`^Z_l~Gt~rje+?5}GDAr++=_zxvlMHyJClKb8 z_E3roD!Njo1!g4q2U$unJ8j1cxKSf6G~ebO2S?!|$V5f$K3zAe2yiz#tX!;%*YqV415!l3=Y<#w_Vdi$Q_Q0 zcY3*7u(z1xPrKZbVj(J&>tfR$yqg@topG&Q#5qJCjpAe&Sa#@1Hg-?~#qsVy@S!oY z-x$^tPq*Yd+FHjWpeDrIoUqx#-UZy)!}aj8i;qy3MaRM&2LuKW-1jz`?fNjl`i@wR?7U=hlS( z+MbL4i{?qz+1ZVb3(uzgT^`6fbF{qjo8gmocKK|lcl_$%&E_3#M&kQD`*i8PtG2P> zx5w0=Lr?S`FM8_OALfLzWUW3f_18yPxUQnx9r0XRL0YpIkJx<`>jQ z*fWn=4_e-Rm-KPHX{zO6JtNMtjjCk{PmBp!?XSOpRmQv6W4Y-cdJ)5>&WE) z944IChAW9J_xSpzg|o41>g@3o&f@y~nAL2b&s<^7mWG4Ad3Wmx z<)Ys$ChXU%%-S+|(Et0>TS||!87K4X`u?#UL3&2}{Qv#~a}_1s%FCH2CKuf%d)95z zm)YL-KU=>^Iau9U+2SbAt$w{~?t2Q;ywm9IwYGS%_uA<*>DMjopxx&*fB)z2-6=PG z#aO)O)Fbld#$$&0>HSxm^@6w0QCE*Jb~!xiP;qt0cm39Y+r z8+r7M>+ijFi*esiG;K;73VVL!o*4S;a8SqOCK=@@qVhN^8%?iNuB2*P&L$P%zMrU# zTf#l97u&8lDIINSCGOPk2VZr{a)UW8cV+kP{;Q5fx#vgeXN~qNXTG;LbKE#2V|tL| z4p?LJa{tGE=A7PQJemJ5%lZlD>L>4(-&@YZT!&L`Vi($cfU`)T$tRO&8nNU{)zJ+su<>G zt@7*^!@T#4?&yMkr+wdod}iHcHjDlr9q0*bVV8v;nJ0$6@AG$=TTpDtnMYXSMP;z^ z4WCpJx_IPQcjtlH_YcI-blIDCJl{N zlMg%bE9z(WPJG>Xy{oI=z6kX4?*D#Ell>K=+|lDM>^5HBe{MaRr=-P!iLHKOjh(pd z^5On1iwU9Oif%6jpK#N{ly%kCi^59VFbYJ{BJkq26WS~8HO8#VK5kA3+C&DB3?tB_ z3%zY8jAI>zN{9nP?_1}T_PjGojkO>25(4XICsx+Q#l&x^WxUc%pX*`NDK~Ju1?`F9 zFDuG}*51@MeXtrNoY#+hZ%gTU?G>aGq94S(96t7S5LXkBhA;H5Y1-i%0~y&dUjE3r z^+Qxim)+qc%L(r9p41Hvck)pzJoN4yYn9sDQDEyjL~~g%!V~PFl!0|mC7dCH+tdVQ zs7#5^GjGvI{FZjfk_z6cS?<}SB@HZXi6s_gzYo3WO?|$(osK{EHCIH-QLgZtMzbla z%(s6!>2_(S%-hW8tTzHWc{rI;eBo%nb;5Yv>e{5wE* z?$HXBXSsuJzjxdyOrW0z5el2_W8NXzPR%$kl|<9jyEubGhZRK_@Fx8=b_L7i%zJsx z8MPkhRA;QmyN^0t!xh_x!RNm$hcHNhL^Vox+BqytgCVGMF-K}v1-gIE9BYQQ?17%f zb;6yVceMdmQS48yH1n|P&Z2qCVMOW*%3AGZcU{u#be`M!-T}RNQIF{cFbtr#zQ3`e z$Cwni1a6}kIu@7vtToTt#JD(Wq0RLK%yBxR=N-|^)sKhQVPXuN-L7Cb09iXhTplSk zOTZF$Dcro~LJPwVR83uGmTy~V@#rDnL-c#Z>Di3r+mQL6xR|6aFb;;c3rSyagET)4 zyU$o+4i}F!IbIjP07i!&Cu>!_CIdPFT@NS8!oS)?xKS+nag=#5pj!0K9}{z?v{Z#% zl6=a|+2ZB*ol1JXu|~EuCB<{8qhlR*aGy9i`7j@C!*pBmX+4Ht_@Id3a=RFyU!b@I>JJ3E9(x&#^M4-zyd4G zjoCTTRxQO%Ck*?V;f^n%WKy~0R$zR^$NWPc@aM<3ym#^u!-+}j%SsGQA3H7P%h)V} zblf9zdJ;_&N}F=^SkV>C6?o#pA;3><_-roZs@Gm$_70%b>$B`NA17wzQf!G z<@5=BZ9Sl!`_&Wnhx3QoUh9NCmOothv~{ep@%+fOg0W}J|MIu~Lwf>Wy7A@W^0g!Q zlf*?k`npYf(!MrHuW?Odd%OOB`68$gP&Tn2TV)&w?Z!#J&i+;?v3DJ7q>j;{(${6>m>*pUv`fd<%hwRY> z|IoowgC&l2VBY)W3iC93w>2|!w!+%^wgs)%a&&vaobT??VvCBkP2J)vJ<{ZB?orEW zuX?Oc-E_8THM~WeQ>N8q1|ivGLQ$HV)g!hncjc<9DejCh*ZF z-*me$(8ce9vuNl4+B3q+#wA5ddyJ2n;(a2#;lzTa>7yaI@UVksTzzaPy}|NzyF*n5 zSbE0V+37Tf!bq5M7N1re%yhZ@`H!k?GwLqnap^JNW&+|dV)u($MGcj3>J{yqRnB|L zcdS4RAJh3ZR`K8G=8hxlblnNX(2G(d@Y7z^ozPt)e^iZ`Yp1oG)!`P!oMQ}n7n&h% z7xUwqva!+?y98aVcdxOV`KqC8E%|P4UH4gxJu@L{kp-THlgU6V$O*I(Qd@TAOaF}< z%C=|CcGK<9(I6?Fb>pC=>YZ9|CfjH+%{E6SP+EU`({u|YR4qc zpWi#vWr2A@nZojRyY{RWPTOJClq4CKeFQauvw)}auJTl5|M^V=I zU0F(2>>ocTs6%%feDU0(slF)hGno4~y4~L4#=YXW63;q?v0cjg*G&(TZ^UpTUxW>G zBIH~2&#C^)y1&{id3MvdJ$cczq;i*@!P{hbOKFp>Z%@P~O!w8pjjT0mgtr4C^8S{s zOSRhSc}J`0$2(8>Zc$IHYCF80ehDtUxwALxO=|5gjMdbSx8y^mX7vT%&V!mqQe8p( z-H&_sS_d-AVYA?GvG4rr>F$n>(tfLfsrJyqg>%bBMy{_qO@%WfVY4`2=np4XHkzp#JUF}fRg3QropsReO=$lRXkqV>2|4J4 zxLTBckaW)e+SCx{rU;FG+cLgsoaomtO7Iz_sI$R&!h8s2t zji{IPTNdnTZ)t*aZ4i2jNsBqb9rfeB0!yeU#^4TcO%pa(l5F3_3y6SJT$muqxvr$P z(fb%ihWLD_nJlh*k`i*kohHx;KbMC2%fg&2Jfui&ul)LJ&vJ3VRZ`2N+Q6AQ~mo#{b^NXRtb--vB&|rL?g$NqwB(6Q==UL9X z_N{Bv&7YZdR0K1dl{-}D9(~#oRM=oiGQTsIkY{jbysHO@zl7L>r%MTMMut%$xWdr;YnhBwk?YLyhLc1y3_)>mjtC7BHcEI@+-MWHc%vDt*QAX|AB>ygly0g*x0K`u z*r@NidR&?}0g_5(kE#C8f3WU2uX)Ylf~Kl*RcsTQ@t>?D$L~^;PSuz;x>aO6-Dw0d zE8qjX5o+Gs6i`cx#VCh8LZXAMgMET5mvCIypPmso_Blhb*77 zJq)25z?7u`@)v|-CMTl<8tyOQU-80_8op8=ai>L*!Pkr;9l_y>*;pCq>)yn$XuGvuc|>d;XXZ(`6rJzq+G?I+H>P z;%UifHB@28lCpgqg2@LE?LgVZ*(`u$Dhp_u^*A5qKZFAi(iTB!Fl9KbXj}U@U{_1A z?g^g{7tk(2mwerd4?EkHG0w~fBb_mK++3QP95Hg_T5@K>7031t-Wn6E?WrC4d;(tv5=Vk{w#Q!yyP##S!2^2lRx(ozaV%)F8mhLWK!H5#7au6ISWB7?~zGE?i8 zxJfZ4jRHm@BivMdW&*fSc7;<%735C8M$Mp_+$)EN0CwaSFg~^u_LG7+> zAr5CUekZfA1_*IK=B!O12`B5v`8dzTAUkd6HWxx@tk>rl*dr-&IrP+kA7!_w<8GLg zMwcf;*fDU)^xSgwF59&HohB~zvvO+A??YYJ4p{bxuZ_>#UtJ2D*lYHx9~5`YEiPg>-311)cj{YeI=phb_pv8}hSl0J&uh&3 z#fh^k-B+C8?14~lGM`o5sjRzYqno)`?fp;QKKK9Lu-0xFlYd=zw%_@(QZ}2%+_BbS zwcY4g*t(~{XJmKB_^)-krgfL`yzxQSw$Bq$nFraIVAVU=}sx!OUm`$ufXa5rR~CgH(CB6L>eth3jzv?!+1(=ohrW~a3!-7ESp zX;p9A(dw6!QkQnHcf{*-VwZN9i{_^4rtT(l**tyz!~u8ilJY5xE$nc(p!zWBvGuWQ=v zYEOAk0f_qj7NdK_xU+01e-A8q!fyFXeNleW8&U3nS-5w9x#OV!$Kn6u^vRp7!^KA* zD=TJ7K00*JfBLVoYj>TdGOCv;L7LzL%3zI@zsAgaa#CRrDdUt21PZec+oq5tkb#g z1GSzPKk(D7rw7b?f4h6(9`?Zgt7BsYsbS%F2h0|e@3*cse{sw1!%YqL3B|u+cYpdf zpEU-Id-7o!INXr||IlJ~tusXG-Qp>zd0)v7fv+p}kSuSJ%isTLHan{SuXY2!NxRdZmv>FJyxy!I zl6&^|JUxCn3>$vZtjETzyVV_9!+*L*bBt?G`)$HUC$@wG)}If`+Dl_!o01YgQ9pa{ z6ZRk`Z|t-A(e~R_<*z>#{M50n5B~gHJ)`<({;ubwV!yt#duM5&bgy1Eo@ZruDQFS8 z3hTDG&+V4X;d>W4Oz)1}>~VX+h`F80Wfr`D5tphp*kyS@e$=BYZ4MUY?|N-Q|Cl^u zXb)PC>vW-iVEB`ltgkC5!Z{N5XQHlItmpqo37eEZWw{k?N?Mw1=`e6#DD)_&u&K?e zxhOwg{pt|%z&A%%ICau|)-dnRl%&En+rC}tdh+7urg9%}(~rn_{>$sdApORATj}YX z^aeine~HQmp9#5dnR_$0u?9ZjtY(zso07gQax2xRg z*9T?g)MJ22KlrWjT$DLzNQrN5*PcV_Y;F^kOi33MB?^lHeexUOIviJVcZCuy6 z=*)PeK&q3AIUGVmh>|da18Tq@5=l`utvK1W+?J#vh4yk2Ns)8atoBt>l~!$YS0q(+l%%)! zZOCcwZCC$$>)rL7;8^VDHq0`Jf;ZiAksCQP#Iv+gW)-)k3FuW+oIrGsUOlEX=`)I z^)CPc?~VH!mt44j2fbEs8tA<%@C1Lj=CY$klqqjeG z%JL}ytQSRm7jV{vs0@GE0)kWcECFCtC|9iK(tAj>kc6kIT8F9v;$QJ;cvcI7xeG94 z0)?0!-G!(TK-VEyK#(_D^}x*E$gWuFw2DIN8ybqZt61duZ3bI!>P4{yBnr_}5qNRT zm*cDX94vTWu1%#T!1KI;ni!B>I!?UR=V1Glk7WRjK9YaZoCk3FbKg5N zj{=u=oPsYKi$4eK`7VqLU$441-vgsF?tAF;{|Da$(CE7uJCyt1JsbFW_d@Snq<$3` zR4cu9?SKj(sGU?QY9VcJ@{C)`NWH?9CGyxZ54xhzeTARI+;y%ZXD$6YS=ebGWj}FM zC`{8X*i&p*K3eh$3vLlz=gSO@XPtUtdcKXEY{Z*g)82&}IbFb872Z}#?nl1Z^+!*m z!VGShGg~U|Two@hsy|b7y>8v99ho`IdwW>Kq395*>ztnPce*NqNOj2jn(L>UA)^U# z)@fGm%xj0|n)TaH{(T6pod4jF0}MuhtMR=@=wH71H?K6SKi&NYT;T6M$c;ySTkRfc z!|Cv&#E3gtR0*jas!hz?He4h973ttA$BmI~AOgO|P^=)u^i$YJy)96$y8<;0rcghM z2w7q~?XO_v_;g(qeb;$z8k8djHjnD=jQusk+^L67@gb}QPHQuDvD4n1Yq~!H0`xhI z8}zJ_-cr+(A?{8sV7zHgEZa%>@e6iI1sTT!d%3m~Evg%|5A)4x!-_5Jf_xKSWhdD} zVJp2sgTWzJBCR@fva~L69}#dSG-S|S_bJ(&;cS%CbX+WG zo@@wzEi$HftRVB^k~>~;UG?>pWRBkBv^0V|26yn~PWawD!MP@4^ea$mA3w3~^4IE-2xCS+TKno)_!3nnA6_)hA%OB?K#)kSFogw-VIj3?!%@X_6 z>3$G_ks9O?zN1>c&>7A$!3;@k)&gs`bN5h?1e zHn%7?_3)yJ)}x$0A?=#RFqX0~sn{Jol1BW~W>Pv#d2rc|rTC!zz#8opnepz|_|jQZ z1~oA?jB1HP$sj*B-!JTf+gyIx7&GvqYPp>#M40?0s4VG}Lmkj5di^L#-ocVLU`C~v z0HP_yJ%!hp;yUA)qYNp{=k+*Q>(vYy0Z9qntMbVN$nwU!u;06+gQQQ_p1M=~-$Bjd(k? zc1*|#qBUn38;r)l&>4`IZWZvu2Xko??&tHfP(T(ll@-?@k(FI%+Tqp}IvpW06h0(^ zY5uV?q0Xoeu+H&z*A|4tr3cn@E7ScuarnHuWQ-cC*z3wc*SN<@tUjU+Ixko3F)gQ- zO*=H;1XJyf5<>@^r=B=swAZ%VWCIPg+aJLNv@~9Gh)EC3tAz)Y&9rS2o&6@1vP~BT z@=|mNCo61FiCtj|y<~rO*uBK@Awt#L#D%&Ss59Nf=YsWRuyTT)b|}0@KL$4ppOfu5 zon6`(M~;jVDO>MeUt-Q=kboSmb0qmY8D1yx(Tm%9&ZB_1Z_m7{M_(9uG{cV@89bq; zc(4B0fa|VXihuD-$z^(ER2gds5IkqHmOw#X>U&}O@3GwkBc_exLc-ijcg%9C_ScTHfLdX=qdLYjjXl(>P12shMo+a*sqaJ#gZ1oNzqdn#;r!x$%`Qy5MXhi-H(oY zVxIc+1vL_ZO;wF#00={r*~mYj`O|!G!uFbh9lkf0pR#j~Q!<2Bx7*h&YC%(X0UH5s zzZ0yeNaB&;?adSKD=BGxBLq`(k>BnrA@=x~Z~| zB2gPHuA|V9wT}8mF$4->vDt^%z%0<{+z*hL?m`P23g(wSN7D>Ib~Rm`keqQ}ow#Tt zmMrOXoHH&uTb4;kMi3FkTyEO7{3mb8lnP-vA^{p}zD|9-m4MNR?Q1@d!N@DmWTLxp zZMWeS7ynqYdweGVu?M)=LY~oP7sXU)h~XS4A;4V$%v1%%OBy~yoUNKBC|=zen3IrL^m&h) zap`#^l5XE9R9dyzEGL`w?|Z@LfMr+>e3a^{7D={wyBrA1bV@+iNEKY~$hsv0&!SnZ zOl&t|D5^Bk5eGRf=qrRkhbn|uH`$BOnBIlB*rD{sb8W)egrsIMN5UTYn63A^UA&@j zU{FCH>eWb~Q`LF(4cxHLk|Rs3L-72fZl#^t722oavY@L1O^8M}0BEZ%1q#;mGAfi& zS_rl2q3E zW@m{)i(&(tC#}dF9am9_yM-O5HY4aQe2Ws21U@5#4v>YQpRYjMC5a3g3V+D)`r$s& zw_LxL2Rd=iP8))7nJ-mxs+xUd7j}D0O=h5?jZbqrI^^u)thdiE; z>`>ZJ2g|sdPh$-m%?6S^OOtcbai?Uut*q%QAvuK|YZOVK@{vHYttBaw`P%>r!om%U zAvGrH!hQpUxPtHk7hMQGa0pR*O$5ZM-1MYnki!7DI#kRdXEhKjGf=paGff%v7znhj z0?1RR=P@io&;SX^c2EtEma*OnXZhkrxG8K=u-_zL;k^%2y&yV-hg4q<1LefvVl@>- z)q~(#0rf~YA-Z{3h@xvLzG}vy_H?SPpfi^IA~YviPb?jvToAtJd^zHqkS2ye^Dl=} zSOc(fP}c^lL=%Rq*3tFEvMMw?+qd}dJoyEPmAKFYfz@KFO7Vio%<)%AA|wFc2@B$k z7?pTy`prk(TA$i&fSBKaqC=+kp}L1%Pi<2zQO)_NC8yP5Nr7b}QZQ+#^$p~l`5rKI z0QC&>9Jmr`j?xl1&h}F>7_*GB3k{j2Zjmk8^HliZGrt9=ro&Yu@k>x$B$=r}hG+&<#W@73shl)=jk&a18AWpZ*6+I2)6;3aN)5GyLPN?+ zM255Ec8JS4!;qK-i`+G2Jqqy`YaB5AhO%s0K3AuvStHlE5~O$jl1Bv#yV%zZYByP6 zfrB>Gk;)d6LUNz!_Y^cu^@ucbu18JLoYWvi9yD??y+Nm6H<(JLMw{JqKMq=IK@%SX!5GE9h__^hprekQ8*#imb`~JFQyqhQe%BR~ro$Ty*|>53*TPdBfo4+I zSc>ZV=)C%{7`fAuyy04IvXHT-_f#BJ6&zv+wvSig?j3r6ga3N>rTGB`l(YY^e<**4m+tR;`Xk=+ziLSA zQjVBk&Og+F|60G&+%8O-<~4TYDj`ZBi#dAjaLE`^>MDwwBBIsC#o} z=Go@&p^SSZ@JaeX++KV8uW6H7ym07F;p&bn`V}@4Y099VzKD$i0KGkp2ef}K(5CF+ z_Q1P|9uQJ@9<}cz=z8O!Q)}Y4$!NkV7E}hZP5l68{>ARBitgl(JefL) zp4Z;`Cqk^0p`suN1Nk&SUm#hn*@PbTcAIIX=_5}5@u!*;=P&P6^`b1;KlOD79i8hp zn!I5D!bAA>9@1xS|Fr?Au?eq;0AjhTlIZs)-Qj84hr=KRzd3&R_aFRT>Sg7fI=qCo zW^XT7f;a26=l2ePm-M9D#6W5cur&pB@~gjOXZ6EI{&C|&LqYKe%Uf$7@iv{lLn}c? zd?de&-if-Y<6AMvsT*(AZ~XW(O_{17`&kAG*v`%$UO$vL*?w#N$v(D$RH1uEU#D^l z8U4ea#{)&C}{7mTvpp!(OfSE&``2 z?}+_$1%1?6Kd)~L;)&N3z7>}<&&3=C;ZB;dg&4UZJ&m|AL+XPj4fNtMfWA z<~)CsQQcu1bZ8?f;|R!rHzKU(M)3AIL#WPYulxFe+O!utg=+5zDArqW8yx9C9!7FPsLuG=Qp&2&Q(Gl&qpS>%&vn+AgYD@h-m*(47FaPFwc?Xv0R z=p{I@hAYmc?Dq^QpMI+G73H$|C7NIGn%mF8~B<#6L&BZ9t__3)MfY{prMJ zW`2XeFJ89qP8h5{$1*T^G|_WVOMg0!=ym(jBhqXIf>n1;iAXscf%Jb{SWc$5Js$H7 zH7Q_Q=#`n@kv3|PdBCZ!W1zslwM`EWnVVpWCPB=q6ltnK7iMAgzB8GK0*myg*g8N@ zv9bbP)RkGNgO&pqjE)Q-=-PrkYn=HQYibZCpl8y=>18Ns=r^Qq#pS$@-cYqqu%7vL zsL^P24-|hAz$c&e?IYoNrM&&TGT>p{#I!hEZE>JNK2K%mBia^>X1xt?kzf^J;WEIm zB5vD4oZaRnz0+{YkpN0ZcExl}Y4qhm18pi>U>aV!9}Sv7JdyPk)#<*&xD7Y8edE9S zz7vEz6+q+Yz1s7DD_t>X=xiNrJf&5y9j-T0=|f~k3e%=|Ut3cV1@$z8>lrfKGHblB zaw2hx7XC`#4;Rd|*<~m0Gd6u})j0^O9i2h|gcatJv>lV3+Ep58!T}G5a7n;=tq3bf z)dq~FgaZu)>_@ig+N^8!Ac+I-1IG)o6pn~2X%jEaAZ**`P1CeBpbEXr3n{iE;;`)6 zJre)qSzUn3b4Q9jQ$l@;4O;0&>8CQl+fo*TkheEs7Rf<|Qe^?GAWR+f+&a*8?Fczx z*>RKe$}ta~3lT~1toKH;*HvH~b=`Sn8A>tdTmjKKkThy_GMxCqr_JPvCFMle4B z(w4aF=l2RKa0BO;w8)=^sN5wUB#QFSzq^Xt zVS}WM%}{7d+Ns6nh9KVauka>H7d;{W7LS>P0_y-7-c)9Me<_8c0WF=XyU*;fb*+D^ zff=YXyx0eD$z1eKz;xtkFeJ- zCkJHf$AEBQ7lx_jDcUy=S@!p!HL620(9uES1!2_IQ7Radm^Oq9^7|j~_w2$t|WDH}P8r3Eo;z zHY}(;c1=HWChaEt)oH*gfr5c!T$ry-WYg;(vm4;a?xvlJ9;G`@+9{K(Al8 zUjOwGs{R1!wcx=22)+T#0I(Y&=Q9oA^46{sEXtOYP`|Si&n1VZ>rQxCeNZhFM9ruZ z)luy_lQOTqOnQlJdo`;&yUj{|k>r;z(9UCpPpE;DTyE?~0?`88qbpGHZU1Mxt`w(* zu?w)i^+s04Tp#5=50LT_bb|^2ne`gZoubkN)L^{4UQ3#8?V;4wd7rbA>C&MYQg)$v z$)MfFoTC+`z_M)~A#bJJTxA-Dh&u-+ zArxdk)c<0k%A5P&35MWUsu_&LJ^wulBn^bHFXM+iG})(83yG&|Cs|PkTaM z!73<-jcFB`5Q3}?H;DE39(^D%WRK9iG4v{{EQgeKBll^~I1l7iu1p9;ML?u!#5ZX6 z7}*ga?3~&YS4vD#LszDxBB_|B=fA4%|FSCvJ3w=2dK&c6i_ECKL-m|@lH90yg?U`v zL2c9ZfGsqa5UX2@w3y=?oDwd36+-mvVOq1KP8tCla!hNjaGAcC zL~hhYufeABfibuZ*^Z)!uIVji`Z9s*QFTR&n}lRy5%)o@8+3?EM+W@%NWK@$C;ZYP zA_}pxltm+$F5rOtx-+GquoRUmL`c|K-rOyd8m&_u zZTA}4NppZ8>Tioq4^B@uC~iYL_X8x8pyqj3_Z?A{X*Ilhe@?UA4n^-Xpp7IWH4r=u zl6}k&qaC1E#WS{7&+19P9Y<^C8VATmiV{>tHLg|x)SM*V@<4tnPwFv3+O0Z?HJ%Lv>th2W|ywKbQX$2e2oK5 zfv23Y+E?3^H%Gz+LjT<4a)NqO!O`oLB~2Oqv(7V}`;Z*ge5n8u^*uz^38^_Sp;9eH z_6=Mmv}C(2sAm)hy-w2QZdE#+BRqDf{f!>uXpn6lUh*eiP`A1LSb;H4XUg&Yw8PXR z?#lNS$@MS{EOnyZI&aIBKCg)w%(6JN z6c`jq7?HfHDAAk3i z-@6Pss-qf31@6G(v}$nC0H7UU<#Mk(=y21qOkF;T>UH0u37sG*AxUnE1za%^m=5hT zbP3GMP*^s!8Yu)!8`3E3woMSMfw=V;3&CAt*vxsp`G}?keFcr8OSDe5B+wX-*xcGE z0$~m}l19(D{rj6#f%QQsQKA;*6ChQ5&K4}vji4SM+aXtxSr%Z1u&vds7<5$ABwg+H zg>2s#gk!#O$maF|5H~P`{fV?;Hm}aB(>1njGr|UzqEg5us^(Jz8Is$t`hd*zZ#RUz z1J!u4gFy@5T-I+;H}|xQNn(KGyxeg6)_?0m8FOD!bQaAz$%a6#1%&VS%v8ET9BCMx zb+SSuVX0ZvYwRfDl4GrPmus#KM{NeAnp&kmMKTB2YdmUrzQEs*ACS5*E9m;A%*zRL85o+2!ziqB!AV_2tn{d!>~ z;=hMRr3md{dUyskNQ$HS<&H#JgPlWA$57Z@0LL}x!cIWGWYlumx}b{tJaKU0vUV|5 zp>}K>vU%{!lbq0iZerQ)34;7w&n!j$7qjodh-Tb)|K<)9UQTl48! z{g5LZtpUBS6cU;6YNkyvo>&uQhma}zmtCVGxI`H8ccXjlA=`v?W=IeFj^XBdZu`oo z7xl|nDFsL^&{X&^J!daySgPHj|2;qL@Un{VT5Rfdhx@tZS(b67qp9*UggfQIl!F>q&$rC8S zUIHH-6T3#2R}GPLJ+gM9&B)1xDI{1tjy7#OeXJg500a)7HS zMNR*R+jZK{k)$;nqVA_QmX@2qKciq*nCpg^Pv<2h303|d%qHg`w+7PTY&Y{m@8d(x+5TnpwO%{$oF1z4h%dNl$ z1#FMlAU9`pvxWQdk0^Fa=nY4H6$Il+N>vFAXc+xKmSRKoOF0>!dv65WSm-l$8P-Q} zt*^sBuC)g+R?W=}`nTGS>$8Aw9OU4-H9`HVl<!ll+sE*4JF z*tEJa-u=afCzMoScX9eli%axtF&^o8i?=klJQlmj`;XxIPt)`6HqFXDm&>XVGB9>T zP5f93=E*hsOQ*SUhJJ(T?@S+LRo{Og1eU!#S2c}eQRu7F$e7A67RK}aPp#kfZzQ7tH+S}?7Y)&)E(%o zF{R#~F;;t(-b|pg-{MzMrx9T@D)qu|qr>W7**(Z!h%{eozS6ujvZZHdeo_NRT8### zapYh&b2IkY=_xh20_~l*Hz?BZ)I9JbnfDH#ewr zh)W8nDGZlf~QrzYF`Uq*Ly*4)Xv?~EFj_GgjG3j^wAugh?@ z6l0I$H~*R*s{90A-25>!``mth0q$(Oc$XPZhbqvfVE4<+u1{=jPei`lk*nU;HKAx&A4r zI(~D_`Y_ivb(ECGRQIzDw-IR2Hyt`ORtAHS7va7i&CdNi+Y#T#fAYao;kA>+ADV!2 ztAXL~#H8;pJ=@Vy!;b`>&6dy>v-aRC7toS<6iT-EDTg3p9Cl%S9xUUroV=Ax4)rb6-V8_fdAHdv9VEiF|9#KUjrxsG9vrA~;i1rpxud__v@LiupMT_K z5Sj5B$^a+-RZ5L`L+Rt1HC+sQ{MNl4})37i{*jTjswMay<*kqq;z5lgAf?;!yJ@ zRG6D-iG66O@m8@uBwYq|a3eZFValD|={uv2*4#hSi}iC`*eVkFov=9R{V*%PuJ-@# zLxI&}SAMSxwDWP8LVe7wy;a~F75IoJcYM43uk@Ra^T@Zr>ZGNxZoqK1|EOkuTl^NK zA2b^0r-uJPKkBB~?{}8MR;q1%Vl+jX;SXXT=J2fy8FN9L z9TdB~PYqRVYgCz!-f6&=cMb6Lv4x``qq$jn0o#rAbj9~#=lk#;-!#*`w!AU*wvhMjA|YC4QDwzx@~U?`Q2O>k$Z#i_ z6oUOVn_y;^APj3zGcE(6w!;4+|8-->OUiCr!{ky!S`qZwZCR?^P)o!2={!ELEeuHi z{`FZC_rj7p_t|uK7PQs|KMshtG+s6uFT8!Hz-JY|A?I!J$>&zbQ=h&cA+1)-+emT< zdwf-wsfU&H6lkdLpV{7cWC=uo7QE= zW&BsF3?$V3XkYQq7kuY%zo9Q~>|)y$`)Q_c0Y@)7;=zGSk33R~@&`BqWxOKA4G^(& z{%N?{S_vTWs9za}5z%ir6CXJUt0xY}obtM^>;6OCUo`d=KejCF9#KwGp7MGN`hQum z2DWX2hy+7L28J7W=(=H$bR8W0y3P#*xc}S`-h||drPxDg^#t72YsG3==|{|3QLF7| z)^t)R*M3$P$KXDjjmv{WHf&x1Cj)?f%yWTSs$G>|<`FrcY6IN_kOBwQ!&Qz-z&O%o zGGP#w1{`IzRgeou@5zHu+qip#xLj)1Zhgw_!^7>6;OW+TqZ_S`E(9M4ZwA9Jk?Y4a z;PAAGAsm$_wW=6BC(-Jn&N=;W3wR06pxxN#G3gv8NGem^$}qjbmUU+zS0zGF9)V~H z!6;UjPJ1#_doF>3N&e&gvY z*2e(2%MmJpZUh`HsFnRRkU)HWrmNTi{jgx^=G*D6w1>oOkFY zcm|ekHyDQaEET4VrVpBpl5IBL!(eFQc?mvVXvzqEK&)H>V;cyh;N6LE^lPi)=@CfZ zbQ8Mt$PVl13A=}24t-G^cTG%I_#R(zNNwap-rR^*0G)*1Ms$NbcuD~$P#cSJfXc2p zQ><1#cjw3_xgcKxm2 zZvOxMR{j_J82TUjtrS4Rvqd?q7hlG`-3cz=x6;w&CD$ZpaPm#lnQ-?y<9m6%=y2m> zc>JdjKK_(Uyo}Yub33)a0!U3iN)mzHMgB{t5S_S<{mgm@uwl(6(8t2MOXEaIGdrr5k2HTIW*Q-Nqn0^u_0Okf73WERFDx zWC2X&S4KvozGZz<69S!JKIV6ki#oNCh=n?xRIl$TBP32{y+!r7G=Y3D#yOb;uj}CO z-lq9GY%d~*jerN~+|HQwlxz9)C!Mu>52(gK&m6)@SwN$X7+W)g6SnM^TUp#g%bu34 z4Z>bAYKp^|GAJ6YgnchKigpr!DPXpaJqV%xBIJ01FQr*g9@piN)((ay>s8FaQq>fq zL%RLCA)h(vh=2fr^`lKpsV2>B*IrUVFX~Fl{5j{m4(h#e+4NYB+jsuqPa&aq4G-GM z`a;sb{Ad2JGydn^MethI>18wb!uG zWNp!vTUgAVGMiT|^1ReU>Hx9XOKPsu73v&QqABz4TQ5~#tZp#vV~L0V-HW4b`7Ll` z^3_clzj^28WrlWYb<_4S`dC^UU#3A{GHs$JvOp|TxkgudZWMkzYw#LnsOLDq{X<6c z2#~pCKQTSI zijz)*u{w5ILB5wd-cgIo6b-J7nKdU+WsX=Q3faQTH{8^}o4x-VKZ5Z-8w3|~hdM+@ zAyETN-huhoLo&E9>jDC&0pRLs_q@XZ0%kxLzhZ+M2?!1}GN}7iPDUsr&W3>9Bl>3$r_C9A7gwN{lnMnOp#7o5 zuAg!(QySzkF<&;(p#J2`t2YGBVbznnWz+^@IAugnVv*x>#FSe!MEeLF3Y_#P_rz9e z1R$sfR~Dwo$y7crZBT8&zl8Fumo&ek4YOdydjgF(#hH>uSPl7-0xHbrtWw)>KHXoB z9uOlXbrC0lMA=DZBSkSMCK*26GouG8X|JGOUY%jP&*(D+Uh^?G1C zpV9x~zp2qI4QTd26rds0N^?s=6_B#Z&>-}9z=ukTuQ>H*&0@R<^@pKIWo6K`kEjjP z3ToxG&FBfZ8szS$93CFnwm=MI+hYnO@S!}IF zkY;gkxRBr-)-Q3u`h+M8cgxj{uMgBU5zz(Dalz_j(C#BdPIDcLU`?hI=hQznWIp}U9XP&6zX|yD`{MLt0x1!KkfDQ3LUv5Q`y4Y*uFgT+MA3+Xo}qfuR^Axxgu4U$mNO?IE(DXU&YxNBYcwvzp+f zNRw4p-`h`pSJ-1R2}H_Z;;dWu2wB)~bWn2pLcp1b$}FTFTB#rd$_$S6Y*8J*WRGCx z=G^J>n-bVRuX)u`e2y1^nRNV2vKTYS0Mg=ZiU#^p(TGi(!51*d)I<6`D$_58XMh%4 z;?pD0AR6|(kf`%M^~UFaX!+tG>=sD~hE?#NLXZOHt`VOF4jOGH&tb!Zt6CMzeX3(m zFbMAS1q4xrIZH$Olrt_P0!7iZC=S|wwo2(A-H$YTiB*NzXc^dJv55j;D178yV^kbc=mZ1z|OVY+J29K-Df` z6{K7t*)J&u4H=NP37)AICBf2cIWI=Rc7_#TO z7l0}aIn1z}b~kcH;Q};VEi6-^*hY_=vKFEl

  • *U8cD#kX5Q7I$;qu!qriw-4%9$ zz+Am*itd>V2IgGF0vJRsDm$_Blt>v!9g-RJ%FuEM4H@pJj`gxV2^X|q#EUgK=g(c$ z<)E=(5?rgPy<)d`La^L`OIMTJDl}p=%E5LeDIYVRf$kT~Y5+-u;o+66zavQ&As$GI zHY^nAo@v1Tq$ogTc->v)nZi$Z{vKe=rYR-$~ zQPF(k=K1gB29mXNV~Uh> zJRP<=OZJOao81j8B#7{#5CgU~t-6np7;Hwc97~KIGyPI~O@!YAtT8ah0%Wi9uhFbl zS5oU#Rn+5R4yIA?6u4eIco!kSopuAVd%+OAWAi}Is0@g|?%*hOKjn~PM#AN52gzf$ z?BI`jk<1DaT%ncuiE-X_M1izw;|hXuY$+$(q%3q#v!tx+)fX+py;dd(pCwK})Q@44 z+Kis1@3-V5svhyky?SC`9)%@{PR+~eG#q+4>D~~hn)38bfr8cb&2oNt@wZPWsaWtYJ2eD_EXfQkA&J)n< zfoT#%7(NK+d8EvHd`^!e-T3Jw?y3*0bfd^)CePCpuFsOKI&+x<>bLm>a zMG_Z{pOVKrnwMh@_LQ4HVbi^pvu*kd*ZB_j(d-vSudQ6O%jGBd)s>~=N8{J02cACM z1Igf)?ky`DBZZEoBP{o47gkn=|3P(k<%e$AJo0BfB8m5tTJzI22Q^m=R06T3K$kPt zzgh3VyHIKa4qq_Xou{<%=jwwhx<(`K(B*+GsON-oik#@UMx!T?`9R0!q2!03Eg`eyaQSDQz_*j_xjEBr3R z7}uH7!QHOXpDw>h4)Ld#*kKjCK>xE^tCm>*AFwzYSgHZaL+5SkUS&o>P>m}$FM~1W z1%AqIQ^(>>1lEhueINTQ97*@}iBtSQ@OIA&)7?WK@4j|+sblmMSYy_oQHyrQF3|rI zpTUhz=B2GC*KRC*a(B%&r$5;eOXV-I{`+7E(+tGpO5dg5HG|^O0qyzJxyH^jH%eOM zN5t2)1iXYk>R$S0NjIQ#Az2@3@P3((t=~R2x`992cunp1dU@4u7JoUv(+p>v|MLBv z%|@5=HClC}_?Lfv-S#e;=YRcAXPI&I)tj{Eq14y6{qV{!$MTz0 z^^U573wq6M=&QVNb95B&+RvSRH%9JyxFpJtnD6`^`3?dW=gV=tgoXza=;%Vf@}WH1 zh!Q`pnXk#RhtRD~?PuWa9xdPXpWibSd^r25MAIX|e>igVp^bl>`bSOMq?z+d^UXK9 zcV&0{==gqmAywYCo80s!ni!gNVJhk2ZQ6vHxhizyi(dZ&H`8v=pTgzbd-gb2SZ_{90b3;|T;R_h*o9B(HkNqKbimGY06QKSxpO1eBk@Sqv zml-ZA$4P$;5MZ%WhWjv%T~w|*R|zR+OuYRcbtqwlI+$R85vZ9xju5=(Ax$kSMX}L1 zq22_bT=C+d1b>+A9_ci`S`Yx`KB8TNrOfO{Yb?7U_hp|Q(ZET^Cmdb4bEeYgbqHfi z%xs+ZA}0;Wd+)4XfXm_aipLhboyO)qcqeo7~Q9rex~B{ zj9fqmwLAPvaoNcqs;E~TkqPe{Vym3~xQxj#zNw+@Dabv;x5GLVBj`=WC@@kHS%?RW zewNesJHOccQqzIM%16>hW6baj_=C;3h3vS%`T-v98i@qvb>Mpbcx6_}>Om!0gEXj7 zz(4?bRZn-&6o`UmgL@F#4mx2fB*5OQaBCSBQQ_9u8&Ubp%g~67fi&ZsB7Nmc6R>Dz z6&wM#u0SL}eY*^DiLx++1fe7dc`oY-e^eilg8?Ji2L9Ht+ek_)O7pclzz(FTDlnqO` zw%rH;S5Y0`&_dD9!>WKotu6ZcoUL|II$}4vw>+bxG8jiVpAw=6() z7aX5O9SIzdj!{7u&SgN_Y+7j)m4qHH?ji=ita%bF-5&YO6czB!qQQ4nLdJq8aKQpd zbrJAF@V}{A%=?kN$c6YoR;a)y2(yTgP>cbK0B;CqFIh5>D&X3?=m~yR-t;GHd$s2i zZBP}{b_{%iL5`svq%Y}xowbIjJb-0g2`%Njv_aCsbW2+k^5t}8sK&7r#aF=6KysmYAu$Fx>?a;OFXA9F%?z0G3G$1>_uM`EVOg zo;FA)Z!rX@1^^ajUQ70yLQ(rbC(9aJi|;F3GO+9jz*$l#>5%$#VW5|4Fn=vv05qDn zP}6YgPmyKKo4|OP@9r#j@4Gb8gu87zl^~aNyr&cWRYmp`zZmBBdCo4Q<4g{MqtP5 z`Q`s3v`G2i+Rz_O{qMF7O5ZiYzv|w8@0&fNN7-EmqYv9h2dc+%#ku&Nv3~xpvHo!W zuCe}4!B`)dk<`cDbD~fG#)*ERCG-WAfv{dIGTBPo#WHBqd2$UX#5A64K5sIOp5oWY ziel*%(2RLjR!I_1gqLzPO!O(u60v|HI;gXCr9){^P{iPJvmBLCj@?vx>WLkPx&#h! zu|mSheL+ubeBR^>|NKXA z?fjbu>_B%P_P=@dKl~vCSus3*^98Yln){5wM>SEa{oAU`eLvjYM-^@L9#?cMjSQqI;3}`f#y&1%82Aa7O%?spfe>)5H1{DhIUG=e zCIMe}lYO@;_#?0lsoaA;i;Iv^V4K%55yoy-4P-$KtJ|AP$Bb?z==S#r4&c>4JE*$U z1)Ab@?4jfKQmAI6KwAM-w6(HX`L%lt)hP=4K!U3sIKrfc3Eu6j80Y+F4{C&QTZaJxb+DrmH!65XB~?M zsJ-8K%VS({tM?pt8mhAT{C1s*)FDiF1PxZr~(9+bd+ql9$9AIPqNZMmk7#Q$p#?jGJ&OCL8IPO@nls5A(5wKG?5ApMAe zalWF*eU8bGlQLidFB_77q$A!r$?M$DcpN4fr?)HfzH@@9ZOnx%3wybydICc zAL4cBbb}lasfoIM+SyM27zHzwCUky;c^yyqO)w|h8kKu8o}TA>{!CSh2nWjXqYlBh{rVHX2%Nl_HZFfNn2 zDM`Z$?JBmcGQMo;(~>YG(~c5Lj+!uO(nyMutE4`+lES34>)yUUNN&@#{o~$q`^S6E zd!ZwO#OLnp?Ci|*{GR729Mv){*xA+nP+5Y8b1eLq#<%pV6iAWI@YAfM;H?lhhJym3 z5FHSqG5Ls88uGD7)0Q1>}BUuq1gSsJEJxZ^UJFQ+6Hnv}x%L>h$ktt*dz3px*C zU{#Tl*O-7%jcJWQgy=jVk#H##J?v$o6)RH_2g?ct#0JW$H{O0~jNx6u>zUs&(frkPQoN1LP69oE5F$9lBG2v$hv1==tkU zza=Brl-#;X-Aq!#C^4ywj8cGUJEhkg%n5Z4`{hya@E-AYS6Y1V6;&UPvuYVxv$YPB zdK{kSNJ_WCIy{_qv<*QTDPTIFXIRvofZFI@i+fjFGXOP1g4HA6r!K%RxDBmjI*@j-i)1dNtbpV-C8`IN;U zqv;~8%Ds^s=(VICh$}N2_Y6)iq(Klx~Sasq4G_Kw7NdmJ8zg-xp~N~EpF$smb!$YDe~}TL5CA43Fo>DZ$JxioChlsdtXjF zv(3;KaEntm5V1yaiX<&((sQ3fsUd!1@m0xhVWfsvV<*pfF;rs~Fq8KCJupp>^$6cU zrA~&{v+XD}!%XPApK}$!!wz+;z;Qy*lu}a2xHuAv!^QR?-ZY`QztjR~n8#czZx54_ zf)tLNli0+(#i=7hxRIH9Z9xI=~&y6JI9x{XuMSimdTKxhciZUml)CO!zv8X^9;#6cB#G?O$l2li>T+ zXyiTqy)vAN7{(;b(W)ruD|)J(JmK7yP{t!L#uEwJt~FR zUOn`A({l?5&)X@!GH<0WX2tAP1urNCYrsB-WoIX(Ba?20gdvke58-Z@1L%z`0wbxj zTrMz*gA^F6MoVGpep}MSh)CiKY0(16Ubo##Z~u-n?32VM#`(JpnHcg&T_ao>%-ITF zb&tc0NOk!wV7zp|^ILUl8X|-1=!|4vazWgMDtD=JC~o3PA?;Adpz0B&X7L2(GOKkc zN69XxM^xx3oRj6iV9sJ#plX}!#=)%pD7-Ir+N_(~N%IWWn>>L{V)F$Ll zOo#8S?JK{DqVb4zV?F7RJ42iG^*H@)HZ8SIli$5b5H!%p1483a9n>!pTn=R7W%vIw4NS6F}~jZZqm+Nl~xQZ z`}wbAKPo-&w`wwW_bkclZIvB%-hQ+jxUA?>evxe3a6GvJF2vtQhYQn2GIEjp!fKB_ z#~S1d)|rK2Iw5tJ4K03i-tdpJ?NYn(IDVrG4Qu*0alLia+D5i#uV+c3;RYy9i!h^D1T+@lb2^RE#q&MkK-rVXei!YMGmR&FZhq0-fa9$cSp;? ztDnFNA>_N5Ijjk?Uf=rKwSsXdO7>LmU6i5+!g=|DLgCT9{_KhFou9LHNcDL?JTrD-@5B>h* ziOj?206Fhf|EcXs>^q<=p=GEJJ|KVlLvVjoD*N_7EQeH|dSQ3*ZN^h=8)WH^A!F{U z3_-8oJraP7xtn_CBSq(+jjumai4H1DUt89(Z-Eu$lrw6u~@6PfoSw?3q7>ENqp5P7>9z8oE2I6Pc2s>D{Z?HeeBj_$j! zrE~si$hRYEr@l{1%JcnO{j>4TnaY3(rbkQY)w6=s(1O{8Yp_};X6$hG9&-K(_}%l1 zx#}Hwd$`UESUVV>gB1!GMJ9rSsjb2frpcs=8O6%SaVB z&a{2H488Sy=O0y-&6Koh|I`6e3D35&kM?gZ`=z3Eyz#D?sgfWCx5HS`&8^ajEoZ*B zdk&(FGw-VEo}Ko0mZ~0}-g12E#%tk*ifPoQg>QPE)86{&yTikGPPrg2_O&!<2r}=z z)&}{i%-UxZ?TcDJb)w9=ndv{W>s3%ttnaGo)-KFkAj+DK+D=zJ_~8r38eDfww%0x4ta7C z&#krw$mblh~c zPc_m1eyxuB;ArfIh1O9ka1@YuSmWO;QqM8<~fD@9$LF?d^r4<&>r0Y*+uL5R|?+;g%s_ndDp@_FMs+Vji0Xee}>{WjBw%5j>q2j$5l5L+H9r_Dm!0Vd247)HP77 z#BUi-ROoYLw)cc2Jw(l3y?Pqm<8XJ`XOu@>!B1J1+G&SC@G;meE9ZO1fd#7gQz}l}F9Umq|(@fPR-PNq?!!fi5RfG5j!#yjE<3c6F`X*~5)vvdxMWE8=$jyF z@Fueegx9wI#!D})#Wh%~(8arD<8-J6?MOaO<2$PFrBSK7;1$ld%_i`L8Bno+R7=^Y zgXz_R^ywtry(YB+G|huxC|;!P_U(N&UfntM@z6+(+LhdKx<38TV;6VU|ucwF=z&iOJpOcNQNp_{`1M+Zv=bxDJriw~UpcVf8#+ z0R<8eYClVMmF&RZWOdYeh)O)9d9=2I*rT%@q|VELA)N*p;_H1KGyuD0wHbBb^mdbm zqsw3233Ue<<4Tz%ZYREJ1hN<-_>&LuJ7N)jfA}YWbzg#HSWZ%YKP*?6o#8sfwZ0O< zCTLB-+sSqBGVi;59nbW#_^m3K@ou1Z#)vG==7-+n95SE)fx{Kx$fTRCNk52cAU1(L`_>R$H}eP7%hBSiUt-WkcS{)1*rCj zL2%lf%4cnG@iRe@1lkL38Kb}2P%ycT1<-~YVlZF_yFnPmRDdk$HnY!p$YQ14^f5#avvC&8Gp+yzWQDYNzHg2R zf8WO!o+42`*sS{;Ml@j+^M{;E9=v8G;Zw~P>b{Ve(?LIhTXa-*PA|zxU?!2$FG?6g zDsDENFuSTWFJC#A#b^Pp`P^3)IJm-xQV>g<4VAD9#**BkE3w5;2_{_-Q@JaUA@i9! z=*c1BJW(95SUEQVdLT$+g$q0q=;Hy%1l~wEU^t-7)^K}8nDan&RkxP}DO$evvtMx( z`INp_FM8UG& z@}U*uR_gBMG_V5yksbIzH}T_zl^0>&jk^rml<75|dAu=Cb##dqGy zTZlv6!haG_P!*@D>6(yC z99Q0@JZN;8U__hg1X#Mybem?LxN4eRo0XCUeN+aQQ@81ox=nc~0hN$xA~qry9)d#M zY6n*zNsmfFM>VfTf$C^8(-)@!pf#Bs20wI_4fL8u*@Of-Fzrz*jw-JtehbDg_*%D6 zymuCV!djo>SnRS20%QWRj;;BW2shf3sa{IQ$(a+cMc%} zJgPmV5?pRdWW00)?8l@@-P;Xnh(@_q%SDtjDyTb5E;3hNqwH4gQBsNJwesh zvE(_6%Iowa?GDcWfD!4{W$NWUYdGgBRt?q?i^e>Rr%KZ8x`2QxUeJQXfd@)@&8F71 zJ3J$iOV$A_Mh;7>Rjx7*A)OG<=y|#qM~u9=*{U+E(dq%IAa}o}n7k8DXj#Ki2@M!m zC^yweBH|{?-8Z9~wT5xk6tlLm&UU#{6Uq)LqyUNmJ{)6;bFEX@r|@N~pYsYbVNoPo zTGMoop*Jv7>0(asJ{dw~meeezp>%B++rdsHd=2OAbNv2;(@`~F-8mf+Djl}&KSNNe z1y$RSa3Jw@d3mE(LI?~8{c;!aRW{R@puK4&2=Xl|3D&2TSy!zEztqzdO6Vg5NA~12 zQYNU88Dsl+@IFRMc)Q0oviQ5E{BZM8IdwJM1Sp@?Z26Ssk9?hrtWqSfgzj~rMhq_Y z(zJ~Gi|zzFbls2SJUBh*BTUQ2m3~@}-UO(jzZ+R4qQQks^%=Yw;-t^w7*s)oRYy5g zH3!9KugSLdhAN@pcrTmBK@6ZP)kh5EWP&kMtV$dbHGl7bM;gD#$~(2;F5A;TA^QoN z)?4!+8ek&SQL36T)_-|KZdY{=(_LdmNm{-Fat>9a9k_QY{4j3SqyTeUIb5TUsJ*P7 zY5v1AvvwDHp$qC0aYN>w9C!C>EnnR%H&FF(%Vlla+M6Rat+fCfqET*J+B&sJT>6+@ z{sNXt5*YPnTl6%hEU|sY%iCs5V&huH7^xUR==q^vc^!+C){@P9oztx;%YRhjGE0jP$qqrYfiO(0GDrgK|OJ zWVKb^KXYQPLM)qz9Pdj=vBR<$rcFb4C#Bs_o27;P=&%$d_m$mi?9FK(J9#gdb!QLh zR+l!k&M>NX>q%XTBqBXvxYJGkYRhlBdDlj*gmyOfupla7j)yR$euHdD5&j>-Sih8# ziEs4?*{+SqC3sy1aAV!mBv)&!Kx@bSsYMvv35d+Vn`;#@wN58bqKi;7JH@G!j0ClT zfDL+tphIqy<#w#3M&;e|0qaMatR5ypHFPO0YXU+R{3fulmJXH9j!DI;U21UX5`)_5 z;9^n@OCoS0UVhsQHxOseUZ{cx4X;^>r92I;GQ8pr)xE|ve^01^i#t@vYTlMzp9Ce}#qzKGe&rlb}sG#mG8<$X5JPMAS&VUwXi&attiwOH+(C!pw<8%&o!kS@(I;OY>|Y>7|W;uWfPjU$BUv( z`*aqm%1+5nT?BE%UOdxhps2AJvL!?FTs8Qy9caWR>Ls91oC3(W*3_b#K5D6U4Wnil zhMRE~Yi3Y1v!JHnE#n&DTxo&UI-b3%N#m6B2$QnC&2=5S%3)UG6u}fL0x?<^BC4wP z9>3B-H0F2~so&e^%4x)qLlA>Xji+}LpEtO zfeQ-r@B}(4o6LtZMa`N`Fg8oxi(hNx-qB?ENMGPc3Ej&s??;uE!pn8np`($0U41fyy$Y4?; zwsV3VB{2*mXW0x1mFY?^tukDW^@g)6Gv-P=(g)-TI@Dr2RmC*mB1K^(At$`QY?)VsRzc;g&}r4-3Oa(y0))}QWvMY_<;-d^ zq|=I~j8`}Yhg_z7wL!=tiZSTze4EA%_H|{EPZpJW+igS25LjR8R2p$v zlA8&^1958W1(_QQ=~F;0NyKf;5Q7_VxOtn?(IX#=B$V}dyY|pn?Bo?m{!aC$Rcn0e zbkg_B`^Z6&t$IQSt<$=e}=>M&C z+lBSadU%D5eTg>M7bAb^J%kR^H|1<|WzAB%v2Uic`+;|Joij?ia$Nh+Vx4tj35BmT zA2O%2L(1SyMz-7S*`B@Gi{Ag~JAv1G`{ms~BIEw;Xy<74Q~KQp(bT35wf?@j(zv-5 zLwSAm!aXYr8umPJQ?+(JiF)E7sW*32yp#;kdhHaluk~Hboy$G-H{NlQUT#Cj^_H^g z&&a0@_$Jaj5MZ9K4HZJ+i74oX8+}HHZ&@R+ih2UdD zp$X~yXI@=E@uB+Ay|7k%FAMAb^t9P9GpnPw0mdfxR`*+b-_3^VKvVI@L+#P+E_N*Y z*}gr!Q@iHa>1J5Mzx7$a@3MK}i(t8bLwV+hbB98s$|iPX4zQ9%K?**9&zqzOln-7u5G=ZjlVSM&G( z@H-v7H$oxE1U+L&Rvdp4vF1hDNkm1^Tmz-H)cGd5_@9718pvLPNRWk489{2DaLvy(q9slr| z_h0MXZ$I4Bu=53oQ~1PPGJwN(-03(rSbg(R)f~>=zfjk7+&oZ3`zk)KbzEEVWGenl zxpBtahATj{@Pi|Om%pWTj&8nMakH}W2gmF6iW{=DRo(^U%lO!l`wk}{w)ees#`AMU z>7Qxv&O(lE;LQKb_SZcQ?2OrAOn>57#Zz=2c>%qy)!>FWzVgHqS5;p=8=g%FP&p4f zo!I(5L=InQo_&;W-HBAxy7SZb?!InX!`7xw_QQ#l^rI?!Ye}1NWxn^f&;UDZe7_#N zc{E)m3!BOs0?-!E+8aZqE_*aqr7u0*;C~f(2uRncL+15`*RN|@rW(kzR6(Nwhs7k+?d%XKI zxQWf|nAxXI00w)SbV*uAZMgu$l!Ola@J2_XzZp<2o9)24^~1 z>APtX?B3;@c4e0S;9A)l@LH1L$i4>q_{E=?k$yp~3+kS>fD=D;&t@_|E$s zVTL-(HEmnvUze)p0aL}`FIU*GyVxGBq5aBXCPb?{7eDz5@pP89MelJmBwr-}R?F&X zc`hrWh;oANx7S9m>Z{H-&4#>jr~=hp89{Kx!4@Gz^L_L!giM+>HxK&i=^ z6>cWJIL<;awq~uDfg7H-!(a~O?*+{j`M3qV0oT@76}R~|V{*%mt4HE5sQv{5Rs4MDne|5Xlxx%2z2_=(uJ(x| z_bn&%0TS2ufCei2GdwGeDrZ(K6V6K48P;r8za6&t>b&l|$*dybHA9QU$aKMT@QHOh z&}KmPt0jlt(f~{xY$gY+ilu6RX9efTjBAoM&0Al#0ePq3p~b_w2grVx3uwlnp;qk3 ze9f|X25c0+J^E3HPIE#W_;)x1XY-J-m|LTZih%}HV9UStbJNy(f8XP^O?Gc zhS~AlA>t?-vt0YxFDaZ;T}A$5Pq_P?B5BfZCgsnpp)X9M48INg2wx*>UmDvhPa}K# zS#_Qbj-!qXYv=X4Bx*IlISd0~#a7jdti!%m`6`mS*$Xos^RXwun>c7*(faTnIP(r# zr;%m#X+EGGjU)U^{$+bZGEsOAJrCEJyb*tN284R4_C1MJ0E)YZ*~ z&APUtd&WHy48bY>I?=VZKAmebbZB+4F8rshK?Ct{DglEM6^f!MlfR_p5E~(g+r!T@ zBPGJN6ebY|mR+kX_9yJ{Y`p2e&&jm|48;OZcKC0&B~-y1>@A;9<{Bh8x~8p|s*%cqPfTxK7(_!Kbl2=r%{j}9762wCi`jBSW`_lI4rU5k3Vx97;eSu7{A<|rd%qU; z3~WXQ?Ew{|LP(2-f)o%0Rp*r4_t^2c@aB+g~w~4dLA3@S<+)uqNsa?<~TBmQzTM8?{O6T$d7E)E)qIph6&Me4yyy zzpEK(N(JdNU0u%xMq|{KFzZ-NWMJ=5>bFW0efc)Kb*^%v6Tl(K*Jmo#k5zMQyJ|Rr zVLB*Hr%~;ir&)|Ce41yp$7ba)W(?Bq92u7MARkd3F|f3eZKvjdIN(ObQe`w~n))?Uw2$_w z)j|*)KLXd>s*Y?;Y_nab02eU_R})`G2=@V;A*JGPF1C58UUz2*RpxCc&FkRG7R|k3hiFKSR)v{*Iy~>mA z(qc)AxvZf#WzE0_7o5-_6x!=Cc}>+jyVR0eNLENsu_yKLTEAKuaJ`O5p~FPx>a^er zE=sMR8&ZQ)mZ7VuLpM+R1NP9i#c zF?Koi9Hy=ksIzpW1RAD*L=Xepvk=!I!;HJdzd@DeGvxp=lp{WvKo|fucgf*m%;@;t z1{@UR(kg5X_TZGhDh!{oF_E&ha?46u5qnXK2+1@x(O8r5`SCF+1pXA|uMnk~`9znW z551tD4JSZ%srQ&8GUQb770b`<TGzCK}Sa#&yO>y@JfjO;%dVB^`4nzzo_Ost9hJ#ql^-1%dsZV+();LO zo<@5OPXGuZ(NALqIrf}>$}5&FzuAFfypLUBqy7z;97~L2pi1qievjUs4_OYmj|{!y zm!HQyuK0Y#Cfrmyeh@`xDz|CbEr#~QRV5j&HJ3=PrN<&2miV-lz-Q;~ox#yDCEsWb zYovxq*#Kk&%(31|_Wb7FO660{ihoHSDjh3qQnzWRfVh$_%6$^|99smi!4~K#^#?{- zbeU@Dugc3TdUi%R7kC(^j@dy6@Fy0|u$K%F@0&$Mw2etKxZGMrens9Wf9ahem2gH00V(D@&( zQ5y}dLdZaUdLLBw%7zD8a|RPIMl!QzwQNAOFl3eD%1U(8OSA}|?ji=!+-o_x&U&8L zOpDaAC@;YmvH@#h0cJv!?+QeC+bv;{>o+X$0fIJSn!Agc>C73%nUfawF~PE{t?bj3 zAjPU!*JDu0j`bPbz9%UV5T>zN=g58u^KOS4gE+9DI5ZY>^Bh-?FP9eft=WvT`P`93p#x^8i zMoT?;N@+fWSL@ThB!RK1BI=-*44$OK`7Ruhu>dK^22k)qNM640ogOJGVnD$`X>9TK zmAu<8Kwr?Z<%+`{uopmKD(K{)?({+V^&Kc zTjEowrAr6XBf%QH@D~CJIuJ}gRqX9U13ZKv@=h3JLBZf31W62Z3?9TTO*(Ro7(VT^ zaERJ~Y12Gsg9`y9#=vH}#kANe zEikvHPpH`D5OjHtrq*Etfrz8`k|Edz$Nl4HF(V+$00{*TXXa3XnsAD813YpIUQ5qQ zCDRrDe04rWO%RI@TB2m7r~{pys^EN6#Po`R!7GF%Dq{qM?TW1{)uzbp@)!^RFYF*( zg)kr>E;W{p?6x$^EwOcmC3RpMwwnynoK_-o?kU0waGaRWwy4Byt;2*Gt-5OUQ79hJA-g=Zi@^!$#!a*lH-R-3gUh#I*iNBf z&ogFlx{Z4JV81N*543}ZO7Ux&ZW3sVc?RQaHmQXW8f=0A4S9Ggh(XDB|dcatnH`hz$4Vq{Y-k z(F{-C_XbQS!QBp~NYGv!7qzWyZo4UF*fy074h&5%c% zQHg~S7R+0;RITXYHMb2EG*K)k7!&-~a4*}W8f< zRwqUF{NU@PAwI_r7XI$qcxwmh`v&#yUGTn-O^;E??OCLuJ_D+9ddYNSK7BGv8#-Ub z*X;k+((?W{61zt2>Z>%cdt0L22tAV<&{n8rQO`7#QhW^!@xCeJi7#e7<206AP_j9g z8$a^YYP%lz17y}0m%L(qD0)zv7-;Y%!A+c-&uk(eKXZ#MEl0@)(^cx!UxYx*|lBv zOGEEpp3?ef?)Y#M(x*G3fa|ZE$+X+|m^Uan(zWib+6%6NSaVX$;eFnl6Ad6xWWv-lDp6W#m->;%gV+~BW z=SQ_K=jTF2JNl~1*+kMd|1eo${%)BtzIkPcC>zXfNc=@F3F4=WY z=wRDFgnE(Pf#3IlOz4@zv_$H@wl%O+V#RwO@2io|(b*Js zbUoN|%FD}qYREeR`=|-hOGwt3_1VK3dz?cN0`0CKF)HR zeZ+??IP%6~m7Lr1C23osO3H27#f6F zGyNdU0bl>Uu%m&u%$H63pw@l$!-wvYwAP&o;1H-ijrM0g4*`V-ajq$q^Ijik5MM%s z(7TLHy4s9Ed--D@34*v($jre0!ai0**}rknLPBPaoS;4M3O;PDVXB6{_~!)Ywrr9- zaB`u+Ieiw&%UetsKOQ$YFyhK3Mh0HQ5Fg#QLQFLyxv#sPMSRWGmq1FrN&s8_?K>IsM>N2cWm zu}Ne_eYDQIKQlGsT*=!Tr*>%S*0O1IiP0&P&1di3-5gS0RwX0usY?Jb{i(13ITRb9 zh{zli&FIY4BJf-(#JYb`m(W^&nKr@SXqZ87uHa#m0#IJ>N2rzHhsCK(8Z0u)L;I@{{phwh8uvUQ1 zL$AO5We(0dT}L}yQGm_wTs3J!XKjQLWft*|zy&_8HOw5EaF%AM>g@$rw-hO%5! zL63|uk? z2Uo>rUhu5~zDv%5yi=V<$tUeSS!;r6fzXuglMLR6EQp%0J7O;)h=vv*F#a)Zl5(v% zs4#gdT%<&pu=VdmFxSw6%>==zBVE%VW_*MJ6@i^>t-0NghxaX!pv|$AonS0vyw-6t>hmaIF7dqT9kbve>J5M!n z(rz$d<;1c=N=yOu78LgY0g~IT=&(UB5bGK+EQ5|yDC+?F2Z)7}$lXmj7PWz$$f1B+ zKomsJku|BMa1NcP1oHuxm_X(3Q)+Su_+T915JuW+>81a!Lo^a35o`z;(UShsD$*G&<+Y){&Bd+ zt|vg808sC9s00lZ`GJ(}73{h`G;h7hpO4!`azF-e&eJ+SPe5{Ew*wtvSF+HAy}?Lu zPxiSNSjbP7&_s2S7nrvpD-t*Yg|=xL*P*agnHH7^j)&o8dT=7|g4Se+%rW?JHGp#x zebNX(H#hmrIE9j7cwIh(`T9cCT410tIu~Fhm^0+R`s;Q7A-=jOxp*{v?3O^{w$kE6 z8I(rcQZ|(R8==MjDhyvm541pVb${aHa@hv~*0)WVdT*I9wHJjAzs3pt0#q8ml55=B zm=CyxW8fVL`qh0o9H0Xn}6{$Sb94c#{pgxgoFm@t7fb5moX*+d1 zZWeKVSEZ{9Dp;Ax6!M(DNTjbzh1Oo(r(TrcWMN#-Xn?C0fi(c7e?VjPy5<8ozuv{2 zm(&a6I#mp@rYXRc%}6N+=S-p?U=3=m150277rgPYT3EorO}~A2`==W|;MZ>{Sh4-v z+rR(&)^jQGdXkQqBSw>1E1cJOE+Y&Cvf%pOsPz-`*mPQOBXD7mitt1Rx$~sQau+mz zR_0+5eo$68FU9 zP6iEC;CbXv8a7;W0f5kZY=D;`%5K8F=EZm;bHlpC_2X6Y4$Z}lAg{<}C7~;b`5x=s z7mX8u+!4PHp5YER@3rMG8k)fpwNpBfBE-ezP!aV*n}u`>jv$pST7=nJ-v+f@60B|` z$a6g`X18=g4K&oJ?e-Zmm&8UYZ;aHUP>W@ASp>dFYkYQ+$Z6)+u$=J07K!y#|K}I) z2%ohz>G`9dsZ?0kE7m+=@BT>{go*ogjo8sQ~$q_HIREys! zNE{uzepjvg*5O6q9|H^eNsCLt-!>FKb1BBdwzx&N;Fi!@uO+}Cu!4fEaTGz7CcG|{ z1N?=FDZ#LydbYTbc0+0<$DM$eN^VhuLd=u^NP+;*9RI6zTq4MX;;T4VzQap2+s%V} zLD9lW1mF}N{-EzUd0KpHGrQHbvc;DGLngwjh1**T-+1e>TR*_I^dI`+aSGdq;1OaI z&Ie*WfENI|vEZNE5>mz8;0O7U;@2=p%7)!Y5fhLZ*bS&Lg!jS==W|>FKF0>wfa?J? zGX?J}o*o2#z#rWHhkl!bm|+3X4vrZBH*t(RD#34tuNQ-3vrUXh!qw*m#zxFTtuh3O z^4y>h6xmViR9ynG;8GSa?-4_`V98LB2sOtJF6aPS&2ro7Atn~fLZKLxTD9;3tz2x> z0L4*Y3#7qSB)govi{q3L43`sVYX=-&u7Zc{!o}SNFqnh(hTVYY0Q`af#RqTSg7632 zytnRd{Q<}9Hu(piZbL&={n1)nYz*Gb9O2uqHrx)MDg=3p^yKBD-!|Y-#RCI71%Pj( z=H}swGH-!5w$BlQm|9a4I4$jf4|F>?2tqbT&lSG}jHD@X*eOe-eYXxjWO;C*m52VK z*gJU6gnw`vK#Q8i?dF=H^T1v^!6GE+DDIQZ!IN^lIBWtL#HCbQC_ckM4G7#Ucn<*( z4*C-*CJnHZK;WnWG9?_}4)^~>x9cAUEx>=(4KhOht3HbRzZ>?y+m!zwZ?P0~Z5U09 zzNQ=is_;wkKb%xS6VAKh09Mo@-#Q!qcV2p<^EUAhZ~s5P{r{%}yWwcuzQNc6BU5qA zDK1UnHFv>;G`FlCr)<8eeFBbyPawNTOUCNv`(;8}~{dQeGu z7c|quB0QI4i-@ww+DTFYre**_R0!^jsKIp~Eha1iao+YNwlTP6hXk0$$4{pav0w%h z6y5S27!vI{J7kX0G;>g-!c*F$nsq5jiAFWyygoO &mV3qUBecm1dJS44^OoyIs z&gHq7(j;4@iYdBGNdt?weB8z(%!rQZoKKV3E}Slm!wg~)rzC6^k_Jg04+-=6$v%={ z7{lU>12WuJ(uVjazld3=nE*w(ZU9@>GXs`7?241~NYu(~a!6Mk)XQtc*7D7jm3C6e z4`N|DJ8ODbw;_iG_hQ&gKmt@Mi=`=9i)j2}j~(e7>>&`PExL|^d$L-2MfCwUjZc(q zASgBXb|PO0kM%`>p3Q~Ob}bUffzZi_B@1MK++K^7L3Z^GnH7x4nIx6MG{ENBCL^oZ z-`?zy6z`}jLvw_2)%-vL4?rO8w1%8`hUkV>0~3m}Zc_W(iynbBf`s_&-(uX14RaHX z944mJt47~8Er&L*FA(2li^&1vonzxv@`{Q#043xjM)efr3Hd-Prif6y)ZpJ%70h!UB&4Q8Mrwv}F;iPPa6I)J!T*=F_knNY zy6*%Bha;y;R;D&6j`#3(M)?bVi|H)VE(>&@B7#AyX=4|+Hk*!@H`-C(JiP}y{ZJHJj)nrv6!Tr zn?$&E>_<(v2s2enwv>j73JO`e-c*6vZJUvyb*}uDHanUy^ry9-|coomAYd}hXDccmI&;A*vWWwVu~?zYcvt& zaD)tatspnS{A7FOu>^@$xY;_x127B;rfv9b6TgCe7?wcX;sP+XdCPtC;X%Qx%FDnr z%baNKyxmwDMWg&q+wH5E(e7m(SXkZPi6AVo*4c$-Sgw>EnifWO=NNaw*w6y&f&cd) zgK(3cBMx_^zpjSW4Mn5rHqFOuS>MV%mCLGk-4bk-Kz-qOTFfMA5ka|4wIG2+>jc_o z+eRdfN{lqGH{&Ut2_E^8|Fj!UtKS7aTwyZ{*`g3Nfl!8z@p<&59LQIwz}stPn0x|3 zJT%Br6#h}u<$W3DsUzX^EK4CJ%@~!_>&>299cpuuyOzOXPQAw2Q7$=X0@{JZ^zDTv zVByom=(FXy&Z-dA%_&x+y->7Rl!NQ#CA(DH{ zbP2fN0PdJpT}m4G)R;;CHp~xGaS#fL+x8kSK&SFnMxQr(;0fMDn60viLVYR2!R8f} z&Ttx+nGz%HDK{pzVm?X|U{8iS)=SFH9J50bV)PS4Z^vDHJ)_=$Xv5NWw~vPG&S_x` zbT|H+PyehP0~TT>Rc}}|&=t2<>=B-K*G+Cy_;u4xz!O&SN`MU1XN6tnj1EgR@Au<* zlek9cUOYD%l{{}U9S*r_K%bl0I=)z{(OSQV&@+@Ge z?lh_=97ZiE3U5yOzQi|4bPB^YnigM{odztz)Tv8c4xX@jZke+iH5nV0DL5SMF<)B2 zii82fa!`gQxWV8}oL5!h?T_3V@wrb7rrlWdKA}RgZdGZ*^CqBK;I)p44q^fx=|B#j zGrP%f4(!EI7~hx!Em{aw&HG@zb#5dyrr@ zT|kCjpTel%k~PQ$E8pp!gXAoISJG`ZVG@Q#ep+uH4RcU*xU~joLZJQ{sLxK`YNd-5 z9(UQt|3x(M*4=9Z zIP*f|=gX!0zDgdnT6r1oB%SaELt6n)u=b$xrvv z@2Xb*?la#V_Wvz=34dy}*DlNkUtUAD<6pC9`oc3lEu5@A^f|u3FI2y0{Fj#7+ET~f z2oDT5ceZY^O!W_+>ATK?dy(?AnF(x}|6tP%(BkH*POdXyeahXwX;Kf5t*GUPelWVJ zlWn%+N9Y?Lyo47&zIfql*4hTEm$iNDrbXYnaMzti|HhOFzD^^N>y3YMVtdb@ZH$ec z==*YK?|k3goo%VV(^vHu?XrFxl}T{1gMCe1oPVKoVz$CBXF$iWWpQ`@$+cAVlY#oc-i#+F zUQZ4b?@_Cf-SZ#$?BQin80cu(*|=87wY4q|LTshA#n2j$bYE|tAAU6ZwNvu(!1Z2Z zi?i!%vrqBX(R`6_J6Y46{II*tt*r6sd(;;bV8;1*EauA&Cg0b1ACxTp)z5Hgc-%eS z*A`oMjC{NE&B4~|h1v6G5ZDkK+X^ox?v8xN0Exo_nbsco!kTY1e}mIDKjiIcKT552 zb?eHu%Zs@9VD@PDReSQrNe`$YM$ZRo#P~F7k*G z%&aywxxs|ewHakDk+n+YzGvMZ8S?KiqUY%8j^p!hY$*o=%H@ZDl}u{cBk>O1^V5?j z4FSxLcmdr_#-Hk5NGy=Sz6T;NKG?W6LE9h<)c|v6TM{Sr`u?8-k;4N+3oLj;^R&${ z1mXyPuo&*SUahoP<4Wjy4*2I*GPX#|;Z`mAsaW8I*8LCgV=pP^UxZJ_jaOei^bfm6 z^=ujKx-moFUM*XmAN33;TQC7xM%6MOSia-oH_)3KW+LCwyKjz;=9S?NsQbCjCLc+v z6>V%SdmcJ;er!_L|Gai+40@}&dN$7Qe0p{gE(Aj3)+j6}c0ftMTDQ@%0X>?-z$@R` zc;BtvK=FGj+U|>OvGvSp%H5bzcUwUT0y3>?OLA+3K}e_1XeEW!`+wy=%nEB(^*&%T z=^q;isPq>wSmo5`-`#I>n}R`z;RK6%f6rJ@kA@dHwQY=?=EH2U^)U+lH>9+m^$-jow1)Sf&vOaX6)OwOvOd_Mqv(My=@c4On}ymi<=cS2zhi zFcQ4ZXF&nrFXp>TCOW@nb(=f>bV)sHENJGS`RUQTsJ3j#ckoxik~;2QGN9w66)Pi= zzV&!V4|toODy-@HoU<*m_TcCh@FSadx%N!r7T=>xgdVSyUHT|^i?eIEYaFJ(LFh~9qXQD9y?(|oar(~$DD!Zt3mVf zhHH)NhWca+_o1#MR4MvkAYU{~2#Ygz+Zi(%`EQJvSs}Gnd_A4 z@35Kfb4YqE|4mj(e6@H-;}F_xMY=uTFqk>q>_HEf10}=b+HmH59{00cd%c;6;_rK%uM%; znJ?DkW1*xBy$(SHqZzR7Qqu?WiqHCncy+f)oW0eqJLaq{I_lR44hY&KLoLD9M;?K7K^j>`gZ{;y`@_R~9X4 zLOaH>KJFfa8$qzTvFrG%EwKq(V8{8YBIPdad<>1!<6Ik1*zQ%h*Y@E!5dPFWDE*bZ zq@BMX=F%H~qDcKc*J0kdRXLlumbqRifK^awC9l<>aRFXv*ot0ec6LE~AqySxKeq4K zx1m*se+47r29SqLBhQ0*!O0abdgk@yD`y5k6F2vV8N(|1mO$WuJOezQyFR1TotC?< zvzbiEk9xU%aOI-dc)M8`C>Zf7oIYzLleJGE1$M>q4p@h3+UpnPef-Zs8F1WznyNFA z3dw5nJD}Qy(;>dDFmX(d;{p4j5`^XUk=OY$T;WI55>Kx?j2mBz*0dA+V!rLMhB z5LU{2vzt58azJXj3>KMerzK2eO_Bkc_5M_vMl3smEwn^qE(Qc1n0I4@jygM-eO9w0 z2rQs7%j9qGpZWbN#6?1MoC(h(gM^{YJdT#x7)Hi*C!sB6sIVgo1#OeUt9WImlQz=3kecIS?Fm z&N5_PHc#r&FBC+?9^?t?_{cTtkTPc3$JjF%)DV7=A4&-mtm}66T%o8`e}z589k!olAJG5+7k9)35@@!gn8c#$>xU+N6r^)L?p z#(wzgP)O*Wf^f+9)C%xL-3j>{wZglb@?rbes)t`|Cw|A4`Cdfj)$aFfneR4Oy=%*S zZ<|2JQF_mo`QD3cg%^8(6hY7NuDKIzmAe+szboYUA6Oy(d_rh2T*gMrrPje}w;F|x zlRJU*cdHFUMz=AfZnSi3!+^36HHf1^!@8&oMN$3V{VpLo1b>O$%`O#wL6!EsfQ1WL z2#<8T)wJ$fQouK>?Sm5sf@?*96v?bgb??{u_P|ek1z=z$J!O35Jr?eM-*BrKWW|vYUxY)ixxXLYq3uzZNc!o8G&P z=2KqEb$#sAqm#&9QT0ojK4^C(sy%wh~?7$Gt28otwdCUcOXLIMg7upxZ zP!Ujk5E`Hv6FTEafQzl>rVjc#SX@L1L^4nx3IeunyTRXLC2)h(9yHRoBMumu0;AxD zgiVQ{G<{t+2!V;1GUsGO?@%r;rZ54yK8OPhA4cqY<2z?`Fn3T?-xq5OEQ5#T1X{=S7 zWv?!u#x5P`Im7|zWg}xvMb^$YU`YSdDzg`zPRlgX>p+T7#K51!qF>6%C~*5j>jD;J zIgXg7mm&W5RBx8Z6-IFpBSaD8yrpsnUz=?3Otq?h8GbPX^!|4V>zLhMx6PTB(ls&_^cmXh3h zC7+<8gh=I5}PEdCNK2Nb=W9w$dYQf~hs_ zHQKF$wn!BTMT#+qrx&z9v$JZf^ofA(FCnP$P1t*Y%^+{mkH*Pxa3%sp7hA13$9R^~ zvBQj(DrihF4u`WDF1oE6r(64Dlsnd*!ucl7!ZL;Oar^n z0BYzF3we1lpb#*sdEXt{dVwIQ50}6}5UMFt*e?la30`ZAQb(V`K#L(IB=R}N0j)|C z*^ZBiX+Z2u*m^p};(;4KX~W=}ad1|V1EQl~KBA^`^G>v^&naik`DQ^k4eArUSWGvS zm5c~7j`V&95J_yO95mv*3ONL7iu4k|(T+v@_Eu zf!(xXHQa75L4X?d$uI&5X3u6>V7l9GAh8O!Yg42D60#u zgmyRRa&i!u-YssDh{^bQ>I%-3RMY@QPOp5$bb*^s(26G4H;J0yy^Uu9Wd$_OJH(>I zvZW|@T~bV;CTNB*g+tOaQhkqr)e-v7--@oFnNa)*HJ}dBMyZ^EX@B5@5Ld3>rfG%e z@Sq3bd|7)YTeP)J0qIFT%}HMF^GUpy+S-z>O@_v*+7$E~-D>whIDia@WI^f@8*T+# z6f-Dv?!+TJKvPU_0Gl2FsB^T}R*(R}5^<3RS&d^h)-lVs_e}A9;6-fLv%u=1J3wdj)3Qf>TWqW9ZjNw|3(}X}rM{0c(gR}}3sTRV77s|HY zZYDj%4Hqbd;ZNuhp`8f1XgeLICKtZBzM)DqAq%x)GM|Jp`y7$>(kRgpum(cwEMWE2 zp813hmnI*>l?kJviW^|WpT$8*?YV_G+N&6iw&#SHY^M4MT4#=^eWYZjx5Hb)odKMm zWZHd&2$)CV>gpp!g(C_Bmynkz3R)$jfqk7}PF!92gFVW0;=dh($+!R48*t0l#FYJJp_zRcp>@UNx{QMnP1Va=fG528!8C6T)G* zh?qu9qzwt%w%(rXO;iG)Qf*d;Q;K7zAM2r24dl=sBr+Wg)R66mUfwWg!X$&i?P&3| zDaBly=p_^DDswxg<&oMh}h(@AVEbtMebn) zTR?Z4Iv5m9Vv=sMtT{rXteN_5CeZJFEUi|pn0>$jDM25s%j9B|aHv0(_$*-La_~g$ zES0>WWlqvT`E^5gbna>&62_1e#7qo=L zT+d$uq@zr|vs}+ghUGMH7YI*Hy9?{GV|SU{@slP+&ajC6m4$ZaIZ4?^qy8Bf>_F$I zHfk<6hg42mh*#jIrAj;8acQ`QN+Tt6iWz;na!r?`X4O1l?nzlzDp<1wyY7xLdcB^ma8e`N`H}hH-^jgp>8P!0y`1rmP8nng3_0+!SJX*tBe)n zlzR26W}v9pUVR$DMG4bC(gkNn5Ynyy6k!4hn%BP+G6V-v3b%Lr<+Mw9?pxLoyOHUK z?F!8#sUvi2DV|NT~~)L!$*tfk^}L^hgsYCBmOmuwDxWJU?x%6 zN;3L<)A3Luw|U+}^3RaJG(5$M5|WO07N2b$9&A~j4&0Mydg$0>$ERmk_wuPSfvIx! zd4Wbh`TTLHpAj z<>i-Z(_J_HW-H5Ic&_j#5I;Y5#9AwUbSwF;a(4~qPHNwET8DZA!KadKPWf2oL@o1T zq54YfT4l{v+i2<14nB5`MJ}~1|B{%KYU}K7p8pB^*8QvOr?y)7qV0Jr_mfvTj&}X5 zqKpskIDNsYsfAya&g^T9U9el5CX5~Ar5jf8rJHK%_~Gj8m$7-gqpCe`w`zr^vi{^( z$c^cr7YFYvcIBV#jG=$bmK)FgrCDh@ecyN>$a0-Tx7$3`p|;^<-*&TS5&y%_7u6d~ zYn>SneCyhU(XP#-!K+VPGyb#r_U=XXA7iV%rQBfXRiihweP}Q4?HOmg7OK;Ou{V{+ ztYYNTtUq%zIac_-U5@kyc(B)U=?KWI?eBWBcZ_y4j^P;+VG}4*Rc0l<-s(1LifxQs>eVq=zHQf|rn{HnC z>Qe4gT`eG!uV)F>7uSE=SQqU7dw%+wdRPN910*8qO^ePsq%SYO0&D#|*rmV}NllR0 z!zWm)0*6r#GeUf_D~`V3^Dc9t^er9(q5sOz8pAD$^6-nWNbPzRg?S?GKzB-zKF7>SCV%ya^?*Yuin~T|VXumV@9k4Y*X0E->LMu@C9DA4dVEw;V z_b;*%W)t)g_#=c5)i>-ssUzQ|#;u-lHZ~3G_0)^iqO#k0E@|z8ZixbHx*HN43BKlB z*Jk<&{_Op?gx>hs0&ot;oV#|03zzT<#?6j_50mV$(&C-!$bv zsKL_C{=jwH+%|Z+V^VwWjDH+_WOpvyqbx+u&Hlo1w%z$8Ogxt}EeU|i0PmxLXZ78w zdpzYoDnRC(=NsDf+J!&*=sU9tP%$5!yuosBrxwvUs7-z3%wpm#=laRq=od8SgN?FlV|o}8 z_N>pZJ5H8!EeAaJ{;%87cChD_*J2eP9Vqk`qpKl^^>ZJ4&d1J#U#qQEFVsC^{i&<9 zS(Lw_s(UX4e*VPyrN+Cyj?R%AP3p$^#6I46kNbqSg-=%6+@IC7%f5y1B|flen?@lb zGM@>Y4j*?;#P4bJUv<9u%9#U^vCBIb%x{pLvv+D+Ml;iVTLfPFQecf%+Mr-*4}HAp z`GLm2`B*vFvNLnNuky$GKJp*bZ7M!e_>;YjMJ0Cw!XOW9)m}?o&tyKNXOv%Mvu7i3 z?10Lh9Z+4A{E!a(h4Rm1WcF=ul2@yGsl!wOyDN2_c>J6!m=nF~A%%@Guu-TEN9&HdMFA96gf{|?G;qT9I9E$UZqJX(%dfOpD5T%iM|WLyF1rD~ zCv2NWDuh9CP!}nGZYw~yB#5qF{DSc;TC#)EZo7Xqf}(@56nlCs4fN_pS1YORVK7nM zHb}r({PB&uZh5U-KJ9tN&9>-sPXS22>zSwOxt+Uysx|S0ALYm3@>oo)Gj=Ci-1~U1 z<$>Zlr+3Wb+LJp(cOjSeh`LZcgMu$Lf~D6ys}5M`+vRmy^C_h5Y1H@edSvP`#|d>R zLTE+-3kJ|JnpiJglb)WPvpmn72$axx$Ml`rHuY}uj^mK=4X;~gRH3)}`B;se1{bgK zfC`WnTwB@ulP!r6qQmSNBv#urdEDItow){hGd%-aHa?3S=g?`7&nR2zc|N!E>6tm? zyaxC!VrPcos&XzTRdcx9%;17!{u11?Ww=8;^H%ztwrYW2FfrPLZ)%aKbkCOcT`p4i zjQWFE2|4En{d%zLh&c_nnDGj2F+6W@K4#o^8t&-{&)#?o7`XZLak#-y$hAbW{0u4# zat^E)(9z6pD1G{@b{OC?cAQ;{6%o)KbLh2NjU&iRB;)Sm&pERHa&6f1T#rQHDUH zCV75jxYEXxh2RQgy2E}Qc*eE0saXmd;aGaW62ePe0pvjLsgl)ZVGzwKV)k-k(Ofsq zo#3gCl8}vP^mS0fak!tLk{|hLzoRSIj#AQ@69JXV*MR!;;fKdTvAPp@m6Bp9PJ-7lm34sDC&mFcd3Q$ zcc}%S6zaka2y6To)WWYr76%*Gf?bgOc=i0doI>z7k&nOO7FzA&zj>MC)~_jw_j;?Y zI#8$e+vv#s@A^Cc>2-emPaMU&KVGlVf;O(-dQGopZobEt{D!3X9oFT{+b~6YcWX?z z2(QBr!=L~6$5px?n)w7HYnhgN7)9k~5e!D~TeDcN*TwKl1%S+GFeQ+#3PLlG58&-G zB}*9H2kPQ|*wSOF>2jiAt=wV2s;6hvcqnNJHeBMCzK;Sd49P?etS;e2^UHvuC^|40 z?}U@T;THZse~#Y#==9lytnV znP7NH1hgpldg~FX?hz9AWgwYC!p7$9=eM5($wCjKrfIq(h;EOUsX|W5E zw%{aKX$kVFIXPPbaE6lj9m%)IFGc7nJ+ij@*j zBTA4_sixW1fr{0~WXoHmwR8jrjf6?8E+WRctD%N_LF`yg^(APJowB;u9{l45X|GDQ zZ*KrdMc)@R)0$}_F)Bxud1*^Sfq8O*KV zsI>%0Raz1pnBF2=etZA#1D~aNMwBj`pbzEmn2f5~0 z01;hWQiF1tf{mjSGr}zfH>UUNiz0;bt?1HSv-S>%Od28kP+Gf$EzpVCw~dgED?q)? z0BmoXdr&*|GKx?rgS3qtK^hUs;FzP~q(jY!Eg;22Ufe1QLF_w4g-LS+!$CC_e{O!* zO7-@jsiCvE4Gj2%?M4h*Lath3AnX4cmiL%rE11k<;IMy^;LDhI0g;VOnMO6qfZVR1 zee->DD)<{B;aCmXA!dla4-QY5-i<4GRdt@xr@~97sRTPnW`+cyK@UwUo@5RT)Th-I z?=5y*Uon`B0Q&ZmR}IvvWmeV9Dl0Y@l}l(tiRCeoU&T6tdY3t)MZ<%D-1eB#Bu0tU zr&)rVK*X)v%fEwrRY(LZJQp`pTFBK)=$MP7YZfi!D9bEhe$5PZR*AVB*Q!UJF%^5FcXWz&;muJo<&$FyuQcu-P+s{~^PK3YT{?Vyw(e?`;}rxJ7RV7?!9?MG!{YD=@|iWUtCoa|#I5VHgox-PDG*C=XzK z1j}bj7$b{d#}$VT6H|gvJ|;u{-f1lKsJT)I0M(Ef6FH}dg2tMr9ZM>w;SMw6@;#$~ z(+NQd!|r4pH%#b&@I&c@rU{v9Fe0SRDpa*)ko&?fDJ^=;kjk z=<9;voH=1Pwsy{DT@F`aqDrXg&f+)iTP7HB3S})5Bv^vGn-^vQ6tjulplLD~!XpvS zDht+#;6QMqnOhH{9@~l{*$bPbz!{qw^h$vCia80601Q?$42Cu|7wvzV41;T>tWVL6(Ms6-U z=D2xL`3Zb3Y@6JM{+Qf%Rmmfv^r^gn;pE3HYdm_v;MK7r}QcH z?m-+%1>k4*vJr%%Osut$6>K7#)xxcg|3+&$?Q(Cx&XAL4Gj2BbDJACx$;%Vt-80Dxax)|)x6<$X$A8IeMr0FR$bAnbX3Whdc_EGzTS)QIy(e# zG0MC`Id<$2Z#>7~^2Im^^VM7N6+CZ;oGP?1nUXL^P`V3dqAu{b!;N4GI!DCn;mgWC zJb~~r{hl}1tH&Bm-EWF&2*z)5pMp&vSRTZX3!{+a9rP27KeuA(w}j2T^Wf%B-zs=d zK*^2ZnKA4Q(nhYhydqpVP>BRHWEgdY3n*bjBp$FAw+JwN2{4X&QIACCI^nUSDAX%j zUb#LeVWI^ojVfFF!t-g}ks6lG2ISnJO8P`)BWGOBX|frYArLm>P)B8zbcLH4m}+ZU zK(lLFrpyYw0MU08o`uLxtL2nqk4eA}Vj>V2!69AHB~Z9ikT52u(vs<=R3vdt@@g|o za0D-rt+Xiz)&nshmcSMD_Vd8+HBna-fajs5cN6+Z+bp6oG+tQS=}>4t@)W7h5i|B- zofF{WxdiVB@cEn`tq(PbmErA{Y>%kqr>P( z&XFmaGc9<4;R%XavOW)h=DLIr<~!*dCqE9f10+BQ4W+mxY`D!z8!NVJPMqhU z?SgBR4hf`bhBY~|8FhZoQS)SZ(-lquAxvAmk%z>>v>i<`r+v5~VmnJV1K|S9AiXX- zE!yzln^W7Zkc6WmhN(Sh{7J4@;&chaECNSu3yPRvLPZ%Z$d9zjP_w3Q_#zZEL`^)S zheT&;x?z0UQMvvVsA3DzWj&L`O0*-ahq~B+VGpHY1j)IyC4)?HfLolD5_PFCPvujo zb`Cc;mUx;C6Tb)pGa+f9;}EP=z@w$$gza@!EEhDk$p*`a%RovYub43{8@p(i3Y8j< zRAG|qMcucUHZ}xvY1rG$Pbh&~1fRtRpos}>u?GgDKel9`v@P|cwu{>tqr;7sbfRu% z4Z7yqAQrt;w#%FbVJs$iy^yvOR#YNEnF?u%LufAi4U-eJPxQ*(c|D$?;&M?SJ(6Ey zFi3Q9J&Yg_^}pZy2e}6~cD4?--rMxXxfwl>w6^y4D%-niEq0sxABN96p@*M3ZohQm zPTrz@)&73*6u$R{X0&d2>Su2I#E&l~N8Lk>Z5K{n+zGA{msN6J78_{`s@F=B&h;Ap7un%h#^?a8F*QQbIv7&OB z&5}v%#MJxfb~J$?12`7uJvWL<=s!Zu`kz19_j&Zw$w|D&y;m`JSsA7dqKE$q&!`W7 zQMET+jhv_7(F-4;XGVKMGmC{I@#^P4z3Fqa@el8vINUZrneq>`Zn^Lm_Dj};G12^G z^Vt2ztsgoEvxR>@`}w1KZ8lR3d|7KfaZH=k#;>;W@i>boXd#u!uTX+{(<=LWx}YU8 zu|;xmHn*D74#I=Q&iuoL6UB#e=v#G0te8Q&q!3xPZ$V>NU$w)zvhVNB2>L*#z6r{h3{P=Zy4F{L^~kvci=kBF*ulQw_z$V_Y5ThldAtBUO*?u&fP;s% zOX1EgzkSKS&;?4gT-|DLSgU33AqxrUHqsWIY311bpI2G{pKtn`T?<`+?vK5_xX^Wu zT-EC<)q4ESG9dd9x>&ap7YUd3MnORpP|Uki3|^JiB0^6=#jx z@i5_ICG20+R!OPZKRY{C>O4jvhzSkx{8}z?(70rk2~u{@F(4M4DriYzCHo#FVVY4T#HK6>uyTu|QR^tu`Qz|INsSO3Rbxn}4!+W7Nh$67kHSJ?xDAPDo}PaE&7+Cy5%OC8`uXgij0P{U9}X=J{5bO&r);SO zu!Eq_|DArg=P5l<)s8nUTzwvOE!sDA24d<74p{b;!kGiXbHKa*08pRu#a%z5VW*Wg zRmtR7TkBsQ`Mp=!=`STbdU?#_D_g-b`qD3|EclH`=4ye1;(GW%pdOg`L%bM1_8BLf z`^11oA2CmLXK(ZY2LK_D6R);Gy4W#35pT1eNBy^L0Ybf5Or;C)l>8#qf%Ao#mf*+O_^tsi0*q=`(r|xQpX!yto0h zy1PGs09&8!w-<~dp1ql^Zrk|v$TWY&enA_69sDDJ^70$?QRn2U#s~ShwHcA)d3dfu zW?X)S^E3PXvsz`BbBPmxmoJmW#9tn9?O|o)agklO*o2JzqG}~}J>v!|^={JrjUxd6 zr+!h1-KTfmh*dqh@wr48vGaYMD0}o`BuTQ+l|uHg?_7iEw$bYsz?M2a11j>Lu6*ZF zRlRPE0-6cpm^OTKL&rYLw_rkF&cL?F;$Zi1VKbl7`p>+GiazIk3&3)`(Kqm?E$~!y zKQOe0^zohJ+P0EZJq7NWFqRgQs<&lR07T&7FUo`P0yk^CG zexQtAt+d?b@vU8`YG7|j0=Vsbm-n1Ttp8&9dGe_3DWcuA*tZfQ1Z?(UNJ2dRa-QG# zc};*Sp3+Wjqbq0@t?kObq~P4YR;~H~1kK(A2~pvV+RZ8u5b@H1U6;zEN$)dHJ@9bT zGw!XNZW8$e*0qiMGjp~3;NZ0D@p`2Y2e1_e0)V)qdeJ?HAowqc&T;#kiNtvMPN3qp z6P<;QURXHd+HIdMB9Czn6@iZzLjwnVkmm@q6WVVTa$w15E)Ikz>8Ds6FSA4T!QJ-5 zCVGcm=#RG!f+{?B$*P&bbz2*|pM*R7$X$cd9Lxc6@$dr&{-K+}x>8kk?ih z&ARVr;P8i>mX7>&p53Mt-Dj>>UL8Bru~qr{zjZ4U%3mC_!%t8D(GXC65dOHPk4_M_ zhke4mYm}Qx{(3&Yd91#Flg>7esL^d(8H^eRl!b9Pjs7BkrF38d=KKkAoXZCB z{cp;!G@f{cKt^ceb`q$cvD!ckJ<=n;W12w`d>!F^9KLMrI8FyI?u9k=!1m5vzy!|P z^X~lhz2=JNL1*Jk-V%m4!bw`VYeU#^L4HK zyfALe*sA)cgp8Tg^gFh}a+umUf-(~K55HN6~T^BQP1aIXa+TaZHt zciGJZ(z99#L2&39Ehlcr0U(Yp7QBPWy&x9rb@q3n*S_ou9X5)|pfQNlps0VsK;1WE ztmPw~f&KfR{hYJA_J9e5r2ff=`@yf_xej^RrEq`GTAa1&3Ld>vC1WobDTRy;f8-Mb zf_WcAr?%%q6A2kFk{$=Qlq5 z%jm4>1LP;|nj4B({g0LIdVNSk&cM+7OYX+YvCw3~*;_@y&!~@86}`eg`IwG8!)_AH z%vzh8T}`FWDykPj!mi)Y7Uv-{QPWY`@|v=VI7o^l7JULSo-(5gRD$_*6(}-7*mmMI zEdai6Ib_A*haVYY$hc{NF?|-UivEYsK(1mHo@FHqZj~5(%QQ>hIQQ4f|oJXbAPW-$MQ+ypAdHX|dJ z9j1Go`1}T*@T{Avkfqqzx}~ZKI>MmT^H5(R?Ruy8?h&VH!JxXKmz1g4W(>K<)QJ0> z*)A=5mE;T!NmCU7^p!Sk24Z;mCp8k(53>%yHdERr-C5PxEg(>Ub;b)c=V}6L^!*<+ z1R56$gh(DaPJx!fX*(lwP`p9f#1u`t`Zy{&6aWS<9Y$aq*-nVC-w|OfO3x-BP()?{ zpf;+z;n)u&Zv{>QnRxlP?MvV^!2YA!n|J>f{Qey%{&&ll{v{G$FJC%Ue~sTF=)YF^ zzgNEWJGO;?%18XQU^DE`K{&P z8vBi$D-iM;%{`DnmzsM{Dv*tz%4rSCFYTXC5Tl?Nk@@bIaGKK$P zRaywc>NO9`KKKCDrBJE^{PhSPK>#hNU`18QsDEvss0AoaS_HMJpft!z!M*lL$1B&r z@&Eb*D1?9ZVgIwQ|J9dgzw~=GvV-7|h%NuH8PT0UGYB(y`;B*WExC&k^dp@vL(U1J zflk_t^dq#K6UOb7LZ(C$rXLn7uk`gA9#I#%0R%V>S0lUQRPUSR`aaQt7zB^2mOjvcE zSCIiq@zpKjKIcCUHPCQS*x*Wwis_X!;R=%-!$!P((4{jQ)WeVqM*wrqBPrv+p_sHx zrRgXwtLc#c8XcufNC*J8g7H!ggv4@MivS!CB4B{uBmgatx2SpLUg|BGeI3jS^7k{V zp_T#!?N(m&i3rq?;!+79hi@;7reN(rucs==dOlw19bCJtzy_l5Up)IDvyB26hpXdx;Il44cI`!cG|z zo1#`Tmgv@n-J#kPY(C+5j3Nk$IamgAC#>-dkrhXA45xPn5CydPS+BL$3mVfR==S=O zY6GW3qHSwM0XrJ;e=XlQ9rm3uoQbjb|~WaG1*D(=Ll>F`zJ1f{K`ZT;qLT zWP8aT<&gkv;OgQ0$1t2#Iv zT2|w_s#$&KK#}U0c`O?FQZ}Bj3X9j~4ck4}r95)gEP~dI`CZZ3u8jDOZ}`D*Y=V8r zYQjhFLTZu*Q+vtS{+JC15W=2`ts*RQa5C6T4M=d#5Px$ir}g~+&pW+lPp7ia>|-;K zUwX<0W_-J~yE#4|2&bD9%bp0#AZlf@ENWNXbF={;`WYL65j*}EJ0rwOW$O+J?$ z?KHRw&>0caA`yHuu(d+E$ZQ8B#Mlz;Zq6Q4#Z8R5Oxjm&7PQPduD1f@CnHXJXU;(ar4EUoZb-^WJx2x1A9Zlcrm|c=qxdM}rbkh9lp<4t2Eup3) z)0b>ARcLlS+KHqzJ!8J&hV~r*u%pg8ql|dENMl5#TDtNW5>CZ=V4^>UDM0V($bCyn7f@88>pg5XPczN z5HAH_ZWjb6DlyZBoGC%rNJZQ0YL=A{>}9)?6Dhaa4M+hJLOPS_ zqM*m&yCOdL4U8)a;QMf71aC%CqU+|c4g3?b(s~|=QQ}H#k}1roHLtGASorkcgA}{n z9e26SDZq}YhQ^jnJ0xceMm%sA<*l)+npJ~+x@kLXx?z>fI7$;yJ8AA3ZCX{zPP*DP zX6gftny#pxvf~M>Xs(lnFM%S#M4;HW(2Q~kYIBrnFK|f#$>vraAB@tPiMqxv(x}C1 zR=VV*4+hKM(Y+s|^J#_7CYlj9(J*mH+cS5lG2K#+Y!sIa^_V;6W)|R;_bs0oLyJyg zqk7r>#DcD0)zlZsJOGh{{ghlKYNTpf9z2Z4wUP6zQJopI6t8i>s0d9iBWmSRMwGd9 zZB*9+#MR;ixZNmuz%=G_1*j_OTwh|3A{Ie@B(+)r+f0}mo-%bkFpB~}F3U@lDL7-L z$bn8ag40#M=I=WCXMeCy-zaa?T*5|q!+N2KD<||RzG?7SgS%ti3!{wN>(UyQ=M{rG zONJ1DdSU90T8iPa+=9MSw#_t`g+56~yh{|k*rnTWkqc3HXn5}sz{{lx+)6)08klM^ z6Eind7ilsf~`!`Uj<04*FEgLN+q?b!DnE)fB2-PH@omABz$l0d}h2J4g1!c4)tKowDFR4HtOobG~SB2e8c3IJ}hD?!Q1 z0ZK3H4I*5%FlEB8=VS~vLlHNuz|70kruF`(Z_#>Gw=1qo%v;iwxljYPP+zB3=zwQ9 z>wtKJ^gs{lyZtObY~b6|yfkBJ)mXx8w|cOYiZW6(gq~!Nu@&;9umyT`sFBiv$aD1& zUFLNLkl-};St4lWi*X_3-1?A3H4q$>L+J|Yl0wUs^t!JS2$doxwre?<%02RTx7z6w zFl~S%=1m$xJKU-q-v$XvO}C$QRKs@RXr^X7Wgsj$Gh0j#*u)H7)>|~H$Cuq^4vD%Q zzlz6P)>wr#V_S^07+Ul#9m$$EMM5q(Z)Q$RPvf*46JeeZN1B>6ic}XGR-H2Sge)wX zM&Aoo4?E3-C6->fE+H(`FR`>EZ%|!YYCq5|c}d78A$M)cP}G9dW4LW<4)+vl2%aaK zMvLso_SjW0tQE*Rv1{Fatq{6V+NQqqM8Y)YsAP@)=OFp%%-XsAX9TfkW$|DU|Q4|o&Toi02Y23JkFy_)e@8H59x zqa!hvZNQ326`C}fqa%4N3;$6JRcLl&2|~8xNsMBTp^xgoaSvH&7-k;LF`|R$wd!KKgZ=X*O_>ZiS zX3m^BbI$v|zxRdql`N@AbHckvEab2`q+*Qo(>TwZ5-gAN_EdZR5!mOXrNTKa2oYX` zQE8SWZGG3@f3*H{JwIbDwT~)2&6$mQd}mMPffb~IAC(6d@EU9Rb#VU5*uOLV%-_ef z;ve)}R4RJ>mQnj%d7tioRJ?b5zrOJS_Gg<9$k&V^7UMQ_oU|Okt`9A0 zm&w)4!gyXgZaFNNj<+J)_oVeRbpa#W`xP>c6F=9i_tAV(Kf%srmp!a4G?SG~+ApIt zb8pw1mB4qj@u9~XuIPVBeqqd$HP&X3!AeapmYbjc%-)@P4S? z^_{d-XY>o_Tdl@|e!{v76@fssUdU)|T4$-<3SPn5ujd18YX-FPJ)bQnl*Rr``;Lo& z3hC$v?R93~_oE%{^KC^!p1mvAW!GJhkKp;AeXf%2+-Ns$*{9w2B9ze2wCcs3$_2Sq z?>069Jnsf{p0N5`Ch_f7{&FI0Ho#hR?*8J=`im2ghHx3Mc>wc=tBU{Hin7mK8*bGL z6LwVw1}}s}qB3LzT)efHBrVHbEM!9x3wd8RCPlvDI+NTCn97WI>$KfNzdH9Ngl7a3qrTTnAmI z1`^$K1NT%E_r+itqm|E8Wo4-Pcc25l@moay=9|}KIrffxGYq*hJ#>{=LO-bg9lEOS zSAgYLSZB{@c$INW-`40i_nz6$JfBxCLI}#yJHM{%l^;AR?OiyKd^J<^b$Qhp4WybY z-K!qFxl<2Zyn0z&BXq?Ll#B|K_Qdq4$2qd_x)f!bwC!B#YX#)wpp8eSK_eE7{jFlw$+d6%9hH z5Z*EUY0tUX)&8q>4A9>;+5iA?@rCb5|808b{-YJ?`^yXOXDh)Q&rCp71>J{+u2g2w zkvqTsmB)<N#VjII8R~toq!{E}CBWmVZN!z1QgS zfXG`ZmbYtX{?06}a@VXJ-@ow=^?-FHb8+XZ1h@zzy|WRo44aAGst$he4|9!3Sz*f> zJ~$VAR_xS8PgZLv=g?Och!1#xe!2^dTyC0nheoucvV_|n^93BGkQWD1cs2F~uBa`9 zY%n!kMor-3vN~u@fmB(vKpDO1Z0=%?S(lCJQloFBwC%mqR9Wc(dH`IT#y0|=V*wZr z`sug+;O_|8)%Dg=5QuLY2waC#os+uHbkUnFnNWwVd+_yw`H<&iR@_rKdH99ey%0h4 z(8`ZXUuLVMz_-UwRzA?x;EC}+J@t&b;oJ4sPtA1#7<|eow;V8U*tY6X=j`P#ph>&d z<{=x$oJJ9Zc_7cea>xS4xS2EzUFnCqlZNM7@~=uuhfWObKO&AyPyhB$#2U}S`P@I> zz{q(7aVuFZlVF5z{B(D&dC0dEVxt&LEPKv?nEfpq999xdJ+}Wl*)saB`Nn)gXfrx# zaOlRw#M(#hA%h=n(>KMZLNWP}8ss19y{U^~I6xvjq}*bqhWqUXnCDU)_qONA|3(RpGd};!b-5BG*g?_-L&BbFNbd1_n18Sxssh zho73*5IG+xKBr#NnBVcpD^g&}*0i(enQZmQ`m2dp+A{>uF@ zPGbxxo)74z0#bmk8eX;JUG99>N*wgAUcJj4pPkdZjKC?At7hcsdUzpHW)u!zI%mBZe-iO@f zm^(6|gaB&OXS@M_!t>n7o?La>rDDDh`(S4383ev7$WKy)6SxF6K#Zkk z+2gc~z;4H@r^rwlE_Aq853Mezul)3pu!F=mQyQ zBG#*ffm*6Tz-m4VHit7=A(zw~`>hz`^)@15wUI*oxu%eW5OD($&_&tJuQm6X@+!%4 z$SVM%no$v?w`f)31#f5pH@Ve01mzCIa*P&&C8| zNJ>CIzm$753+I4Drl|%hyr5$(7C8SQG;6)VP-GmYg52nkimV+1=o1n4Y482fYu(HQ zB@r%3g?<|JlU&+^NiN~z>Eo!ZO6`l(ie9DtQaE49Xzr6Xvn&S+<4JeXTF^30Y~ttTR8^Ui^&3f@>O`1HNqIt zij1EpP}MgNb-4W~KS30;p{%;c5>NlV5_kTzy`d1^D zs#!@eSNae(hwuNkYtVlnhClEx=!F06UsU|p^!Tg(OBFWqCwgT74PCr=7NHa{CG-IN)Y{z)7?)Jp`f;JD6)ImekenFzb1v_;D^IdKLce zD@cG$T+r3DH?c=B*-x~lUuZ0TrN3qyuc@%sy=A*|s*@5Z3Y|AARkL)KYZ~v+_)W#oh9p)i6z5{QiV%Vk`>Kytcf-sr#_W ztH%gxfp;CY3@gSrX)PpTQQnJf|FmSkAowjO0GfQmb`3DCJ;Z{%01eqC)(j5E;E=93 z^#pHl`s$JWxR@0BMk*0};^;^6ymmW-xfWZ?<_(RY_LwYqCB>odsOe&3+7qfJLk)xPvV`U%IpYQfSPVWV7-{O*>dY(G;3g4TRelXme|{ z)ZRhFHB6H44r8fL3(jY0mf0CZ!eioGi`_oYL`lHHs&}2P#ZZIHjENCyWG~H zOLCXwF%nS)=(u{Fz!`uzdxZudDs`6);Tme*lIB^0EHT=7iXx(*s~U4byBSEfl8PO| z<`%vP>%q`~{S1|q>|)n{;6M-1*2&b*MPH!U2szNvEx zn4_0E^j$MQI9uZKU7ul>m#krir2AXRtvaQaDaplle zoSBL6c{6R<&8A*=n>S?{tEYQ98zPFXTXRw%)Ub|F9Wcajke#zM5bi-Ii1CqS2T6PN zPMA=5pRk250jFvi;6C@#$JD_jTvvS-LU&`#cw>G!7UuM02=6pPO|t}Kqt4GT8;0$= ziNa`nxf~w0mlI8@m(ylXzNYRrW1TvST@En0r-wvs`5vUVsKyFYTPM=?QN?5z`&0TE zYeAD`aVVY{lj9vfWX_Y3U1e?Y=PH(Z*^UNbpF!H}S!1YzjsgBkr3#4>{*r;N8jg6` z!id_j+L>|3B_tRPGVl+1X-ct8@YNH$B&W}*TibX;lXbZr=9K{lLRP(JqHNH}QZr<+~_r34pv~oP?NCyX=b(?&LLx5RsF$5dyFm@R^XI&#w?LqC5!j> zi}Aa0QLyzM0=$E+-?5OaH)Szo8s599Hct|R)3}PmQlO%c*Xea^7F6OTP%ag8A}C!8 zF66!(gdqUAP?;1g74tamL?a=kQFHfeSq(}i_!WeCsW>9H5Ovbu*7L=ta{4nG*{N`KAoT}pw2;gDM?Cf zhAMtbS}X~HdS)Q0ST@*e_{H^vbWpj`kj;En^4^nq&?)xG35Q4GWw<{#h1$IW zmIu`8{dgZSUD|}!uoY@r66k_O?0XEa+)7P$reCwq^jCm<5V|cEw_zhz|7HgKp1YXQA41(yQy(przQ_OR-tAna6X;y|n%!VcmX{a@0a*-cuU>J5*pT!BEf(PqMHUDb1{dv7h!Vke-5rA!`VQ#Ss%oA8JE}% zm<3!g#>)rj&V_RSnpppXe{<*tR?a4VI(3=`_K=^m6R48BX81?9-oZMpJ8+k^o6fg? zF>tZ|rb(Zx|Euy^X`*>`puLi8|Iyt1=%3{t8-7k|J9#^KHhJ#JiK$PJ)zawJ(vLLf^*G~ z^;xTZ27f`GuWY-5KByqA0mT>5e}_u3&T@9+eEY90?3;=2Ave=xGLa?w@M?F67^?%@ z7ovfa z-@K*vH>&hR@Vj5Af4bpY$#1oP%lIUIO1^BC6>IM!_kaEMk;Ul?=3nj@D2|G&D$~a8 z#rpH=V(5Z7?TKIPf9k@KN~L4ZzRXZ~F_0eGUvC_(JebTBhtpu2a(ZMlLYkXjtHrY* z!Fs~7ZiOl%ujnsmUD}N@!BiNW05Ccms(wM$lJik8+QAj=w|+hs)73Bl z5VxJ~z=*v_!1br{Cahya8sP0f3{+MARoVYu*zED}xEe?s0QS=l&3Lor(ZXl6M#5fv z?K4eCKm6_LDtO|h4_CvUi;!7-#X4lK4bSvsEl~Kwo7`31xLn7oHSU4<`vz?7yJDVu z^3_u)#a@IL0M`F5;KsF8Mxl&91*$qQ_TC2j0N(%%1O&faS4_d11mg36hNPwD(;e{1 z)okZ0`pF@{s6S*0(1$4qJvTQ0dQyzPu>DhE2ASRu7w45uvbOeF^!6i(-_;M8Q+8LP z6dLvXWc(^A{oYE?IwMGMRnoktutX`#mN6)CXCzQXEd-`Wn?X#eHpQ4qctU!YqS&2ulFT)bMh$<`m6Z_M@4=$N!pdgJtGPa_ND?uY?9 z(MShg(W=(u`x{B{O2gkgGLwDpTTPQ@PTbUUTCDe(MieBw_x{gJX7I|wtpZJ4v33>rPW$>@iAkS{7N`=H1Waj0SwmxVCt)xvUF;it`&RiO_#}c z&}RF`!z&ONUY+txnax zxo|aEtXp8;!UNBVZzszlem4iww3Izq&IS2ZS5N9fSATf0&P**l)C<4tAGt4l%ct%` zgmhEf6XNr)i43tq@|JtUL+4t-nk&u;` zQN)F)Pflx%v{BSzOnb-N$!=1b0$xJ*I2!3j97)?NXgB??a-Rf+7Dn0~MCW05b8>K( z{p8@c0UbJNwGy@}d=Z}@WWgMnMuk*uM-D~QGCJC`z4k~8-E{k9tH+47s;F@D6kQjF z7sc4nadnKauiDE|N$ey+i=5PFGDlEeh=7;R%CP$dV*sb7y9}mZ)TS3A>FJ02xycu} zwfF+TP_7~Ko(JOKjBbp)O3G-z$ok37&QGk>R%G&yO z9Pxp1)4e!2FJ;zXWWH3MK>@NGsvD;eAgfI^r*e<1a=)UXTZKa1Ws4{!TG(TP-WV=C z<)q5Pwfm6b1nud*iO$4hy62}xKVA{vS6g^>ZjUPVFLW9As9;D_rdwnsUDwaPaS@i2 z%BqQzi$~D0_C?5EssARORKX2LlMeJhPX}D7k?)fci44~?gg)$UI=~*ncq;Hh`UeWyEm-J zN#8X(7gpe7;}3|5Knojbq8HiK`mh=lg>KutueY2%`;@Zu?1Ui{`ez`t<4v^(^xT(K z5mf5ui*V7A3pVgexH0DLH};=yjG1%|^6?$OfLv0=@^(%I!pYWvaR3{nL^=D#XFuvK zXAhXimE+K8qgo+ER)`-CxVe*(oX&kQ9;mw*(@HJ4>kI@Nut6v`Fjgfe020vmsORj| z!tF8;mFA{*q$^gndZ^GpL4(qs;?=}$$A(W%IlGlQ^?I?stM{tJZm_2}&8V%~sSjxE zcIg196K}FgEh4ulOPR5$?FpJTfixIXm=WZ~PBm!h&J9w9YJ-QA)j8h@Ej!(Gk2IK> zeq-L}D6b7b(E!JR{r?+?gNrKm$~*{%8_%F%iUNW3=7Eo~Ny-kGj#;3yx|?JuMlstwPl0Sf}V)qV%7?3bSw8##AFh=N}nN-iv})BvG)lj4DXMtYr@?~ zWv^chO(0hVA(*VYteRPt2=Gt~!jh0LeeLu}pP7eAWf-rMLZh~vmhAcPpn9@YR?i~L z2sr($(KVN8&D*BNsSO}9Fkq?AnhX82=7>epMniyKKnM6*aO@f#P$C`52UAXjY4yFQ~?p%7G8S1T5^Qxg?|_XaMjpXq=yY?kV0HW|lgq zgKM<00?)E8u-A#q6cE;59unX-AEvOph`d^C)lsFxAb|bgc zrvBt!fsN|-Yy69YE5L@vOe^qac5}2AOIH;PoJ2o75m2C*hbV0Q!F%(1$4n zFkk%8j|jXQL6edCtpVgeRt$tYz0Qc|!#V)Y1bTsE9Ew!26_@1bmbu7n5gDF@FYsgo z2=3f6cF=ZJC$iwz;lJfjfRW(976LZ*Iu3#ex&dnEII>z*yt}0l6K)ZJA~}o%??Mcy z0C0HKGMcZ2({(LPb)>KjZ+w0ScTGWJT11Qvlvp3*3* zHN=sUHQa(1=G|*@hQ=Li_>47+52hMW4?0NPvYd-~Qr2LGtQD`|EWzBcBX9Q@oz|p# zT^JcOC=iInOROasx+8A&B5-&ukp^^I<}o`e-Zr<{&6bYLVNh~8hFWDPF(23C<7Co` zwjwfHA-{Rv8L^}^GO=cQdBt$u!q&9rHPvcuKsFF=AfWN}IvK}&)@{0BH;LLbSx45X z?xnf`;4Rt}M5=@C2~J@tI_5)D0VFWQb@wO2$m6C?F3;yrurb*?Pr9)db?ou+l$MYR zIi(~Y#%Q+nAOnABgj#h%0B8}~&6~gHgBpUcNd|dv!3e;RU~t@!_)!sHF9RFv5K{B9 zNrX=r1+da@RgmTDHHgmT6Vuu(IwlE{*QD->L@_h_+6MvA%Bn=$qAQrKn zH9@xrZ!G~h24D}zp@vpto53URSe!O6OOOMCPCU%2m3R$;B)w%!U}6j?te^rNfCk64 zeAY6!$xKM1}wFE~M-|1GHKwFV z{oNJIIL&q5I*&F35bUpL#hf|*x`b=B>^zP>jWwV2ELL6C4MVN70CTG3Vuu2xP$s?{ z;p%V-aw8lu6yWisT11vEG<^vxZlj+J62VwUW45)vUIzo4ejQlB2KIA%$P$VwU;09>(gg9O=;l!xK87Ox@ANlv@=@;9sK7ph`jfYiXr z0XVSl1O5 z14Awq=TnM&(9g|M4AfE$haWTv<-I(NQGgM^L-Fa;s-AbyVd%(EL=cuaq+~f4nr5Et zkVb&@nw0Z|W>o;)aZ#TqU?^_Ft{gPHfwGh^%Vq?DSdqK$Pk4m5)u<0~2yn|mahO*M zGE6a`5xh%+LY9jNvJL<~c+r@FA)Z3hL|`WBfmE0I^2!RAd?8ZZoaW zV8FWZTw=;nOsG_X-(7|vnb4pwJ+w?mF~$7=bB${O!^t!*0H*DZ{E`5?N_44+7xTif zAOhwU1sBKV0@uT}Ay81UJB36Pi$bSj=&rKF&3OqsB}asS0ZLH_upI{U2kfx5W>A6489^;rs*)U z;Wj_bKP`u$f2@PFa0XXD=4m2By2(MHQMiL4IQ?+`bC!aU-{R*uuT;IePJ)|WgF}T@ zw0ZU2;xg|c!~w)EQ-QjZu$~9nCm3x)L|=YL5V`%k%elDV-j<8 zEjnbqdKO*Tshp&0UNKZhT}nw(&x8=yE)hpeT%yXdCR4u<5qY%<$rTm&G>zJhyr6e$ z5uQlIPE z>ZpU$fnvU!>Uy^nbGopg7`>=F5Ca-m79>5`0;!W(AsjX#j9$?x+L1bUq>*7GwqR zsGcwI-d2iD3Y;Br>H(;enUe=0y1>XWEs`UCXGP~+Oiy8Rqv9Gj*dSfz1{b43ibWJd zq0VmHT{d-&>98Jfp)ZB(Mk~HAb zG}_zAQhm08otZcCuyPwOlRj3&=N0}56^2jAu|kqWsoT&8Mda`zlLz2sNEI~)^ngB< ztJV$?m*D5C9W@`4^YFVH#+iJ|Qecz^t18$dmNCgU{^(f*X)_q z^C9(|SL`u4+$3QbS)lw#1bS4}35J6>3-hTu`#qoGPn(7brQc=D1$wQB0pNjRFNyS< zFm2*Y1UGjSfvIb2D8gePX`z5NYpO_vF+bwm3v8;A=z&WN<2WHVp8gxx+xjjvap(2B zQ$ycAAC!I{{oj85Osjv3alA{qE`9c|TJQQD@**k4uLhy=!OBgy*hv zY};x7lfBm4#`*a>ykXaD+j@ZX%&Yzftz(K6LI|199txu3)~UFWRfmsn4%Mqh!+K>k zYfcT*8?XIW*Upx(Ru}KtV&03jr|=E2>9vghnx681Rs9tGa@+lbW6h(jlKvN2Ni6i} zfwwzSQVW&aq^EXgcQ&+keYzaeTVjWXcN=t9`|kGCjCeRVA2_F^%4=e4qq#9R*(oNb zNIVtJDbz?#zvZ?7)7-RblLC~F>m+K<@bFR7^V4t$~8V2iX- zEj?$2UUx05wjU2*noj>=7L^N6`GfT0lQS`Z)Rh;bwdGp(kDAJOpX9y}DB}ZQwkqo$ z!185145<26u)kLdUTx?-pCSh@R=uF4EL5svPr)7w3ID&9x|qsPfI zK>u}{vuovY%c-NN@$)Oed;0dLt2_Vxe zX3v?Uy`8qIK3TEp1PV z-Rd9u62jZdW<7_0*j7ULOgz8TGu_D;XndL3L5W$|<7ZO(Vm;#D zY@LaL33vt)1!`lxX9k2kCKstA5l=59%k)!Wb0bY`vJ1B`P5`w}JyNLm)udhByF@GQcQWBG)lU&Tkj{=Wdu`D7ZDxT->kRML$&{&Dwg1@7aA2CLH&Gi zoPgjq3Aq#@+*A&rVZF3{ZAXo#$G-vvzoe``u7Xf|jaux%U55PtS0avmt^J|6AdSlk__S-m z+6!?37$oyJEyGroHdv|@_E6|TI^?fH&?=l7I5x@^K-1h!->u-1&kMH3HwaOSsd5Z3 z`@-)cm7QujA;F@;qi@KDx;0-}bivyZSnetb;Tr>vNRPAu5=K?HY8GJ`(hEy782hMs z>JA4xd~-Dp@-wPdfzyRsQ6Sy)`PTJ%`b^AGs5<)lgj0VXk42n%kl(wwX$mQLy*ec( z;QjeFN)8OZRY$131N#BZb=3~dGIP?A)*NO{xC$CA^a2ruVY>_!|ee&8v#1D}$@ z+fERG-_N5H*^lIT7$Hxf8tfk<9EEpwS!GG;B-fr9ofO5ul-Se*)7HrCj@-5*Vz-`Y z;0ygykVs={Bz;niZ26y!D6&}%WURvbz_|#fc!v(7Hid%!Tq>>1##`sd&D4r ziU}L#1dMGLsSY@O;R8yMG6&shPKm+KTDLK&7OpbNq^qnP(C9wuL;4IAOzeM!(Dc=K zDPAN$hs+8&Z70gxIPMZF$2}=6UZT>qZdj$gSm3&!4)QU>(XD+J1fYeKOjs4TjVLG45%h#{Z#1${m_)G)_Bfo#Kd0jm zL`^&BKshu?2Lg^Rxtw#s1t^#e!Zic_0LC;R(o4(`DEXsh3e@Zg`0@AL)L!w|r=5@kFW&R)``7-g&F|Up>hD`#RiP=usF3U=v+^@`1!=!3L)R-^} zRzG6~#7r{M4^utn%iw`SVcaHzEI5Be=X^*2z!F`ewxGmYv#4l}gRI=Rw&A}(X~A-< zMQIhQcgp1`+S{sir<)Pn8`ED9*kQMye{ruEd9WTFZg4k4`U# zX0N`maQk72jh?E7pWr?^_b4GSSJl=iE|#@u%#5ZD=rB73sUQP(4KwOxTt$zhjTm3- zF*2Ols<{*d2Mn=Yeddcpc}FI%JM*e;-T3wU|32XPU*NRgf?39gPVMmhw?HlY@K4-o z{yBOLg7<%tN&Amz@B91zUGMU*#pAy74;J?C=>IYv_uqj#zw-~cGX(X5OkmB2HuzAv z@YilI92nv$O#NZOvGl`lzx@J84FNpm!v`RX4iJQTbq&@Auyj`8n^g6sfq8i+fPAF- zswfZm@;*sbK%WS*C9mx4V>pi~(v@2;!rJpc>H$!*rbW*)M4{b*Rc{~wu(4@E*{wIss7f_j8GJMBNUUBOJ1qH}bHO8ssZp%L0)p^1^S%fFr@D z_u}6?vJ?emhIlWujicH;t_c~c{##UlceBVfrcS}h@B(uT$P1vA*)S4B4DZ zunoS-dEwglm-~oYNb5Y8n;m_y4~!I6mIl?4O5)?@G-?-ihdP^6@D zhL1K&{IlD52# zFMs+rMAdfb_f#h==%J!uHq31V3^32P^SXBl%KHeMUmapYg{Uc${X z63SCUV?$FoFD@u~ONij>Q-A5@)mmOX)XI?Y6ufWWnAGg^LKJL1P*R~);M^eOu}})P zvr3qds2M}1u!gGAERN?8fcPb;{fH!i!CE$Prxq#8bCZw`AmW%4Jf7h*%{=Tm&JGTk zs(|`8GmD;-YdS~*sWm?9kObo3Acn+C{B%wWPWglQBL-9x*sP?pZ#47X6cSP7qfkX* z!D+7!FZrq)5A;;avWPINxg-F#p!d6|5U`;O62M3;YaZ*VGqCGM6gjv_6`qV}yn#UB z%|$SxnnO$Q2BCSeLh}yI)bjJ#>+nKfmhu=0zjL+9wE@Ne_ne6H89FBBEx!7`4xk9& zv%#yn#vtApazp@2vzWw#y%1`VvM`yGS)Rvv3&;qQ%LBm8LCshk`HsjwERYlj2?PQJ zpF&T~1HMdv{OAvsb(sA?(U36spOF{ZnG;n6*E{6^7>+qXl?4065bT0mfH{G}h2ZGx z5bTTL`VgOiJ%Wmtyn}F>R<)O(RIB(R$nRjp8sy<4yhw!kW_8Dr7ew{z;26B(t>?cK z;UgZPyP8qA1=Ak*=5dJ*SDo0SdL7bo2~v4{4i`E^q=uSHdWxJyaxYxhD~V?eYYnUy z=kH9xHA6`Tt9@;4mu?DiIky8N_?65 zS(zCC;zXB3!P`cG0RTQV;m9xjtIy<#gTisRX>X~IaC+c=hI^3rJmzB#0D-!(t0J{K zGLlR1IY`uUr1Yqxn@O=WM%^&TDn*!}s~5@^+D${$wP*?3oyMGg$y%f;-^F-9zv9BM zbeFMClU_%%Av1|t;|N&v3Z89pX^xQDuL2AiA<#SX%p5e$Lqq`uxygRg>8y4@81dk9 z%!Su&Hxy0+@5=s~Ux zK#{XVO_6}Y!=QrUwLu5`IRd>BMtC=L*goRoBiI3I@^&BZb`Fcw2{K$Q5|)Sxie5f` zk->=9Z$`pOke;L#CK?ga?NEmX*S(^609O~CoYtYEJ~k=o3`vL~7NqxREFi$>?bVl= zs`N!tgXlpt=b{GOl&akfL7kkrz;j()AA@UHJSe*y4@;5rX~MfDhe+#qF@kiVhf^`K=VS8UyRtq$zVwZ=ba=R%*C@JJP|XTfaaTUv>2v5 z-lZ=c{upPDtF8oK^3dw~{g8%RFI9Zrl!PM=l}nNoRyf05Az0_~PXR1EE_aZKtXaLJ zdRYQvIi!ypnx0>(1bZ2UehAtot(kCkig|t`aL%= zm|jx{hTUXPbK<|XALPBGen#CA*)17;a;*M;-P>jsIG_18__%a{NJw*f^$Oc;hkzxm6* z%@e(XM?-Wp5Y1*6adMa1*=v0%r3QLbv7Yqk_k`3HQbSfnflbjsjns#ld?6&YZzqr7 z#rI6S`X+Ir8s`i0eapLe`Nf$astma?dY9XK4<@sl~Tpaw58WSCJ(l5NnCdu zhJUeg2$nGMi>Sx=J}HjHN9o$3x%v(%F!9KmM075R)|w^q`p+zVM4XqKN%3us-s9<1 z?yKWbW$sQXwEl9ehup){2!`eJrvwrQJxa2E+Y z{n)2yVJ4S}56VZQb9<@2GB^Ad3mMxlu&r}(TGv2T}opkRqxH70N}ILwPei1v9_s&!ugBzQwcf0V$gwlE%l z@`^Ptw^#Mjg`1dexoqB_Y(H|ldk<_Fgs|0kM*y}ThE2B4y^h&s*fX*cA{8GcDK;uU z8czUTygN{m0p+<6z>8*&p(|`O9%a{M<@u@@yS~8h6D0_216QdA{HuvI+_ql<%5y{U z0P+Fa*7Lt`G*(5?Me6UdpZYsh-4NXcI1q;V`s+S*!?Vk;q4I`r%Z_8poaFxwD&4tK z?yMp(m_GSjsOMq)yFDI9SGKgtBQBB?(ytWHo9t#5qo{I~yl`ii-eNSOeLf5jZ}x(n z(g3gx^2Pu_(wi>OT-{0qM9W9k1OL=TPM|C1@#IXPbZ47=DgG_k_By4(R;??ehqDI; zdu+8azSlAz&Naq2h&^a6n8hggvAFL&#S_OT;Ffw4m1_?SA18}Md+Q8=@ zU_(A;peIST{JxT|bkYqpeI^OHvX|*i1aOM72f+K3{oP6yxqB;(WM6H5X4h_Q!SiUm zvG&8`^{iJ;?ZGAD>7i`|tQ1Gd00s~I1U}NT*NoLjdK?5_N^A@A9l!lQ#oUhJ8}%zU z)t$Ei9DWK#D9_&hO<*;ISJDmuh*I0Wm;yIvJ>-LA)tCT*zwT`q?UVrk%GOZ-iO^I_ z-6zK0r&%Bt7TTfle_-r5 zqV6>dHhPQpOizk%NWJz(2_WK!6Ww&%{j~^=^g=C9W4qKTg#Ciqy6tHx;y~TKT$7%X zHu!qrymV!Hwl|=2bc!0TUfif6aEy248p@w5>Y&dCFY8Lsn)gg0Ek=vh5*!4481#!Z zdaRra73!JVlYA^U5QnqF7xox?azY_&cz4yy%-SUj5J2Bl2D^Ijpw1Pgdfsh}p$0(g zZB4sokc~Odm~wa;huh`~&dBHJkU$@&xcm;c?N1P_X#wlCpYVYu*7i%1u?2clw+IlU zta>bms(BphhAXNlmF`SV(E;dT5awO$LaM%qN}n!@Wwaq>zX`;@xewfzpgF$_FKSWR zFkG(0nHVCVHrjCinHb(?o|?&(Rdx^qqi~3J5ZG>#ggoac%w}T3F@-=C2^}V(_%$VL z`d^VY*|9%@!%)=BVUn&r10n^fbP(uV|0Q+E+ zY=Da<9S2!oJkW@SdqBD$glLoT+=la-Sjs^XMh`4%W4q}P?EB`N`tIJE@_OjrcWMgV zIjV6m$DO3?)HnfNZ7)?L7lDeG>-n;-Mgac} zI&=fY;61LvzE>3XXfC4dCc-S!P@8^=q156rgi^^wIRL@Jy(oyJG`!(w5n}YSSp zfPFI?2$0?!7ppH(GuDfIH$OiF@|A!MB5DAB`Isqzld@x!V#nNQE}BEz|*Og?KXA<$VIJ{f;$Q{4K*PWbsNvj z{1wZg6W0QWtQEoS+yNyI{TPtn3W?M&QWN&gT%`eT{%->-Z19$k-!AB82OnKxlw2mKzv!Ve!3Acv-E z!ZID|&K=IaEV@2Ilf&ipd(CEJ9BfV1qhPB5uzzJiFOBb zhXn+RhvSik6()><|QvAO)Gw_Q};6mDYccvjbr7%EQ!0l{V_kj^POLx`Fy{#45A!n zBF1RHg9{kFdl_QGF#>?H$Vg%W@Wbx2xi^iu9dFQ6)aG4hJ!Xj*gk`@fuFQRABZ9y4 z(?y6s!10ok@;d6g4o3io#^Yit!Q7sBZcX|$2W)T?=}a0%S0fpaWcV2_;>MU5HBPa~ z!EGXwPb^G30<`YD$8?thLI4ndLijRlOdd~q7~PrKdqM*539?OC)G}{}$lRR_o{YIU zQ;{RmGqD9gp-W80UgFvELv~Uj&ly;BS(cTa6tG1^T0L}nY1_OO*Fh_@?lwH0EW)W#vC3?>^`}| z)%#B+W@z_$ogQQXKIqF0wghOXE2J=V81&Y|6F*KYyvasoR$t`#Wo{GfV-K5PtfF0LphmAn(RCo>x7qA}^+1y5DQWbVA=17{Xd)n;H8L48%+5iC>ETeZI+!uuB)eXji^w+*O?bVdfxE|1ll#% zR?d_8q4-nBoG`PKE>6?+&diOlHXW^a@&!?oqn8>NJ(ySeFctI`XXrL>f=!6N5l`l6 zQdp5d(XU+k7&b8I-hiUWlDncPrgL$y{>s`b3PTuE{)}5 zk}tp&OPiDX)_b3GG9)dzl@dM;hHXbVIFQZY5Cyn395^~f&gSk*!RbK#t6-Go>2A&$ zV+a>J1)&s73~Omt!tkJh^+-uEaAH`LpbX8Z*W1SY8$_SL_-#e8w8Wzx<6g)BCpPe3 z!F7uxQ<(#QxYF>t;lCRir2t0Q-EC`wQ~8DOv7{o}kgjTZi-S%J~d zj1i}4oWwQmqWTE=yC0`T_}K3@gb4QQOhLR_PlYX3AnO3NUioxz-YIc|DTUG(x~CNr z!duDO6GG+Jl!&BB6gc%Bu{rNK&B==TqRAuLCpt5d_DPUgLCB4kQu$;OtSi(Mf=C?cb|5qF0$QhceU1@ zyI@a0H?ZHXW3$e&{dVWqa zN{u(vlqswQ`;K^u9xcTU{B+8N9O zE@eP7PS;Na{6lsyV-Nh;iF~s$*R^+ba6U8JIXc(5ZRhk8?;TnieWH5L@!q7)o9lAs z;mX$qyt?&!_G5+cF)1?LXT9WH&vh=hEDzqvPjHxI@K0X*g`t;%cO{>4{ZhFvfRx9As_1XmA8%qORoTF~&`0$hfgo_c@-7#<4|Me@|M z^`!y`yk5`b>R-T$5Mc77uS}1H56HVTJs24Ak?DAfUyj>f2=SY2a(4vg=sY*OtM z+ux_XHv`X7OU-`oUn|!D8{6jo16{Ikx@K zHhW0jT+!i--SV?f@dMpsyLZ9y>^3`hmY?39;ZZnx z-TrVhbw|zn!!y>`<)Z*|aO*?;g8)gd?e&`1lmfe#p9jTm`;mPIKRKkCzm~Y~+_5io zf%u#4y(+;TJ@Cng>Z;fSXk=ww@j=tV~WdUtwd}T|iE^*&@5dH2|{2Rqol!65rp+_ATx%Rt57f0_=i~Y|44No5dC$)U;q(| zOA2Q7we1Hp3!rVp%c|!U)-Qo+iW3Qn(~#NYRCK@kq?A#*Xx7#^Hy?O`MDC}a}+qhqC-vZvXYaF`9*!F7K+RygdRcFEK zUnq11K&FczHlk#L`*3P@Wnqp?7TRa5t!6Vdyv#c@sh&y_M5~VCr^bYQ$QvJpcZ)WH zlIT62H$1%=V)zm}E$?efK0UI)z@_4elYRA1W8XxsAIpezSnc08J$8m|x4MjlLIzUm z`YJPemZ1I&j|>L~?XERvN-bJWwNs3#ZE+1&|JlK}@IKX?r49vASrk z06MR@2Xx*56#*Y&$$~Nx z{dVSOEe6?c){34CLeI*q|MUVWE2v5+*&;5zuQD(IUP(+k6m*$4~No*e4Og zE_C5yiKoRYXb9k#thuZr7$;EQd)7W~xT|&;5-2D?I3G1aSQJ%Xs6cmqbe#OX}@x z`2=ju-_N8NQ#DD{z3}{Pt~9|U-{Z=6cY@w*wl;qb?>sBG)?zHc#^JvF`=DX!2q0s!K!Ldpt;Fxt%h;1 zUfCq~_X^BG5+;d%^|{;s>5x`zy8XRW+rJZN1;6!&X4<~|W(6X#>&6>AJS6|s!OSt! zB}0`l`W!!%jf0aGwR?@sik`DYSQr6WO2I{d{_bqBcrm~ntcwCjeSsSw{9u-YDgkB2 zn7qf(I;}_B8Wq88t%SMP0#>1C*rE+2HVw3doSL)UIUpBTw;XmcVR&AN6)eEOwMLfu0ImSXrHzRoP{I9{y3Evi2`-7LPdn-T*{bM5eHlDxv%4F% zHP>!u%^iH!9$qVuTL$?p7_{*qUn}N1ml^AoXJDA#{>KR&p7XYO(;(h;trf-%p*qvcDvYZu;u-5_E1z~UDYV7o4p6vUT! zQPW`Dex7kHI&O!gjLC?tJ!TXhaw^PIeoSrqB>=CGZkEkq?m=N;)&|&@ab94>luAU* z!v$N606UzQM~`Xxm4B`Sb`NcLl6nd=C!~2sc21*(IuAlYHSw8tj&ZSmjW9w ze1Ho0r|f!dv?_>?=6yd813nSJH*~`;*tc!&HrcFQT(iljy{0@8^mVepjvUWQ1zz+V zKb18Z+3RDXW+*-qg!P8Y%)-#L#Pp58Hr)i@X$dr}$Shua?kvC%WL2Aw`zftP9NL5V zmxXU1^D{Ie1SaBWBHcy>q-8j~M$1K9w16i3!1}3}`w8n0F}aWM7^@vcHq?LC%Gej; zN<%ah-8TL0_5^2#v&us8*i>* zcA9W=0;_DME0Q{J87V_FsQ5(_OPhfypw$b#N}uck1p^f5gE*NFf;ae7wxkX70r9Sr3PKG z_o1=nEX&oBgm_5wn-ai~*=8IyoJdgZl8*9h54K}q%?9~?o4>5z8h9ZE{7 zXhVE`hPy;Vqb}`Yk zBzPZ`Pm2!_&&Ro@`EuSktyBak5{P2Sw0hPp?iv|QTJ3|brKtq00w^4KJK;ShkW(a) zq4OtDH~}yMS;M&xKv^r!2mj~>Mka6}jqtfnE!U~fsEGQ;EvSIHN{z-!z(O3!#mE+) zq+0=V(uv07cqu~?C|mdRrVzj=kS&B#fnAb|*u9waRU&~Uf-=9k^TzZIVA7?HJRm=0 zy@8;}u>L?euKtcVIGi}qKU^#q5av_$LLU4}jF;$#Sm#!C@)5pO%^f9EDZV&Nv%$QV zzA;O)l;pyK8kzIbZOD%e#4muc4pU48ar*kRl32dsRF!3=V~S#PR#;z-J3ybeQVWz_ zhd7p40m8(Fk#WaTPTsQ2KBn6f8fZ_ZWWSxa#TrT{;J?qoAgvx3eZ69qr#rjMZhC^J zZ@eezD~Lh*Ni$61bVGCRSYItJHRg6|$3djrnY;LbAqhS=CjqLVpV)wAOoFta2}>L8 zw4L%A@JDOne%u|1tcdzM8c2fzhD?Lm|OtHUF>(GkXzUfjZ z<0w^rTUiS75V{alFu?4RIfrp5 zl&&ZdWQdqH!q;(V(Ut?>+#wjwawzi&n97)GljlvOU=5Wi;YAN-XIN04fycZ?Q+A~S z-h`usOdRAhsRUIBl0#nvDY@70xjq4r!FxnRp|)@(kGOP`g-(w+=`jIqBhFYB^5dxH zv)r*9;rM|xedHNkGr|%W6k$=2$H;0r<<^3LEC}DucvUgeFgY7yoD8BG(z=~*Oah;r z4IpeaDz4tvE*#fJ9ru(4St13{^)!^&4-{&h;~Olu#@sosgmOmZCd)$=P0L`d-@}zz zJ5Mu6G2VzUwm@>iLWHiCu&jZml+TAsf^&}`l^v`AyOXCdVll^wLOx+5^X;Ko@gEv< zjJM0;dG>bbM-jtX=KqrY*=3%wAc9F?rRPYav);0~XVYhsD&*hoZdv1}Pf1J$qtUvce*U<6Lz#ruCi;WN8cc<8){*qtD#`oRxDsRrr z$z5{3q&^8U>z9+mYceaUHNW$cctll>{`h@P$9fh`#qcrlBYDgDvV-1EI=6};d${6^ z+Fy2Px-OlE#A%VYiq-|Ez;2THpdVS^x|Z8g3G!1iP*v>I2Y(F%`!V}1_U8ueb*%54 z&IQ8~OHM&Bcr1TW$j^)16XKTI;4xlm8;u*d7FLp^`2PE9^~Y=MV$a#|B5!*+_s8DL z!NvR}KOp9Mdj^hX=STOC9NG4yC{@Il9#P|B6)gODafH4g_NdMlggQvh?^{OAN zaVbBN%hKGx%k}7cJ@736;4zqLEVZktPcXjUnQHJsI6eS-b-#A%dIGqRdhtFmQG?cI ze(PVuqX0mc1Q?DFisjr+?=c78dF>lGzg&fPU$9=M;uFA6W#_j>N_QOTffqfoT;CUb zyCg^EGvLVz6HL{CvG+XimSUwptJzUwH@Ab4mr?mejuArni#u~Y@|gOj$aS}MT+Oi_ z9$sY$91;|7hF^m6mv^!rS^c@UWxdP*PHl8V4+4#QsEGZ$dfGH~lMjx!-z?7nn!|2u zEm#v2#=%O7Aq)jV=fTM-K$@C*dX}%jf&>A zpn^Y4I1AWS*FFgjeM`w*@ZQ_}Tung8S@3d6t(%b%q!Hj;MPZ*T zB&4H1i!0UJ^%cDE$N>q;)i)XAqW^ZgAuAS;r(zpEw%NsJd1J70B+D+=jfH+dngFT* zuFi2-MuX~-a4$vn*j9M53sSz+R|FpKfo^@y;=eV?kDy(kBaS9bNtNq zIzUG7C;>{Ht$`SNfnSzO?agDQWEuF@0kNzIILKeP=-X_Glb(wwX`n@q*2Mui^H7tP z4}s{YFQtP;KpW4nhONG#?aP&LD`@Li8LXSXnG>YrENjplAisku2b*!AnSj#@fL(lW z^Rzr9%c!UMTm~iO^&v&OGMvhOOO`-R2E|m})e++L zpMwYnm-sfIW4qMxSJ=Z6xhVt>s>CcVDR^K#P@k8N&-{qEXB?w-%R$k!Q{U8v{9f9^ zO7GiYS3YLJ-3(H@nXBSJ7AL>Sb#aiQ;8eW_WhPk85M?Z9g_LpYICJD2QE-0JANN?MKSbPaQX#)g#-FB+a{c7u=Xi5Ot0H;w2Uo z;8ol1JqPp^&|sLpUIOHHsZ(6P_ooS{j9Qzp6V48%VO zoa$%Pt^KGrmBXlGB4^Ww;OnSdbYLPcW7_e)ERa69tM%IK zRXz0ARJI|HBJkq2Gn$Uti4`~{LwII5%r!N$`GL||slv0h1Xlas7J@gAUd}J?Ze9!F z9=WTchLCAsh}N^xY>pq1kN@^t#8k;>hKsxfd~wLLxy1k!jiDY-KcltkZ9t|wt}@iy zishB-xiJeLowQiO-=QM*@HixB$7i!tWAI&;vK!DKibv*?JrG@+ zFP<^Ws(1#`Q7>63W$O(UzBC;?qJ%R(dPjY`Dt`$BgKvehw7h%yL?Zb^CEE3jGj?Re zgxdgl^w4G> z(^%AQ5(QiMkOH z8^BQmB&`ZJ5xDy0k||hG(9slRPKu*;!(frY2(UGbkVyap?+H$J0G`}xme(i!@KWA9 z70j!NhW~K@ys!#v6U|4I3Gb0+JW198QabrdznWTaCY)k=Ni5HgIn;~nz~IeWNnDEg zemx{ zc8S~J(dr0Bnv!(q+p>wd^7#jetK{EaWiE$i4hLlmJ^J!PBw+59kMXfdv4g1kY2yod76{SR7mu zA~E?$BWWZt&Hx&b=qV8{ROEPs-xo|kxa#>g1f!RdWU?{Sj4vki{k0kF8kL)?%*rfv*F|(GzEt1j!Vf5L z{Qzw#acdeBbZOvHuBSQ>Eknj5Oz9%()3-r6MYP6K8~6Ir?pcjN(DkAqWStxJbViRywgw01i0Ae;wL)N04tt z9w#e&R_G#a#UcG0BH)8ZBOn01rVL%d>)6JgB(6eSyEkX)gbiQhglm)nl zjw#@Hr3FI-kWqlF;6Gqp4MreiI&_^{j&A`~ljT}j`mz$HLpxI$A?X`p=9^5Y6*U_s zMEYi&9#7J0tJ(_c+bN+^`@_*{5>BWuDsz4H@xM0SWe+gR0_11q6@X_{CJR^(0oteK ziAwC7Ix?IB%|Ze;gn-!74ZDpLY13R)t13K4ONdJ9+T?6c2bFT7#y^gO0WYO;#AnsiCU@Dg%6V(j3mvtd%+LSGbEz;E(~D zM=TKF)Ncqy!xf3rjjo@26`}J{>IIJP7=%NnK11vdx;*3*q561D2e=_*eU2bZf<=!I zU{p1k9Hxn%3gST{xo)@gpb!`e&p0)p59v3%yOW$Blv{8 zQH4G?YQRps7#J^LT#Kx%FtohLnC}0S4jFok5{q;c8cp6P$c?(E^t^!~-FEFA3Z)0vuOWt`Q#RW0&6Q40M=V zC3v>007d=aJgXLiNf|-GET^iQuK+bxYp%`=4h{ToXsA{aT!0Y*dh-bMTNr4`P|_IW z<6p)wIwf&e|LGKA>OsZh-}uq|`&c#Dy~f%rj+Hlyt2y5+T0uu}D>e;X73E+{qfxWp zEf~ zyu(|}RhRCkB54kU|FeGignCRq#DC)cd*{RbBj+p2t6z1H&PPU$U#bm+C4h+g`49lB zuvk;sOL_IAny$`&rWdOf58q?E_QPT|m&P(cFlcwIqyAe%wl^rPb>;!3AGDJ>F-*O| zH8ITX0f9yhWrid|DY5v+QM0hrNrW${@YlG=0~bpcy%VxnIlA10uT<|;p=XD8f+Jb^ zl7wpjnfnr~*GnG|9}s&-KdttM_48|^i(e4a=R_jg4sh(tIxo8&XWJDQA5*~& zRUUc#wtuM|cw#!Q@v_#(ARsb;X!=j#&)KrXfqwn14|L88yoW|hdUlF$Om#S9EcEJa z3ujYPG|{|{aae&QPc^t4--$^Ahnuhmqy%gRPG7A7i)1M^S|&%7w)74YZ6WTz*dv7e z%1*5P;MqjOWWj@fcB3l(LKd^8p8(k5v;dVuRUknfpqR;;vlAfM)1pyGoSWsN6;%{- zU=sB z6aZg69Fu04A!54TLjzbJ64C=24}`rHfBIWjHdK`y(p@xJxjxlaUPpWN6n+Cd8KvU9 z3DysdV|eOR`SFtnfD7&MR&P-w=K6!6ASLIY7c9m;TT-OoKXO(s^M_<7Rl6>mN%K=` zlE`IqCQZR&x`Xcv~+n|uI3OJm$w^|yV(ha}` z64&X*p|KXcj^T7%G~=hbmiRKRlph5QRu>TLgY^Nq(@Tcxn)>*xGMnL_)PbLXr0KuN z=WG7wqzV713Ls(;?!V07p8A#ly{9bR8Fth3M;Hd}210i_mLXCM5cZ=5MKV>wr333u zRZJQc73eyp+X3=+roUSWV~}D+k@}=Rz8;j%B-o$_{g9uiAj6MDk_uH5o_S%&{dWr#iiyP0vVrP`W@vaDp`9V}X+wEZrr!`0d;1Pyi9;s9!n4%IhRPk8wKn#}&Bw z+z;Qc5-0P1xh&)JN1=WvizSYa-x75B9|bHIZ3BkB{_8S55-+s&=;>UpwF6wgBS z%f&4ekN`p_Wk4h8ONVH>S|lCMFccAR9e{aQt#j~&bU~2%r|uth4+4&-jXa1D1SAAZ>bOqmELd2I@R%J3KRFb7%Y#>z?I2V!Ws)YAOW&Zo; ziPaBC5fBgt7o-e%m4Pqzp*8>q)(VIC^?wXZTB*^hq$iE7Z^)>u1XPPcKH~hgwsH?k zkHfM7tadm!O|HYR77jvzhGIN;vRr2x1Vho?+a?mSo{%6rFUx0S_;3CS0|!4|vi;Lo z)AGvBPYI&B+mPSUCmFi!bqqZsBfulNbh^5qRtNWVCe zLivqzQoL~`gEs<+Q_f2Q4sZPW6P>Lm z)?*2(n^2kYymQZg`e$V5|BDQzpc)&8E(MI`FdxORZWMnQ>giJqc^aCF)*AV}{_w^( z%sVi>YMq13fq8u&7@hjSXi+ycSAVJ0`uV1Ow6+~e8aA*`?rzjm?jUVQTr07Jw-SxG zU|>Qy645YGt8k(ZA8!D8L0yk128u)g=p3PuZAyRyGV!8XBTAB_feD;Fpxi{5H;G*z z-o!9~3R=Uajo~}O({gz2KSnezjnLo&heDECjhPl>>(COwzkUs&A`*!&(EMmC4oE-7wbl*5O%Qv6 z%8Dgv#4KHtNZ}`DWRj0fA+R^;xbn`iHz_0pgF=1N0{fnXxzG!QyuyIPKp2<^avc#z z@k^X}7`%U1#1NhwFu|07!1F87ID4GS~MuifQBQ`+lMj zaJ=>&D#?}q`05*<&!db`f?8>D=-xVnGPQKwZN1%k!Ec>Pz#NDhiPR~7{kZa9j=X+~ zw_oechAFOnSAy}YHEDVM$w=Sd$a|~G5Vw_eeLF(Xe(J%$M$VJhAOFXHw;<#ze|`EN zAHo~oe1rDrIrcyP_~7$entyWuA^#zc-#+m}y!?h&Te*A<;cOMw8}5J4Pwn;Y`yN-` zG5US)|3Ek2Pyj#ByKj8>d#djnZ~maazbj$>58d>A@X`%GX#9Wg^BcK*tv0nP`rrE~ zZUFT7{>SIv`u*?!0C#oDT1d*Q)UAckuWrZ=t&nbyHhTKkQB=Qc9pHXvZBAJGRe zTq8sw2&aeQa_jbp2`c@SL0awWfGLqI}m;V}K$ z1`3G0pb>9n`~S7f2_B9Nybca*t5Ma@YoTFye$vFnC>6T2_579Xzq)br&G`JTTJS>$ l_fJ(l|2bj*APKy&C{O-_-g@mJ-}v6Aul(MY@4RWp{{>gY{fz(s literal 358409 zcmeFa3z!_oapzf8Q-COnl4c4mxILDss+^i(qrnF-#OmfCpVd`eGwc}*319@tSgvdW zqag*t4@oq4SGW7ES>0&Rw9a0E5G;G`J*j8dqU9$k8q)b5HbDro){nDDnbzLtwIzz? z+Uu3i8q(M`EkAg_e^wXJ00B}Df1mx`r@(YQvN9thBO@atBC`rFyztU+_%AK|#a}=7 zmB0SV`d|Ik-~P?>fBV7<&p-dyU-`;k>yG=sdH(Od@|Car$hW^VqW|ANb$}cgKI{6M z@bz)PAHZk|Lv&Sib&bCnUEGX3j4m-xQgb6HQBUvvn{F<7R*-1&0(m0rTdIFzom zi_aZk4mb^Xz{Ta1b}{^Y<++$27uWNHz`cO#OM$PqUX>H>vi$IMnO5d0X)5!me+0w% zL`w@Oo}51_U7(cmTt2-xe_7v^fX239xDL^A6(Bm^2MEt1&;^vP=en01zZ9r%^?Y^! zB|SPPz{mjHi(m{;($N8wk5%T=zz+j@Bzn#a;MalS>*4z{y*!t6Gy&n19ICA)U&KFM zj{*Up_HG0&=8@6`qGR~1HY-hMr3-Yev=Wq7(xJ0(m*b;s?{Iy(RvCflssLqqJyRd5 zywZLXP#XlN0HqCs(n=`PmAAYWz7oWj5=y$tHYktiQ90ogAA5jg=05e zpOy9Nd;kasP|{MKm8|Pu0DcsR0MVv0zYUb>dZzn77=W%zDARQQJTQE}=OQ=;i01;y zLvb}aTGFF2Ay9hB4~@BUeBB4=UOdrRpzHkuC{l{F-v|C5K#wjWV~_9;*ROlE`R4%9 zqWcp5{Q#6M*$_`9|7G7vx0U1JrN+vW11R$f&oEu8ucWCwmo(^H(xK}r@NPgTMModd zS-6!}*74Pxmw>wf(IXy6_EpE_;PnG2pQ{aT2d)P6NObC6@*&+O7``r278n0~0Od2) zzdQuf12BLt^+?wx{L%n)Uk7x45D*`RVVE~ce+DR@i$5oT@?K|+UxDaRp9{)ytFvfP z|ENBl|1BU=^h|Q9vXTSQQo>8g#pU`)^$&yU6BHB`$0DUT{@MU^SMp0N7HuVzJRd%b z|HGhX!}r59=vw`LDH_VO9|KfhNt%)UDkKGb6H>cT(qlg zWxCiU8kAPT%h9Cg7t^6Rwj2u=^G0<`cM5bZ{qYXqPXNi9=3kv9lO>!TfbMl!rkCeC z0L|ILSEgy)4uhTzgW5iPUr=R6noZ_);62XnYri(ynCFqf85Qq-h z1EN!N$4?GG^N!}367;OR*7-{VxHwI82xJ2bCWfG_fA}o=1!bD(knCIHI7nu7U&8P> zz0|dWA72L4|0Rf?Au=v``OpAFhcbwE;Zd^a6Yq!XE9udBIQ`=5l7>>vi?5{(BK=u{ z^r}F%qCn}o*Ie~BVDkVz1n6FNhtB%|(V(;7t$@x-6Nnz!k0l-Audd6nQ8GjK(qB5u z9+FNIXsmxLAY1qM0FA>EKJ^lCv5x;^Kxri%pR_l1>q)m7rr6reQC!P0L6^{Z6KwSb-}?cV{i zTjl{hm#rpVDor+8Io6a<>3e};npC$y<5g!p(^>ch;*CJpvK@6+JwHDHUH>egv+Qcw z_BzW>{3$@!Wt#3wkj#}J87x6{3raeq?sQ-B^kO>HKGj`9NtblSM**GxmjV11@Y4e* z)1=GZG63=51AxvY=#j2PgP^q2L~oH&q?LUqUg^3#%P!OPyMP-2J-ZoDS~+K44`@CY zXbj8FkPN&Olx4*a$$?}^vafkV^NT?DF9(`41!X?rkuEFYmw{4W>8$i}+~}+}33R;` z(D@obvLX;2I%_=ZEIpw#opmqJwbDvZn)*c`delbQ&!s=EbJ;&-+G~L~0A;%R?KVJZ z0?l8#m%fr6EztG%0L5K#9M-MsJJml7WqqQlgfdNM)g#cgXi^(~7m!?)p!*Z<}M-Tu`Tx?HY%Ubo?LkG|&g z7q{|jMsdEt-#=kh#|g#tR;9*q)QU>ZF?D!SLc`-KaY!2Vb1!hL05y!tsc?9oPvQGU zJzS|Qc8v4TJ)SL6mbd532|dblJ=*mhkc zA5ok0I!MhDr{0O&Jy|bP!P#!?_r^$_6s|bpFzY+HGB`Ce?lvkCxW{eEot(E1aC)Fub|)%Hi8@==$rY>=M=f z{SSdlRPwS(7qg23>$jhI*-?_zm0wr6OBMPCTX`YMuR|^_64N1k-_jYn`Km52l!f6U z>+k>HhHt;I>&vwAz9FntslS4pylt;8n0l&JCL(g6=b4|RyWjo%D~5`{=u%u_!m$$r zXsfv&P#iQ))o5A+Q4qR;@G9$hrmp|eBYeCKZ|B`VJ+-28^iA+qV`GD1Va1d$6^vdN6`jp=cy}7um9P5UKY(I zGYDVV{&Psz7k~ZbJNX5@CQn4UiNS z4a%;6p!)JOsg! z1ydnf_GHuZ3kSlNzjb6-nO_q9`0AKrf1+pH%?Gz=vgrIDl|^oEj7sg_0MY2PKkyir zArd_rrESlNQyKKlD;5i_EY&_{aG!99w)oDr?y2rjZ8-?X%hR3SQ;}j#Au2yv1A`dt;ip&cpg!*3RF&+?7QO zv+M{PhiowQ#>S;}0})@s`YoSxI_1sFo{Qm7&}lOZB?Gyn+NP~9fBxkWAt3ybFP9du ziU6uCh2w5W2a8DEigNmB0PC&ym6tDnE_pyN384T?fpA{HgNOd~W&OB(6^-`V7{a`z zPkTx<>mT}aVWWc^$amqd4y5}f5((}fOC{f@x*F#av?_x^D8S#PPtW1Mtt+*1d1_^c zykxru^jB#uU2YxflE5$gkQZFn{I<9rJu!VUF-FIUPj=r`JDEhEJbF*5#An!lBw*JNB`FmC7qO-ZW`>vUPw8f1l+Rftg_ofTxG%f7M#myt{dF@v} z0@c$OLWoGK_Xe=Oe4@M@I;TU|!CwYC~1I3`rJxYald}&N6zEFXE5 zw0YaD4Pp53lcYh!pyr_`npAVqae-qqu(fQmE#(bzu{Xna8bXG4tlc(+=vk3crptoH z*~L(W8k#P8xf;Ow_kG7;k|&Km5Gd0yK)V=c$E?nhYJ5lJBXo1GMv_P!_vnKGVzDjE z-2e6M!)PvXZHtO?Lvoypry{Z!)TXIG6*3s|68F1*?P8xLzldH6fYE|1O~7&=;R@Xv z_>><3M@qe%f6CBW&mx`KH)KzjItY_Uv!II{GdSYRFb?r7)#%!Q&cTRV|MC;cJ>2=q z^&%*Aghg3AGhjmYJLre$YQfl8SvySb#pquodgvQ^h<3N#fXw!y7vV9Kq=o(szdfYYi}qc346GI#u2#=; zhE`}g>LhFfRHgL6IP&JzYDJj-h0o$7sTiFYdIipl2(HRwm9}xKQZABPv>VD%H>Bjo zbUaw>m>FXb;aX5{Q|FO3^>hsHLx45(fA!1OdkRe{gtp3}!oktHGwL&8wweqPlO3yH zFkLhCXrxxtMRnQ`eaTJxh-@qAAkj>>E`Bc)>VUxmRZ_O9tcspI_lE!v-4)k$vo_YF zDz{eexHCIFQw;{UO7ikG%bvXQZ16n{urb(@2l9P-V=wLejBh_7n@_yvFg|w9UkaRU zJi&ROKC{!fJE>uO)r=j$@i9T1)*qSPK&{OyuJ0FZ_!H3d#*mr1cnb-a0y}Bi$_7t! zO%bxqYvV3!H>j#rMxDcDeQjEU)+Rb*&X-OWNPDDR2Eb&6OOGLZaFTXo(p4LB*x`hc zYn-0h>7MI?^$&d(RjCr^l5FAOgLSG9+yTuV+dP_lGDw315B9H1zxeUjcOI603nc2#up$PI%!)6U+YaDV5qZ z__lNztlr`k)DJwo+3Ro`Mo2BiGpIi2`{lTK17X$dHS7`=ib6WE(H=pKxmzBTDqpW9mSnMQN|NRFBh#O4OkofWdg+gUpf}O~W8;HLc zH&&ep!9BOXf+w^_4`dR|DD`4RE^dIw?px4vs9LQQfl4PeLMmg7@FGLp=94CITAv@x zKOjVbsT7A|%nQc=Y*TVSjQup6j6EkMJ?zFs5Tw9HBL){dd$>J9b&zBnoVvE~Vhj$M z2_#ss%^!`0*$G-K>G*kjGICq{HLRtMtWSc)hCa7>3*x@~&J9)pqMZyBD@G!?Q2wMk zv1_3{cZu7y$WX|OyjLTe#|^u1jH;}?YMah}2Q#s8aLMU>n^eI$kY8nc&OetVe1jWX z<+(dhzWZ}FY>oZ{;K_?&&;m@;;#rUu#B!%uKX)CPpam`x)J4EU?|ntlQ9TXKpF;wsW>MKtHc%CJB|7Rc&wVw-3-#somy&iE}mAyh-jYMs|;2EY$J-Gfz7@CLy_p?UolRg-9s2I zL2ZE?1yNjxQY0xaC`4)4&MKZcVcb3Yhu?{e;Q-muAQoI=Jd_;BeJfv#1v?Z9P8Yb! zEV^6&p-*!ZMoY0!z66w%hH=EGD0>Nf!zi7tAGf5@YH^gGIAAV~HXKN44!L3M=Dw5m zlESw{9}JgS|Fd79xfb&vxe%-2Jm;=cuE2#aF|;ShvU4z0ZO+z@O@=i!xuCNcvFfbL zL5l~WPZymZTNh7^vsR z;zE4(!Jm>@Es3ht1Gj>eC>O_U#L1fOp6VBUEiPQNaAc_nH&hq;+4$J%+$~A1mn~%8 z!@=qpN!z+lWmiGe_-eMG@O`y6HX1!Wwi-E4v9z>x@A*Zku%A8pwku=>8TanOaYwStAN&wjgr1d`jlkMb5BT9w~5y-Lqf#fYa&OHj!lgVd|7Kb0M6o zDD<6MizaE`;CQr}wHz3@z_=gOV)eAva|T|H<2+UAXD_UJbRbC8B7u6-Hm|t7@F<2+ zr7&yhCe>785td^KSGW{-8lGKuyDeXcH}Wnecp=8~caW*FP%n%v3FZ*3To0jkzWZid zaG?G|4Bc^`=3+A!64hFeBb}P{zpNlZk!iy@uo5}fbL!3~Fqoir zpy64eAQVQmq%Cl2VPVtuwwG23^uiF>MmLRjy%zMhW9$qKfLfi2IH!FkJo~f1qGnp4 zFXW8$b z(Sgo99-s-QyjHmJg?%IDefU0+kg-tP_G;|Zk-K~$s38T@TdkrKTB-n1@$>G{7zbW6 zQmeWjvRhcG)^X+9Vae{H56KzMN5uFXS&1y6bSv7EDN1HeuJsMEK&>f3O~5S{r!Z$| zMHmYhltGGdZ#&9%uj4%W+(w55i*^~MrY0dh&;1f-aju5Y%1;e&T2jg-2x)=1=A;W9 z+`~^^myhHRM8tTZf=9@HkvaFuLUtq!ZVFrsSry=FBgp|-HMMJF)H`lKIXnv1+7J8Gu9TK`}psM)})w$dh7IzXiy-k zBK(4>P;H_cbDUA1CeV|dpdHXWh?bytB^w3g8$+-1Z6A@+g4jCB5uFl3JId`vbW=pJ zK*|>oFwY?WV6-EKvs^OO05DKmV)%F76aOPRDyvcG&uVSFGvP7Q6bn%_!rI>! zsqK#d2_Rh4TG}sU`>V7i4VVh{FSO&M1TOZ6lU-NrN|o}FB!FXhf>QiWEB6e0TDtef zzkcwi|Ed?vOwWMx$VffXE<&eTUkzBS(lkx=Ffg>ko4Peu*k93WFiztyz>vU#pxx{Q z*g!|clSe<2pu!j0{mOXU<Kc)dwofL{{hKZL8+8^;Sa7>>d{eZH<=>004pOuAJzN>BlGz0i$JyEGu+SwhGWX*2 zVT{wYO}oDJRG-rF4SHj#2S}YJEkE%5<)|f02Na*-TzIJ252)R(VZg3VrEY~k|7g*6*h}~W$zm0hp z(ku2%GU`vQNDq6x?9gpL`I?Y*ABBwTYnv#ETf;*&l@!maSo+JeZHAhBZ96p^7uztj z9%qaBa2!o1->a8ls-UiYC%2wmPo5*=PumHhV+y}ZBdR2I%Y`vePV3@cn}e2i+3 zPf<}3vPcf96Nwsn8n@i(KGbkp{p&G*SIa5QX1UtwjVo_bEnzBb~A-B7mLk} zw3==QV=sH*e|jwyf)PG9n7wMM9HH*+_UqP#%>ZWKBG#b)|;o1rgR2JY{;h0|Kv}g{|weEA{A0+b1x;pB#!Kf^7 zUt(1;R=tB|LYmT&$DaF6l;(a|w2?bH-g@%5*gljj)@%!7C%pSDulS}1%v!w-t6H-l z?9dyR0j4Iadh(c#m0`pznor6rMvRbAId4c&?v~|0Jn`yIc|ADWL2^-=y`7^^UK-ZF zx?-W-_47DBs(&)vQ)fv*;4~drQeK39*n+zch$ys?Ic> zrVbypLfoTbduLCfe~4PJ-^CtU#GuOKqaJs-XA*{91?JJh{i-zgYhi3W2spcZrw9%s zwr*-mVUCG}%YYspv?nZ@C3UH}fz4f@LAm1`hB>=+)1@@Bb#g0NGekMk@o=9;z7a1pXX%i>jCzXbMxF^cF1$86(cE znicR2XjV zqD3#;hPt^JD87XoBthKPLXs@^NTk`UX#MR9eyAM&%yr}@f|eut;b;*ol3n(LZ=Dg;=qYa;^eJS8!YBPkI8JV?z^9~n;>VWHnk z7t`tRdbX72lJCxqW z&un?6k#Go?9v~sgX4%Zp3i)U6K~HcF8MURu)hM_5siVEzq!4-voEQt&n zV(LD(tv5e$h42_ffCpm>V%43BhN@{C)gN>Z84KJZ93F|{D&d!qGuLVb9ShbH9{#OY zjhhLVZ>Zr-%ad)he>Chw<_JH!TL5x3kT?&u7<-bJ8?hJEzJgoLH_)`1`f3H$+IERI z+}c^KHhr^o8TSVFx{GUt(!l>&Gq_z^!>vJ7j0aARHM8qm zpGtpDPweUy_S%A9-xU4vSldg-6Aw=y>cb z@hv`%wcZz$gH6t5o$q;p5sHI@=QZx3(TD)J2JfZr@n;!>^S5UCLq3gbWQTN2MEoHL zsrIs+BduFEUa*Pz2Ta_6yMycbRIum*_v#dE4zyd7x!J0E`cH&&?_0N6B$3ngQ2nxLq zX>{B%t+xfgtup=g=YM$laP-*G+iGi@gX=!cE0B6!@>6R;@y6rDXY;guLGm9>@t+tX z{y*{#$VQ_3?B96o!#{rjCa%dxdJ!uLA_O%p zj;mtJZEg%d+%rpvo`v!i=z}^TQrB>QlyDO!g{sCFnj}l=)X=6*y8hueGf-swg%ORR z=g=4H@JSX#$2)23*{ z?clR~P?Vp!kEX*-IVwD*4K$k4AxnOo^i!I)sM!`;I|y42L2WsCw>{NYE`lb0n6bi(tb5Cw;^C6|k=zL9HPg-(jw%K35%YLSuLJ*;>`* zhL*)iOhrg2hjkdq;v6%^AlvW3eT4Q(1lx=I348`52tkc>O~l6zg(4 zT`mitRa^`bI8lXav=0?!kjY~`6qH@=LVp^%)UhGwi0s>ra@K#~21Gj2sDU5~fTch} z|940QR1Im^+@b1MXCuiul!V=m8*2HTuHc!qeym4n3o1z|V(=Q5;eeroWI-d9#FkiHa^ts{;0YSgg0uf}Qc1a`8Lvt=&{u%H0Cnij7`a>~ zk2;YR%!Sp;5>JWW;D@E@QY}RbSZ)+z?BKy#R8+hEu8PyiQ3ke-5Obs#ajVC+aa3SI zb%T>zc4|OjJ$8GkVLTt|CH1b?t6((a1d2;62KSO2O=WpRKZkMZ?*2t|ZHY`qkg%#E zg^3VtTM1O4H8iJ@7i3znHsMh=Tw&zQN(I*Ie2Z`=5Betk|2AR>KpV`R5<$$#iY~x=D6UER9;#Ldyb)o|nMzoUk3~4HR z+NcH#RsjU#CUOZkQO*gLdc>5lxM6HBrXhvX2dAX91fUmu;S1oiHE@LRFv8t~Mt(}S z5NeFOkO~EagYxdiUYeuoF+G?Zyj#6g>r!^n8s#c3iIvo^=2Fl5zjP&}qDWK~R|7c5 zCT2oaMk7#J^h0 zfk#L}uA-u#=!SeGWe!B9!if1%Ps0tgEac2cOUawI9{t@bI|^M^@wa7{=kAPjE#e|i zo0bSa>F6bPXm#2hJmDipA~Vr`AgZy1yB05WRyV(QX{j}n9y;9q;k6xyqkGrl_uh4= z-e1c71p?p1Za1Wayi@Ik+K=kj$W&C^9=iSXRPy1u*P@6BNu!J;F5wY$5k|r7?cat{-16>FP~bH*7|X5FJLsD6M7{DAROLDfpw)nDd<2 z3S;Tm2aEP=MXtsIhns-lE4t@ZbPTSA5)O&+mbXV-9tr!-WYh28vxjMD$Kmj}YEp-paT2&qr4rK!}b zy1jzqEcL?{gI{cSB&f}7mFwf#uFy+kVfS8A>K^^c3N$r=V$7N(w39wgrKYKN!T+UL z>O~zZeJ?LeY^AGhOLY))`H@^#|FSmAnm@FVfYepM&s4+lkQ#V+Z9B|mD;6u<+HP?x z&Neot-7Bw(#b(>shaU6QAj@PJJbnab6|cn_rBb2B1Z0Wl+^KFuU(ms7k^1r@QI5vx zO<@yxDP4|xYC{^Tf?}~{qYlvDg}=8Qe6^5bXM?wa?3?=L0VGp-qVJ&q1qdW)H2574PIgbWcIxrt1eeq%KT`4&xRcBPw}^v%Eg54F{M z(tf?@E$6>tbn(}VethupqaXkK`S{UgKR@)`u18s>zgbLOP9 zh5m;1tPRHm$x;>OkTaEQJyhn<0N(${Lm!Df|8lBwFqqDPy75I2y(qm$Y3601cdDgw3M#a+vUag6!505#YZDcN{0oB=G3;P2x{Vz)57YS2ll z^X)&~!39W zmsQD<ys->a<#hUF)~VMOGqmVHa1s8YKBj;xMlvV%UcMu}bcrs?aV#Z1K}ae||%Zo2!c0 zwb+z@&Kt(oK5d&6YN%7VRj=0JSf80#Mc@pdF86wg)4U1Q*BbW{iEnh&HH~h2N5wf- z33_QYtgy#4Som0l&a76KHlv|%HxRL9jIh@GcW#&w6^2M_%A;(iR?TYp=?ZC1Qgcql z&QvD;YmN69L6^2!i;}Pt#3CuQav3D=#h>J^<1SU%a+ROXP)<9$46ZzWtP)1-24-&X zTWlL|cJK8YUH^q!u9Pssr&^|w(_nusy(PXPHB+|2CP_3=U6v@Xp3|R5fG(?zuA6&# z=C`J)Iz6R$hAw#4;sxhjuL#KqI_~o}mmq5R5=j2kV32X2818hNBaB{-E56W?JR=Nr zUg{jkoV;)MbwDLtVzo3jq-}#B`gV{hHVsyB%7|226ecJQL38ql$H(r znUs6v8$`KmVr^3hM{Kbq^crm9_(7&DS?I7ROM=DwV<%hm@@B^7i@;BihSAs?^&9@N zxZ!8Tm+W(IsuHtr=7_i4OW`S;Krc*ZPK~Z43pF8}@_BPN&4R@Cfs@cOlfJ*j_qz~0 z!gx?s-Q4vOhl=1^t%mrTa5+^IgvOp=5jZ#daF(%COHrRAEts1Je(vIw=6=Epk-VUg zY^yj+^bEw9)>Jq4>i+sIycrgWC&chkD5L#|P70@E^%Fo>q%_ev7T20y({>hAlH5=i zHvF2|7CX5aizDw2Y3n2lvZe4$CEpE!{HRFsj9@ZSTa6f`@SkQn zNo&zh=&Ym~E9o zpXF*PTsOyJ)JPrj6O+GUl(cC+BD7R>=2@=^&>IwNF-$qp38*e&s~`U(C!(uq)8?)j z^QYoM)Qyt}dBe9=;2Rq?njcs~*Gcj$LlOE}a4f5h`qMpxd)p4Wkt7CdstSTYR2N;J zKKxTR881dJ(346G%)BdfqqYJ>KJ;i7;xWaT(%6QVC?0YwdW&wBO~u}vUt3hPE>F5H zLt`<5E#nov^}{f;REK`82`1uT>F$5}+Mb%LStHr(3!xKG1D(m3sXzdmuE$XFO>-X~5ygAr75#2c5 zi{ye=Yl|kRWZ6o(E4sciv-!-9#T(Q<77TK6HlFqo71t$n%pmP-%M#YDYdCs zfeNXJS~HE?(E~yKWW16cTS<1M*{*cq{w%{$4{FWj^gz0E2cGd-I{tKW{O(=J()`CN zE7`8(Z96KP{l&^N$;k?ya`afX*-saJ2{cv^Z9CNLOx^35<$F3;1rzP#&~8HWNX&q3 z9&GLknm6v4X?7yB=}fF{hhYXiF~6KP4gVV~--7h234==n8_s4T`eM>K(rGh+WURo? zKbyBaf=3Ug^!s%@O-hRBiyx`sRi{V~2HAL<>f!FbgW`#xOY@QV;9mbOr zF%FGME8UBJ{y05s8vHbs^S^S8b=Ni_4E5Eq`993&uroQ4Ex1B+fWs!?+UfS<`oUyA z?w&hrSo~OKBMtk4Y!`cf=PK;SrR!5?zQ3|5?Sd@t$M4$pnyZ7!M-2WHzR=ESY4)a+ zRruMnaa_APxG77vzGakaVh>u@pWVj}>JQGv+3xiCa?sj6_u!4q`<_X!oV`0^Gr;bI z_0h+(XR|CFrG@cW^z`fc+h@D})j{@fuz~LfFP!N*yR-fsulLeroUi43{>G0dr{xH8 zcjL@~blgpDj(4t&?ZMH_q^;`#B(Ir05G}WM)~|beGH3RTxW5K}9U7w#JZ)Ei#khwb z6v<}%E!oXkuqWG{spu{9p_(*zq%AIFvb$8P4`m<-Vtkv*u4h{PoA zHS6O6kGIG6Wi3S*WxJTo47V6j}~Aj=Vlx<{~UV|EMc-%Bv?RuTAMwkKOe5N<{Yt9k#9 zX^6uwe+;Fx;L|Oc(|cV&X6Ze->U6_W);eUKs&vmzfBC6suZi%u^+hgtH5NQ1Kx3JU@@Q}C4ct41Uh=QKUeo?Of_q=o8dt^cX!&Ecp`f; z>+l*yf9$5@CIs)%0q2LP| z8i}bo8FL;l#mv%ixks zZTQrK9V0uIHt=>qXDntngb!~9@5d*y)1fT%6OuC`9cCm`usDypT@=0BXnPhLv-qiQ zN~N-?KpaCoA2NY@TnG-Dx&1_T##{c&(4|yZHnT4~IOd#4-CLg3&lmDl(2v zoxx@?S&IoJ-TnDj{)4=I@Rn~Yf->c&r3ucQ3(B0h@Qc(%Q07-Z1(Uv!FH&DVDDxw~ zkEA0V_G>8iiax#=7|_~lB)6sbA%rZb;lMG40-^7uIAMg`tkcB}LoxIpe#C8f#bf1? z3|s<+Qbz|FtmRi`J%96slUG%>b60_xT@foNn8#rhc{8%Nux22{bDC|CBu;Hn>tdL4 zSggiNj;*xj{M2mAaz?fxoA$V81d~Sn#eOoUM7hYTNj}NfXOxLBDJ^PUpN)O_4@TIk z?|@GK(FtD>#>YbTu=hR7tK5@i+Q6O)N0^-;!%$~@0{;&0+BSon&{W0Qn(H1#3X>Mz z9SbppI;t(BVTM)udgISeJ@@mI)>CkTnJR&U#LPIo<}`yN?4(^{m9(;Ek_Mv@nCGZ) zik#>vxvPs_FU7e^J6Owv1%QShG#JC7N5mhxAtNOsMAMI&IGcu@kIR zqc}dmP<5NB4?_)WjtrK0<86yW&uQQS;p1_I=K{gFa&9=bf}W;9;5|UaZi*MSr0u_i zS}+AiE3q4jnRrea{CemA!GHgz*Chid#)&?d*4yrUl*I04y9#YPu%_A!RTaKP1ViD3 z6&@&a`A^wFNK>{|NX*$3EQ?= z?s>j!%oY!+g|FM<2Gb%sZ`7wO{$~;gFnKBNM*i~$*Ty>N_( zmJ`GLdFBcx#ukdL7c0uW{wHS;bI*zL)SIB!xC|yGH~DE(j5I0*d1D2UK++gc_*QU( zJO~Q?on+*;Jz;x>@VRxDG}aj}Hf?>s`euY*5+`&8B@tUTwNM!>w9a^g1^}Tq4}WP> zXq$wx*z7mDidXa^m1BWhI2GtPRl#}?8`Df0X8ndfA^prjY80c$ zNw6rK2BM^mGY$nw3q7)mM#enj&Iuojc`|yuLJp;C1cmxzu8HzMn3SxRpdlO^Frx%f(gb2}o792A;Bv}-U=&9nkTdAMV5nY}aOT~wYNQ>&^)u2BsR2PGb!-Ty7H6zN#T#X~te9kiQ_-=w;G=%C)A6W2xaH>%?3JJ=Lo!)U!MB0}Q_6*@`S z9+SEPiWns7kqXsN)~d4HKEgH^887_et8+6g{^wrISj4&;>w2&)4{-X0R80mNzU8CF z>HxqOx*VzveG0^al(ADK{#wO_R2gS}W4#;S{TABE}{&qYKwCDjn&dXdvu}SptnzY{;f=;cw6oooEbg%c+X~317$DmGeCB zFy_|Z@Vnt}1P`0{7;~CkfyaaEE8lm2WpOpSK4>mYO?ADMo6KT*hS1twaj?VOd6zkZ z);&Ijw4?dvrAp1ziFiKU5l^k8iyeYErbcKmOY{%()5(rinhDV$LL&bB_DZ7?x7$(T z&$p8km0)wlALR*GObW3+laAdPG>LK|^Z8nODx2GpHSYhrUJy*&IDHLbv&9@4dpem8 z?u^{6(Vf4W9)IK2aeeH>=4;INQTG-T{0mcgP}ntx7nEIYx1z`-QR@2_l*$IetRq=77J5_n^UBU@k%iiw$N zPI0R^&6ugyKl-6wJH{%XT#HOKo|(?xL16a#`S`b@Gtu5W#)#UG(lGwk1h=|x|Kp|c z``NmV7vaRg`L2?Lk)u89+fjMKrSjpo$TU>dHh zOdf{_dChc@YP%`res}8a(UO?hX8-SCk&wU;ZDC0i*m54OVCics3!_ajCw&ksaB_lr z1TAv$$*;}!v%^zf>#5(lDu5o-Ae>8w5Hth#dI>!+YS^5S z)J}D6d+8zsigf#*L}|~4qiF-V$fIQ6KGH{@oa?$UoEV1q^;Fi69p?=%Fkdn01aWOV z%`njqq%*;><=|=(oa?$YDZ-492^6MT+e;GDIB9r^qjT=72UM{~)izF~vMTsw`zR~P zP9X8aeBn`VZ;-G_Wj^yyoe__m`c&HWf9E&CmRH?FVBBRGY_1w?n(R(r@$Sc$dCw(s zvPr9HtJ1W4So`H|mpQ`%B9V|n$8uy9ssUYKRaw;RV_k4GW#W2`rS z$nE5qAr|O7dx_!%5DC;%@eBh{cYsXV^S}yoh((^VPbDQY9ylJpRAuAYH!PgbcB!ii z5K)pcIsjmJ5$yh?`;)v7+S3>@qx=s~2b0ZatPVeF0(vXG2F5wBn2=D&xtJFfb;VpKSV%$*^F|cdl7!4tMbWrw``Pop*(Ie;9d9w}pKsvB_Eqy~(T19k&Q02c~Nn4FG73WlC{!E2=ty(vz{iaKIChwP!tATL(HUcW-50H_E~2z&4>kP$kJ?wRIAoHu{xTxx@4{I6(V35E z5s?~NRNcnM02_$28$4WLgBAmo4Q@h7V_VsRN2ic&pqVS{yKjJJpfWZ`Qw${pJW3eO z>0!6dm{7r)O281waBEQ*&bw@J^Aqml6)dcVvj9NV}9OwjE>q?VILep#2t)D4N>;T(cvxlzAYQ= zN{61Ec{4?8G5Lc=Mp&#Hc!_D6U>QzF$?15)O$c1grRsucPr4^gBr0KIHtdJEpq`|i z`>|bG>d@;et?a3c7-%vbo?gl0PDYdYKn@Zn8Ejz6_)Q;H(`yOE!9n2c}xY6VhOQUp$po(v5LU%w*D=Z@UgcvnyCiQfI-V zqEnSz!G|PsFoTE&t~9&!g&Y+1cEN+#OEc#-!_F(3K4Fr;A4RSk%%LW7VuPwUPAd^9 z6a)cn9voou&0zt}sIdob0@m5EIAJo$PVR1Fh#cEqR zDa#pPU5l`{y%;7CwM#QvK5CdCkc^yYQ|?7+HAR(aj=YzLx!;H*SnfDiQ@!ZSHS;D1 zFq5;yF$q;Tto(_Cizl+m4c~qxtZaCrUIQP74ujK`Ws~FVG`$1qO%tR0;!`Gzvv}Uk zV$6YB%qW|awprcW(p=wa5d)t(kpK(ea?RWi6O^Y{xs&eQ|Oyo1kh!8$pF=MKrpcTsJlKxtNaKL4e_|)ZY@^ zWu95iKC$ok+)8%m@p$oUTDdyTPsaPw#rt=RKa&2DIkU1gNNx^pikiy@oA<{v^AE)4 z&>j7QyAB>o-`20}I@sFP4AYa9wS)5y>`1WF7CN)tgW0_H=2Ws>#P6GI_sNpQcrNR% z5E1gzbe;hjn`g4loXGH~+m`yp)1@ZSy-+fIsIairGp3R-CbW$qX9$%N<`pERk9oKr-1ylRt z%}<(tvXB2jE}5U}$Ga-K(q`ISJBZi>-xJTr)B9S^q1M&e{HL;GE4$*Rzd7^4Qt@wz z*`U^qpGhlcvT!A11ohH+#+Gv|o9mwawcm8`Bg|gD9Mj=2(cCxXWQ2CuHbd$%+hzh> z?oEVs?14qqss5x{d}6BqPj)6xPWg=|r`G1i;ni9iTsPP32f+<7nw|hFQW!24< zc*ElTy!X>Q$=Wx&c2-cPNu8}ryW%?UB$#Dw$6>3^VSD9jJ5Fq}U1>`2DE8;r+2OZ# zWrjJc?(NDBqoIlaoX9qveZ!wQF_DRV)??bWt!k8jFmPntiD#+I_3 zA3NbL{@g@kYHeb6$q>z0dFRU3tTy|J^yFPXGyT@*mb1zB(#r01ZSLye;dt6y9lR?$ znf15t-m;qgz%x6KBwlUv+vXPf+pn(lR%+R7|L)PZX1Cxv*Vp(GW{Mv=E|-1o!3j3# z%)Q3`w+nmOpunC9t+x|fV5`jDn<=8r2yupvU}KJHel*huIJHLyZ}UOZ=-z$J##ry5 zL_~pcaFZ3Mu{Jh}yGLlJ%f}Vgg8256W^McIW+EW7&&|zkoi*1!o2Famb}p@a|C~dE z*WzIAOnm6-;CtCxvh(TaV`lx;v7`tR;+-iAXV)07#3N*-Z&_gLAo_V*IyYZ`k$eAtxd32DLpSk%%FZ@XGFe@!9GB znKX8lF|khSYc)}0nw7xW3Y`$KpC{C|Ei+EQ1j_@wEi!6SF|Z=AhrZnH;3v!4c# zh$Qb~v&bJsd{^V$m5q7&wrorMvC7`;u#XfsA!xRb-i3kekYPX9SlG{UUODrV9)U^6 zVUNuzY$1YH&!k?yLf<=xkCXM7`nzX;;&(8<5LSoJ+l)25#&bq?DvXWB*(GM00n!*D zs-56T1KIJdKNFzMoKuGPy7INLTjnMSnnr}GDxOJhkGt<+dm-zU{iuO~!S*Wxbc>iU ze)yg&_L--c^SY3WK4=i0N(y0QsiHr{?inVOhaiu4uOd+POyg~_6TdTs+>GvuOdLrD zX9FnOmobr=iZ{D)Vs(0U>5gbmys~BE>~G-`#SVtY*P#-aBeT`vna@`h8E1*f`BJj+`J`?AAp)7sKF()8=zP5Wxv?dLx} z2c!H`XB<9x0}^J~5bf%p!t~L8z|_HXJk@1AHTIzc1+@O_zl|}n$C#ObPcTDDmTd6| zIi+52`qaI(>6N$^;N0#pJ=u@dYI2PNR>9+GoOygq^eU5J&h*k+H8Cbjm+J}pY9@je zn!TmlX?Hu?RwGUA)O{HfjWe@&Vm!T*X)<$e>9+VB@J39?+}|CH>@froX)(KZ-pK#W z;W>A1YLl)w7np%0aO`k!4l{FRlDpDR`rFTz_F6uW1Q+8(gxknKKG9AwN!rIfr`~KAwotAg#e)+FmBy^2! z24M0kkN(%INV5Xi7uq!*h<1^3%SZL{n#S&t#^IzoVSflIK0v+N8`*hUlbzRiJzWpO0_;i(PI1R<}*tm8G-^i{tJv|Ab{UXr(`I_4mv+VI)@ z&~}N^9qo7lR(giBb!V8CCw+;BGk?6p_a&=dG)IGd>-c2e6Txi_kJ zByvW`)|iF%iod1pJ)Av;MMaF?O!KYm+LrDUX}5j!D0{*w7fp*s=lTkM4#5Ri!2z7! zCLR_EIn_1%0~zgZg*!6SsAXOM-M{JP)0uI81Wr$#dA+k(xsSK7ylYQaNADZy$Bp~O zW_GYaCil|C*gr~Vw5$0D+DY%{g{IF7D<}Rx_TC3Lj_W=X>~1#cmI6C7?bh7O9FCrD zHpa~%MUxP$#qxZ1zn(Y4hvAR}AXuJa=^OwWF)$y1g7$?9&Cm{b^_Zj;)*uOTO!q>^3dE?c!# zcT>`UGt+-wzrVlV_xpa|Jn(17I zLqY29T-pHY;OVL1YQATs4Boa1=8R~i4N|$(Z~J8CIF&6*hS{VXyWYrNsym=!VdXo7;Pc>A$n@^U9=~_m1C) zPJ6!I-eZ9w9!Qe%PJ3PNUXr@A({x82vt`@$?p3$RE<&lWsnc9U7mX zFt;LieRcCJ&Ig9Drwk6-M+bc4FO zm}~|tS8u`}F3$wYbL5IUj;y0CZ?zqpy3f+0!=AkNeH8#5QzBL42OQsBwoRePd7{k2 zZW8w!=xl4Qq6iIZysgRhiWLYMY(PXHEi~S5q+&HE z#mA)*DA^8t|AfaND4mZ(A#HVy?*$quliS<~wdFl{>vfQq;>&7%ka zXu;DDQLdH-)|NJ+DYU2f9Pz#YGY%$-Q0n;wD>d97$bijzpw~!LTZ%{>z@ya5gc%I8 zLT&&aJgOIJL9S|6)u1WaTmRkr6qqWQc_Zs}S9N_BL_m0jLPO25uS?DJH9yv{`0kUF zQUsx1Mcs%h!zd&})&TBt#05{Hw9c6#1>?IdsYuHGVM{CpPBE4K=KBj2SL_kk{^)9<;^2p?tp}78*6oib7LB-9loAaK?XQT@5g5tZ> z3yfS+WixUO5t@1wOjnBeSis9ro@C#rITY zGI9`zb1{8Vw7%~Ko^xgxlQ2+K(S%u}Q*v0V&>q=M#GJL;6CC~4R#UMn)j-ZO%tnEK zQ@9bHRVtAm>!DFGvcr}+g2v(O>V+RqA^JnMV=oO!yF1Kw8nBSz10w(?Wh=V_b2}y` z7|vM!b;cAfYJkM4>{>`!JH~q)c@)Un2!eU<%1v>RFS(O~l?|{R>713jN%Oj+NQMAS zamX5#DF!~OZyDKO74xU{b zkSbgpj($?@a+c!2s2zb7wQS^LjbgzY32HKd-v%+0yOHXkKRYnODOSsb+8VTko6N8& zYn4v>al9DsX5k*Mr4@Q!1sxwwOn7rxF9s78*u4iF>P%rtR+3lvqGtnE+f;z=tB1iv zLd#Rp>Js4tSV00bW|7vj(}H+Td%B$1OY>GPkxpys${INwjZ0p30k&lrMqCD<>^P;R z3jnrgk%G8tePklM%WPBQVs4mmX^O9HJ>=l=km>B;b}mL*W@pdyja~!n4k46Oj6UJO zdJ&3fjAJm=i$C2(T+bbQS@p%n*W986@h4T?_WbS77?Orh$UB^c3{`We!ha zaDjPCiIS;}Di|lX7C#W4!Di#}X=e@DgqRjsF3oj8y=8L>V6W%MJi60vbPIupzaHsL zi|gGI=Y~%VK#Qg_2qy<6v4nC+M#uph7Njs#bJ|Id-Hjbl(lM5Kz{E0$mcZDQqNN5) zQv$8YG3%{?H1^$_@1y=iq)7V_PTpLhJTP1|#ILBfUorKa`Zg`R==cQIkr0?L=h+%l z1kh1X(7mvZQ5s5JI=j7t!x#f=6SBC4VXhgKBU+eQwNr-DQ_4n&c%@W=l-K2WM#!1E zCfKBXCdKdHzodT(1gWblm+o(yy(8vNw;&Luut4u$PW(L z_Z~Spu*=M@nD<|Fqd{I&o0(S&Q$l0Hy&=1wTb+BGTq#7?W&pW$Df>#`@|vPe#L5(K0kBE;w{;s z)q1!1He!UWsa~T?PSWb@ayfH=Oh&Edef&hXzp+@o(!9~U$WPiQkDIltykpTozI3y9 zgR(z4^9I`W(}nz#gHH^XuT{p6ZyR^2Q_FIoaxjZcqjpX|k|%FQdp>ByAiQL-*6Z>NXnZ5D@(C{6AAa(Q`^l3;<{zecH(21AXUG$& z#hFtyzifGx+k_pc4bBF=-Y#qF&enZabK#+v-L{m!=H9c&_ftNSex0ku#urJs>J3#N z{EmFQcHXLA5l>sPx+lJJBYuI=I%_?Ct#z{!_!eq zJ^YAh!X1=NKzl5cobf=W#(_UhiIZ?Qr@F7Wa>WEUuk!oR;{<=EBOG6OxFJS57LFWw z?m?UdvfCqK4vr>HYXOa%>b}&0OM0HUH>1zttZ_MKy?gxrO67)ljbG?Im&sh?!;;_~ z@Y%zyR_D3GjqZiED{s&X((RF1Ix<8*bkC;J4}wbJHDm;ybE4NCO|Kj|x#x}l!F|y5 zO3yhv-tS)iynBA|_w2F4r`^4uYgc~LS>1Ms-sTDV*HF)4NvrfcvGlo9(b(@CD&MrE z`3L0=5Y5Yv2HS2Ea(R^v@BfKexl0ayvwKK(oRjw*qBmrRHINg7tI&QZO$wDD=qxlC9|(GV6*wwa{5qDZ@t}bA*rg#J0CwK(#!F| zvFvTxe*XD?u+^Mx$J?!Us?c-#<9;GPQy+e1px!K!M4Bc zd5y9B-Z!JydgQf(lpbE^4wSzk@@R`T?ldk*|Jg3*$ww0WcGJ1gj4pM2cZ_P2{0rJu=$DQU3mu@cd^38H&@)auFf}j1mjJG9YJP{U zVDF`8|9N>O-K<~=K191{Iixq7^RyDG(5TIMmuoCV{*2WtTP}ZxIcljhJ$y<`$%6Ah ze8{yR^1~_@8Ofqa%h^A+tXF!SJh{sBIq#M3 z3rt$XIQ&H-PsIAu@$=8$V>U@A-P*(UG4GVPA?0zL!E(#3ZyEDQnXJ723wOCYstK#g zNm4=gFo0hJD|?!?E8g&_<+0TRZecuDynS?M=SZSGkURlyfK0}cF4;^)6CN3DA1bdn zt!3tU;?V_MCulxNc&84;x$r%q=3pY?X%r$ZC;4_NV6N=r?Cb{6HXF5pn~U5d;#RL0 z+>-Ld^J%kLW7#PTJwTt3nYK@+se-!4y7Umcb@SAyJMf&-f+r59=27_o&}a>#W}9|- z(IswkI>?T%Ht*g$Jry_rD-~s_F2vGL4L7S;`k04nvFz8$m_#8?iDl+Emm$kRDspgm ze;S~pBQZ9_1Mw2WLj})G!`{pO9Qs(N3{7U8?1wNj)C|sfku4`;xpdDQjLcfk1E8v$ zBd@k)XYgeDAW|mxy!@qCsykg^*vdi1zfV*)U#Y{D8PBZwT~j#ax8WrW_?sUi9E^wg<~SHbIa`LG0!M<@{ZtH zdlQPqs<5n__U&qty@Wh|26IMln|dHcI1$6TYZ}wQO|xPw4$u|UO8)J|XAZT`G6e`Q zIDqobYkPe&3RcrDLRjv$=-sLX7TJ8qb-mFTAT(+gNVF)dY)c~Cj&GQS2jY@0kkPv0 zAT=?omHNmjL6N!`W1Sam;NrYsdYuxS^(>C-Ov_yKbELT%c(bA+VKjm|$SMmSdJhL_ z=`H93zoXj2U~je>S{eb`k{ zeF{>Ak)0_NhnAW_tp`X~?3hNEOs&ft3gsK4abpZsgt*cTp&q1_z+j^>G^Iv?;H%Zu zJpK{V#iR*VS#A#rCdC@9;3{dXKzp+-RlZ!yCwPD$I8 z6b@0iVv33`Wq-#_$>@lXJA!diV)~j4d?Of@oWsI|n@~}ORr!iZtBzh;43t^MoK{x` z!&S)Gx7A=P$qchwU;E?9*agIz_X=Zt%FC15pqHvS$nPYhz)|i!zGRLTa&Q<7x<&+? zBs{RzT&Oz|tjbaFiOf0akqnW}bQcNrV|)Zl85`*g-A`&zL?DZ-paSa-$OX1y%DEmA zGBeLq1HT`K2^zG4%}$CYaO7zrz$IFjKg~(TC`xq>#_(b_nCv1X_&Aa7offi}{t&s4 zyRcGz8h`UolGpD)Pakf~$s`$asxjA0B&sskYVR})C-L{GZ(M%Sn-X6w{$v{%Y5V)b ziJJ9Rnf!e-x=rL~@sH`~)VrYT{nz+A$1DG%bw5rA?20L7L*07gDk$=`y&Lk@KgvZw zE&PvPWCOkZ{_wB;c}aflua)FSxQGY4;|6`_>7erN)8O+%cSTAVsY#v+;6_D}a8eQ< z0O^AXI199J$%5Y(Vx9uTs{lj@^_&j3Kmk&#Z~VXUAyMb8<&Zv!A3|f=i{S_WVhuGV z#^*r!7)yS6_mvP~HeTkte=rvR{RU9#?~t6f_)=^OlRGDw^=FFqX>*RogZ&_c zQA+~HlpbYfHPUOtioZStnh}%fdQZuzazypcSsiQPkE$LVVlRTT zil%D1`aFB(e@2~}Ylw@qH3XhD0YC>(lPmMVTeb#I2sl@Gkp-w)X_f)#C@dTz#X!$Z z3rFncMa>5m#Dxb8jB|wJk$X+QUl3&;R`c%I@a;s2}nPwWx1+`YQkr4tkL~04!r_w>$0%IX+?P3r-RL^Rsz0-W3oDIre zdPa=O259=sdymNiagui1`7Up${xb~P$B`5h95BOCdwt@ib(wc}-r-h5i;wWP=N-=MAgxkVIVmfEhyeSR1RhK#x6B-XUOQ#9B z8MlO9p?HUZb7Hh(avGHsQHLUI9-J@cc{fZOxns%7s(T>z@kP9wB32@Nf5Mg*pA1CM z-W(3teIOTZzIES2IZy0$YeUZ_{;KI4h@QYE@xU9z zko0wQH12T|pqcPpy`Y;I6H9J5pekh$@ZC*i!vRgrT9+e{>;jBw7Xhy!)xWL#uIyr- zzPj>bY&4j}v{kucvD5^zWQ9*9+)>2{7T6fRe;|cC9-IxG|?6o zhR-~kCn-{sDWZ#1tSdbtB~vgaQ{01go(g6o$`VtGXJ8DcjGX$su6(GvDTfdc zj5ymb2i1#iopeDu%4-buZI9-muV$_TL{}P)E)`MTk};s!b&;D)kaEkv9M9e58#_cO z@%TLla2)QGJMDRE{G@9Nx;EreXVl|92Sa=sisX7~-Ay_>>Vac{NaAuiy{V@R(ZJNJ zgqxMNTD1(*Z0L9jbQ=b|eTL>Vp|se-Itka~urF?rRWtlBGRNbvEsd*>Y_gHc$`b0R zi>^$h$b-!8X$6%I3_F>-QN?=RsKRE`VJdlYaGnUPFQwSl1!M-%cDasKCOWi`%EP2= zNP$wI^Aeh)x&#AJZhS(Q3XCwJ2`lK>ii|^IwDs~k1NY3k0;m?l+G4tL1hCztaL~;i z?znY<2mtfYN=(65N_#58D#ml20JE<$(k0LvfWaq~gi@NaNDRgniYw6lCIzM|ELI8V zOBz#BkzlJCv1l-(t>#uuiQS^OM7O>5=>kwphsy3~+P2nJNtNN!mBdDC`EQ zDokQ+Y-xx%$z;Yy6D7iG1c++m&d+^BS@T8$4Kx&KN?RhlXKMQ*9{@L# zsR=pf<_(EjKsDw}M0%W*fhd8>F%W{15vp{a(*~ybE|%nmq{#jEQ6Umo8kfA6vxU%Q zTSXhRg)0EckxN>LjSUgi_-~HoG^&V)q1Lh+!2lGK7TF$gB?6hQ<~B^j1eog} ze5K@332;H$$)tn;ub4ph^*uN%t@Av9G)O`Dl zhnjFFB>G2A=Sep)5em7a=CEYL8Vv>!+6)m^09)Tc&4`@Q(lLQ5%Ec(+Pm|cY`ls*x z)RA(&CU3$Nt3F}XrrqLeD?w$^yVoJJ_8b=bvu_5EdpDa-H?xcEbG+v3{9<|Jh~swV z+~x$o%7ZK3Of_O)fP9DF>wH937pjO=Ze$KCx@7`I1$0@^1w)3dUt?Tsow6@gq)tCcvWG>P&5Y)SH=Xl-6gem%Wd& za{agzUNOz|k!BZB;YWL{vA~-{R&jy9Ob_srv^9ney32e*A}x79m5Eg(ikGbi&-1Q# zu)ixVP4L-$#l}r}x+V{j)~{VT&4b2N+&a(6OdQC-Y4o^g$ekVD?nHw^eEGJzRsJ;d zHo)0|{53w72YA}NlMjH=etLTE4e@w_p4P=z%RojFf zh`0>Ab}D&?gUd@2UmRodachy64Ued%s~znt<`}S17KG>K5?osRytIl zFz39|oqB!m_m)AaTX0Uvw+rL#%P%^=KKuYHZ8_;&euy3Yf#)TE ze%^aymGz$|W9vs(J~x+bJ_&^Pj|mXC&(!z+aZD;Vp(8hXC3V4?>Y+Mr_XDFnY%P-D zuse3($j$VxkpyP}AQ!W3ug2+{%w7Hopi;f;9s>A}wYnC7UUn)PZyyAjahY{~sxU6D zr-2vB%2~E^ERMJ9r`qkl{pssvFe0xM%+cj@mJWDY%e>*m19 z&z@?${|+nLO@6-q+~6FF36ywxYj!{T={hv7%&&FM2OX#R$+vdovtxA%m_9Cberh&q z0kPcD+_}QC<5y;$!rf3ZoPZ- z*j7x6>>L>b;@W$qr$1&fc{6&rn><(3lV5SLTiI*Gukdh zVl@u-uABy4^=n7(Iy42Ccg4B(2Xm1&>awk69}w9A8#x^#QGAv%(&ieucop2 zUb4erVatiwa84LUfADYq*;0BxUvipTSL&xC&3@|t97Y0jImKT*k~B-x7+pQcwrg?+ z1D&+_$!||PC4DjiU({hGsVR?XOBFER+3Bazs2};RtuJ#Ln7UmWJ+V7cU$T}4etQ^2YM})CaE!89OxtX zr6Bx{#;1A`^d&xeg^tFf>@YaPj}g9#u7tj#%<&UO({NJjkcK_6%I1c_*}nC$4+3tL zh&$Zv`Qr|PGUH5X*5auhgR_7u6Jjm}Lvj3h6grrA%g)lk#4!Mf=MaKnQpt^739_Gv zm2B}z?z$TkV6a0I#XRss1D|x5Lj775A7#mXoDifxHdk4e{#msO_AMs1t>hI%ol#G? zkA~#=#D+K*8^8zPur9?)e)UVaJAe2aqb|BZt&L}NOV(?#Y1pVX)USc;bC(@(Z$VcB zj1W&7E!!y_hr-P4EiobYyIjBq*w+JJI!24p%X;`YL=XW3bD?ltGY8ZagUbN$-sTvF zfV9^+LN_Q>8}*3k%Y-A=pl<=Ok;-+cC&}DG<#<4+Y4DHz!h2IV^etr$8O#OEZ#d(t zQ$#cufvL(RQ+~Bg{Rb%#JdSpt^ktbjeRU6)N4_Er*7Ap5!?QKyXn`Jzfm1n{n| zCby4+(Rl6(3a4cHVdx492S-N0SCeJHCqO)fvIavl0K$+mf-nEf=H#j8o%Vf%rZ__4 zoM_MkarJ>Mr12_GY*wY7;<|&3Mn|z`8o{EQOD_h&)woyBiGCTS4RfF1N-M3m(!^C+ zNjPJ079g32q9Eyy=uIYNx4$Tv*F{H@LE$_}&sddhTGf#A7nNY0to2SDNA;|ci%WK> zpL)J8`jpg0^C3+nGe!qb`@rWavD@lI0;mF@}fSziOPG}OSj6DFa9QZzraM9lxlJg9%!KdVuXj(d=j(zZMLyA7ulzLkQ-eGwe5=&K} zvRj6KEs5>-k+$J4#<@HHwL!gk+9Y$+`oL4zmEIMN|^E~jw=LvvY13HHB zwu>Z>GhTW7;xoAz9VLWhj_&JWWDAhLd>2YNOh1f}xq?VZbtM1*$bGLv!~Ao0&W@s{ z79_p^PU8VA5;u|0NyNl9{=oMMU8wsiJk@hyj~64&Qo7J9Lf^|YNj zuJRYJevBQwQt$rxi?9FEKj6yd{?e~8-S`bDLki4%ylx$RDSXRzEaIkzoPpXdXB4j3 zFcSlx_uPw?moF1c_+Aj`nMcdH2i?5YHitZqzC1qX5{Gof3`l~ltVRzzdm9a-e5aD69~Yc+5j8whnz>yuS55FuZ}(PQXILy z40IyLRzs1bGa|td(-}QyS%`m-Q4D33A&2uEal3rZg3ke&KZJ0!wHiDi7Itb;TfBG8 zC0!G)D8K~U;gWC7WXRvQh4(s`{u~BK=$jfeAzt9_N{QZi^#My5%8{JKZbV zY%p45m5Y2fknJ}k5y4qsHF^1UDdD%3jT{=1?GtD`WPBPa*H#rc$V)aDrs^ahs{~zv zNKdjo11NxTj?b`RMagZ~iGS_!yZkd2+eOT3d0NV?jI{x8WhbO3ifT&(-slEF84^rP zahsBz-^r{tsH$-0TV@^eqeqKx3OMOhFY7^y4`Ub7GQfeUwk}JCNt(=pBMP)Ud{x?1 zzRrQFjSr6VhOJjNRn+5Noc_pgvjggC9INn&QEB&k+Bu?4246U@RqY@!$`NI{y=FEx z=izMT-Hr`sL_-?9Zu>e*@&yg07;rX>I>at70lFewV_%|9FhUaAB2e`};l&#JB+$U&aivU*u36o~t1A{a^UT|PRJ`CdUzi~m$OIo3rf6T_ z+ox!hyEg+qTs!(A;MFD5ynoUC*Hwsdcy;1ia-0BnLIKFh$~C|kTH`5Z$} zxWc!BSvj%~=yz(Toi=z2X?N4qNwG!V?z(Iz_-Z2ipyaJZ_qRLd(sn`~h|^8tsnf-a{%#sZe?5o>+p?|G4B=8(n;4+Y>x1VEP=7dQru{#EsHM@(F2l) zxd8ZJQDqchyGBPb{&gy3>Kd4x3X>RTEnrXC0A^akjbvrdg;M7kIjm%2d$TeRI;Oih z0^+eqzp&buOS0KTZK>>_s*G~~^@|$1I!zfqxNritLrx_vlnPrf z;0r}*ELgILSh{bF3;}nCWN(62p!~{F6$D}@H)Q(jyw_NWbGkXq0L{k8C-5wTna()Y z^2dI2i?1tJ(q>-IYZMO!*^C5}rgj3~<#d)~8V>_|QfE3O62Veh%oN5oC%32X-KsHVq_W-?V zZvin~$2~MZ&?z3m$JJq2f+vaR!3*w2Pqy_?XXvb$?eVt8ih7$dpf5_!UPgMdky*e5 z6Q)@QGf`0!4FmG;wh2CJ*P~zf(@nYGWWb>~!zG|kD#u+MH71#RHNk$tR=7JC7>;1Q zl+n;Im0>|ch3h5-LhidLjuOD$g_;;uG6o|gg)X7^{f6J?qXl%Op*Dh;8YdHQuz(O7 z;B=pR;4z9Vx8C@K%Tj$!U9mCDLv2)!2T4QG7VB&2%%SrLhiSMO=-e8NM^pulLqlR} zS9k-V0OZC~-a1Wa77t8`0Oz@(kI!3#jg+lzFTRpUp@GiYI(hO0Nxx(=oMi@Jd~MA&ejtCMRFN8(m-QIxD6k0Gf;}r6Ox}eqbvv8hE6gJ^BipX0Esy| z?A0|7V>q?w4yWQ(Td7h+LPXX``1{1ncN$Cft+A|P193#R6<3ej)BzBh0mD|o=u!d~ zAS*>np)+Rm1lb0pZ=kY$Aas0kt>8Te`G6L0jcJEKaJHCIJfyCGZ2F%;;CRwG#A_cL3ta z$VvuZ(i!jw?H=I`9Zw7{O!8g08S_qyCL_FId(>!YIYF@goGGV*@%H}-2g zY$X*e;1)frt_WGyf&`Oisqu*B38n~4xH)FfBs7u-wOwHx9UhQ!+VX5QsTmk?uyD$_ zb&|%zfUDTW}>LRw1lf6d~Ngc5c zey8$k{!Y!=%Kx=BTkq|6QQ2p^!F)wL61^5#wP-Z(+VVwrh|2>W>+)7)EmWI~q5HH0 zq?k0}TGU0$PP6{1CA-x%KEihPu&nWUURtltO&s7O-F|4W^qNEcFTDW@9y3Rn`>Mp; zZ0@I9t400F_o8&Knn0HJtMFya)VlT33)L5_8Wyts0hq7UY<;7euGR%&keQp2{GK>7 z)cf+6-g~c^Ic7dVh62W#<-;?)6dc{Q&z=ha`8_^yn0HHyz*DjM?5s=WgHqf`ZQ0&;6{Yo^Lgv_lH;1WD27JF$Ad78k0+VhcFD!01c ze*6AKukmxS{OljSck990?8NZZqI8jxIl>6$VwpRn37rK$cn6nQc~I@lnA)h>U=PvxJrAB1EbwwxXR}SfzHZe3}&nZ zMmq(Ht1LX+?FNY_DEZMhqZh~p*>OMc^j(K+`i<$|Z#>Y3I{q|J(7CL=15~zw9qf|; zzRm*QERA2;MC_;t7Y`%9f8qrgtH>KiD`C&$jktADij0q<0NL0&M$?KT*W z&uzPmB38jUwSe`Vyzl9SZ=D5i_m>tARnPAG?(b~gY7ML$+4}%LRPSs%>9ofjo~1vN z9~vJ9j<~~mjQ^SIX6pj^^XK@@V8!X3>gk2x!Jx1O+dmXES~>4&hXPc5u7A|r z%d8(*D^BSeWC5DB4lwW`;!c4&c=ogCHa_p9$>l!f-ikeX_75vc$$SppYz5gV$Ri(( zftpoxv9!@ZO(UmPbaSf(4Cer=paDxACN5WX>Fa)t5kNd>HEty0nz&*yX$uR4_v;CEY)ZvPxPA5Oculk?x@8s3LJRlC2dW zUpe(4s$2&&7Ke|MRs8%4yOylWbZCl7?0XGzvB(Od@m{SmxK!*|9%Mx^M3`Gy~a3 z@kr>#m+>_Q!~1bsRy_&4{JB9{ab3Xjxs@2CU}9opl^=XHvj#p!=_v+UZiz`b_Lhxm zf>0Ms9+c02qGF6*`Ct#)l{kQwVMXZ*06pB|GXCqEge3#LE>#g_g#9T8;MfF(ANrlP zI-<*2sXSKggB5ZT=(&R?MEAB6vmyv-Rfpvv0^W}{+V1L7G2d0JgqKj%i3oNRSzdPi8AcSGZu*kG z)r&zaO$v^HXikHD%9~E04@!A2>=4gP$fxk&sGhUa`ad^*{vp?sP0N85_=r;%>_E{Q z<@W{&W(o9nkUhPf4qB0pOYaSR|?wy_Wh0j#L(y8 z0$Tpv@YDa$MgAPL1o*lE!eZl)cTr1xu>rzf_#2VWcm4|U`RAsI~HTC`;4S(X{_ z4!6-=-F`W;U`-~hy=vWtbBTYAy7@YVbl3t1J|%TUHq<13904c_$7CKZ${KI#u99T> zh4rqesNrdr!&s4ml;P?q?Vbw_?Wy7nX{gh1rlhH~_Q1S_^7-lEs>*ivLixHpzB1Kz z9OpC)Ywt^M4}H)dcY_gnw?dae$+OP%!&qbW1^|;0HWW-sa2Nd{_x>T*43s4oKCf>~ zGSUuohItA2TkbScwt%d_B|aIv%oJgO6NRjK4KQiY zpa31K>s~Tp0+GnMdI&57jvIV@9tzjir4x*R;I0WkISPm;z?ImnA5~lkUMoP|@>%funO&kwk2T6$m>NN-S+ygYDC17?6zze{Y zP_3vSjZ(~f8wd9!(}`Uoe? z*norJV$L;h`}pbA4a_(0hGdQ6`y0$3vZAKL`XeH>xwKj|B8#Sb2QZaPq>vY4R8Kh%$#L z0M3CO1xFVr2|O+y*)ozRPbLiI%im3=1Se_jltE#%DL&R-pTubm7eVtU$1!Gz-AQe} zdzXYZ2^R83#G@!w#a{#}8mj!D3lbzTavMcyh`W%9&y$9nluPo~7qPr5ARXdH_#fyz ziWOjZp?t6(ux19RIvj#BzFX#|zVUIR#1uFN2vX=66qGZA<8irR5BTy?PK!+FQFjl~fYJjb#43v@S`m2-&8g*q$^yr86QlN`mjIMnoTKr$11(#aS^ zxez!xTgRN8i}YJx|F6(nw4~r5tm0l_TK|iu@O5Q8xf+hplQlJYhu%T*d2@1yWRXwo zN36Wb!GccLL=n|J{!#zj(NX0_z82ANUVTC^kJwNFG%2T|K96OzF6a ziSmX;5*`G6h+&U7XB zLY^623H6Tf{e}kwoXMr2ba#N;Ni3)W33SQA>LfM+4-TsBE^tR_pC-(s^%64 zEx;4Zv=M%@j8O#QXwPHvF@#Wo#dh<&P92zkz_3vV<0uit4?I|Zr7M;QPQoXK_seRu z0RbaL0QFp@HQ6`@d__mZ%;C63ig?e`OM!7!e#w%`MJMzIeg)|OR@T@W&n!iOdG1?A z$6FqiE@^5ai&UI?oNg4UHG`3?*9$^+L0yLBuN?2YHNH#1Xv4f|?f%GUp@(ZK zlc*wH3I^*5@m)CQUJ)D#b&Vw*2z?t8;FA;?exWKt7f*;&64nFE9uyH-t?~>@)kS9A zRk}&0f)is@=FULU79<4Mw(TuBE!B7HcW>jaqu?hl!}E8=#_)CAoSLc~=lDgbXi29n z!UaNq#lX*Pf$N~497P=?Nk=dhE&;}A4n81qHI}L48!T6ka4K}nNrdk)z<@4c)Vy+h zlZ{BPpRih_65464Thd0o5WSi*H&T<}AkKsJ3$s0i#zC=_0i3U3fq@;*;le;m2X0Ny za$&KM1N^8F8JkWy}_DNUxT-~`_5+8CdSA{<1Pu>YJ1 zN3R-SiprX#hulEu8EF^}V01y+Lr7Xo%+t2pLv$r4t@Q~4hJf%{k{}W? z7kKkXZdQ~fb1-ynibx9`+W;7^Ba_3I(arw%<&2bBizKAu^JS(9G8u@H0cEYbM}wWJ zt9UNtn}G&NluKFbPmxE(Bwcei;#wIUE*l)>iI4wnO}gprDxHGTEB_P#F}TZlawO6nIqo8Ex$!2=^4t6-3Jfk(U8 z5r-ON$wrU!8SA+w1)GF5Sq~Mg0x4d@|Cy>T{fE#gAcCe!{1q^2*SsZD1|dXZRE|Tv zRF93g?)n5;uyP~tv=FroXHa?NAKnEj2O3i31E!S>H`IL1pYTLRbCs#Y-p72&5Ozmj zPziP#z?#AEb%slQT!9;zD0<~wwUO>nv=V{#L&_){5PE8()!_BbGfb_fQeJ1p(?g!D zCA&s|bA&qm?jr_zk>+Ce0?+%3Hl>(t6;6ZpNQ`ZTcA{HW#~cz~BJ&dnfubiGNQXM< zP70))h{U6@Ri7ZAqGKWDg0x)b3hdkqno5vBy}RAV++pxdE81?CL$^tjp%z2>sGx>U z+(;0?#98?AKlt&K_Q42?4Vp43t&Ii|l0lq{NgEF|l8#jn3o(uWLSP_ZF`JYdE3BgA zKz-l|EP>&dwUDy26FHnpG6Nu4#dY;ISW|e+P&Ey1dV>9nX+iEA4(>do)3Ta7-V8V1e(H75K8jZtM-`S$Zf{#h;--TVFY>MK)>$79v(ycS!aPB5?*U>V{lr6u&y@lnRvFz%Cn=PasQ#?ZOx&NLilpDy zKFtsUZek}AgUnk^U12$!?$mu9t})V(5nN^Hk@6&Yq$>ku2N_DGiPl*lwGFIEqdm(5H(a3nbB^@4qkma{UH zAD+k{3v7n9-ge1g0UVG+;yH7CzsU;jv#(*#4n#aRQ^d(H(tkJjW27$TT_t%H-f2O}%pjURb?%%mTI2zB!Ph2?9&eW?b zTgNN*9ycXHjP6i!!hZE-HOmV(qdjC`#g?rx=Xztj`cuwz@j&&{`MrRQ>2)#onUNLL z##jL}-b0`9PMVpC{B@*VSf!4QTE{N!+mMnH1Y3uj3+$;WkwjI;lSrvcwvrYYxzKb; zc9mXBBz-zeB5|;ikZ?EjgAkU(89jtnuW{^dh0tn;;k1(oRhi~N4%ML-AZu~-XS_v% zLy12f8*wN+~@9-(5TpIK7!1K!rP0axfq#;=-6kV$o&8tfLik* zN|7m0yK;zies=Eztt6_72wUkUJ~j^{y85E?1gWhTwj63LvuYwcL|0iuOu-24?=N>s z5DLWMA9vqOzZyT#!$nHSWvN=`lMIp7-R*%mL!Fi8&x!1)qqFh-dW?;SgW*5sBLa1P&kHxftAW>Q8 zQXmjC#}P_yeuv9JZ{v}E-J3>W%awSb01p%wT=`=Bj=XtvQ*h$>vCL}wP_^J(i#xr& zCM3Mc{&++`w}6=HkyHQnJ+8912<>#V+O1)N9Ep?Z%MX=@{Ru=BOFwX2B*(;dHa+p} zf<|2%@-%5gbZ~bRX(IxxSDIt(QfHeefdISYKtx+-2$3zpBVY(NO(51wuO$JlrjbhG zs_1J0`KEXU%scRal0d2uZj!vfvzsq}o^?JhUg2m6hy+xgPa{F%Q044>qjBI>seT;{ z)I{;<+r3+t{*~_-ry83ER-7gucTlbeV#OR~$Y4}TzwWFc)ct%&$N!+9jUKs*DRdOM z5lR!?0eSsN$w1gmO3Oq=PvUzjo(VY_*jrC!h*%;|0p276T2jBfSPc=koI&(*XHvml z=N@!a3`O-7zWUa*@^mMw9^&uxI?mNty0E6m)3T~xA9?Gcz7PH0=B&z_Z^779+^b08 zfYSIa*V(p-mh?IES#t}K_H{b8zH}eM?U6S!!Jc~VKA9w(lvdeZGvO6zqrLqO@~Jj- zwy|nm#dHQD;5kAcLY$hrh*tJ;uf}6BPhE>8`UI+Vt5uYUsRH%D2Dzr*T> zA!x3NTlKn=>jWNMf{-Gbqo^MJLFr%Q#++?zHNhNFjJlmwcR{63UrN^>(cJ+JiCB-k zZ|~d1k389%V>5D(fNb9Qne~`&^XEH?=vB zZU~lVHOAn$gUqL)E$|cX0|CLsZkhAQK0-P)LXffq_W;&BD)zddNdQ_Y>9tXb*7f^v z7$VSU95Cb%gvNKLu+%}WERhBSNnrw!P*-B?CEF+>(8)c98xP@IOaIAd?}oZlVAyt& zpW-())Gk>lV_Cm?6gftqn{O(Cg_BfF$pf@cGrG3*Ekm}9=2>{pHb04G>TAF3wZ_G`cOo(!M^2zWHq zTrly3H%RGrTx+}TDx}es2qD3?(q}>RVc}Gl60?h#Ed+q+Vi^tvR054;kQfjEfqCia zkVBYB)v-5t`a6MZU28MFxegm!IHnYpX_0fcD3fB;syrN(ycJuyw_X_}BW~n$;kqD4 z-+=p~{NyK$<3=C_EZv#|FIEIKZYzkVn$0cb>QTe5<&_M?eOMU5yuxmTvI!PBHc$kO zr>I{|0_NRB-zZEkvH;Q2z+|Q6p(I?2xaoFjBegZx?Ip76PUaa5UZkXiogu$Ry%a=| zY_V7-N)g>Vj;N)RjYFvQp=+BnuYWYr+A0{LsPP-w3wSuF^p781!F#8KZ3sN##ilGXAbDoOE&7a2eNS5rxX%G>|=sT{-# z;Qi+=4k_4}7(furVD%hS1m-O>H0w}CLX4v*gw$YVk{Jv{1@`_%uqfa#oD+Lr0F#4t z`XKS-=7e2*kyiqc@-cxl}to4t)-+i2UOz-hFgVt|DsPm+ie#RqEl4!xN!9&p`j>5~sRcel$Cqq@v8A&|^ zXF1q!N%G*T<#%i{JnWscX5czSgPxGyh`m70S&l>d-RZyyZ#Ji+rJ?EFu32#wIvk3& z>Cb-jec%Bd6&?R>xi1pT*TsIjZL1V`3vujJAFbNv0x@NF$W6K6FBQ|EE9;G*-&5gt z!87og1^RXyWCP_KVX@R-ni5x~sEgegKyecztlHSaeUP4koaBV9ww=vfnIcXAxrbUs zqa?)asIgncPo1l)+gEIRw<`lkr3Jp;!65CKe$cT~<6bvi(q_W30Q=rGAmPW(!xMlj zZxTK!pd;;Sd9Vr-j|NS1E?5R|Rn?37B<4zk6^EE9TR;qQ%L4?`wj;}~^aj1cX->V7 zs2{C6>$yEVZ!~napRyoKBv7@k2NxFd6Uw~1HZz{mIAUdpTC!OukOsUiYe9miDqOoUVkPP;Ly~{2r)P z4oFAC9BuAmJ*f7W9O4K_-HDc6-?PY_@BFC?+R2V!*7okT?mgNmjytnuYQOa1`~jy| zFCaOCHjg0|xv&%7TQDx!PX{~S>;?sf#T~#;MhlhE_`2NJEhbfT6CtX^3`~PO` zZJ^t@&TG-Zc%%zEN(+oe$QWT1%d}fbAWY4I7gB6RoziAo4M8n`4&`RM#Yb(u1XgyB z4auX@3ZYiG2MJsD-Ozt(n+7r478G989yDR37F8XB%L%PFLmXc8O_2bQB5%H;o)vpV z)huN~;9w3i;?Vw(tR-s{my}|r!-+K`L@CM%z!G6sv80G_#a*2k$Mc5Q)w?Wo2S+bK znH!2XcCQrp;e*SFfffxZz&R9hqFA2u6u9L5Jr5&NLaZVBk-vu^h?_cY!=+J5Vp54H zF@FMcKmPB`OXo#5BtM){@6e+{I3ACx;SFmKxC5pSN`FPMz%Tje7#a^a#uw~3;oO{ST&g5|)UqamHkwua+L>uj zF>uNuEzTm$@{bck8MjL4f%dz8fC*m;tO9r=jB8x3VTF^H3ef6fx`I>Sk{4uyA*R}( z9deW~7XhwEWXH>vNJZ5T(hU$#ir(!kSqW&H$=$ftrlT&|XB98(aL|$6fVCcE!nnWE zbkQw8^TSaS6vUFR$c4*f5RoLk>dJEl!XxkQi~*vZ(w3{gyCcYYDm0Sh{*XUkQ)*hB78P`KpuRYfq+4K9_G?$(rUv#o zaqKJA#-|KnMj&|xL^CUV64ck-*Ue>>7MZ-ZJ~G3dHBD2*E@GckwP8^N5(XPXe<$xZ zRX_D+Ej-Sm!q!&$U1aL0clx*vMU<`Xw48p3Sy2>6_2T2@1UU9GGI&VQROC5=rrubH zMh+V!XfDO&-hVdk}^)^^>3V`l}Hf*9eA1SFcp#aB6!32w-*NgQQ zT5Oq|8u^H9V&|E#h_`t~{G?d8Ic=Y|ud;&M zuQoBejsF(8WapRr)gG+W*=EO1I|YJpRYNsMS-WH-L$S%O%;-mqs$tB-IwkC$vJY6Z zR%o?HT|2AC9nzf8s}GCj*{f9bU#9M+RlPU+MeBl%*>d0Xu)0%U+VrD|dr0W(R!>JO zJRnQ6LpRhXst0hpUKqC0y{z=Sde%HJtc&i=&lh;6+l;->5_;R~u`$+mfY?tkyMG9Q zM68#L7lfVt!Xu}%S6EMWZCOA2GC4SLaoa7MWKeBlH_B)gQwr7Lj3}y)*!`?ULzb2z z2-7YK?Hl$*o488CUsLrKA?&2{+{C<=ZrJ_qgHjfccAp}Cwo3@ zIiINa#GCqD?~!EhQ2xM4+t=r){kJZ5+|XwCzJ#6BmuYliZ|u1KBzyLor3dZDOcaz1 z+3)8&Ac6yM)rDmH%Y_#=rf%B+=;G{=ZC3nIy7wg$3b3>3J+aq437@N_A$uV0VX|k^ zFFqqC`G6VkyKScj3Z^&$LrL~X2YBZ^wZOMofMR2KhR-DS#{hC`LnwRU(1I5Tr1r@u zj^Xh<;=;J$%UlIiJit+Z;|aR=+&^L`7ly-t{`c+IQS1WXw|udFkd4&K`L9=0W#6}f3GU*aUeJU8n7TET(^o#{H8MQd z&b*(;yTvhajeX8^Ru;`8W@`9aTiw$b@~ULkpw&J;_!ql)3*bLsd%Qff#tjr2pDC<= z4Ong^ep<`b;-`B*s-+gkxAksr$%v4Sx`jR8>+O9AC@|2Y6XScnws=zj8*RVOLFr3< z8+3>!1;6phuN(0Lb!V&V`Ea*=NS?;ixb<=6+Dy@a$>pbs(+qdYmkkpD_27 zhky(V+}JdrsvN-GLOgiOW^FDTHrqX`Lfqinz`5#M;%<&xJCZ0yDLz=)SO2B*hwV#z z;e+Qp2th@L4F#D;R^xI?X;sRP@XW)N%U&bbJ^-|HXe~vCD_?G=gtChdj6<~~gDOhE zCawL!discwod<_9Qy)HJqH*DKd|9o}E5h{`E(ySBRTerupI zu;x{olzjW%c;#!Cfsv!oK~+$sFvQw7;#RJvPJ=MfoV(9yY$Jd@$sx$&n2?9s8(J^{ zuye~()kEsz(9{PTCq+*8LX>X25q5)Yw>7 zd6#O!xpUkctQ!nUK*E(XN-c*w@t#1%6M?rG1kvx@hKf1JJF&U5z;BS7P{_gc=Xg?1 z@@r}3nBzlXrxM3G18Zi?yJuo$gB?&3ixqr(q%u&`%P1Z2PI1Bnt}M=1x^#{G=yU#^ zDm`CO`Dv4lEbcwiZ4NbQdvLIl6w5m8Lu@%!fC>;)lh}GQrQL6y)NpeWnpo(18VGP> z-$J2mU*xVlSs#PJm$0D7WVu6}KvNu$==f2qi9CchQX4>-$;vQi4n&hG95^ca%WemZ zZVeWms5wg3JuKaUWy$(Miy8K2T7@sELt|wjAN{`h7fEXSf4%u`fOF_RU%< zB-6R^K`XitJmze)Ave!=K%vWXIgVo?V~@lPixi}RAcE@@@r*np)NhyleM~xsp$AA% zP7SZlnJEQ50#HAoWb|+p+T1zWJ3RvsB~ZdwY%KXa;-Kl_2ABaLIH0x(kRK{n%9lVl z5CeHV++|CX&X=KB?~7nGYxVq*%}XjV;zOQBzQfyF2YGS0B3Lnpa;n7ZOo8^oiIw$( zWXv2aM1``_f+3Vv2CXe=U=7yO_TMm5wG=DxfN9~nU>$}Yl8DFvV=?R44!+VPLJ&_b z>pTyl7ht|f3dKn&PT3ZS%z^P!$olj{o{32-PayC3I)}$6%AIWGBi1kAN&)yKQeqxs z3ROW*a*tmIjh$xQNIaaE$XF54C@{=KSPmRdgWR5274Xqq1X~469_;tv6k3LM(<7WE z@ONC2C4o#om)20hBEW+HfCmo1*2suEK^2R@RsfvA zkpr3T-cA;ln@<*o0>ivl>=H<=TM`*{S2S4fi_is-u#;2P8>Oa}ybRQD8R0SYC>CeYg9vn^A2YBOBR+*KxS9`}YJ>?)QTHRRAM zF{Ur(Ej4n>Ess`;Sihp?HP_bxwLE{+F_BwWIpAKB!67RcHQVOY=9pzpaqoyUgHN>t zZ!;C=@ffogh?K3!!ig|A(7T*oc2Q$9VnbsIoC|^DAbe4P3Y(#p4WkX}h`x zc&{?4Aj(u)7ce7Ivl}4m3!J+jq&)3ozxeYJ-+xs$_axdO)o$LAYX9L1yjT7|Nwxn^ z!12PHg75!vQte+{c}q0@Eva@7!2Wkdj~hpa=?FCxSK@NGT{JV0pT@-Vp=B3s(|+8ZFqCbHnZmd{uE7D zhAb^&=8Wux(AdsMtSCdO6rPb7J9rtM8MZ(`6NJfD@iQCASh5Y-unFzGpS}x!zVog- zU;m$<=v#jVJLS#q1h$dh13NZ6B0lNHtr9uj?;A8HjeJ1Ns|5Rn$X{7#mx`?%WZEla zCVP_0{fx+|d(J5$S#XQ%C+U#1hCRA$d7Ir%SX7VmCMsDXaz^ci;xq*mpcB?4u$~f( z7+?bp+q{u9P z!3%(eBhjL1`UXWOAxS1cMg-Hd;Ldp(wvh|ifn%#@a(uQyq+OT>u~~}9*qtKk2e6t3~Ad`6oH>u(W9Kl59E&VXX8r@S0@3xXi(UG$mfGL(k#94U*)V-~q<{ zn5wZ4Ht*cOpZkR7N)+(TPyI_{Wr$#B7EvhU5lGk~iU_iz7Ua+sxb z`oI!)Mur3zMD2{F1Y~hmE4b$^?0sokm$OPlu9EWx6*q53#S}-RI<@YIT8o>31U)R=d)8 zBmULI1c7S59#EB|8nT&#f`&TQqw8VEAPpXpjMOUOwqz8+ATtg?OuIwfkX#2B!u4-m z6vyGTxr7C>NWfLW-W41;U8)*B2eX+~b4=n>OYIE=awXwYh_acm{mVX@U=kD>3a5zho&+_@D)&3c4-RkHmIIH?O%L zv>@JjVN0tS{<3Jb$vveBS}{fGCaHYnHo5>Kf%UXJM3!2dHslu`MF!SP`0*dL zZK6t{gQSuZe@E7z7uuRuj@1oOkK~cAp{9r&8smJ_A)|&BVfJwj$*2Mnz$~F8fQMN{ zA-Z%MlWk;HD=ruTn>0y;bdS91S3v=Xnc*B%QfiiSMK!FbFN=1m(v5T(Gb82=;7C(| zXOL9K(t%v0hre^n{|(L#!zNP!Bf z0KGRlLJ!_Kc+r33m;Wr{twjO8j~XRNG=li-4}|c!NNnRHaw5@_1us)87{U(p>Qa*j z1Sq<`qM(@OXY7b5Kzp))$d5%70nKLl1$A|pEAdyn3rmP}XLq1p^Ln3fBQ0?_B5muPl zG_9xE@dChBUr1N|+Z`lu=a8EV$PuRW;$pY;-~^>AR`RlvRU8&j1Qnel9J?*5l#yKV zpkO%WRQ;~QRsFDIRh|$M?o7Pm_)5@Z?-6Rh|GU@TZUkJ#Kxg$KSwtab!yTa85ix6X zREaFxqZBo*vQ11gqb|}hHp&sp;TGHDA|g9?!ZY+P;W4zm{(#QFFz$=kDND{$3y@t= znGz+WhhV)^vD$nnP{G!*(kZ_CnLgJ?BF08p47!2is3z5p<7SF6iHSji6OAC-Q!Bpxt*KCOV&LMpXYC!xM4}#)6JDz*=x3br%B|cIVQZ zqrUdVU-U+^cex1u$4G^07AQv}EG;|EAOrG%ofs!)5kh0v7%?xJOpXeq>KzFw00+hz`*^KWBP$RAi#=TI(Glo7>ZZqSSGz!HLQpp`&oG3lXON%&x7Vf=7d@fQu^ zmkxO)r;VcJi-4I;0L=K@#23fzA!wgt6Nwsa90ST}A|ql%SsrO_DTV7pHp*7QA5%KU zFj?XwFdKB<`6P@uOruamn$jR8h3U^9Qb0UFjj;mb5I?v?xb(+`8`)5cmKMFpE@CPb zbQvzarro`qR#!78ruAqqt3Bj)1fiC`icyXFbGuK}f}sA++Vm(pq4i{-i_`&mDmyk~ zJ!yshGF<(-#lGXrm|I!jp}qDa?j+wDK0=^o8_&+f%`I11^-x!bdQI=(&GatKSzG2@ zFofT`tdSd@v%Qr8r%D&w zTx+QkzGS;6W)C~=qC0auB-&PIXR@aR9oBwypOR+oaGcc6TCh8fUl6%{gZaUI`cXbl zoui<->O=0);qvR&u!!2v60%7p7b?Ni<0*8RU3lSJk6zZxw1T7X4yR-`R zc@J^6pNbLaKix`??;Ceme1SoT*;H>a_5rV!K5>y??++|IV-CUKGw(WaQa3;;en#(w z=K^Gg>O=>$TmTtbx6W9`6+t}u^j!3g{?ntS>f%?P)^AsYyU#dfFUChgkj_65HmcY7 zOKNy=mFa&V`YJ4ZrN37M|F-mto7MCT6JcL`efdwA2IcXGo*92*C}&ZC>?u_{qs|Av z^(Sd%;ND}T-i!~e721KM7PemloLoB4vWKk(E+fH)l@Ivmsabh zQJxUHnVhuhO(d>Qct~2>!+EbzTIWvrJDgmobU9zi&Ks|-`ZEP%vhq^+fPQ$kA@ah#{)Qeml_}%A(`iEcG5WYgrFeOa8ht7K*0N}^^cCz+|tki8F;tx4V zt)7Ey%qv_0XOr>xkSG8h{W^mtR3T|kyRR>4~oB6s{bU!9M_N4VCy&nPtdjRWGnOcX6j8ddnK!cuGmnrtp`7G z_vMevp5S^&U&upr96Z<|7WNgQFRQ+CR;iaauLm#Ljt^bi`w0`kZ-cj9buWrVhSj?hfM#4~`~JFu zw5mZ1xJDHomvOh^CY=xvWJ>wffnXB&;&cmmv}jlOigVXjAe^6rdb}rQB?PqW`~8qw z%81k2DP$?YY6PHLxp8D*F8CFqbmYu-0@`-~OmWV`zVi1O-?M3C72#XUdH}o(T16=} zCJzLkP^y1La?CQDPy?*yo_LahU~<}gaadj15N9LxM;A=7y-r-rI32}?Ha6D{>V$VU zy7lrkj`MHweX4!J1WEW7{EM8Ub|eVxa@vpk-{;WGa0M7-VlmsPAF1FjtelAJCz}t{ zfyZZJ>Y1iTYM$eBmFJurx85b~Vr|0}9SClPWHe@Xvv&BBwgO1-hp!m9j_q8Dpzp0b zJPtF|XVMG2_Bu`-Sn|qH%$<4XNZUfHQ)L#}0J*^<7S z*Yi?FiEr$|rSqX2;hGcl2X6DMR9?hy9B-WXps=KrsN&GMAXqA+jw?kL4?nH<)>fg? zCG7|*bHU`@k@8R~9e^`gHm@b2`^$xoN0^lban)g zK57O?@DYIdl;P4QeE|016Oe-?0lX+8zQZHD=-Y+4NQygGATtKxdY|*9fU(6WfkC8SccxYlzA*zscMFDJQZ4QmKF86NMXsgnQE~w$8e(03$GkbLyG{Z9B zTMxv*uHAtojn5t@W1aLR+cj}2D7Y@-wVPz|6dodWp2TY35$sFo*2+v^~nOM#JxEzpw#_C=ud z%V0r!OzXMePF#>NO6Sz(N%e!eZqIkAXy(c{(%?8xq?9sf$l?9^BjB2k&F_^#ahH(k zP|N&eOU4MQnwBvA-Ma>c7wdVS15ctxdgb&UTlAtEt|2 z4vaG+*WAkUPSiBzU4o)^3lT1mX)qdru9XjRM=le%1Q2c2PAW@HigS&y*(}`jQh*nm z+%gl6nYSywozR}bn`AZHTc1M=7XsNEFixm@v|=!cz_TqX2QH~X2CCt-DFX4{XNA;k zV2F=dCa2am;u}k9f*)lwy$lRdgL)NZqU!c%ZueM|kn|7ZMKe^$5uO|rF@1i2%* z|JE_UlOF%8h@aUY#7BS$s71wQ0n1nPVGj!++O+z49hw7LrHe-s063Hlq2l3{ zN_4t%L_OSPb@!XS)yA0qpnK7jMVa$O!_4N1NSZP-a^?*1HS>syvzK7GZDkrHW6HqR z8Mc;c#4r?~Rj6SwgljZ)crAC5l5L53${hd^*^f>{vIL^S*9ZxKc=&@OE)$bYC=feql4Y^I`ME!;47%wwrSnxi_=~O#_7e;~#Y+OKa#uP|x2>jc%Cdam^dcg*c)dk(G z?7A4t5P+v*hbuj7TQioFMRlR&oY9)vxwBezRTJTgFq9gzKB~>R6aB8G-1u)#Bw!XJ z;$!m;?+hUy&`P_>s5oyq>-Cd1W3uId`0Y<)RwtYMgKm0}0XlWYK-UY*VpDjd0c(b=nJ0ROLvCLJr4x3tE`3i zs?0w&1yh?n?zJ)v><`jMC6hozgzR&-rNEe$s13XvIe<;Y};_hz(L>B{dlx;y?8t@$$3q+DmEF(*0OlHL%pfpXUj^oErK+5{cHo}3*il6E9- z8TP2gD@`*cY&rGDAAAi3^e3W1B`668E>~c!>$j(XrEx3!F^xarx@Ya@`S*2W)S&4D z$hVGRul9I8<=?4C3bduCg{Bv%RW2AsD6Uh8l7k!1W&XS``Fu|rgniwtGOJ9wG>cDU zr}YGssnf?T=zZ~Hlo;exi&*ADq!k*ol#)`~;EJ-MmwIh`^Ao!c+cs2^L-jEu!xo5` zB8l6-zT#mZ(nJ{r>9)Vy0diXwa9vX*l>zy}bQ+8(Mu!R&Fu??6Z3+c|VMKD!aZ@ni z9U)aA)D6;z#Lb8T0T=w82MQ={$Gq!If`kFL2DmS zhlt={CQFf!AFN58QB*-WK(2K#HtA@Zk4)(>V8tBFq+-p5?!l_#_U%njch0sdiI zf30;=Y_T<(kkR77m~9XfW#65uX#!!|D2Zl)btsaf78|yn@CA$9zezJ^8DRhotAq#z79zX? zKyL}4Fo(_{O2{;%NsBTF+n`^dAQk#uI781UMty^`YC{NiT61?W%Jw;KUi0Bo*Y~0``L5fEn zbS=Z2w^BZ*(~QUiMwo-spaN(t%~(MRvU(BD{DW3M@-zKDGqOyzpe7~c;JlhXK_NaQ z<6RO?Mq0v5iK!4$8#REI1a_xRLdj4ll_wS4{gZap^4lhGzoc@+jVLw=C^O~=y|L%n z$bObbXEHIX>If#}z*g+hmM|_&xr+Ob+=V=qfs)%R#J3F^27u?JCB6hh7mOVbd6Yx` znoojiB8Wkl)fMqH@hAKxt^`H|&H?bKfH6!-o&)geU$X6}Uyzc;{IG!JXO#;tOp+5k9r=^+hn@qHB%T1t|Ta!XpcFc2CflVu(W- zIQFn7t^~o^*P0d+7`>8xCwG$eW8N78AzRTfdNy zQMKvDgGt`%;}lD^0iOkCAlHD%rxkcFCe+9*_j=(nGFUn|#NGP@78NHjUXRo?JWfT=U{iY%^ z@(^!Elme|Ojv>`FdDQ7YZU>;C?_gjaf&BwYJ>(oWfqk?xFSWU@bz*uJy_9dveYkQE&=|p6o&*7 z1t=l&S!dVHgwV6dG*H=!yNXvyb(OlEb|vCoQEz?yg-(@;z&%xM6=iTV5v)y<&P|QY zK&gSqWAtGHVN)!j%zIHMt~H)16pC=S^@M_}9LA(TsUuBDPK_x%w8p`xr%R-W?x#~W z!6i|#VW)#BrkIaHB7sR<6x{_2Odjh-e3Fdp3T~kjt&L!4*4)}Lzug%UTc7yymTNop ztq)w;y6MU{&bDOxzCC@~9ClC4SmqI|TO>)sv!5KJGr!I+E6n*rUd$ekvrpXz;f4CQM@r>PAQ~l{-l%C~z zscYo#o;i4YGw%Z+ji!;z2(1av3gfaw_Q?Nv1dx}(c87nyr#6q|LpWN`(xvJ zjNJOrskz{GLSRg)=gd7<-5F4*3YF*`s-U_dW966 z@)<&aDW4&+^hkC$GxLDelOk8EUQ3%p%|=H3Fv#M{q&rDbqJPl3Z$Tbc^BWDE9GkA^~XZbW`7G-t!WniEjO6WwGeckO{ima~#|lw+4P5`gpAS8>I9WNYck8d!UKz({J2x{K zfOh!$>f!c${Is~u593@PdJF_}&&Fo7kMsyrj)OxU9tytKT=-4# zjwTrI1>;vZ81F}d@6|2_-3!<0`uI1;u7DUFPkukm0Hv!Q`kGUpHoMg@Osy6k^+=(R zHaMv3JsjxIF>;XAft!05V8;RNRvH*kYbjVK$K0Vx#%up7O7R~Gs~7o;ttw06XOr&A%UsO2uKYKy2=Y|=IbR>Iv^CX#%nXq5&Ew&6 z#sAv;skIX^&p7t|Yw-nWT|kxN<$v_;9=n-LBPe}eGNTlJLjzps@XW6BT$ULQ;N_%&@mOTUEiMqY#Yn9yE-jA!*+$zs}twK35a;pPK zn23AD1<|lu#f3tHXPSDI5*dOL+F)~+NAR6UXIAvoL4t(Kbw12hV4bG4aso5tW%ms| z1p5dvbban2+}(JA%%Dl`6_?+2~G*Rn8OaCD{h6e6EV}^i>@weND#mYChKXHo@0ECxb z0+!4_U(n>xt>$toyoxK)FR)u<|4e7y`*z&L!l(f_+5H8b&YL^V zs?k%i14Yj<38{%5}boZya1G0XZE#!~l*`3xkTs;!?$KCz>lPvCb zdDg%+U;IULj@w6}HIUOesMiQ`2h1fsjjT)%Lk=1)pw`Q&9v-;fIK`ABCVhQ_TJDLqL+=^WcN{>@WWM#_$+LIYMx=wpTOhGoH=yH3qp2I>g&f>2w$Z zJPw}W;<3t?!Y}wCNiIL`P#N|(-gBE&r>!1@t0h?EegsXs z8$m_Tc3z}v4C*T2NvN{)Bu@-|fU0C`Q|h}i78$x`WT1JpXjp&vjbB7XcPUR(V0pK! z7v+&2V8bh{$FqNhcG9;L3vR6udKdO>Q_QIPT6@$u<@1J)a{LngMv{C?hc-#xADC#` z30)RNamZKX0OXBhE+vP~>dm%Tm!56`;rf$g(B>0R3k@7^!Gz_Oxu6r3;Y_!+GGW6O z1~H_tFSar!MP>NKlHP6r(iTG55Xk6y;xq>m zUReMqd`?AkK;>Q!J+?XtjNEStC!#>kw|pN@T(Tda39#!#KmO$T$~wRqcG3(gE(jRk zrl=A{WIK=;0O?yQ;sr&*?Iz90j?F~%I40Sn%_OjW8vu~QmMS!}3`C>ljpy~B0=c20 zfeNmR_x~I-g7{k?_s<~9c+bx&aCirK(-HP(p>pdjsQjnRT>qENX@8E4$JY4&y2I`4 zQ$Ob*KV$R2evEOyr6&T6yG*r>4Z4wb1!KW0sz#@cm`|PZ_PO_tt3iCh?`e=?Qw2R7 zsC(E9yElnVV80;{`nwACeq~|vf?rc^L63BnaBu%-(JV9yId$sR-aLOYQ@&*~E9(Bb zIcVN+SzI?4tZlBf)n!|m_>^gF@&2ns-Dh2Q#AH!+^HcvKNkBhvSnq*;{?m^Lwjggl z00c%*@hN`%v-j2c=^x(wzR3Ji-xuaoh}bwXRI?#gU?`s;Y&VJcn;^h<8B$m_C7Lj(+$RQ2VHBT8*}U4L!Gl6A`sk4mJ_Vckh_S9_2>CL_ zKCNK_!JV?67M5a8WEM1RCxHw=5hY(LJ2R+z@gD+A^#0$L^emv1u&UICt?ouVnASu- zFU}$Ltg8@D)Vq7<&l3_P)7u8E@~}0@ja@2f0c-)7r4!F)hA&uj9&rU5-Ma<$^t4V1KI-7NQ^mauvj@fx<)Nx7;^ZpN8-6N)DboW_|h7K-@V4e*aANd64J*R8X zP~X#&mV%oUy4a;E${e5EO3|DaeJw_oy)Pt`t{B-Pob4$jJ->c(P{2d0TNK zj?d|h>|knMch0xm^D(CVy580E2){6-{Th4EEIU7Q@PVA0s&u!F_bdH3NpLojQ@657 zD$2u*rz%lsDf$S#%+=7}2%_3w;6a(EC)CEE2%)fi+z|oYRt)Z7ciNIwD6Ve$l6}n~ z3hLu|NeNUOM;#G>e|%w{N0rd)6UMSy8~b~6D`|Vzu-oTEk9P=%HJmS#(pY>KkOJd~ z+ShImxS6r9Y-63o-2E53g6kjr=*G}&NB%!sJE3yh8|LcT1-H?%Hbkm>Y4$#?HFfuc z)9jCvcJ>l`59f%v&WB8%XUs99;bzMqtIm)n?eEqaPEysoP2A!!CsCjdgt-)V&)c4susOczPvL0F~Gb7Pip&2K)ykE};SO10PXhX##N~Ke(k(v-Sszb-X-KXyPT1 zkpO^Hje;3TORi6+28Eb}jZkD!F!r1sP;PvwJKzJTX$7Nl3epW?tL7w-=;<@`5NuHc z)IZ21nwAHXl2jaW6C6uT5vxH&7C;3hkVMgZk5AsflmgxLynxKdjBX_zM3R1-*qY2sm^OKW^{Rk^svn=gEK;!!H@$KF_+ZD zm3q2G#%<6znEJdeC!`^Pl!Q_8;lco@kN6y%Mlon3c?HB0?Jq7+Y~m2gDz<1g57|%f zKDUysz`Gjvu0~OD&28oOqtMBp^>HvxRddSRLiftLZXgq~A;F)ZqW?Wu>5zb%YAHGEI<-!n zAu*eds% z)5Iw9N-*eyB`nCpye7l2IE=z%$-?qaLA9^+pe$5LtOw)4XLy~0V_hzG*|?Abn_(Z| zad30R^l*m~*FMR3htk?j`W>0len)u@DV4ml*)C>}_o}wAB6rt_Y`^wVf22+$M2K0q zUju*z;WVWCC#C}|>2DQu?YFk@w27k5N8N;feoCD*0P%XFIfkzlN`WYovWbskrh|bP zXtD{v%0~H+r!Nsv1R@k1FAK>C!2nTXx;MEZRn(D)B282spR`MJp)K>HcfL)M_F*YE zs=S*cYVY7KKxP_S)r=^a)!$*Hsi9Ezq|S_1ED8)F3H6xBHhX=zdTL`??%>W2suswYZ|v?2svh|ASgmlmmW<6VCQE1@a`SQLc% zK`YEQ3#n9BIib*`!fI252y)tH9QbhtoFzgrVoDmB z)*z*W5t(rm)%%>Hp+xXcGSAyR;PMuoiZjA0=+@~^R0dtA680mtp<0(e`gR;6AVVjp#tmsJ5&dRa3W&X!~__YsjaEb~R zRlFDC&5|^yVcL=qkHApPY?!W)iefk;xgb&tE=BIC`ES(zVs6AhXnG_{s!GH$gYG(s zl(^E}L7gs4om09~g>H9*#VAl0KW49q)iU5BL-C#~Q#5M71^ zY?|*ekXULJd4>!IDpWg+%!GGa;L;Rd_X)Fl*dZ#rxV%gD7Fc*X$xg5&C+vHWQ41!f zalxR_GGk_ZmSvgL!BUK7yY8|L(`B7Vj%lHL1vtY*#iXKQ15C$+CY2mVfaUL)K2%CN z?Sxe!XkldHvp6kEY9WF&H0F#t=hIZ-AUE%aS&%?X+ zt48gD-Oy`iH=etxCcmowlJh&py%&3L^6=U}o9bq=Io-ck?2I>$s3d!T1Y{0PJ=>JIPI~eIY3!(8u|sC zS!r`5fqXIm^Lmmd3g!Nb=CD=0pIGHLbP}7L4JoPB+3M`^qS2^~H%CM@+N{+6cdH zt>OC-&xe|uvn%1ytQsQEv+a%3v8XW}vbWGJbdqK%DnQ}pi|6VWwH^=&N(HAM5ft?J zt{^D2HGiL*f!Er#dF{gt_P%+LT-Q!nWA0z5Yr$cAceQ6^xh%?LMSZv~N*Aq$HT3K& zwR!gsho5Eh zrFV9H8-c;J{3F}9a9`+FI+M9p`X^o6yRZeZS1dDDD&LB+@O&4(7uNuk+b- zQj9q-Tum=@hhSrD4$5%6Ata=2}9ao%JR>gw)iN)27ryboZ-br4ouSFnAtGVCb9edgQO?~KH17>^oD){0-v&y*`SaJb89nv=bk;Z${4!0|Q_fLsfKTZc2GX19k*-Fv4*3yrQgwp1 zxH+q*S4B7{Qj4s5O1sHzz_F=6;b#uaT3|(sYtDm>-S%p(n)}XE`IiphfUCRhS4=3T zJXL#zlU&E=1+U-t+NXbzg+z4k?zSH^zXBnU*B1X+EIu|p$hT z=7rXkXJRj_^G51g?B)DJl`l7`Jvsl~Ye2{K*XDDF_r19BwJSG0(cN1dzL-a~6!s}# zftPYN2IrArqaSVlaMm9tR0q?y2158FBC{T1BwVZ<=lc#=o&*IuvT^0MIMjMjAK^Pm z`(vBcJ`Q)=eC?)iA5{+Bwli&u=2xrV6=zKI^z0wM+QW`o$sZhY+sEIv8_K|2G;K#I z*Zp4bYuN{ZK9)xc?J1RS`XlvXgp?VAHyi58akDq{I-h0X=Yy#i3xgzj zhLwZE1fY;ZRV zoR#PX2qF6cuy)yV!Sb-ZPh6o_IG~~C6ZLeJwFto;j0Pt4;G9)>zshhKfv14s3BKEw|ROmUhnK9r}a~5 z1)Ol`72jz@XhdYaoL1Arm^q+aXD)aoSXIJZEoC8Rso*24TRAh!f69>wQ)RV0WbRuC z(n_4|SxzgYolAvX>9~Aod;)Owp@+5j8{hl(Wn-RyU`+3zZzSp9VjtiBj`gW$c#j&m zz1L`eY|<$Y2i2qcrkg3c^;BZpbK2WPtya*HdA-$Wb5wksy5q6rg!fb(Q#w z!G1$P(7Kn!-+*$?1ixHLUY~eH^@OQdDG0S2{mSA9SjK79JXM)MEb)mecCQH=XuOJD z46TFt?&-0Z;V6TP^jAzhrJZJie#i8Xdx(`|e;GfZ`>{b#RX0EOB)2#Bu7u^m4ns~^}Yfh>etD(OyLRq>2YdvKC|xEuSiD+urt1^qHt)TMOhpyiiL zI#9{@$`^^tQA1igB^J5lL{5v5MTru;;!v zWbcfcST%uz?-Wz|wY|zQXN(w--cE&KBuo&D=9pCj7$}M`Lc67A4Mu9jPy<3o7k1v4FP`OgtK9pR8pzLJg^jx=Rp|a*&tCQwj+D z27A((Vi{`d!nfu>Z`0Z#%rM;k%d}~xE)h{El}EI2w^gn{OuDy%QQ4X0da1GIhKKB( z_MH0h79}>ZN^gAW;nC_=Hm3rQuKBQy+dEGnXhOI>J01ykQ)z3{L&!~$G6_SWw3Cvh z2S5ThnSMN-u;D9pX~J&`QXF%l!29tE#K$f59_YrQGt9DxPl62tD0HJJ5)Aqo7~T

    (x^hR)ehasLlbii-&g3UB{F?;t|DWJ@+iz&^w4@fzmk5IGrUA)*C-hCV zQ^EC9y4nfGo+H5F2Eo>5@fQqHb%9y|6o9hw!Wg1{E?H1S!8aZP;VGct-<_X4v1&8p z65_fzCyfKCck-S~e|NQW%Lik<8cju4T zMdIOYoPOrPy&crke|vXjchDsJ^%*13h)-u{yVB;cXdUi&$lp%s(cse?<>)NgzEP2W zIjuQjSxvHnag60m{xrK~lMqYudv>ZSFf!4lVG}**imgC<@0ky<;kpFr`~pt^>*f)q ztZ>a%?IpVyb+F?K@mVbay}+6>$xWKAJzg!%yHmDv+DSU=m(G()-wbZ~R|PX_N55QHobL>dKlTIUiFx6kL2_PXz;VJL3_c$qIy76aIz@M;p

    GIm=Q5X zML2(`&7IYZmSIr5kgd~EYy@=|yNd{6F#f~Le&8j}x2H)@lIiNuO zRd(6b+maL{(^;jFRW+;ZdQS-ojA>hmEl1gEd)-D-U`DIaYH+W% zysu#}RBmKBR%(lAW$D$LAx!Je20Mfx=FXh&p*~INn1yg!l&!R-a7_#A`n?@{2RDR) z<}pA%W*c_SD;R7SAe}tc|gbJ)2wl(Nj z8~QiUFfDjN*EVz>ng>F0{qg@&Y$G(B&#bbwGR-_>u5%Xp)aJUjj${F6?^7#JLr&o9 zminR|H&;O>*~>_6St?Nm5T#oVKV-ZJ7AHK30D-rm2Xbk(|&uJL5Jp~EZ9 ztDR~`9IC+vE{8Ym*-yTi#B{(_%4Xa$7FK>_SZNd6~_GV91bo z(7A^}S-}us8~`LUKv3TU6y3Ef7k$?PMAcTxgVu;{1+X*l>vGSPQ&jQ!%*MoD;F?EG%inM#m`H@oMJY~L8Gt@Eh{Vv z1M?UMAom)U6CnVx!dwR$Ak5;?svQi#u}TZZvr2n(h(~qRwByEAKZsfLJw$+F8&`sH zrFhz8?J9JU;6W+ORT2T)wHzBk7=Q4MOrM%~RTT^a=#pcj=>+Nq2$Kr(3hY@G7!cM0 zRj5&h4>YPvR?wkvo0NiH6CI|OKfoh=p5{64yiW2F=`!K0;YZGvMzYL*9MTI$yb2^gqWvPlT7V+)zqA1jNns%G{)H|Cc zk~nS+?c6MFCRuB~gIi4sb#?fS9#(d?1%OHdomcN&wt=h09IPSW{R%=cbVw~pcCQtJ zDG-uf4g@+-{73@I0E}Up0A~Z3u|~8x)$SI{c&k!xc4TYH)nXo%S-9Y8bDU`v*KzL_ zv`=!)dEmEy9aLcin{RR5=4qoZx=dMl#X9Vm3EE;oGm)Fj&B_EGTI#bBB`EBmle5%x zDp0aTpQWwCs6sIkV&J24TB}-=7R3z?3Is+#%y)9pS7M;ehQ#3gLWF3o88XG@VLfWE zAOlwMh;ver27_ulZ5pO9++?LFR-I`BMd~EvPr=2r0vcX^nvCVBEuj_-$pUlH@z6YAv>7cb_9hI3-U0R+9LQTL5r$LSeyrl&U@L8*d{>uRQb30H} z5zuGpeF8TJY+972)I^{vG#L!-8KqBzDXel8SU%pnznYjg=hn;-{*b*$5>gW>>wP=Q znH6(xh1OLw9pH(NR8?w#8^Ejz{X<8H4!aunilXPg0y(i^q2c&%QG!YdER^^!j6SyP z+mj3-F-Z`<(qN{48a+f%uwD-a?8MD2FU$?K_E(Eikv`WSc0(G`RgW;yDz@Dl4CFCb zSYjfO8S)z&-d+ren2<2db4loRfVf8;Z-sRdjSj(7ZJ)cH=;sF!WkjZB2`2ZjVTi1k z!%SFMkW-uF3Rw-wSoQInA1R7T>69in$wl6wm#ybXxUPkE#zW?F zW-w5q!)}YK44SF)mT7%PtDi?x#~=7$anw5RhQb^bI#9z2EK-mR3`eMPOy*G$V-X_z z*$FB)8#8uMhWP+xBk1Fj+4>TqJ2cKH8~P8QV>q3-{q=t|hSz`kP5!j<%wELJePO7l zqvdq^Mzi<7D-YC;JopXkKenDfHDf)-CX7v)H;i2VY{4z_vt;DFwWsgZ@}qC5`PK>B znkZ5A{HnL}^IGYuK54d!mArDFs&0Os-RGz@2(EN%hgB`c72viM_RKMdR^(Taqe*(! zHRfSvOD)o@oPrHbJCaq-wHq|qM!QDoH>`Fe71-v`dc3K?EM=k^_P+L<*VCG9S1Z5& z;DeLoLG!Zvk}{7VE`f=T@a#y-`6KT=^;6YdXAa&MZ+(Z)XWmffou||v>V-$f2Dk9b zm)|xnpFiAip!+z;9-Cg=9Da<~9y^xae#Ac=oKrIWLmov~c2)wE=d28|pK(8t7q>sY}$R@d~yCsik|RGw8UckkC)&SuAdIQ|l;b+o+H@Rs{h zKY7Wy`NYOK{*=M5xKC>rnyUrqQG?mMqw&)|ce@{G@2zIC<8y2bu?6k$?F>yCeS9Ci zKTYiSv(~-sX1M>s-=JV^r@#+_XEL~1c_#)=HoFf)OlaCxR6EE|TN3nsE*v-Hl4`(t zu*b3a!V-0x^$gj3jHY;jeFxqhoXV*Sv}zF8;|T@pi(vPp)*Zzw2xjpx<`lk8TyEkn6Qy(2WJn_I2 zZ!1mbPuxEK!ZZJB_B#`gZ=EEio7pX2d342C`5XN7(4XqB)P7ojpXiXGzRkuRP_0kg z9vgjNC6KCfHx}w^zcj}F+IuSdexEhQzB9h1p>`@RKAx)9=-N;T0tTsS=rF)@rK`53UM-BY zsdY%rbk%&4HeK{N+kIcs@~=MiMZEF=gJQKA2g>MnpJ^wdmxq?Wnv3Zhv~RS&JQOya zuL6|&VHtT{TlkCTq}D?g_b=YI;xSXPq@4p=ER?!RtNp;f5FQXp*P(O+ z=$o%o0dfDLgSE}s9nHH`TBV;fyQY2KDK~oPGtJgd>h-M!w(t{|D+o5p&w$&$b^VUf z-IIzJD4lXv#IER?drJGB`6A7{ckDOkl#kQKnfBJuOLmo{ZYGm!Kil~S>!&jxZV%IX)Wnb zgC)AzDW1=UX46`?#gD`%6bMz&{JY5PBNJKyA4<8aAjFUCs+{BTvFWBiO(!y*RNZ$D zY9FHtxf$2~Asr9O>bX&f!EmGs-rg7f9ef(G~=WUvJJnxgHt;h3$ zR!D{1r^jl_8--bN$+`{1JN8!gT0F0;H&gG|>uXb$nz#1c+WraciEB?h5BT@oH75{u z-kYyah4i%~VqItsC|!eV&t;7B)SIR3O=^k@SF!r!DXc&(A3cTs^m*?kM~0PwW%zk& z!BL@oLiK$FXdb>Ar|s{1A&ewz`zzvln0K`ARA{WxLaXIX5s81pXpkaKJLzP%?d00@ zmLEysD|=KjsPBR3#nmp_SZ&+nK1EO44|~~R(sEj>l>t8P&i)6xx^hCX?+?qH!Xt9* zE&7@5Ybl!;Z+b_ueZ=#uR?(y~95vtaY7l6_5?o%ba0fN_Yi}AM>ALzn4Fm} z5l+y5D20HB-_l9}4Z}yaI30op`;QyK1)Uj9hn0xcM?e{e>Jm9cj)unI_~3nSHuu^# zd3EGiWck%?tEJILwyz~4Hz!xGDXbkj@VhG_xm7~%#}Sh-BzW6O(hu@^-A3+OWaU#@ zAflgtda^k(U4FsTBhVLWR4+N2#V!wnxS;HJx4qD&yfF;WSHCgALG#XeKN!}Qm;Ne~ zN8XR6gc9G_@T+4jK9KkFurF( z5xY+Tf!t`BS*esJ)3Cz4^G!p-gEe_!_43$mb@k}X#w~*(_pL8V;eKkCbH?WkG;F20 zy3*Koh>U5vlPT9+~RC-Joo5mVL{X>dk{T3*BeFj$cS*^Ji zPHN=xbU;@$w&qJue zVK(;72knHjBnyt+M;(jPB5rKf@(>n>wTu^KUX+vxE(jU-G7A7f5FY>ful`&P9Dw(b z`^y4Aejo>ahxP4inm|wF^uj6A*Aq$J4+}T6Kp-D-Wl2E$jQ^Aa*6qH$ z2sQDsp&kFC2sdv2=MTY&fBumFkwD66K=G?9ehU6SJp}S9etzz#teC$j2Y&ySR2yqh z2bFv6Hfz0w_>$JW*sWyFFnUP;BKvK#KlErE7t~m&;fOvec5~B{GPB@$~b}#Xkehg;M z@cB`zX}SNYm+W*w{q~xqCifySZv9anyQiNWMKpB)5V3_zxzC5pKD6_koxb`F{e^ft$`-{kixm6QRSrLybTnFEmk=2Kw3*dF57}6 zTnG4{`ZiYHqjjk3X?9hC7SG#5sX3aJMnJwqNUsadpr^&Al?{_bsX?ewBC!r*&Tz*i zLrbdvxaQ9iVk1&Rg_e*VXsoLU9oOtY6QxnLU0VqN(hboLgQgtqtNO8!D<^Y&pXD^> zR41wztRs-!D;xvqs^mLkZJ->E#A$!GuQtH$MeE>y1Qb}IMATQpZC5R9uF8@*qcJvW zvSpgrEO%>~=1Bys-Ky3t1r>Vx@mod`RM1v&x{E^$Gi6@5!og0fC#8P&{8@_WD@{h| z;?PHR2cjt(l!UxWh5C#2T)ciS0F~}t+-pOsU9GVzdFIKIM!sakaBS14Q9i ziUra1;9^6#@>xddT-=Nfm135sL#w#R2Cc8ef0YACbJ~Ed_3?z<(qai{y-@8bfGwkuvjii(=UQK1@%x*|~4G*$%|0O12(taaE4 zljyD=QPlePdKdxW03ITW8JBD@V&$Sp6JYkfO2pvpue>aPJ(qT#R`YI%we95Y;;Q4~ zD0SLW*7Jj;JG3JtRL)1Ro!dZ0y?hlGm$GJFbY60t4t~J)_V7U0tX)gK?Kt(*jf2ie z6`Deg`rXM+#cgCS+ID!d9-URTLJ1rJ%l^Qe&>i7TPSDWZJn`-*8FVVo0y5%%Iaye;T z_2h-av)zZ9M>152uNu{w5VyiCRqdxch-D3#NiR}2$82rCv(33yWoOb>r`Kb?SXZ;Q zmUBwa@kY1tCO1=(-hBq;HfWFkN^#Z}np>Zyf#hlRLCA+IU2ZC{&n|wC&!|csjerl@ zTWtWJ+ob3f-B1>Xv`S6s8AQt&J*-uRIO(GkO1ZWb5g%WN5yV!Y0pMKx#63cbWyzo@ z+b~!xCX{{yc$sAhQQPK9)xvOz5f&WjaZU?HkxIa^dI8tK)kX|U*{Ti)|KKnk#;>$* zSsbvf=<+!;%Fs7N1UwMn_5~m(%G&yaNBRLzM-};`!|D{^97aNRf5jpet&!?knWhd= z>yVJJW?dyZ$T+|EkY^4$^*0xHohd-3!nii0{mbinbH2h`L?2Rv>IDw1{9|GfMJ6Y7#bMpk^&jROKb=z6jH!m6uILP^+L z$E(Fd4ho@YDAM|VyI7~i4%1;#$~lS@cpj7-v|^L!Kxu>!9<`wqtC>Jd5$9AV6%nRm zkRxFDqhWT%{8d2@2iB=jqA>(U6a8)zv_0yfgTRXS!kV$047p^EXd5!Yyy0!Ild4Lp zEI4C2Mq;^;uEST=q=~AL^u1_EQ9{BtXns^cUNh(NtjubyO#ky5&3(&{T`?T#@af zZqwnqc8(&LM$IBlL=y6vHl+(BaY6;F_bVsv0-d)6P3FMCdlQeksYsxoGA`7b0!=hO z%C0h4E?*eriL5Jw2(ZBk-xCR#HskQ!!mAI5wS(dPs-2Rea*t_k=T$Yzfxo=Xiz*}z++nPgQa)T|MBPyZ>fTf*O*s?f0V>K(jK?~C% zgEzff%T|+$qO>3ejC%$v2dSCJS}ze==EI40qwzFCxWEbl8zYO#3T(9ca&#oDRRd_S zMfR2>+Cr9z=R#H3lwibcmT6QTV6aAG$ai30yK(Oo^v{qKib@G75%3x`Ibn&@x^Ym` zH^EF$fvIU5*1(P~K;v719Gb|U1F?Z_P_VX<+^9&RvZKrr8_U{Y0KzL%M#?mXfZ_~N zAxRDJpX4mUiwh+K!%^G-?1HK>iZF*qFqjY2qO$e%VS}zy*XU~4de&Z8sct17wMrL; z=k5QRe{O8hP*ZvBkJN(-*!tmpq38s?1VmA~T+tu)vlWW%g-lT_}uh-WU3j6!M z`R%_7U#(xRo~gVPUu;mqZ_f0)!lqV4Zp43{K;EJmmd5*HGlcp{_2F$_Ho<#1FJjN zn!5PFV%Oc}%@~+x{-ddph^i3uiORvv9W@P7`eQJBfO}@+9znl-(3-K<#uW7xLwQp%g(tara zx{5g1XWJf9YJM(z`kGHSoHFU~SURxUv>$0$5q#VESbme!qml3AaYim25Rnxg}K9-qT*~N~Xg-bF!583RM%99y5g&(kXjUt{U zgjHIr4+ChsVrSlA%5B%~9Ax|Wxcd$+o-IUgWbEvWqI}MTW~O!f+w+;3_5;=#UfmX( z=RHp5ha-Isq&6t0Jq2uP$7m0UeU2TVrwhm1yVyPi^lA@3pUs>yKWlB_)k18S2J$D~ zx@g6bR*3b!1BDvI7`dbWf)JXgDYpLmC|Yyvb&M{-YN4`AJ3@bB&0lJZH!o_ z$f@{`)#sk7pSF!3H#8)x+s#c!x@hG8)Z*WNly0^r%oH$e>%ep_3qJHlA1g#zM5gh8 ztM-YFZ`5`f28@+yu-@p#%Y}p6ny5wZXN`vA*nP+m0nqZ8wg@7v3TrWQ;+`q8>T+ zwPr}!WHPa@ZR{mxBv<$XgtXfhAYoA@kP)f=8@%(JuK@if0P65wddn~(f1qVh+o3Y6 z8s>KzCY&9|+gGcbQ1c;{fvu*NGUm0^yHM5$ zSGSW*Jk4KdOJxleu}ytBmTZ?D89C%^haUC;j$n!3tLJE}+U{5L_6W4#Q`I57sX{y3 zwS_Ey2gZvY0w}X|f&dE+dB@2FWacIs7FGzUYN40+&>goXz8VenDW&`qgRA^p*+&VR zqIxM`eacX!dP-)czeT2)ACGhRm@j&sQch{-7el9qU|lLfA!JqT(==UORn84ht>kVI zH2K&LDYDC%Au*}wTHmY(&@J_9^G-M@?~oQ$uz%?$szG!DMkFOYNjv*I2UYS)ZJ|1( zELqV`YG_>&rI!K_lFwxISmR}?-i(`;5zH4FA;^;}0BlV` z#AApmt2MU13iHA=AjdI7Prx`6v)`+`jWdl0oEIw~si#iU*j;)`5|xnLQvv9m6R94YDhy?5|0{dLkZEh1EmFC3P8@pwskFe*^py)!)cr5 zpJUsU>BCh@hhI00cTE$WKBa#U5md5osjfA%vbx6>|j;&wq;xDHU)t zm3kMuH5WVzH#H(HTrdzk^z^9QTd)K~%uI1?wiFNpqR<3qL=wVzuZ3Fyio}I4jnq4aW@uRugcgTze91kEm2M zROebhw;`V6fX|*06Y?B{!mv_PvLOTzJwl5%l@;2+mr)8mi!;RF)}j$ytQR+`=>Xxi zqHf7Q_%C5uj64Xz~SYW={=X>%JzRi{3t!4XE^BJ``tYsv&-xj`{6)@I)t&=76`~Qg~_rJ*c{(r}{zC+ml z^ar{r`2EWixqnfuVEBKYpMUtJF#Mn2LC{So&tbi@--i3$ zjXSY0|I-;@De!p|8-M|1#Ui%pAS?-au&WT%>MmgllDV88rkm9sD|?uxk5F0^;TVkF z8U#=Pu6V9F#eszbNU%ZXCcHRf+aoguz9nX~YG+(^*8gF;Xl&eYB{1jw^QPnPn(!EM z{h^ZJ9~|2o&=GxP`_6u9>FkF;uqnTD;Pw7NK7_LGoc;OFDYUDX$7@X z-7AvTP^f>*0$$h32JM&iP#bn&BbFK%5`y{R`U`fKVN`A!n$V>yt;19}&JRt3T9pA? zL_ajdhTGGHWXmvZUu{H}+XJbTL#gq@`b_wo3sR}~lG?6156&h@*_eM617BIURKOl* zB@0f>x-tR^GJUWx(j17i({9l&8$WW7m|#EiSjfofTUu@C1qnweTO|jtxSA$ya-?QxR4CNozr6BGiH-me_fB(787{{i`;;*TT%Yr zO5#dH{>u{xDF)PFJ5*4%F>K3~lAI6?w0=#Fra z4iED%QH=;4DM^6h#`(r!(=kU%y23+{b)lPpcPcER-eW3S zUyp)tnbU?aG-WJlg=0|u_>)2+?+gkCWlbw8l9rfX&Eg{=XfLFO7{d-0PtCOo1?*pK z$%hMc0T$dQGb8~cHcdSt>2`EE#_~f-0zM@=gqG%2Ue(&8pE%t426*6eL(mOjnxPs{{6Z6q-@{Q` zYFTE*uOhfvJX^6Ge%PoWginVyR1v!xtqJw>HG>{BO>C$G*kMG7wHQtucKC=p=<=36 zb3~fcR1-mQ^){r|Jn-zVVN?mP%nbqJMX z1-E?T2RMy%7=k|~qSJB#&Na7{qkU2!k z^*F19IM=7uZe2{>K9qt`t7;^$!Xj4h@Ep}^AZ;PJGU`o_5-|xQttxS zF$lpB{4VO^_3Q&hNhkN5ezLVM*aDkLaf{O5YiN7fFTN>MDo3EIgnvuKIjb3|KqnX zuz%FG5~fsKW+Va@UX0!Wadad^@@0qNYfdGkiwj7nKMO#mSELM6NiV>@M4(SdU`|6| zDI^v$FIHGa1`MpV(C-38COQ$-YZjwAAiltX7XwkC;zh|U&J$kcLE_Gv0*UtVF;YBi zHm9}zQ9y#M7Xr$VZs&qwSeUdDSSKo&*NPT~Lxh0v6O$;ocyS%Te{l$+j(}wW?IRl^ zpjjx~Ajh~yj<%_|JWBOjeJO!k)QYl@JSZGgixR`47wrjc0F6!JmQI6MxCxxG%S&xb zz(_m*&pbP@6Ck$8q6BqQqIH7+BqY+hj$*q>SXhQXAf?>)lu3-Ztk@_a9V3ITz@Xif z4@1uzoN}Tu{`V_aSQZ&@tvU>LD8>+0r-{1_(763dx9U2!0&LJP;Gm2VOfZ7?_+Oyd zG0@TgKsabtJHd)2kLj3Mi1gQ0+=_lerAA3V zNK8CIpoXPGz_CHY0x{8Na2}L&y^3KS{l{O4i$V~*V@KAbcy+6Ur=pfQ)2w#EYL+XI zs=5d4^n{$4^o1Od4c{^duCm`~(ZkB($#2|+n=F`d?p8xhck`@YALtSZ3HuKTm%|Of zkqpCcOjTT|_rm1kNce?R2s-k}k`m_$bOtWei(<6a!K1J(imYfO?2K?iR9YBXC7i}E z6&7`|C=%iNmP}&ePn_Xn6N%hrzP+~~OQrU21 z7$0m{X3(c-Viq|n$_Ht9-cCqpZAwDPIswdUu<1bh5R*w1qVmAx5l&mz`Ny0G4*xp# zUj~8*9U&}gnIU*QusQ7zp6Yk@K8~kDAz#rVu&D+T(ECCYGj&6Nwh$|-(jbkh*pAF9 z2`aN<(i2-kWEEE>gSLphj$m1g5x;=7qE1}NL^K}hh>89u{C)P<5&hE-`HgW1!XPw` z{U?p~8AK486*K}F2|(2&Awl#Pls9z6eM>j47Hr>w;kPq?6or_*-(zt(LeYvF;CLYf z9aq%-D@;K-x&GILigLtkIHf7io8@^zjw*XY_VAU;e2dvXFwdGlLAu<=d2^lFtg7Kh znhoo6Q@`7LynamDmXQnwl@=p~PN6vw^k^&x*2R(*5yKZrpMz%6q!el_(eIS%9b&p2uY@7?tW2oc(4*_iOl&jEcU|FmE9)PEgSYJV|}q*=APJY zV;31#ULa@NW0&9QL+Xz`!fVsL$MJ85O{40z@+LcPBB{aGB3#$knFJ;nsbx3Wt;v;n zo2((!Vm;QYB-CMYzJXc?tQkACm{wYMb<8GHi?(7VcWFa4t!}X{melbda|2PP*&3{? z+BCLK+ovEF6S^qfKXu@PcFx<2UiH)H9xP4b01;EG2QdDx3#D?{>y>M=<;=1K?8l<+aE8^if*51>EwV$4Szx@zA^1A!g1QtPj}yBZ)CjG%}q{#mxrVZcWd zft@0rJLo53mpTbA;6b*q9D7M%#3SrT?)#ucqWzW}E)Xo+S&9eN9L`^6g;$-KGwubn$9#yUw58P5P8FBID@; zuyw)(d<*0_*6}$A?UM5OLP#p#(3EWk0J2sPm0QMg-$`9nZ)x#`TUZCfCp!xRIt5A? zI`AU&KU&OP4ew{O(4O9{_S3br^JYUsO71e$9eF271N{`@y)pq>3)A?S;%Zr6LwZAzb)P^4Z%$FK)&iJ$}8UKrxCN|&WyV)j-# zMT`veAQtk7*XP6EjlP<7s+wD^rEbwe=kA7|WB^S@ZZtH!Q9V6$d}E4!(}r@3+JNA2 z5J(`svnj6UW_6@H2aIiO`q=o)#sky9mA5N^ov-Pg%cg)ij(*BOL4 zbU!y>poWZNkd6)affo{%qB&DoZyK4?ih-wAAMVYfMs!pT_@(ayf7`A7M;p=~yR&x!l#a|T@SSLNc+H(#O|KYIkKLHSSUr1aQp0w#n~p6CcLj1dphA*zE{r$Tti zI958C+@;FpY0BXhroi$&NrCaAUZz4d#Q2+~y7CHp16=>(jlilpf2^u^YM{Nh zz72qzb4poK-6&~i$V~efJ9dgJNAlL|F_eEPu5Gri|A$ZZqPl?&*K?o=FFZydb~39M zb9^Pa!g81i7p~beq_p)VJ!U`V>WRMX_=kcHP@NlkFz==ODuB}`Sf05KX?Xf056C_)R=L^zZwF=SoFES0Ns6U3;`e2$TFc*&w0KcvN(oPmLYq=Gi14OOd8O?&S4n{{TgO|Us2`yw@zby=TO(RmJ^evMzFVLw1rD` zA8(cTJHT7zUZYS9QPp;w5eZA@#6^O&gf>!x7elM%x`Q>0VQ$VYT9`;Q+7FQ|YpOBq zT(E&OVj*cvj9M`pF3I;q3DmOAj05&5TEEDkUBzK6O`PgP8dglcO8^r6dXKu*kv63k#o$IAwt6 zi#^Kcv_0fH`xb^tg%G6c?ZQK;q(mkzNaEafvSczrxXd|NH)0;p?CN?bg^5=lEwz z)4w!^;V&Sj&n}5={3Y&Q5{drhF%0`MH~0OuKm=0_6^BCi>I{Esp_NQjLxTU;Ur^pT zmR8?bX2RtbKm9eT<&$_-`~mr(zeLDey|fU8+k2dtfNdToB2+s_K#?=4z9v zjJ#@o)P3WJA4!VXeOs1}xLm4|(y(XajKO&s0u_W~Kc-3vYNLRo7RLFarmE&4Qbt%& z3!5$M8YKXE*bX*B{)O*suw}r7G$D^{)UabFi4IjGG%41C7r0Vafv+kXG>lV1d9W5u zI+I?+4RnpiLEur?fct|7?+WS`od5H#-_;Z#4%kd61h1A7fu*g*2~dwx|qKgBTp=~gy%epT1ppCE*+o`H$(KNH|wow!<;2K%UDjQay%$a!Nu1FuB zQp_o%EP?h^)(v^8gPr6x^ZJDw0)5j#G{>MAb$5|TB?0h66eiSrB_Sblj3EW=?kKS} zQ}Uq%j0%#89S%0XIwcC2GEqrmI!A*7m>V=hj${t#f}kflYz`q(gpNbDpzgS6{x_z$ zp>c?1VQU@S?g|m(=I{SCicb=n1I_RRmeEYQ6}KIOHAz5S+267S12u|42+s}%1~u$C zg+xpg8Ua>-KNSq<*qBP1KTJ#5xB;py%6?NUy4aErJ3_+sTl!Mj`d-`DKlU6d-V8Sc z+Cycj*=sWu-YgCbm8K0H3^&yjwDW`ohkj7mZ(4$WlDpvdY16%~ zRVJ2UEhK89utUZfclO^?&>5ND^ON$=v02aYhQ*9{U20@h-1xe(HgD8&vQa&{7`n}PA>Tj?;Q zU{=NDMQL30ckI2H5g=|DoD8`v2{x+FOhU5<$XnD};d8|?WBHa8p(u{K;8~kby(mJJ z7D$GGSfLW%NwRly6@U`j4G;Daz*iXOERf)$BJlDc)sEzW5c%9JbeW)3^kEd{XGFog z=Z8>W1Wzwv^boSdXPjDGND{Fq>OslUU8)P#^>3X>_-V8#^Sc`Ux0E0}DJr!}Nw{{) z3H(8O_MI1+4m*DPNysL)|G;*{wB#wmn}kds!4xM3ab74A*qowr5%mplAR!A;cNtIt z1P%Svnxq7iFEIqe_7jF@eQ*@(wwaIy3d9x~Lqne9QbaNnxXuJ&oquW64(Onx1_U=6 zKnvHojc;<0M=5ht|mFMbTC1q*-ucc4^I0f6y!V*p4f1Km>) zJQRF15LG?&VHXuSh&!TE)e?GVu#U8%g9dzuWG(8cetbfp#R7{uZUFFa!l_eyN~9@X zp9xsPrP5ux&?+~fLn53q4vrW4KhYu%(m9eKs2wC+6S4u?h&OV*3CeA7BC%28O`c*a zGVP5;#ZlOCTPca#yixSBvR|gX0H2!dfG6k_C~sXwGK09hNs7t{gEv0xzwUo}_#>!) zpgul4tbh99gOlHQ3fc)DTpJbrlTjJ?X~Hj36rv9r`9~3LSn%+yM8H@&;kSMN@Xz5g zL_b94^<5fCLneqF*2%diu2R7wVfIlEO27(pp|LwVRwiGmaZ z4MSTrY@>1MnjUY!NRuV02n8^2Q18Rz6NH|!B@>UwLmU9AK8;fH zcbK>;3Ex^jH6;u;0$l!(){iRw!wnF!(Dr-$XiWb17;F676B_mqKl@%d$>DeF;62z4 z_}^m&_8(tFUhg~}UmN%uQG<%wt!MWdJ|Y=K#{OyL0{2G+_}MZ)JYAGgx&zR8`1#eu zNF%*y3&B0^3MM2#CPo|bZ_v>i&|PpxFY)hqFF5!{2Eq@#U2M3Rh zh(h9gXa)&7=$D-loQIDqzQDJ-P(;}YDtwyp-j=1J$)e=(On)=_OTI+8VJVRJQ}y3J zSeWBT^3t6N`Tnlu9~ROoKTo=i6r&^nE!-(mH2a^Q#pwU@0uB0oi@LZBmnIBL7CyWd zFzwQ5y!?%Qxc#3TMcnfXN8%3T`wQoB!oa@|hZOw(`frDgUZdBhIL%NOcMdyYyHvuk zb>|}*LX;39MLF-V1%1?=bS)HP!Y||~CcdcT!{)XT_2OvVL9BGq?4+WI+mP5|hph`J zd-wX5_fQN=kMvW4wD_Me3oI?;m(Jja|G^kA{og1C6czd!61;Re>KlTnMTHL%wv?L$ zl?*I+Z@u5LQ@=T6AqwCSEu?qT3&fDSJf7TJS>(w;nT5_EY>0C?Y*OHEUi=4b@BM3&kk`1tPo)y=4w5FN&BHZ4f5`XA| zzCCOj=|e-=i{zmXFP{GwFNPF_f3df2KKZ=8fv-b7RX#{XrR{?!BU}NV+{h8z2GOm<4abl5p zZsuL@QE#)Cne;As&Y4N)wg>O?5jG8uBZFgEL~lA~2^qtH5Q6P^mD`I>+S!X}4a44? z2ZR{Du0OTz^4FREf$OR?TBqP&-lBlA`|`gYQ0;##p^x%wF^iJf8E>SUG!$X+NMhKHYkze7<+J5*|##% zC`PKO%nRFh9H%xsH2(Gk*q=}E{Z9F1&&zw2MeXqF$+2>HQS0^I^uRd){N(_0!iq9F z>p=C}=x~^gnT2DVL{3Y$v)}KfcWR(mg#b3Hz{&2ROZnL3=K}daK*i1U6^bx-sYlN( z>_M#5F_diN%E&46lzFrf4DzE^W`+F1i!m>-;<3{>r&C$jMjt zYG`6(4DRuV&GOO4nCrgKl{sF>9N(Tfes#y!u4GP}%bcixcX6|GfWONxdW&A=nx_qW zs{s|C_XZhf6R?n@W=bF?piVL-H(OCV`@ISs_C}pWkHc#3A6pLGV_DWx#R$l=V{b;I zO6|E*HK?Di`YU{lzEWR6Zu;x5SY5TVZ9v(_;4kn=bIdIxD}b;2qoZW>+q}o@AzPd; z*DuhQ>lf--XV1CQd@ny;+3W3{G+J8x8%K75^Z>2@*zx{Mb^8wdJ-+=)toq&8s&!=Q zwX3{ZshGDt?|?U}?VlXK3}W#J0Lqb^S7r=|ywP-w3eIb@PO-Z9S`}J+HuK!FX~M}? z({6w9a-#o(E|M{1I|Dzx{yX_WeW>TMex3CDmD5#A?bSRyxIELy-F>0u#;+dnO~~~P^Ra-_Bhiz!N7RoVZU`%)6jvp$B)z3 zs(uFEt@xQhNeB48&{waqHq5Ws5Mc2VF<{^|WML8EIU&P++Ih$;qcr^a@f#!Z(z*=O z3(}3=fl5G}HO_S1tjiKvvC)?e{kPCna(;ARlFU<}gVZJmTo+goRn#p7hhIm7Fjx4U1a zxA|h1x36CD;+~ZX94-ZT1ioprO6PPVB0)u(j}>;zY~Qhdee8G^EoI)`SDzpAf{WgG z%ORLh2Vky$Nz=fOM!0R0Q8&<);>%aGz50(l=w0t>QKq@e^dly6+yr>{oq9+@VQqbp;CPwO$aYOJq%-Ls?Pi*$UF_BeJ|Wuabq#c_Me zN@-(g&iI0gAQG5R z^g;GX+%Ubdy^|c>z2jH`qXdmLH;)?4NW*4l!eL9vgw>-Gx`+`QH2snXv<0;uEg3lE zOoguyGF2{k-Z&C?eV@-=`0&7lNkL61tzZa7X^6oy2yL_1oK+%68{1>k2n{(n6dSz? z3jT2NIhx!<>+}+ZiI$gC%?yD^J{v-pBkM6DZ|t0DjK`|mBFF8_OtU#O9Fy%!V26)T zOm<)ih4*T=F}6&@mH`$@M4!$%j%`m>#*M&~H}7V!sdHT<>y6*Mn|?6(X5^^BIe20l zXtBV9`AVt(9IHW%K!HUVtz{ofM;jwYmCl(Q61`()$cf!Fs#Dnw97_QHdUogHyWXU? z-%EP4Y|IN^^ar>20VeGWMWzu!c!W1CAD-gH6VCi=C(eD>L&x|oX6J)`A`g@i{{&-{ zj)E-S6-CcLLC*4wY0ychIO$>a8{q7EcGCwdZ46Q9=}xdfvvry*)H%#ttn{c?3p;ra zz1A9bV@E@gqq;^S)in+V`OQ~jGfvOwmaM#Jmlk>HeO@`>40~=3wz42fDt^F~%D82^ zG4?Bc&tRgfrgyBb&R&`F#)rNAPG!>DhZzB*Q2Fz$QbWB@0LFnbgpSIWkrZLdv&Z?0 z%DWy$k5Mh)f}o*YJb*px(h;pvbg}}qx@DTFJGGJnzHRAI=d4(Rs}ee5bmV9#wk*;( zqsB8bnXBT_VQtGq{eF~Of$@CE`zEvVxX?Im{`x8UhF?er1EETh>ft&i~$Xu`pS=viG>7YhUI#^40FH#Qy4G>#Jfqx8Gk8N25- z+u6_UWPR~KeUei~NA`lQIL6>~%SRA`+QrqSneDME$+XUzvDtIu)q46`#V}^Q(QDpq zZ*t$gkuw+o&ZBEpV6mLU8Y04=Hk6SC+jU>_&6+NK@MTl(vToNlw45-)e%*$-Ism*RgXBe7#xYMnshqAW{+#d_lbu^?bAFJ0F z-uEi?vBfbfrG8o4tNHWXWj5q8guYOQ?X7wV{jEBKr0*}DKoe&60j$mrc>gzTZyy}j zb)I=P=(gPUa1sK9xYs=_4Z7KaZ+bY4NikI&tSdoZT!4p-CO~qK36{gzhzLf5!D?+u zW@ZtUCh2?opmDl!2}lYn)zWHgpwWa|SQKp~tXbHrazyY_x`JU!_OLRTE$>)jP+o|u zvgwQ_1#fAS{hbSdq8-{(`C~wcrYrzFAMeNWKJUjd)qCnEtd=xuIgxEN>t)X}hsXh- z&3FnaQrC-hgYhLZp?wv0p+nC1jJm1INmWVJy~;B} zeNGi)?qv1(cw)(*T1aU;Fw;D9D*mv8*|G`}>srraVNElpDyBhr-v9b8On$gXjrTR$ z?6EKEb+&@7yaBOC*Gc;PAj-6zS)4$#kv>qGO9^vG_0<=Cn6LVMvhsM4^)`Y)<*PEk zR1Lf=Wd{T=MF(3l+nk;~lFT`&B!;%psO1hubBi`&;S@~+B>L&+pD&*RRT7u*NzN%= zADFSNh^ul+)orE_3@dp-T~H3}?|AHvf8=g34)_vn1GaUH7SNj*woJZzAn!X*v@*c- zumPe?Bo8==n*Eez<#ttGl!xrzU?t<{pUH2MhNWBRLl1N`eDxY81=yH4Vj9UKN79LU zDqgqKZfz*HFtl7zb(uS=f(cm}1JakO)i0^(a)L*&$$5;&Sa1vA7jv~7Ai!4T3W?ZQ zWl()qX?nx?Dm?a9P$Dd>NSl4rj*2co*Zx&8VUt(Qv{K$U$@$h2<=MR)Fks|N{Yg{c z#+EIy@HsoTo6J#84a(x0TvC}(W*CUQ^TFAksFaRIgI*wDX+d>>DXw zFgj-UGAE~F_Gqq-=b%loR7o8?*vR)Z@_i_LB}0Vpp7SzYZcURs-Vtk=IhBi^L7i~Q zL8Y!LUR@S1Dn4D;iE~-ZS zIpdI7AlRNuq%ZZHj@R4M(YBgf*~r`I_0`;_R9!KG)WBH3%KhNKGVOzktv0u$=j?d> z+-P)Y=yt_S^E^dEj&5aQQ2EihdBMiF3u${g#1%Ah0fH?k@LX!bW67y6-5Ur2Lzg$;*Tv zTBIWgaS9ZakM`sT^?N|w!`ZCkw6J6i9yK7ZF+|23VFg##RlRb)Vw=r{SrkUry zEMch}o^JQEVnR;hKFUBz$N9qlP4+xoCa#XJ>WK=w6H|QcqUag4#|MJ({q}BMVKbJg zX3BHgak!Tb3&`yG1$Bt6uQY<|<_8{veD(O4JTF_{2Wb<;r7_+uN0Otnr{G5BlR*tI zv$*P3%yrqirp$&aFIC^g7>{ctL4#_n$oCni={EwZVJOVhheCL%C|0;YR%-L~pX_ig zZ8{DjVC%E@T+!r2o(%!?vpo95Q%cVo-0OE#(sgDZX)&05nA> z2cMS&T#Ho9UwB(-wNLC~xsS9A4$X9p%nXe*7EHD1yDRT2`Ai_zfS}J-AF2{<@PLj( zop^y$&BkZDHT;z;ri#r3fh82{R1UM)cYZHiVX2qW!Y9b=ami)bY-Xvub?6 z^Rp+tX$PM*?R?Q#GU0>{$7ho*Pi2Ov)AVh(H$CIq#}`#+MwE8vMQfl4bPaE|`8Uk8 zCXj%2zk512u}kEV^~T7`!9`gK#JG;eids;X3|_VXR2G|@vTi&f%6$+bqyP%Wxuh~e zEw?Ei2aZX3XXqbo$!P@CU=&+Rn;XnPGo z%~SgGR^{_n&rMr3?d)GcXKa`%IA6| zCx|6)cO( zx9YijKaxAKQW%<81^Wu|xn)nwo60tJcMk{$gCQlz^^YL$YI{2l%(3j*b3?g#R-Ilr z#A}Hwb#*}$&x?&=W@<$!pybLm-3ZEhpxzstPFd(0aZFHh)9FT zM985MNBkwh<}1dm1{U+D2Rlmhz>($Ag^gT&)pGlkN0K| zM4va$y#eXHh^j2Y|MUpc6F^i~;Yz-|{E6>B5M_6LZO@TH-_%Nq56;q;EG?-Coo(AVd}2?+0t%psEZ?iY6}@nM1G?MAIF7 z&(rnpj_7F34n|#*@Pe3WGVdJ~#n^a86~W14C}p`hz)3r^S=OE60Hdg*2w=*mY(`VX? z$=Yyop_XieV%!kJvgfGKch03uscTgX5Bp@2IM5R@fdhEzOuc)wmK?49U?{z?nnSeL z<-wQu9)PMW|4M=p4V0w@d}9kwOw~_e`f|}_?1};v6|mF*PzAn^{O0^8?s{wuh~PCI zwezsrefjZ>I4LD;@xC#LG+`b#iigc|!l@<4;;H&@(n^ZaTe*XmgGvnYZY>be$`a14 zbhP!DQ*3G}f|VU3zROHM{0S>vx6Pr-LPbHK&iH*IC|*$;3N3v3Ip+4lYGHZysYFw} zsWAJXel%2@hFna{$cju2sK{p(T#)nCj;OuO1r?$N$-ndr+kN)&0k}M?d%*X{MW!{R z-{H_VoLZuI#7w&f5;beE!%fy~mE_uTV%^{E6whKc)VI}S`T0Ml_ReGp_!u|gl;qqo zk**Jq5|lRJdskO{(I@&;4-9~UIPy$Q|AE<{Cc)z^r*k@eP>2T0YMBreRtWJ`Mfit5 zcxBsfM=aDYk~?q9VLNA^w+HZVpA}b6%I^KcS;u+DxoSo-0Atcn@#KNpV>9!vxzMmx zZcUnNYD7+X<5gA*L+QYmL$<;A9+*8aW}TVuw$k&dTx~I3_g7-M4S7D;kn0kIKBW-f z$?B9U>u!LDjFxc)_nUwZnmrYlh_G1?aX1#J<-BZ`)%mRM`e$}3tj`Zgap!x6F*mMZybrwJ(5hG z9?R7p8%gGe`zjrE_cdRQy@pjj}WiO`F_1u(yLLP4* z3)9jbQ^tq*;^FKck11YP#uHCFMfbUk5ue=~8F{*tgrpr>n16j)(-j!B9@q+;jY~cc zgHLa$*KFc21usiF1Rkjr)^GV8GGILok4P5V;8HXa`~C~Nw+#du$yG$)+(Z{1#Ez0q z%}U=HZyj;6_`NFW045#BK^(Qy9hU|~I$3MiokbP5Yf&BFkVlm&4&x8TI6h}zbUZfU zJYiN%7JP)1$6gXeGd;QaTell4Y8jQ;R6bfC<=G>d^z7-J zGuLB{)>7%Ao^-mxdiUSNu@4H*7*hiQjHzMpvQXx?z2$EhD~NvCGdovF2p-2Cgc^yU0AA-y*(+20siKSe4f%Z-#FBBdm}qXX{J zsTTO2RMgF>Mca}@dxe-wIO;fGp8NaTnwN^QA=YaVjrzoTBU0Ges}#+)bYp8mdCYET z$2^OlYkqq6;SN{2WH;RQ-PW=!ZHi$0rX&W$-6UxOr?Cl7mk11pZtor2>zKzgXX zesEzyXgj>#}O~KxARFW6G5>a_1j! z`;ABe5su`bu_QFXNgPMc))@(MGkH_1@noU^E;!&2@+U9#%sh4|)kx2K0upP(yC|-@ zj*CLKcpCa9N?Sn7&VCK^#sd@f1R%3}K-yjB9Ace! zfytSoi>=V7_DIL2htEJ>&$p)!&DWN58~Mg+sVXM@;`j1_EQ4s?Et^#w*LT!a6OWR}cR&L(DW!|p) zK_#W_3liN>URiVXh{uwlLkKScW`dAu&dqkWMO6WNxah4&_>~HANvxz|E>P%+-*4K+ zZXp9F+AabuPT2UqY2Lx5@FI{hYZECL-c_@u{HKP7oQcF&ehr8*|5*C5e5Gbv?lIBt zUlh`!YHv#MD4k*^TIQo=rd30@8G9L$Q8s+ny&x(v zMM97IvG2dsv_rjEC?b-bJ!$vUZNEE!dAY77B*GyBTfU>dXoP_Gk(MK8>Zeey;dHGI zO7?Qf+mIvDDt)Nr@ih;*JJ=xd(*(Rh9s~yZ$dUM0Qb{YFOfKFgle4-a7fJOhp$z1r z4A>k;37R>>W_-8v@TF$aMq*UOmZ_MqUGci9EzOj2E82bk=pQ_=Eg6Mq1YLx-f6eZR zdROdWDfeJ@$kBQGU`b{VKV&jaBhxXP$UH4;$5?Svnf>C=?FOjVLXJjMWh1C6^)2-! zTwv@WRPabqN9m8Wq#vFej+aQ~CQA#eWRldCfK>N}f~eoXo`T}M?2^Gq6+$PS7>QHP zNLUmR6`~gDT0N$LAY-CWs$czZH(C2o2Q?%`o}s|6*eQ&M*Qx{0ST_3((X~+?DjHDs zXdp=L!L}qo!`e(C0AfYiuiDfO3D2pFX{qoc+n17j*F~K;<>YKve2(BYNp5e!lc_~1 zErMGEovIp@H;rkXmDO2w@;(L`C+=oE3dx1Ec@3XGNL)t6R3otbF%ocJ_)oj2dpR03|GxGvAb*_^byZNf1^AutlMnMMT<>|&_-u4Lnwby=2tsitJVG6LMh2C+!5 zIzH&PU;$o%PWTIl1PP{NhLDfS8W8>vqs@p&1Z2ofbyL;7Cjc9<$rrrCiUc~mGFD2b zbY?=fYf&j{nX(#4_l!nnd;M>Hi*t_rq z6MvM^fgf(R1%wIzTB)gp5y8SsN6F);az>Uul=2$KG6|WeNsuDnsohL6G1oj=>tR5j zzbvqMltroXQ5;Pb3=P_k|H}WM9JnenlMZbiO@pU%c#i;-&;PN}u**=oDrD zPCgZ;9k7>}O)gX*g9!-O7eB0ywZ&!A$VqZ;qa0&OfBw&bd49sWWDyboXZeQZye!RRB| z=P53V|4F8nG?N98%=|C~7_}OPyXNK={mLqP3|GiE%&6iT;fT(oMh=%l=E_|R~8_>(ttGJXk0)@u$C40o~3haKNhGiv?h>rT> zXeUks1eXL*pj_@}2xfEmnEJ3;nc7VeNFxtZw?-rc!K`BV3H=d?7_^}Y@=I_)EH(9# zx}hA`#eY+zcITgYd$wsS=hODs=p*NY$$ep3C+SVR9FRp#COzRxH@I3!GD%-`Il6CjReW`;?#0Qt*fN~ z!VNQ^$oRLRW26-gAqbadvf3tDfzos)OFkOpqUBhdj`8%@tSx+;kY1l{A*Hfi!wN%9>ZuY=TYcAnp%CnVk*bR*AJJd{`4@Si5m>Ln+HhJ*Z z0Wh}2zpJQyG(g8N=A+4Zn@T#BmSFRh5p_f4u>OdyRAqpSgU%9>nN6TZV$l?w_e{PL z4R8^UdVGQOI13FfVqbmgXR-JsIGp7S245T7 zcs)x|3FG2lvb!3qH~a~%!#}I21CqrNF`@W+AaSP5U;&+8C4JMv2@jLr4Jr-Itmf7| zM15KcmJCpvr1bI$xIyxulqaIV1-+EIRBZr%B+Qoc{*3qfuyTZJ9}{+ISVXidol8jE zA5h2Cz@N|~HE-o&D-7LBz3)+as8b>>FTPT3B7qeK`XB};b?HGcSIXNxv$|}#xr~_M zLqKGaW>dHZsahn)%fjBs^KXscGG6 z_oZ9$B$>8piA`4QebPBr&T1S0IwN-Gdk9kY#7PC-`%r528kZRu*P*YJFw)^u&U1fu>L3WeT9T6uy7Y>R&CZ99Q ztQijR!T1Eeq~?!SVrcz{my0@H02w7+8pokp+o0C6ZJ0;|A>snX1E(+O$tYI~LIIOZ z!u-qs>-IV^AW;mWF0VX(ks3M(*KE(27z~K@z3W&}`Gn47#{IF4#F69(6&1^sx<5kz?XMga6MQ*J zzoA|*sueg)ND5+KY?`jFv1j~TgENI9&f|YGLWf6Gxd`0!o$vf`8&F0pp$ot3c6Nr~ z+3p^;i_*@YwfDefcAcZh6u2enVukmm?m1a=7aEmpB_?^yNi%J{M%Oh`=4*6`?o)ddNJw!i1Ed4b0u+um}?jJ3$}kn4ne{o(XiNSIC}CH?4Wuby8PJsXrX z$7YBu-gSQ6a5NY9kntLU5JEBjaUJBS0`_dRPuvj#d`@#QXBzoY?UyOJ-m<(=4Fcd# zY%6~SrGLrp6Eb_96+eEJyhAfHXiT`O4pN$D#uHQ`K}zQSvR+@g=pW0kyh@tQ9`sax zNo`=C7HsmU%T9))o2{iS02LIlUHH+}RF!;9CT5ud_m!Kr@cRR^`xJbov_&Ic{ACDo0>_bcZ~#n^?o=LZ&Bu`)DYg|vVwC6 zCKVNqdfUjLHuoqucgkO=MNCSXAo!1TG>7%MMO~2hijfV%ZV96WE=@0wZ^*^ml^Fl) z2A1p6%o>Z_Nwx2+jsNX#we4uO+c>LiT@lWEGYrfyM%-yI3ba|xN{X~g;Y_`>urP8g z$4iJoMc2)&sZCGnd+b+BxXBHbQJt}}`@9LTDn)ZqlbVST2iZd3`Glmxfz1r6G9%(S z%u}S}DaXaBX_~}g5I7s0k#u-aktLQZQ8IFsn9E6i;oRLa6l%e+bKQ(H_Jt#pDRKk=7j7tQ0CX*ZedlGzs^2s6Hhx*KaR^8I;^^`fT|(hCL0V#c6DeRUhZ2zHPuxpZvE z9IY>x>VfE0y#Y1&nSg#0&kPjRt1rG+e&wQGwGQpV(jWb8b9=dRMg^v2omX_~c?43d z$b;+DV2*IaMwr7XXR8Eg81>U|X%WcP%E5I{k=2fraT%Po9N#?JzrzZ1)LezlziOw< zLq8L?O|Bmi?uM48;sYTrBx^%N<2|G8?tCr1AllbOL-on9R`4cOVZ_B_k`)vwHQ;R? z>hK9EMzkAhuUWZtEq!};rLj6Cikm`Bcxq3W?81^=fY(9UaG6c<=xMTYoMHnfp;D<= zS@4914>pkwNY-y(X1+^!)RCtagicu@(p_X;L(yHk0uPRtVoLb}`CO)>~joYHy zhGnfjyEFP((H-*{MfY6mn_2L4tmtYVHBxvW-CnbUo_Zs9&@?}kb_H^`M0!r~KJ!bx zMuogK1Dew>jBQy6LL@+Ap77kaJAM0vP07ev>36@>di-i@Ine~6b>xC+ z&K!xm^UThwStGgE{i*uGrnIMQ?7e4i$T1CGOM~8pYWc_VqIb^egjiyCGnC9HleyV! znnICMx>j4j{|0?R1i)hsY_eL`<}Ae2&l-;ApLBeEhG>E67X$?oB~MJD3$dhbeULwT z^8tI?vbC}BI?XOUKv`+8K|o1Lfp*iy2GLD4&EKqx(B_YkySHD^)-`Ht1{LYdH9|0e`0&hZBb!aB9ART3J09`#kcI- zfXvbWlh4XGpG1GufrOiM4;)Bb`n9JD?fB!$t-i(8{QLR$DeIY(*FNy%_5IH%b3;^( zA|S5(MM{879ScGKKG~6~(d>DJC%>;t!o4@u!X z$h@UYs!WN9#3b3d4Q0Z<;v6LJE^ zXA-73Gdnbjh>U(yy6aX$bg7qox4a=}3OMiG^aFXEE|<31xi7GCcyi5WnXys~|M<1}Xm+Ri8Pb7bwzi9QBzsIg3SCX_ ziS2h9(6c}@$4EC7W?MyC(+$bdSAF#x^&DC%S5jhF!a}NS>83rVVx8K!Q)Z(}v&QR> zVf|9r(#5_N6?;_WFujgDP70&BbHiyiXK4mwAVAAt!AW7qu)WjxhNPtq5vZ|h_-Ni-V=BX>g(Q~lWFPZIunZ!%D(HZ_{eky%b_Iknb4gYhpFW)sN zDYfld4cDtHun9M(+|Kbrae9VF1alZ5&ZC|%VAQwfwPuls^uw8(4r5AL3Ks~$vt?bp- z<2qmCjE|Wc?jr{I<0BX{x<}G(aygY=N~y=~+!c9nQ*xpG`7!VD-~=S(kE<7_s*?tW z-{EmS3fD4m0=1!JE?Fbp*yyuzs;+t{*cBU!LUD>|Ojtq)3h%HkWjvL0pcFxwno75O z)WKnvO({8k5^nb=4Dk)u9g-vHA*^&4Zq2{u+njIo!hYlURj7++OaE0N8!gE?jQg{H{>g17C(;%LoQf<} z@5g;=WA;m1*aP|NMvU33fl2w(kxA0@d=8Cjmg6x#KR^8W)MZ%l)s?#4xB3=PF+Z{T zZE9rRP~!v@Xeuhfr>;YKj!H~)ZYGs1JTOYdd`$yJC>=sf`2;?(@^bTwUkA`>cu9*F zu}BE5;+LAwggLlML+MY_aMWj&H$42(q^4!J(5sY@ul~nRc5fTD0t&kGY4KnXR--Tc zH7!37bgD{+wdILlT9_hOJFvAg>iTJqJHNfN_1??uCT8&73cmi*hSKRB%>!Iu+t6PWTk|vM_d7FxAdO(uZn5#(i>qv$HBvV4 zVenHM|57zf^xWO}8kpklWhW9*YMS*;>&w0Yo|u-@?%zGQpW66W|Me%h^DS-D0oK-M zpJw-Z`Ng*3N%A?pbv*&|Y@Xne%cn9}2gmH2&)xIixT2S>VVVpl&_cVC(y)qqD zAKiLbtIB0>eLhGvKZZ{4Tk#X1v_DkvV)q{2Pi_9KKmF8wHlKsP%IR&he}rC;{kO0B z+3dBet>0?C1G3ThDgCGP)apXt`G5M+t>4ala~(L6zkBWB-rv&K&MvBQifcQCw4b_r z?=(G=h#VT7eK_3xW22YZ{?y8%Uc25sNBGg{{o>tUdN8i5$F#(wFabXRHNOztdwg2c zbwiRq|BW~P{{8koZ1bESTkqE-Bo1v_4*T7$#!dCyetMb-G9E+bN`8^Ho0x!R#)Elo(XXqM@e8+mZ`|<~l%CzL~1?|!uLUwr3K!J2d7#`WixS^4OD`P02N?w=jLuZ`={ zH5Z|kTlck%!<+EJ`9N^hXV~qU+E!4#^LZ-8wr~4~a5i@>oOWB!tNfR$$!7d1486U#S{s)ZEBM^KRgO@-(*zD%4Jzu4Epn=i;3EUw*+@g!y32z zlj_C(@=sn0y)V;iGad8-93aT+sQvDd-27)ok(%24ZEe@Nt<@V_SACCqYYE*{e7mlH zhKR<_JO@-FsCJnCq1nuphFtDb6H>?O7qk}B&*p8Z^PsxHdu8`=Vb*c&ytETr_u1pL zeVztbb)B9(8<_aEY5`U30(oLLOQo89sNSF7loIO=*u(n-6BPDvwP62gv8i5~KK`}SPwt>$B9rHbBY6nK=>0HoGdm$9(9p`t?#V%DhbWbd9e+(3lAq2?6$m9|! zwg{$5MQ?-~lk6UI5tcV;b2X^G`j3CcJ`l-6P0DVUi6}fEyCwj{-zUxq3I+qOb3>rH3#iuX*S_i$! zh%1))@)Y8_vf<%XFswg)br`o$+I9Vc-W1$T;^BuAuz*N-Y*3W@ITFE$1%?9aUq%BI0uutDoC~*2eZIia+n?L#DeQpVWc#)j| z-NRoD$~Y>r<7a)@x&JK8xv^=Q?XmQ(bd4^v$I>!YYFw^t`l!;B{wKJmh_0o4OW%~d z_~LuVzh32~0&-6W^q!6|u%lz{pPZTdOz0bHw=tJU-{R_25JKVL9zUUr&VhLvSm+&v ze0eK7vI-6Q%(Z7>Ti|SrMR-Azia+TuM6G)6YaTTQ3Sj`o4=jXn^vBQUy9F~;I$`J# zz!+*3iHsx$0OwTBXWjN1xXI-LCrx zULO<*`v_58U;bOT_6Z6S2qkr91yet6?rPhWPRV5IfzRs{T<;VK9?T#1mdFqzFC|6; zXZ+@`S6d>}jxG)fH}pvQEC2LNjjDhlP2p+7r(H2-Q-f}G?-gn1UJeH?gugL8tflQ? zv?xO1wKfjukOvK+|4_WUo25UwuhARUMhWT<%UZT{ZgpG8D0$fVO^NMqc6Y)&+%~ z=CyNAW5R3g+S)DLsOZfo4H17@;M7L>_qhN>A`DBNt-Vwl9-4FmL${?dI-UEGVKBb& z^Y(-0Xs3&H5LS17_jrt46P!?cY$jRQWz7sh2`MaGa03e$+PM7ZR&yE-1xvC~?S0`( z@_F4%u5aa|*!Y0UKD3t&RVR#XS(&;Y&fjvcddP;Ymp@Ial5~>}_6d4*ERlBR9!qOCiIhJFQA!h)ty<)1S2vIzH4354RU-wAHSarZiVGq2w^1pHDJG{XL+@@o^QpGJCuV^Ke}YPj#Fz+ z7a=Jg7%hRz#pQr4tn#nqC8NGmAop;g~+clk;hqC$KtO(|5HB zF4Anx&l>Y8N~e9TcDh=@n3}?<(@0xGT6b@Y@6lhOj17)q>+b$6r8%~&zE|o|FcIM= z23&?=_YTR1eEQ@W$^N{X{qcU_G6g{KgsuV(%OP6mgejHkJ^9V`dgU7!^Y@X(esM71 zwur#k>(s^G7hW9m;M@}qafr6+A+=`d8BJ*@S`BAcFl`mRy`PPhwdW;P--3NCoYumK zF{sxHCGL_JS*HVhD-H>D?xVZ=)mQHaCv@dt7>9(UO0SNs^T%Mw&#r#EwLd%C{4I)Z zLye2mz{sertrYfY%tF!~mQ+{1r3sHD=d{ zT_cV6j*sxHhy&#?tuGIqLqH|}qrDh7gFR`S5$Pr z5?eXg5EWYs^Y{2i!=~ww{N4HLAARM%dZ!~S4cdY2_~vOaZl@423PGMUVx&~vmTG3^ zNXN&Fv6;ur*VakdFWm5_Gyyr~5r_Z@wM1e}BkX@p0oyMSQu5xRWhklW)E^N)$q*Vhrpjs83+0tYum40%&+ z`V`jaKN2Iq_}-giQa8r$Q|uHJuZ_!7$L%Y z{Q#U^`YSzmIlUj&7rwdvq3^?X`2J2Xzsg^Uu)%BvOD}D_Irb99cl%!cbI9Unblk${ z-uT?_YL^+_>_RB7+$?L|7S-_Q{9=9!PLo@j@ew9{V4)HNtpAqV+)>OgeDQwPHMb?f z`mR5)5#V8pnxe8RLBIAS#ZMkeLR-i0=+-zxqNxyhkYT1Px@ku;=&!WYn{GO z@EB#SNyrHtG{>j;Om12`{3AKN>mfFNo2$k--dCjm>s0oPt-rOx$wA}eTFHL|_Z;5j zJ*e%gKe``m3!|Pb2Lm;dBhgCe7L`nNf?qOOtY&XO`V{A&q;fn`^Ri;eD@YvW58l zXT6isinC?3HvbE+dfkWh((W{Ls5wn)>bSgnFz6l>*Un`f$_nu-lS!^i8=#L;R-=Et zUhlo#--od4493o_ud@4Xk>%DAcAzwp{ac`wvM zdEdJBAUwdIckRFZAMUgJbsLsNlSfwoe=sfl`8=&K_SNw#``^9V{J5jbGp3!tDC*h2 zT3~-l=llDH8kRJrS~=F9el8pzQANiC2ts z+3NswrXL{wAy~jVWAnDue*OoyNn`Y*_uArZEB>nHw)GKcg8S<){e-+FZeq(ihaP$- zaXClcd|UI`{_RkRanXMllXnKbS8OFNwZ#l0g+TlaZn;+h{-2fm?4S57lmHF?HBr!K z7hCOt)o1tTzayJoGcGXLQZF>T`=4UOnfOJ6(&FSL1D_*FZR*KUT+z;M@OotzTGYM zUu-oVYV88B+%cGJHLYpT&?(Pg?R0d2R|cD_42yk98w6n4#Kb1A74*81L28}FHbAMo=u|I5F1zr5{s>M~4h zY$bEv@a6bf30ME_RpJPGMO(`MS?96HyFtY1X|_9tzxVRx^&e`Z??e8F4v*jT#iRQC zra4M&&FNy+eb_r0JZ(a22aae+w+-U9P2b@^Ic;RGg$D<|YhUr*T^kd#_Ji;;eXk(Wrj#|CQe zm_SWEhkdk=C1ITcP@X;S%{MA%BE*k+XT;)ZG#y0KqVZZoHH3}mJZHA?PzwNLb{sjZehh7nL7DF)S-|M%U{ z8&nkWKKupl@}YTKzqSS~fHvd<4d&mTaVJW<^2pJS)T$)wW}* zS}nh;*c|1)&`%0JZYF!0k>;{4EegFc4v)+7K{!lk4i(#@>)V}g{$om$p~v)`ufpu9 zGxb(xo!AA+CL?r26CFCb5+Z0leo95Wrb8s2imp3HA$4?A&JT<9!A812_l$o>5cAOw9&`{roNLo@) z$}zQM&_%XY`*4J(V4-Rcv_D{unui({-H_>yH)PQhvC4DwTGPzZLJJoWJ}=zG6CcN- ziy6P5qBMv|)~<)8$~MS@PO+IB(1rG-XsMxNpQqx{LMqyM)TUBZk7_5%462yf>o}m5{z5A&EdQ!Lc4}np?As)*#!X7-=Mo(?y7riF z@<6(t%>R|F_gl~VL9aTN?+-@gXQ3$9IQG@Azx48_zoe}e6!h%j;1*iWm19uZm0BItAsAnm#qW{Y2ZMwoV>oK798&1~!;^T<|Id4yK`c{(|0NpoYtN@`e@nI?5s5j8KV=alg_ zX6i6imipOjo8B*uCHbu(uzqV9nMd*he7N0Yl6$-9TGYbPXq(R$3*yUy=d4f9=?UkO7Ca^cW5RZmL^ zwY+-*O=-)5K4M{PE*#{)FtLI>Gw0ph(#G=Nu~SiO_fZP?{cJY_WwJkRCG(&=YxFFv z!xBnn&ZI|DUI z^K3cB#yH-KLvJkF$TInOC zT>pjmhB}Ns>%@E!t#0D&QC|O!xTNA@#TadXq^g*-hfN<;XTx`t@S13D>f?0tFn}mx zOKW_DrFz$^#)Z}st@NCX$8eho;#U@2qsnz|2v*-!jpQ-wm{9%p=j59M;+S;uu*toA zQ~WU2-{Y329Uh8iEGh@`mDEt$l?%x>X0+zaDt<_oHdu9Kw)w>vWkHBGW$>IW3Ecum z%W_MxqKe6974}j(e|SQVq}n=KMh-=Jzb|Wv?Da7rS`9o-5rNia$VH8~CyLB{WI_v> zw9{wivO101b0U@h#xU6JSV+n-ax37i2JeL4qfvg2@*+md47qyzMuN8R(?dY6T$0d8 znTw$qVHR3|ZEtwB*cyC?FHoOjVqzV#=k1j(hMB-k9cg<+ltyC#e&YO_6;o zk%h%PwZEJptC7E=RA0Z{6s2hM<~LuzD-vvE$p6sJ$gN( zBXS;dq7**K1h0;&&MI7iC6 zTH-a%I6hBCdL*4%sJTL@*EEunm8z1HFFcEZp=oNe8Q+enrb9-XIwXR@mltTpc3i+7 z)h=uQp;LGLNo_0=&co4&^_ne?`&_X+&akCHb@gP>^<*o(j=a;Pvj7+9qu1TQ@!1FC z2QH;Z>^G9{*nxjS_KFe!MqXP-B;OEI%rcEH$*jphhNj~4M%v+(0AnQnKypMhD#@6Y z!&6K%oyenL4C}U`2e{~NSOzy5KmdHBb~4&N({v;q6z)+)w+Yi)|7YFm6^gMT7!pMw zrkgohqt=OhXUx7XXrAlOZUv8eDlRpBbBFc(tm0hDV6MGXdaUP%sotR}zjD#;WO$tz z&cppvSa$K-t*dB#OH1*|3`LX%F>*^A&XhE2t|rrIx1P5=6J~P)Wy3eLJf!p>75dXA zoH8Z?{KV^J(%}=cdInDRov_EGT*JbRD zp!<9Fa|X%qPt&6s&SsA%*~4K*9~2yV2t%*VWD74BdwosCE~wHHGkmHq#+VnTM+{2h z1cOoFgtar$r4=Lya*QFlz%wh{P^_%w{LWu3Md%IYqu?YiJ$RbfAE=$Xh+FptxJ`S& zqxkk&gGTh`7~_u5xrYEEDAYXG4hUC!ESJ1(%?B%sfgspz*i;0q2eBfhR8!R{jHE|* z;AiR5h(s7Ns%>RD))r%~2!`Q#Ic2oaI^0xXpi@h3)~5TG(OH5>G{>uFMH>4oxvP7fkU6s<)g6sL6VxA(tfMWm)dXU2ff0eICk}q={F*`n)nX+RTHD!WLXi0Dk>Ez_=0=I{35x`LIPhl zr4?ee|yFb=wV$un$2j7c5&I_0O#B@@fgQEP6SO|&4n zW+lyF$u^YD*_u$LUZ{F6U>#^9H@Kq~UG(UDTre{NqosX{=)sb4Y!1an=RS2ZL~+O~ zgQ?=WhOiLrT=&o0Yl?hSK!-jP=lFZStCr2lM5Hb8a3VzmcKR>tGI^}8pjL^@3E4(e zS5teT}qq8;7u~wQ}*OUX= z9M?26fQBe9ADOg8G0G3a?t(lwFQk}WsqZ_2<%mE0@j`Tu&8Q~n=ba0b!qEY|7Cg5f z38PbJ+|b~z&7C`)!{!MzX(8x`eq55Y>Jr<1pp9)g_Ezv?@8XGzlX^ZEuTUJ(lW%uv zDvmOsne+^!Ka2E9fdobrgEzhZ2yOrw=~7w#4vMqzN3Cr#6}8lIfRV~w5h*#M^x#4; z@*SryTrGyT=?qf@B6c@D%W%HrGzYvrs|LgB_1EE2CzGZ&LMiFG(Om6d`ZB5YesR-& z+-5wa>|d=CfZ>GY@eJ}J%kERAa(7X(B-;@H#fPh9PSSED%!~mch~V>*4!>)f(MbR& z>EY$)(_Bm5c_lhy^9TU*aa@NuF>>ei&+qh04Z>w)twPkw(yP;L@Bf@2>_F>{0p?kT z<7;Gzj!mA6gA# z44RJo=&9(=+$L=S&hVAUj)iCIl5)U)vlZCG+)%mieCzrB;RM`wodf?~J;DU~0|XN+ z|4eKiv-F&Rs2a^21GuP{`l zCYLLw>nZT3S_cW!t6pIjUs94u+^{i>ta0R}Q&xd6wJw~TN)jBI*UcFvl$I5I{DWNo(}ri}E&ggCo@2 zoc99Rv$ndXjEfY>FHzPoP75kec{xd*rp;JZa%5!TQ;>Q29GZ4hooBsT=XAXHvg2*p zN1j4rQWVJOK|L2z%BWCn#GgGeCv0fP0;n&ve`>q`vu!pT*8`n8qgmo<#@was-H!KT z1B7_|IVvi`Mz;fp3`XZCYAtD}el%Sh9x?NOxt>ZdxV=yqt*N6@W#1yU*5>>tFJ0_z z-M?h$@JA0Fn$$yRkD$F&QNv{}F1n1;@nRRyt)Qw;kEG*dC*F1yT^iRw6AMc}){=70ZBI_+p^ zG<1&vzQw}xzGL%RD2e8%f4x-@Q|n$<$NMf_AOv=8Zc4WA8m$j)mf6^ab9CBGy<^uT)=tPHF~d z4`~SiutlFDo)x5OT_beL6ir4FJV0CYyZK&+x8()iL+TK zX6U%AHgY^S3wW31?0|nwzrr??xL}rN4%GF`uk!%@401PPi0qumpX|%j|Q@k!Kv_|C;Qhu;@oT)(`!B`g6)pM zx`_-cjl6a96FXiR>v-B~i|Qq|5x@YYlZwl-{SaBl2O5%W&4!iCZUE|h;o(ni_poa#-cn%F1@qg_+xu^VUnKnw z%096ltBlOGR84x4X-TGoxn{p(ui1ZDA3mg^=!U(tIv`d|(r)>|e0Hjp8=;+ms{2Cy zG0hMh)NL&dR_GUPE^y}4&jV?nFk&zS5&f!s1frXTGqa+q$v&Fg36h0a`qkaMV;5@v z&gyUmoddGWDf-tjha!7bI-QMVyXm^{q!OfEPmRvczkbsmwNLoVz3TN3ZK3j2IU%OJ z&SBva{o!HVT@i{(ci{>2>P!?qr>bIFKPKRo%oq=U=(jOXsx}~~{;OFgXE>v@C;O}_ zGS4xDYW&-sU-|Q$k$&MCL%Hk}ya+m|cp^qWex5PPEh3XKDPu{9GxP26AnrFgJVM8Q zQP1ZNR&DDoW(G4Eq7}AyP_;LbWnw?z zNPRfRv;wzY>P@E2TlvTHRv!z#Ag}3nK8*cq)HKSj*m%rjKxZ;S=Xo+&_>`G+U38Q% zi>YS}lTK_|AAG4MwosO^2QXHMRX81qFly(|$eD1B@~h8mS$RF6Q3t^=HJ!Y%W1bgc zKR3SbVk^T&@feNdc;gY^XiLjzorfccv1Gm@W#@+(J%3b)uGam7LUyMz;ofyfYw`L} z6N0AG0F_1s@@u7meGmUlRT?U;UjDSEyBrE*H5U@zHsn!6Q*~INSmf>h?qfQJ+cEh{e(R?~U#nF>^I@sy=1+1{7egj@dk{f-YA* z3YFw^_IPVX+c~ePtytW}b1kXDJcVPXi0@Gq8=$zStfe4QNWk#NN0L zk8Y#U0*|9Z3qJe*`~khht&cY7m%>GxmJvCQC6w2zhHP;hDhhO7)SyT5406R(`}cDc zlDH>i7mYFU&6{G-CYvd*UiW2wO%sSt8M>y;b#_GbUP~VDJ@I=;e(MNEakpG;1H*VH^9a~y`_$l6gnokYD$g;Vm4=h2 z^bRBayRMnR;U>+Y832%Frq}zHQbT!~$Bp$>CT6bl`m)Mz1dS@B%6U}BuPGPUz{R-MAK`Vi7N!7Sk$eL zwkWATo6$HTA9J*QcE+nDyDwF8KD8T{`M_ZwhfNxW;)nCsc7m zJt;{9VYygRWX2kb5LPLrh$J#9r>EoS7i}3VQqDqPJ36G-nn>ubB0Qvsfo3CH(spd$ z4lIjcP9mMri0?SElbq*>R)!WCf8La&^E+rRC7-P`(W&{N%c473|DbuN9*h4dRtP4V%ni!tF+oZ?!C6E zdX;Q$>iFuU$~`_e`}qwdC3ceCKlVZp2!g=i_wxLnm+$j7t>S4GSX>mIAALJ20f1UF1{9HCVXv>RuW!vi z?)XIX2T0u>;Da*jC6@=zUf9{NMi;QtX;baJsLj{yAaba*w<2mH^CST<9%TxAlCe zSrplTt<;`0alpfn^A)2a)GXp5{FewFMGia@kUTu`%yOe~cnJk?TQGjUM2Vvzp_WlK zLA+T+Qs_SJb6=FI#5R}<@vjJLvH6b`rr1Li^gZeYHH!xv7bu3X!g8W)Qn!bY-zY*N z3bLBOQ7ES3Uisulnz1pg>QyiP=fq678x43JPom&3ym>j`~fuf(QN*);m_`-6Vo8=*HTQc(}% zJ`#aqXcY`qm6S5uMg#-xuf(1mj&_+D{XCE9U%!5JYH%A5O{KYI(c`rs{q51j4xr!= z0OA!_^@a;<8NOaw3{ST6xf%P7?!UQ+*qtXJK|iuS5x6`LDGG7-{M)3Ljc7go0#CA@R)Ot=n@Q- zc}DL&aP##|LkW+d`iL9_MF!WV`Enp49(ey^)wK3;Dk~NN+8$PxMyCSvp3bT6u^FfI zgYDlA{#&ELRDS6Gu=I58q6ilhsZ5u`x$%ikx*NDcY{BNDd7&-|cv0L%+T#TCf*!>w zi_X`zhl3#z*SGk3lS5(1POsRrubai5%dpMdH=s0zDqj(t#{5MY; zPK9O&UPIK5MA4++05ucQHVfy&*T{qM#fs|`#RB#iBlqmVDCG8s?j@WMPlu;*-J-Rl zW&pti!C!`5R0Q-cG^0(?vN&paI->oGEqiZn!PCTAi$Mz}7-iJaNKII7LZ?F0Lxa1z zV`6&9@aDvDt+dDSx{2TpUU@$kVQ$eZDH9TH8hSptC=x)JC2Bn7HQLH`WO8+bL@PZ{ z#6%;QC+)U@A-t6ok^P}SKB9R&7=D*I`V}itBkwkv4pEf4f8tK@Nl_{yB1Ylve18Bm zN0gaeiPG1_AO$Uo8!`xUMcRLDCJ-Yb3(aX(ul$>iCMiZRx1hv&@hYP?uFYyYL3AR_ zD3bPGur(DKcHUky0ncV|GyRzv1LoLs!TxsrQqQRuCP;5MRqI*~C>w#84TZEiE+UAw zQgVRwk`wx2vDbW-$7xMOhV!HuHaNF*l^ow2;w#P>B30}f$4nenR5=OtJQ0?!*Wtk2QkB9QYY=1{KwbLn?4{hrvA7H zsQ^(vLSxSxI_il*&-R=51!!-%{I@THYfh}%9M;ak)dJt@ zTB0DPaA#?Gxk6+38BHDCX#}*h@9|eIO~l_0@sagR3hv~ZA!DsHdlra(t(d}`^)Q@( zK^G>4lL(hymf(*Ec0@jYAxUwA^od+6BVIo3#P4=gZi6VMnZAZs@iwZIcBTO+_76Dh z{*zd^{)`iDujl{Xxdb{h;Oy@#9a?rK+`FLFU z+U44D^gOmSj7dQrEi0DQF;{flf}vl)wjV`AbIpS(tfL|H^cFWE{LMla#M$iQBj3Q9 zdwx`pK1lBS!iPm89x)0}D!1eIt^;>wo#RfI!Tt|~hg_S==|EXnZXSNBMSy_J3e(M0 z{UBbx=$>~@*Uvk22ki{9#&V5lgxWU(_tOFAo(YxZvH+51C@))|&(E=4wX2SgyF)WF zkc8u-1v159C)QUe(Xd2zpZ({tkdSs!DVy}5w!agxGfn0Wcenb5D_YvhV)X)risVnP zh^iIJao+m=P5lRCo9&zM9{tuDy+bvGhl2pJNVB6Qw4>+gJ zbtrJk!JWYkqdoFgf6IvdU}OL3V6^sZ{5$ss)U~XgU;0!~eCD{7m`VX9c!I~ud2Jdq z+7H&x&r7t3K$DnY;h|EYzED&+s&LN&990G4B6gna`2=Qx#L26ME^d508IONm5O5al z++VTt#877ce&5f1#~?vrL^zAKyS?sWd59W#OH@fbk1MnGO%>Eb8SS4ku;cTydbsW4 z*8N?lmL~A6vZqU%U5-CUld627~rI=ay0iJD@Z^9(i2E`@=> zIH~cOkfgu9{F@?dl(m&Nbe66 zxQoFvE_vv}cAXqwoP0z;eu5ELUcVh5f9z=@P!AR3p-rLXt?(HNa?#_ z@!eYRV)}XWVK_QSK}wHmPpNvjPEE_%V6GglGu&{MPmCo6-xkyZ!>_4 zL(Ocs?*b4C z^K-5p)^PMBgvP0&20WrBgv!#AAb*&64aO@d06rmu>o zUOA%Rrkv71BN9|}dri`i4PC(-mjP4I;cbAFk>N38Wc#*}&E2Ay*E7yV*Ekp61^gZc z+rmSEe-Qr36%a*&O&$)6#h8pJ2C!r=zX7PpqgbOv^6nOq3K6v zLVjf^P8C!=iJ_J#BzD~S!2&GKlyErOwu%#tGGP>yXJI2dx+^{D_AXi2&|4{*>(Tmv z6cM~pnTqV*SDnobXY0X(L`O~4UTXAQEKR!M%g!j;>?=#|MOOtjI%Ikt;-7#7Y9q6{ z-+6(-Pau&AqTKEth9x0gg`aTz$D-&X>_Di5>NrCd059YKJxr8w5P@Wcte8(rv$r!p z7Nai9l0MZxR^OZrWOoXRb=)_C<0Vu9e3~rQi&vFPQkj4|WmOU7?H;2_8wpYM+~xWR zc{J{)8!ud1h9x+gOK*q4*#Y<2SDk4-h_XM~DLR;=Na7OqwG~o6F*QN3FdSY8uqlj= zV~>o6EoNWXB0MsbK87S%=y`o!mqk+`kmiF3vXJi01f56^ctY7K%P1I%e&6(GJEjZ_ z?lT5~+1;X(5s392wZ%6q%L(@iIbznPg|RTL4O4`BWUM~o?&zNH>3Q9WJRqWC)Ar!m z#MZF5>e)n1i@g$RcY-kK>g}>V*gr*AgH;S-sfVyneDyS#_mK*d>LZJj1oDaW&=lO4 zA}#Q;$ZY_HOyuV$1e%I+A555F_!2$BuFN)_^*UF6jO7>_&Wl4*`lLaQIUTrwNe=|h zVo*5O*w{);F|DnzQ1d>*%AcWf60+Cnx!~;S$v+AjL6L{c!IC=;VFw46&R(M1VesYS z1dMG|^=hZ7;)KpmTVt|0ic1f+QL-0s&>al?0`;50F{4&wm6!;aA>cP+!h&I-F%s`L ziDX7AOD4Q841OT$hbW-RX_{qh+BpNeoEnyp|QQ@o?!Og|i$e+x&ANI8v z@n-Zo*92A@x`V-`IBcMCaakrGFGtZ#o zEd%B8-ikuSl(q_lgmj;QX*7EB=8VuB%}exy@}-*Q5$|r9DJa>KvRAnU=Z=u;rLcnf zH%RO?b(@JE|RoRc5J7*1n>Drq{Y$BNt2Mw246E}fq+tdoRL1CsoUeUdo zdtCH&5|!+i0{UNk| zxF))mip()}QX$k@w8qR>=Y^_)c*Bhcr$k9oqnD^J&Dc&cI7fYi2&QYzsD7R8(z92PYYL?{jp1do4BL5=)@LVO=aaS0X| zjwf*VVXE!;p3JBt0?|)u)D0)!E0?3OwJmU2j$MV)jnrIC6k8!_&%|BJAp9A!P0nvhW=9EMz+$^T{^1b$SL-33fKeX~_OW>TAnb!-CMq>S5AgsezbNK~&yA7O zH_=Z_Bp~WHLPjE?tbF1h;*l=|Ymn9l+CDQ+x|VUq*leRM4mf}o&@*Zffq_Dq#}jeD zr`9_=jZN%~?dJ%5k=92}a3mne?osDZ<3MRkXJ9dih7bCh$f3B;wBei{gVV#+=6y3< zmxx4m_tW7ZT#FuL#IeyqX*{(Lf)!-pHC1;gaAqO|q6#Ebk;H_{X~co@iNPC$7(pu* zW=Tai7iJI?lIW%K0_}k|NKSp4rqwAzMMGcnjG+BD+_4MxUE3dbc5D~q)J6BWeGU3=?yEf-7B6mjIIZB_AHTD5 z$jr2nJ~p$ld~){~LvQ5g%y5EE*M5!oPsBE=GR9ehf-HVC8; z(m8*E&dmPw(5T=V-+5tP=%1xCKG6DU*Tsa#%SS&G*nTY*jH50`VGNPFjAl==q-E1@S2-e?QS^_n_0) zm&pYz(O&b1LE^#M<`AB7$(>9qK;}eBRa_wq&PpXIPfYsP|>1jYwgNVNZ`9xN#8nRg(RkQ0R~ zYyUGoc_am*`NUp=5oWqa%`tu0&R)dw++sKhvI7>v+OeYfF!k zBYMg(vR+7lA8dI?MxD}_c~g7Lx+FqVY) z*Tve3GX@_I3Rf$!QFWyp=q>ZNl|IzW9d70|yH$5ZaPnt%(0e~3D+ zM0q(qavn{2$q=nURxN$EbSU@^eR>)Xs={ppEN!Wi=J+rxD`fI0i88+IXXnZ1y9;ok zavrV>=gO?zILSd2_%4Q>Kq2r^3xV8Zw}e1J&|V-OUYWzv7sOyn?r49HJ~Ny*au@7- zjkHmBK+n68#8JEzZb5-Xo8uf9C|sxQ=P)EtJRKOPop*z!v*(vC*TU*jt$2PZQQHU# z&!qBpLZ~{jfK3?i{LDOhI<_mpe2f+5iF8mZTaXMf)(t-k5}08&X%^5WfXME}i1whyRkX~ky>oWYsOyey zGuAuv#~U=of&nbEOhNT^N*0FRjz!|vT=UiNf=?4gqTbBg<h%XY?>EPjuUrZj78iHGpRhi30@?R<>IBMMhqj~AlMx` zu{>!_`Yh*}tSDiM;=Mn?<30ENd^Q)29X)Str}ls-4nf^BYE3RhwNRd0I#jDZN}ac= zunD63(`Z9J2Wzy4hqAEl=iD>l2C>2-fr!1h>5*o_YE)DdK}+`c%nqx(r?_9d!A z5LxuDLxD2UH>YtZq;Jj=j(gXAoeX342c=omw(xY|9gyZf9D^H~^PHYjVa-fTB*O7I zwqwntNVpe?$f8Q)d*?F;warJwh9whCMg#{ydp_L}uAJ#=?o8RA-Z<3`AVJE6u)xX$ z%`9S20F7CqpDeoM1zMemfCJ&b7Cl3vbMsdBkG4N)ytTdFcnQ6cic2Msfy*V4`1W!* zxKwekP_suku-P3lTR=dB{{aZ32l!(OHl-u6f~(*zOm%{;j+0_L-eg;_gRk!lI1a~u zer}$Ti~GJ%Oosc_t&xH^e)a(|7c}JQy_wn7WAW_luw8o6h?i-YD^jzW@P3EUv#!ImlrNBQ*;X=TY7zoh@Rzrwbr|qt|D$srb4ij+(`!1$<1Rj zc1Hx_)h5CGUV=AOF5&cfbNo$|1ZMJtI^_M*k@-0b0M&_zOa#>kBHQm0*z~cJ%@iZ7 zOEeMfqyd&Ds%OH|_SYTT*%k%p;uCbEW8;yv7cn-_yyo`wG#mN6)%_OR^Z0ha%ZpTU z7E=nC5@+U)E z{~^js_4^wh}w z@Q*N|UUDdkDJquHcBU2;X{=I;^Tl9?2PPy~n}FvJd#{Bz&S{iC$$F2qj}bJNpUZ=G znWY3qE1ZKfRQnodNX#ml7g4ysf?r2R2V!GN5i{TZpQ!xxt*amguDukzMKja9{0w z$Yjno01ui4*C|az=>nlIb<$@TCEoY-`K2>qE#dhGMcUy(HS@J-i$tj%a2j07(W#0E z@haW1QB7Vj8aC+;!5}t^8Q}`P@^Aky0jCSq3;vTarrUaEayA@!{m53E$c4=))A$1$ ziv?UK&`)sa>Nauq;B?mu>+Ko)cKxY~aBPC`E(VvyM%}x7MN}3ekR_}=M;soD566BL z9pN5xk}u-|x;vJe)${c|FU;f6URnw#Cf)J$`H+xVib235niJWp{u233%s0ad*(Ht> zQ;N9 z_EvDYDpThq9(uYV~fuDVfj)BZZ;b3H?f_jZ+b*1apDM(RrpJDxwbWz!cjE7 z2P0w=Y7f&Ac#X(8d*Ji&C^H~JgtQ()|81#f|BOydM}dm-LBUnkON)Kvv_7rUk;=sJ zL@m?z>2Xh8ykP(L5ohai4^{o5YKDz_m+nACs3Mhc0yViEjSmUn5QdgojdER$3O)P zRGprYj1?AdAQ+D1Wo_T3Jp4ey(Y7=n35yD9d`<`5_UWxHKab`&~Dz zzK)tew93P^^Vr{j$57kePEk%p6QZvzw(s@;Y!9x$@m+$$y}{V-7F;CrwTBN=6|dPY z5P;!*Y{6$z^GrjqfyyMC)6_|$-~B2;!j+9cOG1&u${JL}>IJjLdc)PN?s)NZ2|njm zTh-Uyy_8~u^HS&M5FQlt1|eaQv)4JpTis8MeA}STu+!L4dd9ijSbAAZ@43cV_}%j0 z@^kL~cWVe(cI%qzkdGV|ggWVC^Xun*5rP)(2glp)9z-`6_#@H71P=*NqDM^cRD(+}{4!o*p@$i|5itgqhlq=rY_sptEvNiu2=Jcxrknw1bsLc7arE6URvQgF2A z)VleV*;BRJJ`qGxdm7N}Ac}Y9`&e{hPH%eJuNO?>7)3Lg5WB~@GSP(#S6+Yh>W2C9 zzDxz!XMcTt&kuycd&AK>7vM5Yj8CH% zHHlA1rfWJldxZ+#jd6r2(b&EuW`sX!Uu_VF4w7d1(TMj69gofO^0-}nj#jWA6r2bz ze5mVcM6D5Yzkf7*5N>gGGDzElLI?l#>O)2F3D)5-gvT>sjC=p`(GLl6E8;`c%z>HW zFkhA%wzueC0Y=*Fcr_=TD7wd0n(@JjL?Q@>>HUh{k5KeRc5D~+-sMK4xk>KfWtvc< z>79Kh99?22g7P?#qR0bh7(-8&bkN{1pO}Cz3;)AGkxM4%?xxI7g-iHql?zPR_uCb} zz$=y_c8*rmv@AgLdc%MDOw{!|E248ObfQ^(*mQg3?zp?laRYLKT$SiIP_ZLe71#IZ z7nD3vopX#@jm~v*yg8fbpttS|U=RW;U`Czv5;XD@p@R?A-58fnXt#~Ff60V=2 z=A^D|&V<>dvpK;MU~~8du5{klRPIoNGJXa85Aif>V7^#($tjtHD&p9sU9dA# z(Yvn#31TYB|I4xQYnKpUkXiH~__mqwg5bd)H8Z*dl~E(mo9)7*kDXk4%~9g)&}QsC zJTk4MF`gYDzS0Y(NV_2opa}qxxOYvjgC8_2zXSg?^}54Yuy=$n*4|s0Q^@Uvm{3r6 zY_LNS$VM?$k|9ey1_?7lTj%gZb_8qzhCuMjuRA)??!0$eouOA44FAKe%nQy9DHA|_ zpEq;!7%1hQZt(Yeg)*>B{M@&Apt}}B1M#U z@AA804Ony^A_F}y%5V^*N1XoLMl?LKOhkmF(^KEm6P{WE7+sz?9bOF3KE_3T&~KsX zMNID+UiaP^{#=m|paT;W0{W^l0b(h6|2eoC+8|?K^k=l(jIEb0JH|F+v%Q1R;o>P4qjdJ1vo}2;$Pt|-nqU(idTet~6H=L_g10fU^`-3%NH7St`pfh@8q?6nQjEQ*b9-Dn|;_(Lad*Nd3^wMef-6cK&2u!2vqnW7ftPs4y zih7?87|rpTu`8yQ3b^wMxewm@WQ7q&RiIy7arxwx0i&~vGg3sR~7aFb+-NykJl8zKSdD~ z8E;e~!dE-66N_MWPtW?g`vPK^6ln;6D4}a+FVIoMK|ow0N^Qa~S6StI(Idf1Cz^=M zRs0Aa?kmoRg~JAzGP0;PkJat`TivfYuQ~q?t%>u8G&=57iLhHP-a-C5J(LNpQL60X z)%1X0fcVB{AKyB>wR?Nx1%Q`Ga{{nDUmHYz9bO`0>dG~Zu=4;uQow$#LZ~}%5_7Cy zd|~E=u~QA)lrOoWCh%dDlF@J+v*a%)1#t=qO|Ij6>2&70!V9|>I|ttv+8|fiuJF#jNbhhErq@dD=MboO1`3i36flJ*2uZjR#FgBvh$i(htXe zaY?fE&RgfutyMf4wBQX&E;Qegly=7FlP%=nEOCZxx_!>FWMPHjFC< zT01+qwD{mv$PgoXpHL(PyRv&AQ35)-*B{KEYEH2sj^R2Qw;T!)-vZx#Vv;!ufZ*3~ zo1d#K03J<4Mu-hiDIBj?_8z6EN;JoEyVf3qk1@Gu8zZi|c))oMX~;A&X&iD-;rhZk z@~f1~r!ERuv>R>gnZ{)&I)~{0s9@pQ*O$KBN{Ypcj4}ccJH=V<#L=8Tv5|6t_X?JZ z^f<*%ifshg5>O6-stWs?bR173wSdeukw#gQ-80Tf>Np@X94 zzKm3ig1AJ6snBXTl95S(Toe|8K7(KsGZLgaGPbTh_Ja7O)Rd=7!ptVc;cKbCjCN2U zBZu)g|9X~c3SEf3y9V}5pxcm!HhG9_D52a) zd@Zq%>xGTAyEaitTZpuhrSh3v(iwK#jwxTV-f%*3ferpH_bc&aV7l>k0tP)hEjxx} z5n4gfF3I)Y_|}Kab#!!H8~4iiPlKeKGh-WUBWuZ4A)b__;D}xDiB8?6`Q)3+hR(N| zqtu%m(bolLLUXlH%O-Z2j;81ZSz4bi$IE^^8863utss*lW0fso*2<1#j7V>|MxqeN zl0-T9Rhm}l(c`uwEhvZ526M3q3ToJ*@r`MLw`J8JC5&Q zOElwKu58cnO$1c(>9sK)T<%;r8Rr%8V)M3vl8W)H8YgW(LB%It%<8gJ@Z*I&BT1P& zFEGgje=El}h`%PC4u?7+-Rt5DL0BdLCy*XH#)p!LEZ@Q9B~4rxIppvqq{E5NFoCRC zY_e0WfVXn!d0SbL-6R!AeDQXI4Y(C#(;zJW`t5JE4_FSun>$hQuj)gZ(r(K+-+#x} z*TrqSEl4YACvG`asykvUwU{w=gR*vG$IbdKU0*9_jeG53!)@ENzvLQALD#5T1YgDS zo5T=PTZT2|W<2(7XY{UPMmKMD+*`Z5)5q*B>ZV}JsN042NxZ+46rEj~c)OMx*4Nn$ zeaoJaE$4>sb&ff=+7t0E5-JV#-hdc7*S+*RAG|*P|G!V({=L5Imq@DY@2|W?S$V(m zFXF{A`vPHz2mA0NiL(AA>)xozm6T52kCK>X**B?%(yQCSS_K1nZLBKa>S~Adm6xH(M?7H95&qhl*!xeXTWYOjeH8 z&MG6;&8Btd#XSJ~9~jn^!B_GFqt)Yv(RI`5px@|qrH@an z*pOZ)uhF|XEt)B*nqf|*DW7Ai#u2+<%beCgOPY%8_38xkYg?BqA*tV4EDE=+t&ZTM%Ed(-~DW8Y3Ev@P*lGcEC!_}#YN@XKCZ zb#@t!rrW*w>gR*Pj9MNvlB?bx{22iXHzur_Pt^6|*W%-{XL!;p_J~)A2Tnbw2C@?* z)8}UbWu3CJJn4FNIVF2p^;mjc3^zw7~ zbVpNKtK3qh>W;Q(20^bX1%{*~O9`ph4GNir#tBX)h?TO`kg7#g3C^osx|Q&zG=dVy z_RJZcY$}1F?5gM@zt`eH31o$C5aTgVACKkYTvO?g6i0O=)ef}7nGbd?l5~^~l)uo6 zp0Y9R6^3jrSd^wyW>As~Lsns*l5m2y6n$C@xCG3BYgF}^r#RBuKJ$oK9`>m4q0szV zk9DocD2Y7Gf)XnSwdXt3vSl7M94Vi2=M>2cU>0x`P_FTfpN1W%%sgg#Qdg`cNL|UD z+VyPLQLUKJGs80jrZs+>**loarykLg1OCIl{j}jJ?vS;5(5%IrcKxVYRN+Pe@oj-& zRARs<3W->YX~of`gIZRPN&540kd@U>rfc$odBi}Y)`QwN*NB>CHE+mv)FXVZQ(6ql zjA9olTR?Kl{VvX%(CbQ@8~JNi^Uj&pm9By8imwfMC*!?ZQB8cVQ>SOoCdtc^0;kxO zAU1wXQuZGp&~wrVpr znU0n3gjU>AO=Vo`cYBTJ<7-AMX&gY|+GlgLPFed|H(1OCaxh&=9N9$W@wBNHUAcCT z5?9-H4Jq+cD$B$GfRfq*(JBc*K?H3 zatgVmNWH zO9*pO8%zJ|ZziN^MkN)h94&ix>1 z*-C7KCIuw!*T|1!Zw zl&_4b7XPIVX~QN-+K^7J3Z#}eUlVT$c$s-thAm4~Ez2M@a&Q*?Xt9ur7nG5Xw5M&7 zj9Q1DmDyyy>|3hc9oN9?C68;eDlD%UGHa|WR5RiW3A7XD zW#vM`+~s?@m~O)L4%lon-^nVX%>?CGa;3P!)z|n5ah7DhlW(_(h1B(0OwWy2WtgJ` zeWH&|QOvPpN-Iz%AhhF=D)YvL4@eu6P;^rHs3ITHHC>V#y^NJ*>a{fyrCw0=jqpuK z$c(Xa$urDfHdqXF8Y@wVcg3QmW|@_;zX+Rjr1A=8b~Js&D8w6H364}|&*>_o{AreqJ1?Ph|UD6fo#3~KZjYu^E~NRgJqNHUz0w{%1eeKZBUaz4C+tD zlZm7$E)(4#1a37wW-{S`uMuI;>>T^e4~y$cYV`{Y4ay(ea(-kk(I^Z7Z>>N*> zHZ`(Kt45b|K9I-T?1Zvlb}0h^a=a^LY&8GaE&lYSTaL6NsP44Wt$jvofAB}IDINM_ zy5Y6GHr!zzGID#(MQwen`B=Z#zE|lqKH_&OC#+3MTP#Q>8mI_Sp*<;p&{fDqso)lg zY4DJW=F2Ueoh<1<&>=ReWBdt}vx>Hu&OFJ$rnUT=){{nzW3sSG-|}pl-Oc#kKcR7r z6DGG+L*bEXa!cBA8>V$6UrhYf_=la{H^!VV-MFIE_sNfGdg^99Z9eqs%^iCEq3@+S z^p?SWzb|k0PQKbVqqGc~M+oxU1GyH+TaoD#yMM^@+I=gNSj!WsS1WX5)2rORV*jt?)j;?0F_Y|tI_*}*0TlB^v z$<6`Dleb&>vGtikf8%DwJDa}w#=*P3WTgg8EvbIF{V#Oy9~x;F4CaYH>wi1U{Pv>P zd5}H>RI|w_WFPj0+gc5cKTrRmoj$nHXs6XeJo7oQu)BkP{nh}$ml*Gv)EcEda}NP> zQy>TGRNMN_hY~HXhSp`nxc#5Bz0N89mOst(E$^^rZu6^jQ&G>Z;(bB>LZwSJP(+IL z8`qnk8+6vBc-ML(-#^!V(LQEZ2bb0xnZ1uDN^@-otVVWY+99U9WuN^?al!K`oGj@WE^UXGMJ6qRp|F0OrjJ~6qn9>h;#dtPl zJZawO#y!u%&86JokifX!kg7klcQ?erJ=k+PmP}cjRu> z)|>S&#nzjFq2btU=?{xU47?WhJ@MPIb$wsW>#4yxEz@UcApg`eX^d!vo!yk`?2iUb ziWcFqj9LW0HdkKh50Mk&c7hffpXDR&yDagROdRXwg>-Gk3|t^0)H-v+6pAe)!+_I=|g!57tL+v)!G4dW(+M zS{PKf_*-G32UBlaoWFo*rri zVV=?{AKrcAJD>iWUT60Wy@4e)rgYe3@G(u}Os!FihI}xkP0|0Os>mhQl#3H$^9?GW zz$TTz%aTe5S0$Do5hv=?!q;#2U`?vWcm&HsAMj#RnwmvzNBq@qQ&~B3mFNZtuRElk zHD$(QKF<|Z>9GG=sKx){Nr*FkQ15lVVJhi3@-VR)r9~>%WF^Qhss;`(X#DZXU&h2SY2b4AvMSk(hF^ zHQwuN)j`UuiTpRyeM@E5jfpPOm?)j^y?BIpIc0G7T7X}#KmyDrvzpMQht4bUpc=}` zp@6(jh+RxmWu;sS;%8Itq>XT+kWUz?QYJsEi8Md5a+N<-S4oFzJmq61A6^irWw0jx zUX1s;e~eOCWY=nBs5(=r7B@~5-4Mf>`(khKzuA=9NY^aP)q46S#r;hk)UIY>8JWHwwby$_s9Gh%xZJ@>Y8&tf@v&u2< zppfZK1-$EccY!qKfC?AEA}bttL+QU>zpCZj@1C|U_YbJuvn^WGKJ> zB4*t{E4cEY-P0Thzklq{(k0#3k7>C|hkld(nq|kNRJxG1QdTO~+kxgEL&@|11rbf;I^XjmnW$XVrX#R>gXIvw9K?0r|W3~P(MY9U?Nw64(- z->P-pwyERUJ8i3``HQW)EVVSGIoTnn{a)+Jo^6FLC!Xt5tH(_&2+YX%P18!&%F_%#`xoWbZf+o19H#s9kR9UkS zH>E8xu%J%I*uuF!k`|U?7Mxy}84e{~M1@o>P!8Eg+~4_qauV&N+#XA{e}2?ay$v@h z55<+TJ!+>ia?W*a_AEf;Eev|V>#-{7sg)tiLkgw}xe}W)vQ~V9OUv+d zySajx1V5HtR0P&wnN}gbs3ZyRl=Q+Tqk3Ud77b_m)<8D=x^+LRWbWpvW!(- zySlWnIu}o8m6ZA^Q%ZS93@oz|i?7p+q-n97MmZjc-N7bTtRSdY<#n-VwV1(n6K-m` z#|>*6&32T;x{a+?p+rJr&9hV`t~*dXOOYFN^RZ?5`IxSEQLjTCk5T2^30PgL&@dPB z#$N>=mV%r1=571l{9aww%!R>fdXegVtB)FV!tK)^ zozAWv^)V+UqXFIOU6ug~sssl$&Gg1)qjFrmHB&t|ddA=i72h88UsTq+QX80-DK8kr zVqUaUX_flymA!*O-KxE5_XQX{CC>v49Ig!N>(xrburvOjJJLNFz00|LDK8b4QtiMx zwr2^7wRxIcR&2Miu8;@IoOF8il5Su*ORe8}Z$%@WNk(}TCINWf= z$0H|eH`=n2Hp(e57A=`x$vPC|0Lkyj$+%Qb8OloZNSD*8KL$Og{g?-S4RJZU{G1Uh z01L&B5JbXY?KC=i!oo~0By{qjc`aN~mLXzET4Qw7l=x@P{RVV=YyLR2M2id+{(}jX$KS!tFqU}*=9}hK^Q2kvlAN~IL5TCn~}R5QNV^chBAK@@Cpp~*<$>N zz>i{<>73(KC98XDW z4`bP3+bT1Vax5#0pWL#kz)oHM`u7WNzjv1pF&XGpiM2;Fh6$I+#!MWW_Hom}WJk+&O-q*G4niLX)Rln5 zc$XLwx3w|*ug5+xrJ1`@+R>a`^Ob~=?Mn12<{AP#B`NKdWzFLIoq}9c2K6SCB1!AO z2q2&&Bak%cNp%t}Y4F!vtScsI3q~^C;OqttL1c--fa~nC%8R@ePovfq7yC{Pp11!) z#|EuUkEL}>hl{8~Ss~4BYsG4rD>A&G*pn8s3=GdR#HY_F0BqS9o6OLY;gDuH^gW>r z5Z)9=>@T>e(DB4(Ljy)k%pbfE@^IqWceWoY$280ld&E|}U7t;Qu}>z_3+b|F#e+sl z13R*y3P(c2lMAvZuEAj~*wUov9B-&r_2=>G>3)T^llvmdH zO4gluCmD>?5U4vhuE%fU?E=`odegHrnzX^OtdHK3om30(fK~<0W?89)TO?Jtq}1Zk z=&c0?4ZCKJtP;FkoD4s90zEexgv!Q%1szGld6FFBY)q0CSq=zRtZyln1HR|1fV7%P z!};+)-XZPM4_oqL$yR-31w>(KM>c{PYvYz|d|GxO9jlPuIchNMBwk+OM`rV)fh)`d z)RX;W)h+1=GZoG*{-#_4U*-uRmPcbUDZm$aMD zEUw*{&)uKPIOmk1%9f5c%UPe^yz%#y{tIW7FYAB0?$u+8Y!v$qXQ=87tgkAAd9S^s zu7o!vWl+{z6-6^V>4>7O-H1;_ZcFvgB!@jj#)9fJT8^*ldUH{^x5Z7T2mN5$0(fd| zq_v!Ve*d$rhiHGZC8av)Pp1#tspDydQb=7e1jU5A+S!=8<(qnHB>nNgy`cP9(L2_@ zrVsaTxko?OVt4A_RX(wC*c$5U$bVG3RsV>!S;^hm@loZ`z9)}vytOUap%(}9MsH8M z+taf%NcP-e2VR4NU$s|CM#0wmOp)?`x1z_Cb$eBRuR>5pudW)KwYxT^7h8@iudE;P zp2zTVKjA6o1E5InR+T_-PbZ*_bBIzayfy4?t%YyU+Y1UQ}6r#k|s8`t_~#4lO7-EXrt<Ea6BS`S6F%7K4+XBdZ+dBRBH7`9q3|k_bqL; z*Nnc~K6B%rcNW3C%|6d5dqzJz-j}~Mpw<09ucG;T-$hM*zR#J`Uu-SL*Qnof z8+4myJK9dUa{*Tk??cHsE_g~}c>S_I8>TDd;?6pgM z8$Xjeaofo5rr-{JI{y-NOq#$*bGR^Q?rwpT zfI}2^&TEQN*VKA@LhaSHg-pA=-UQmar6bcPa3`hMZ&T~XDF#E@ZX(e?J11rO?gE(t z=mAMA%f))iJDX4fbeRo?qAqULzme_>oqWGz(HS$HF4lFW*syQWx4%>FONn8Ben1=V zA6fllO&kC0@`$2-Za36>_J;t2hcZTcJUF@X?bg<_tuo&%5gdm&q+d*RXSZauD{>&! zPpUdgPg#n^OnZXqO3JC!)j{8;oCQTx&Sr96ALzv4>AoKbxU`x9ru=*@i#w=8Jz!bo z{u;vu?C7IYpb;x>GMFd$U5aL9V%(X}I$6EX*>1PJ^KMc4NT<0*4T}D-e|9hhLVb2k zi{AH-X14G3t@`c#;mMsJ=?yjM<;6ZBE~nq`_NUDRn(f*{~A4-%Eb-n`h=U5pnb(+|=43zb@+S;!8Oz)6dve2DHb1#c< zwq8eNKT5vW=Ys2kyiMtacqUd11byt-lz!*FkG!E-`h|)zFrv}V55n{MM!uo+g{%8M zmswH#z#Cd$Nh@Sb&Y|HH2GCP`YPmz?vG6 zmF3h^wbZH%9(a@)sW|YsrWPILjA}v7KwtPu7-TPHth~M@9KWJ&3dm%yQ%NTsAdfs*vxj0}a2LUBP(neTO0k9z^ z3aZRjLJCqlOA1xkuHp-qPT!oSs1yh~Fny8@-wFCw6n^Cm>e)nD8FVr+j~#a`rPt9R z62xAHC4+JFJE(hg{qXPN0TVE=M$5aY+j>K%H(YI{R;y!RWmEWqiOrRhzp%x)zZn01>j@pkLX5Se2W zVhC-=+KuS%^hO3g)uA3u%Ffz&?~|5vS3;`eK}Wc!{XWytya0gBNZKl2sIF9lL;K5? z_(?EE%b>oX23boTOm|{1V$PnkG3LtJVvt=-TUig>Sar%BX-fe}Y+Jz#=WLFB;FMo= z)p%Db-rFdm!oB^_a<1iwBvuW_OvxrIaGaM?W{oZep<~7 zMDM=;y@>~2K5M=Y%KQHU1pmiCdA|x(`~q&GHy5uw*!9sSnAaudBQVK7_^6S)0!aC( z(_4OFMh{(^(L*}G;4fg4c`ov}-2=c`|Cjv9_UIb3{XO^W`=GBL4ZPeOzjN%YfcV*zU=K%$EBy<_Ny&HrO9TCR}sUF;&H*767 z#lE9{quFHe1FFg`O8QU!;lolPcI|rQ7)p=Wd~w5Hoo)6YZ2o@jF)|?|9h9M5y|LA3 zuFYTGe&h?U*gMlj>#n1#-PEvBIg?7?xni?deRa#w^o=8_kK28%A8V-|)o#^F{i^v` z3eSI1PTG@tP#wUznM|Ct2k|^&k{MaBkptSgqiXvFWGfLRSRk(Jkhm-bF3*kF>sV=BTN3Ziyw0qv@_^=~1PW{1sbLfo9*ospoRV zeqe$`PJyVkgHkEIXLJkraj!k2Jevvuhzf~zTu`RP)cWdm;@~8&D$|&$;DT_uAa5)} zptJzm#XJZ5J{d03+c7;N5S&x7Wounb-(YNLrI4drr~|iCRxU({sdb8)R^v{!->v$J zif-*0o`#cF%0M01V}3jFl5WddC}~=|!l;CyIpAu584D`J5d_i+jV2)t0ZT$Co;2(LpK95ouHM!wB#>#S6q!vO{cH8$hHKlr;xKxG;+AZZK(TaYB=N z-Eq8UUe-v3vEVa5`q0C2^$dX78RKDj_3Iek)e-ENEuwxpFsDnfOUm`_cvfCm*VbWL zhOSp->4@%t5cJNJ)wpJ0QZ;rBB@+vSfd;;lja6dcLt5Zvth|6ma-`d*_`GoMfG^yvgeQVydzOEC?msGnQ(^lg-Jou76qI$jNU9sY@h17E}X>oLm zKwo}P9G;9sQ$_I8T`tu~L#$RJIa;a46*&DG2RRf=c2bl)?u}1d<$<7Vw8fNzn(SSdH23EEMxi=`q%*C4Q>B)q%D58~sOp{8YZh=;S7ROl@YcAFr6`lsss-1pwS-~H~rYaALlW3jD> zH3lBEOxZWQ1n#REl*D@cS(1O-xnNTc5;o-AfAa=qF!i$UFn57 zjRhl=As~;~#*_f4{s`;bd*jtR8$MjS_<&rkSo0VJ3~>;KH$q-;C9($Th^p+4l5R2+ z6PdYj6Xc-^F{gO)&2tLNEKxDLIWB?J4AvuN0DAzZ3Nx31;tUJrcV3r+u{Iza0D`Rt z{6$A9w}63w!C;l4-5ijVCn2aR+$OlV`~Z$NDKr=}IPnz>xSdWw^o1)z3Qr##?UA}R zH6p4zW4a%|an~NDrRZsH+V1wOa)5*5uwyxz%dOlA=bbbM0#u8xG{huD#&5trCexYA zz)Ys{q?H3WV-hq8?UIuU4=Va1;X~ORWlUGVmK7>m7DAr}m&;ZW^r0Rr+jrmew_fcVqGN=7AVU6;p21EPvTk0RPKLF}7g1BKUUX7cx~1 zhL|$hp7n!#Er>T?0Q zb7lEoOGwezndtQV8=#%5z=#Y>-K+^opqqr;E_v`O34h~Se@umqn?x!LoCDj#b8;WU(S}!JTQ43$lv16u&zRQG=;f9sZy-EQ>n$mFxtWf- zg+u(5PI^_S4n0ljrY6i2Gy+Vv5V;Z5;6mnIPyiHj94<@zHf@iM1R49w;R$9wr(&y| zbois;_%i6Mneg7_poAm}wb8itz4`7^>(yWX0Rg|RFvQ`K9vWJ%6~gz6+I>5b zq1YZfZR!2lsE%ufX@y&R5WiS-5jKoTvy_c)83^I-ElhD@Wuxgopxu+>!`S`?!f2s zj1Qc>_oQ3<82c7GIa(X|>-$LdJFS%`TA$dt`T_9T3U^kWhm3uIbJ9Z%CQb53z1NJZ zb`J=Bi}We;hggrWc*lb?0uYHI5hwwI573z`J7edT?foAomyOGO^Pv22wvcMCWFIKq z%gjf{M?`7Ss9iXtqgd2nvhULQ;!N;~v3C>(KYD90#0vY7%zB7z0f#3z3^EtMhM6sD z&Hg*b0rs&)RoY@x_a?DlcvD_Ie-c{PokeB!TLhgM3il9Cto|puuoE1-lR&V(e^3YJ z^}$#9)g}Opcmps60F1yABpC^?0g`dRaz_GfMAzcjRVJ4qODRNwuIdu{83OoG)3Z+H zY@fK=WOG(Ndt3nf!_$S|DK>(C(O0e(e&}Fe0gv2d0?Qd<^2a~|@AvEG0uGg%@ZGQM zZ_}AwcL8u3;`pm(-#G7NRhHU%121Gy3Ts==iKv{E>Nle9oKY|S3bTyvgK3K^}y>X_TJz-kzXR}CW_ zGJVQ^bjU~#&2CZ4NgLvZbPx^!SS5&nTO9&odZxt{1>FVc$lUT1xd9d^g`$S56m*WO zWDQ_G0(<6F(I^a^COE_uwbDKKA-v-;(xoF2;8s07OB~KTKUIR# zCe=8W*UAh>!ki(G1xXA?6x1|EMTsj!~#uk+uThw#~Xm8m7udPihka!@;y@J#=PP^;X z4p6C7wVC!&g0T)qX&Xx1AdNekpD278Cl>T6Uc-@?!#bG*L>$3}#7rt!DrizCRuia; zILokVz>?Kb`ydVOY4{gsl!E}gYaxJco8jhQ!wr?TsG{~~n{{6{==Z@jnR7i+0CQDy zkVm5y0{g8=h93A$qJ0$T9&n8*(WXH^kcyYxfFIVwVFiRrpn+33C(#mH385TGY9Dxd z;SBV3WOaR=rLbBt(GV>s{6f45VR<3|EmC1t)-^21&32{~!u97~3_*Kw-9~`DGGyLl z??%rJ@+VvXpiUZiR-WqTMs2+S{t~nUKyXkiIn;+~3RQ0j^Ci!3=n&9!{rNof0lvc0eFQU$pd$lOBNqolmu-g3T}tpZ2hlm@;n8 z67)L)))L5|MXsVb4(}Zxa6j7)baO?CMFgY3?Bx!E`CwmR6-ZlF(#v4=w7~AA zWI%|+P~92Ue*os32w?aj`ci8(4mp9`bR?q0VahuQTL~pr4?WmS#|g|0!Xp&|ifS~W zw1{D%oUsH)00}nG8 zl064Mlu-H@PDKEUyLb`%Y#3Ul8LMU;BG~K7A;@@$kcqGfc4k2uBObZ*4&f?$oKdsIHp6GUfXrCCTmdVEO?KV9Ycp#19P2fA zx`mTowMMjpw@g4Sqk|Y46LxE>-VlY(1m)3qAntq4e2!`?K;)6Z2Vp(Q&aRxHogIl`n=Oy4 zC%I{gK0pma;aG)+yRlmRgmd^~MyYUp@ka12NL@(IA+RWrb*M< z5i+DZeLJk(x?HQcc4^Zxe#}FH5+~Yh97@5XX?XJkR$A>Tmy6)ac+bf@MzA`q0WRd zrjc}UD9n}p2~mnsvM(;tD3~` z$wEhyH^+nHJ@SJX9(y^R!WY`fm4UB(Vq=8e$wdsWt9XHNIG;*eGo(k#Oarh4uv1)C z9!5%t-YMJ@@=EyTZvwC(b81MqUA7b7I{}knv4wsLQ;d@W?O1!XXgTZZ@?YVGWe3lJ z-3Bz!1&ZT1Idlmy5>y_Ej{pNkGuCc_>qcr05;aeh2sRo%j6ywX&ahuWcwVG(`Nh@w zY3yz=oOLiH;md@}71v^+f4)z)Z^Xkl2V;ggPJ#5?*@D&=G+$R_rvjIOq={ZhuAP9M zh&T5IOn02MR=@Slk)w)7PQ{g>@GVEGYz+Q~FaPx>+26FO`tfrF0iZ#U*SV_pjJv2t3V~$Wlr56IVJ!!i|_*tyzQo13zcWK2`*jYl%1RsjKx9Z#SwHI29!Q@Z5r_~W-pj8dxLz=GKcZw`caTjSipQcwsQ z?E{rr8%hqT6}Y$TjY$}hXswh8e3QDa2?!T=$E7;$Jj^{jur6Ffa#_u2M4ZcPLVE$k z8a3D1to2@^4o0mmz<={PG`A_!QQ#O^i*ucq3mzt!vFR$5hS*M?r}c zXa$EjxSU;f2X_prjg3HDf&D?NT((cK{?vB>wGBJIUek_aNv~?QcbgmU^uka49~&T; zU3MN?R=LHyEtJ%@JHlUEFzYyZ+5mNZib!5x$sJu@#T*~ZLRAQWrpf=kn!uYz*For!6aOam0FKmCV2u&Zisc&k_u~k&>Na!)|9uJx@TbVg~mQao$^%~ zhKLU&DG6X8sE?nY3~mEtcNTOsAT;CdRs+~k%Bg)U1VmOFnsBmLAG1$=Re(dYn7iZz zTJ7Ru1x9FXV>x-W$K7E_^WJeDaULiQGC*|mhz`857B7NSRk?Q#{KLY{&)8qIojUz? zmY@8sTfpBd4BQP&;||b%%x@gci#w9qfi)bV_OTXS)I6<>`Vlm9Y@r$5L7*=~ z)x%W_u)>+Pg%%WLBLoAF=+8D8pa<-06HX(fz8xY?dZ@a_=|RC>*xd>Unf}0{a@?uU z8S+EN9mxzDavh#FwN(qKM5&;2G+L4e0kb$2Zw_Ex;c@q&%?}y0?X}g7fbQo3N>vVf zVdi@v5dlnC{e8!j;GHmro|McSBvjsQAc}c_9Q>5~USMwwDZ(@cy9c3*82$u#$AIYE zOz={oh}BI`S!((^f>tea=w1g#1LAe>lJ8JzcP%Q9I05KLU;;UyxM0;Ht}*}201(bv zKMcJHAf_>HOEICB+_p;i4w14AeI z{b;9&Bhkj-2?rsuk~dX$;jGbkO}p!qA^ERE)t|O7y8*z_S_5O}_Icyr3eW~`vaqnKTxr5SDiT{DCCWyM(&GtrE!o@x)GG79@l4?S8x;o&pPZ$pbWSR;6FL} z>7i1ahNB1pJ?g7K_X^;mT|Q_b40vtPc@Cgi;E~{)0h*e3WK67MW>ttM=v{EM0bX0g z>ox5u8-OLG+6MdqzEP}o0&z^WT|4+ASO)3Aoe0%X=MwWmC7uI1%>-iEZVMjO5JUv5#Ww*uis!V*7# zzNhd`7ZUC&taZUH=NR!-Df9NkA#c76IQftTiH=^jO zQD*zqa`m?mCun+QBhsd+=esdI12c+aNH=+H>!O7&G|1-~&eHZ#cS^V;w{2H!WevfFNCjiIjAb>PEhz)X{o)t*yc{QNPjnKq@4 zWyopxiLbo9&{R^ZTN+M!@NP>P^!zRB0jYgZ;f{Y1a9PH(AnenygJtxq&p6OrF_;Lz zT1SaMdnK?~7%DBvE;;ir*yh zj0+f1cvV0wG2VcV^n??bcHo5%s&HOf{FJCAb!Jw=?}KXvM3ou4aR_j8TO=3VZDpGM{AAb#TDDPV{AWAjD0t7jWc#<4-n;H zp2PkN3~dq;jJyF{2nZhwQ_SgkRA8(Q=pY>;m6K!D+EhTWu} z?ZS4%Ll@c@a?%La5PgB3bJR(eYSVLwLFIMi^%7@bVYEq(3oM3CFc`+wW)%#IaaaLx z(7-b-2N^a978*3|LkQVK%Ec?)KLLAeE?|)+m_G>#4s%`=C=2wjR{899UZGFGK#4sTwdN#dP4zH$e|Kgq_(b9 zk@a4BNuE0Ww&1X3Fx4W303bsu0C7dV*?yJBvAW&fDZGZe%zOb4IV?vH($wgL@tyLm z8C(;>VKYV`OW45`vuO8Ag%^=vb)Tl>6a=O{3Y`{A8Ydka2;VW#a%rO@>MG^hJ|Ws~ zO#PCt=ZIKO*>Js+y6NsJ=j9+&?oh{|QYxWP*m3HhC(?Mc%SgA!_INc!I;J-u1_t{a zVpumRbu@rrznPb&K5}4r98cgr3JHgC%Sfd}rU#7HhcKEowBeDhHw3{ugU$e&|58w8 z#|dxlCX5xW;EWgCj2N4DXT~bo<-ZEcAN^-gjzJgLg=*4b-+vwmf5mtj$mYa zt>mQfe>N5~mcQo^aNrBP@Z zw$`x2ieWh8Jw?>MleUvj*5$1WUQn`-uOtjI;b2H>$g~ESQSkAdrkD)OuqPYowK#~S zZH_a}k@m7^Opb`d#LlwtdE57_*Mv&Vt})e9ME`kVUjO(HEV#8WxDX=+^t5?NTePVM zITOtF*4DeP<3MPE6&$M$xU#^V-rNQtjAIfx#o7*i02Y`Z1#1CEjFQuPO;9j#+*>&z zuLZR2rdHV7=?x90q+2!8q=FxT^j(g()EI}knC>zoU11m|Sfn)wb5(|K%1||&o@u7T99`S@L=m=;B-P-O+h9h??yr5D zM-Z!|r9f1LgLP|1nnL~SM{Xj=Le1|XK#BsslNEZbhS_4ZEd)JggLH}Jbu9$8rsmd8 zd)=NhO}r)InTi0W3>-^eA;7 z+As5mXxdeQjEt)h#l+wAy-n$jt&WN!yBSe;`b4aU5jhbkQ_LM2;{~SK+o&H3mEiPa zzdUV|wAEr}5i&?hffd5~2J~02*3*L_m?NNLLrwrsOJ^P+62P!Dl|dE)G-52kOqvY4 zbfjWeG`sD4SCG;}w*}kr=Nl(hx&f_Y6Pa`H#WF?%xxt35zz2biVrCf-ecALb8{6@c z30#GDjJkm5F!@`vNeO&H+;RpcXHv(^NK6yknc{~8fzWD+;mE2x3bfFBn%jAzMF+tKJ;uF zT5Xw^Yr{rhc3M-Ub*D$!IBS$7BnFThb$B!{2QKM$62-K?1WQB+Khd1V*#N`rfs;^3 zp$TQ^9mrNX9ZrKd1oaU6aftItz@r0!kfK0Em`(=0VP_dDTY-dUQk`B-`}T)7DhT%u zK}Um|Xo^kaIlH(iw+`g_4ABGI<#fA+wHy>SD%D!7Ii7-uAtZF5S{+t2z;z6~iUGhn zz+Ewo7zRA>w69UL>=^Ejk&s^jwyu5e3AI+|gbOIiWpK?$s>$#IRdX5~E)ttl+(3R9 zE*8RJ_|hEjs%K4*nvNL5a82#0n=)Z=-;w8QyajbJ8Ja~Nh*x5fM; z79xja9>mT;7BI|f#%4cWm3lR{@f;QfQuj?h=%n1qZa5xt#X7CEz%hUb5xIn%H3coe zW)qY>3^II2njq*O1P_Oflx%D+PBE06S%kUc6@ONOxsc`@rpJf#L#7@lB-W7ZF zC%?8K)9B8cT}^wu;!*EN^>u!lT{SxTFVQ1IjbHsevbAG(ep_&3m$$d6|HSs<^GE6A zzwr8Ai%%a~c3pF~*>WAunSs{|7gtWY`O;(V=dl~je|TUNS#{5<_jY;Pt+VHkoiMk0 zljm2QuOF+dY;*d~)8IhAJr34mqk+R{L`)JsjZrbF$~QMvvL&{?N5D?h*`PJJ#GBS3;%4nxD zgWyXv8W|6;qi-Lo_g@m+I)kLN;ZU(B#5Ul6+CC2cK6F3VTcI74 zJH8f}$gMKwobRuW1Y?Mw?EB5`Pg{HJ-Q>_uwx*Dw&T9krO^n6}3+}mXi1i}o%d1^r zaK9fdt)YLbkCL_rtl6-Hr@nP>oq!`SDEbj%IM|W}oOb3QU_(HBzyj}cU4T)0hg*Hd4`N9_ZDIBo9=4*oK*s3wV41@>`dxFRU)lhm!P@H(P|YejpA@MVF1>IWkU)VEfCHe>eXtz~s$LNl z*6u!Z%Qt?fMaH?_@%ID-pXhp-SH3@I?8y`|=1~j5 zoE-770v7n^cC@@f10aTXx#h*Y@c%Ir}4VP5AdsP2B!9IO17 z<_FF5$sNi43}{WZFvMDq*w_0@A7bXq;}7&-q^Z?!J>2cy-pll{A2iuP_FC~zh7SdE z?kXEJyh4NS$lRG!?_+nZKa&3}s|{VW5K;KYd)V!FEFP~b`{pZ8f=Xlwlyk}vE(CL{1NMNVFMeD}7iPIFx zw{{}D7D0`m1-`sI&)Tl-`dpbDe8X|lr8feE!0cgrD-XGaZx-u=8k(K%NIMN-ZY4weX9wnc{Vucew#%e-)7m5FAZ=WPw)qFGmU`mw%{_$-S|kc9)J zUsM(g3yjxng1KA$PY5X8YEJd{>$N{$)Ne;ika%sXDY(KuD4@nO zf=Lg?!B8VGv%v=$n3YJb@L4f;OO-v<^I+4LS^a}w*@o7WTUE|(0-d*T8F>zfbJu#3 zpC3#&oHlyb1jN5L77LsA%?AQ-EIU+wk~l4?k?c;d3RwY+*k;)9?yqJr>?Y6Q+jq6$ zpkSJ_HgwZL1_b*VT4$X1*Y|^Lx(q4T?RjidikW?yo~9Qh3JXo3@Hj(C#Z*6J=_~^= zXJ!qu#}t^Q^Br~9%vk2No}MYYzwX|2;qB#{n~|XqoIkI2H2B-zpp8CAzeM#%uiees z3w(>=u)l{B15@$Yx|1~qfn*!{w3juy!8{G?K;JPylJ}@*n~h&3+26DWTCh05KBT#k zKmyZ1**a|>UJbH?5Gmht208TvJ8Tau06@fWk2sL%~4|v{Fwz`G)o}urvbaG$N|GeG(p634b)^7)t z2K(Hhl(Otdy&?*9h41Zc zua>=b*3P!#9e(y1s@J!RW-@{pHFsoQz5tzC{-xFK>}rhzt(|3q89F>a0%`j5(JxcI z`V#gPf2+B0%j#*SKeFwHLRiINxP@Jc1FK?+YPaYAY2F>-t0#KlwCQ%;`u72a`~{&) zP23ZJO2EbQ2SDdb>tgxC5Gh*(7sFX%@3EJa2KO&EkY!JRhcaZgA}^ZERhqQw$2rOt z_$#6rAm>Je1^dW9SO-_&;E66jYk`uESYkI=GVe{L2cg*rqn0LWlOdSlE)!m0mH9wg@=-tC1FwkiTG6d5C>Q)Ef+;X zr#Zqo!9+z>a1V;9Xnmxac20ZwGwb5D_sI8Q(EuI5kDLnonc$aSU?Jw#tP=Sq?=5Uq z{*K4b-;;ywfojUXzf0>iz)&#@+PTsE=1P4sXsM3uF)}BcKzcjjUFt!HwtJ#|fC#oL z&czE3`ZKOI4;-6v4|id4+EXrAe+;w1AV2?kElz8pKxUBtmQ- z`Kx{x44G6$+hgt{m$*idF({L{?9deQ9_CY(a$KKO=ZBF1UJh4~(>{;<_08)5{CP?v zH`^lm;`2h2^?DdC47y%-30`}weQ9n*Ds0y2&Nlqu0X zn8{M^Bwhl!M7JgC+->`Z1akk>;Iafm)?Aaw4wdA92ZajkKL-U)hK0i&>R@BZ>O|p= ze~6$Q2!WCR`G1#7lz>DkI5bPli44uxBdy@3#x_O(El~ak z`Kn}WCy3ph4K_EU&>Y}9N+KYU2rkz!7U;Q>-WY)ef#FFbS(jK_Df4bl3-i~0`#)NN zd#km%G7y9Z>Oke(KB8H>>F;6$7{5#}JqK|*8ZKDo(cQsqvrO4TrK7?==`C3k>cbx9 zl_P^v2gm*iAUsSxrwYDzlFfx%!_H6?VPpY@AFCOz-l{K!1krC83b>QLtK}L%xg6}b zV(fCjLJE?F1}xv;o=iX2IJ`Um+)nzae9toF#m!W!bwK?z*%sF7bglzlkpgzO@mVyQl`5Y9>~}@t_F< zKV<+)D~C7^5H7iTwjHw+pH%sMaOx1f4>>%WwE4+G7mDtTEk78YLRlA)9i_ec%lE%y z&O}V$5~f$Ci$~fd?(0C^CIv7Zpm9M%rfbrCfI7}BxN8_Q>?dsooU+&n9iRf(f&idH zjX(|${Cg7+N!u=30G5yrUlR5vf{SU;L&Y$q?oX~4VyH~`_zAI{5v6ISC92q+R_2Ux zhgx>Zp73Wfcpmss`q&CSUv~Xh#71%3CP)lX8cQe`G;IllfJ3atb+p_C9_cvP5Dl5{ zo1;zBCi+1E*dk$>7$++A4>^(3ZMt)ga>e>z*K?X>S?7!JB$_{hd2a2OkAITln+)IL-C^Zyt(_`P#s6ql05V!tr z3wuq#4bxK1Fe@n0@j0{#;NYUok6rHdhC-N5LM#!~Q=ym;*aLbg@oelz*tQ|l*r_62 z{wg2G8HUc+nXlIvFtSE^($oSTycmmX|Lc#W*ArqIy7+)&A?6;F0}&r)prT@DL%)Hv zW`xQCTAOh-X%15Je%)qIrksdN>A_bL<%cNK-MG00P$p;9lT?$)vVgS5UZxLhk3ZI5x~%J%1SeQM59`|1*=2PBF_ z#HenBU(wJyX@P`$+Y#oDox`!mL4JKTpwMO=ys;zY6ueF8RH)1a0~~t3F3=(L6bC_$ z$P*|+4hX$O5PB)-FcZ*li(pV=*3xIlmFF%itPEh?=wxgC1VItZPFF8-RfIi)f@Y0z zNb9lJd?=Yvj}e@#wg6L}qI`e}G@1)eI~$yimr~6b4H+hG$|FzVG*`s{Pz*HoEO~S| z^5Ph#F)ctQNbCMBn|u{pvyKdjUZfg6W4eVT5&D>#)lk1Fw~ou93R?6|Oh@8m*sP5x zacoc{CWAl23^*F1Q5>dnHx($(mss{}i2lo6T?l-mg4fItlO?kN@Tk!NgkR@ZKL5iU z7Fyv1%LJ%b-N!&IWTjw`(05y*VZO7F>8jX69qK+_hYRLw-9eU$L620+hsvW@VsH-c z{WP5a%Pq5i#YfJD-y)SmeJfk{&A%3(_kJMk&pNx@-er^ZsbAxtxr!`rY~}eQ?9apB zo?Wo+oG8R2&g95EDxmB{yh1&!$@uO`mQ=~OPA9P=jI+23taF!`WPegBelzS2OQ@l7 zq2of>D22s~v6u;8qEpqgE3AK|TKsay1@THqUj)iN=x$zSY&*MSh7Fd~-Y&`*lu1MI z6UHvberk&_Qd_HSJK2l3vOc7EW!eZS&`jglKJN!soOz?|WhzR6Hb(bzdC2%ypot513(Gm<{hl9$h{Ue^ZDV zm>i62qatS=5)@3%kWcDokFc+@`g7J}{V$M4z?P4*zV7fvwz2qWhF`zL+>ch>XG$kK zN-ZBH*M9ta{vg))ZZ=S6eY(p61yr*U@KjA!v3re;Z6m>pb%O7E!u}7&?4Ub>Z?XGz zcJ%T15`P7$*IU{Ed?F|pVB$yi079Js`EtSBwftRe97SfoCwVx#;eNe%_E}LML=g}~ zB11{d9DTERKuWTH{Oe%|5At?+57w|&zE($lW)1jyjNwT@u@@1!jL0+?HIJ40Z}ZrF zVZQ`)`(~US+thtQ@P6|gyUGfCgLC9+vCt&$IQSvjG{3X3f1ouO7-X%YQq1cUm5I_~ zSAjkG!H0JCUS;ea3u(}BZ36P7_Zx>U(xawvee&Tw$DG==(&WDIS@XhT<>7s(vIg4O zLgKFe{luiwd%kL;j=;WBy->S8`PhA;)fyWA2;B=5wNCoqKyB+(>l+}lP3SLplMPY! zz98yMaYA0ZPAQU>O+El3XKkqV=0^&50Z(|L!H@{?<$5=}@|Z35Hp*vTD%~RnV7dXn z`fo*+y*7X03X=X6=8auJ;k%Yzc!TZQvBe44ZD!dzxD%-a2NAJaJgBEPwhge=I=lTm zMgy*zp=urg0n^>WM~`V@^~Vb>PR)~Xzt`ePylnoF8^3mDXj0i1{u`myu8Wy{Y!k2j zNEG{lJKaM79sVWJ0fD0|#nmuu)@wcez%j0e{V?A(QG0@+TMMk84J~e`n5esmp9;#0 zk6gaMkbRN&>#HAUdYjf)NBrfFip6&KD|fuD9H1yJ)J$t#u>VV&-|Rzve~VgSJ}v6y zi8&V;+0NWkcLS861;Bb|5n-+cDiin>?qrC`eX{T+*E}kK^iG+7lUyg{3Nl`9v|k3t zaL(AB>v z7ukIhe;k9b?PApJWNn#LVcz}2i)A*bTw%p_mL{hIf?j}0QWEoquaiB&mh~iu6pe)^ zU9SLm)j|xJZx6DFg5Akk74rJhB+H^;N{L*v%~KoQeU~bL69RB{ud_x!vY#FV{SGL# zgA93K9zp|v$u$-B>l`h{uN>%HQm3J)qc>$yO@~7){cQ0KhNn+0vh;-~>dYfWVut^% zSaiPf&37Q@Aqb(DyRCI>PjCBvpLGrR#;y3TD%%F_=}^g@2>XeK%GA}elx`ew4~v31 z$|qhQ)ea{R?)r{1p`ZhB4|snt87L1Q%j;JXELdH<>|JD-%|#IYCC-RgOZy?_qz?&@ z3q3^m=2*DE!t}EeOkLF>3aFO3+N6s|st{;V$8z{FQiZwXKKSlmZ)aDU-Z^*U7OcuX zBTx$u_FmguvB{3=Y4en^{~GPho-!(fHu*&Ng^Erv8tFwhyDn#j7+Mh^k0V_<<_LB# z3ZRLHg(;Wh!$Qik_G)-NnRM*kNm5SsC9e~phQz@Dzv99%AtcDC6c{NEX4^K3w<`Uc*^^9DF?C7pneW0Z2S?XWwr_K5m`n;n|QO8dN zey8oIkC4af)%*#l^`^!?tdmEor;9q-d#qI_W0p(*s0dP*^OZk(n#_WpX5G5Mf3!G22ms?L5%FM=bY-v)bEG3x^P0=@{~JmtvAm8vhnvc@FF9dPi4mJ^ zJEIirCi9H7;*3}7Ve1GdR_iSQeVT){@+e@4Zhu%2SeiZkl-FtN42aMUukS$~_R#(m zbR7)+O1~ne1Vr5PZI28bN?$v&eK;Wz0;+++C@GpCR(!tB zk4O0UEHL`1STVPw4pD-1h+C89ls%q8^-5%z2K16s9m!w&8O>JB=?u@aP{BC)-ApNU z=s36i#~AkArfa{oFx>aw2V?(3>S*o%yVTL2)>&C&eIk;4EsA*i$e*%)$dl}sdWYb<+L5*Hy?5);zTY~yGD498B&dCt$6nPONbqOuIL7Bd83gF~Y;kT*eyM0{L~km+zRJdTkDtJ8Lo1U9l}Er&VfSmbr5 zGi%n6mJl5mP7y|J5kLn982oPqq#F4=>@N5$73^zaTEV1EQq%zbho-x`~HiVR^E%8YUHPw6TPT1a!UPaojq&Jl)ff*r?PeU*n za2@QxVUZ+@9X7-*(sS!0uzllTV~4IJ69p}yA&ooZ*gxq-q*YJ)5Uy&(kH$#6+_1Tq zTX89G-bwF%S5^_r`<-4HtrcQ0y5(#~_9J~!iUa5|YC zkI@c2M!+VvGCJN(r`>U@$NTo@wRc;;GGd9%)qJ?QYI=K#@la5!n>DrO95H5gW*36q zczwGtcNrb-Ny|PO4%y*7fkoi9FqbFH1o(hh99P}x1UZcyCD-S%hKR%7x=5N6EJ~;# zbX$_kd!8Lv+`9(cXTxot(mHu24xI_|<$;9vP-S8;CdAIwF)Gw_`Y{Bfg1epJ&6IYm zn0$x0_TJx)++eWzTo@qOq|vnMA(s2O4pDl|T370_vPf2+@eam>Z6(iX)MYsYZ?_UVjhW9*5>OLc%bw-2;|wC*`zss7AmXokdM|7YZTDDG%N6&scpL z$~Iw50B%WnpVXKM&<0uHQ;6D>8wh|oV1IE=Lp9uF$p%w(RyY&$W^CHSG5m^>rqqFA3d5{-i_ zNSs)^(by8Y-L_Ifb)Z2S23f*Dayy4LYJ<83(i?IL#BfD7aT8H0Qh82m z)t#IU2oPJJXiep+KxiF+QCb@ytx$}S?huFsrJbe%739i91&OOwE-a-sWAkAr_QW*H z8APZ+;+ZEodx*wmND`3xaDpsCiIYOmD<`Xn=2Sl68Pg38vzaUS4zoIZ=f+ zr;qJYaG{SK5T;!j0i+v63ipXAhXqNes|x z(RSmUhanEb+@Zc?iN4w@REp1GpUE{~Vc^3t?!5`%pHCT78DGz-VQJDii3AnMZEar( z|3eO=>INaERH;?r8#53k%XJniODrPjtdIVl#afD$c*#q0Nh+5Llqi)TpO5Fwhw|m- z6GHfN)}=?WV)|1trvF~#~3m@kx^W2P+TGX5dSwv*^fglo0=0&m`g>e zS3NzKB1()=A7&22DgbnapUpam^A?sgPQ24U+5@`z3D7U+qZ6#vSqbZD6xKqlM>K*X zpx2Ch^(EKWdN6cqqF@h52^9LBCa#opi14ISQ)6^Inz!vNkUe_{-Uhk11E@_Rl~Tf% zSfuxgWF!F$imf;;xHD3W_w<-05|B6Ux>zQi=3YS5CP#S0`rbHRpF?JY0bB;~DZzn~ zlXr@hlaL&5qtc~#Z7JLti(2X$k%}3JfW^j9Du*ADV9DwXh)ByzANfN(q^?+yvWij@fx z=rM8%*d;^&t(14iy&?>BE)^^N*q|(6!#K>$lG;}}?dfDli zxBJh~0p-(|2|kjmhe^^8qqjr64!pHBNt!2TY5y5ke4bSmcI>vtUSX`t`U-;04i&|r zuRh#zvv|0BE1CLe>7zZ>-U~1P?`*W!{#>>8+@0aq==;5vAMY&Pejy0%T@gWx+m)xg z40H1gXPFVxCeWu;+NRmZ9p$_N2x=bOaq4T^eHuWcs71}Y0)_eLM8usZO-Hk`QL9xvH zX4vxXV0kO0L(hG#ukWh;!O`8}i+7J4&h&UMxL^3zhOHvMu$Ho_4~YLzxSREK)w+od zHt7r!#uP1{4=k}0v+ia9L)Oi6qz;~oRd-w&b&@P7CC{U?$!>3$|dg(~lyLpuV0Cu3dWa91Cu52HCUmPWG)zEc*%!_ZOxfpHGH*nSU0F497J)uIk{n%$^?l)gW{W}g>iERXE7l|Y>&ZozTz1rH zy45Vkda#``f0Trr)I0|c5;&D`5)nqL1qh!YwE- z0YccpkCHlq((?;$+dy?svA^C$_7njY0=#nl1I$CEFtg+(U&ki8kH*V(vajD&Blj~n z1+q5)#GL(fzpd?thMm2dOgz6}0NcDyq4*$iqe((^Lm@@Yry9!7n%b3>82F%5W;Z8a}h*Wh0lXI>e$FdM|*Kz)El0){z z0s+#Z;rs$RNtE89YBLCR$+Ps!R9x~F6YMnE6F^e5b|yEs9YlZCc{)bw=8hif(+%b7 zJ})(8WAyd%UxVy8mE9gj^TvOfY+i8wz{+Q>zF6 z0)k#GJZ|{=&2~faZf_1Q6c=_X4xr`xn4@f`{ivNg=dw8j^?~r1b)Uvswvz%d#b`l_ z4iX=3mAMAH=#nTPBxyx5sb@G2VX{ItykM-Z7v0W(z!->Ysyonsr#JxGu$ zG3!>6T}gYjZCT{q$GeUP)|D@AeaZ@6F^}|IZ?cP*P2@M-i@bZ4%Z2(Dn^?{3mz+rL z$5``j+NSM=HBx5nw9KFf9gu}n5`-nSb=i|c%+96S)+uf3M9P*K8Xu0Ed+1Xl1VlU0 zB*V~?UmZ{Y76Xr&rVccNDAlZYT&F7`bQxa*Z}v-U(z-r5S&+yckyV7vmtViym~5|R zm#tl_`9ks?USa)jtX^HpZ21gB1pC2eX4}TX&cftrFi@696~i5NT8XyRblNlmO*d5b z1*(hE_Vt}OMOk74l}}|BlN|IYJTZ|Pztnos1-KSa-<6>Jvn$DZj9jea&!t#FNxeDa zSQk}DvktA1?JhO5i^zOE&H9mktyNz-`B!K9$yE!vF%R3U@Wg%;Sn7Uf_dSmr*3HiCE9Tv&M<3q_qUPz*1@{UqVq|Iis8p%v zZpm^FyT%>?VygWx2CasJIIGHvD|5!DRRxN2?-?wl9UY*&KO7%>##AR36J>|@Z2Tm^ zZBvVPblBR&EQI4k|6>$#3=z&h4qov6MAQw4FWFVi>m8MaR&u`jK=F@9>(1`k53y#v ztNy`9CVpwMvh!WV9&+m~y_3CzJAb9#e1-P5e$*bSysOwgS~l88hn*eO`lt(Nb`fKq zclG**^*NM#R@zV;FWbyhS-vxAB@X(bmQq<&$u1{IOdX4$>H&n@(Jh)0N3Tpgi)S8O zi8qn$$CQWT9V9ip*q($6jyK&LtceVf>DPHkn%3@A>~H&vW16FU7F(fIz|qgFZpS zQP1_<{sr1U3fPnOy9)%b;GgvBN{v*3Nx}fz;9!?ua;jh&to?|S7~+m^k(YSTv!G7P zN2Dus?Oo7X73C*CS-18qjQ=-(zV-dBi~syNsTuzdA72>X*xy%;gR#@hac9E5?rK!6 z<{Iv3b`-TDmaN=KJBnL4i3t~tQQGoh(jj0D>d{K{6uK9-!T%L4AxQhLgi&GLx#p16Y7S34~!SmGL+Y2v%Ul zjwpula&|p22thLJ(6Pk8K1?>VCK)Et?8%-`G&jS^aW?yVO^I?cIXm;u?%A`2uSR!$ zym#Nd_ucz@_uVU0?l!l(wwv?`FU9%13+sGxovaSr4&=gVNLc}kY>Og@a>7w3cP6!H zP@yaeifa0*OQ#mi#8|9}rB&b|MVFr95&MIPBLNZhRvWEex451qB#!cbMAc1y```WR znz0m!=%Yw#$9wd0mHQ!TvvFmU4*!%}GoVQI>A~pOFtyO(CZqFCr?zna zAAcx2Yr@6mqhuvuMER;Vp^vy9Gu(`wQ%BWsL(moL0YYQa`cS;I0IV;ZF)`6i#dB~$ z;nRhP$`Zm!@z@>_fmI8pkakJk!61d>DJwhTHVQaTONr%?^D&ykCRMmf6Yq#9$|S&x zJaQ@%-gx;}J=GLVv%VS5=J7ZPx#T3+;3EOEHAeC&IAYSJBX;Zt01L^GW68%ONN9*E zPMmdTV>m_FfExibNF8^|L>+gB-Jv`+NM0=Z2|Q6%8G`Q%YrLX4{Ys61FiNawl`M%abQrI(D#x zXOXY@4e=+8p~Q$+$K%-<+J9;=UZ!vg5Qx+$W8$4CVARO!O1Fwdv<9uke7^hVl-IQY z5IOFC4sbG}u zN|foOQ44Ao0K{6v>|q!5NJLJ2*QmKHuO~yUy(pYh7`LL|&b+puf%x=5Elu)QE)1Lp_8gTs=2O@P5^ihV|PS?OT2)=!~Jjo z?66%GAL(JD+YS_{qSRcpuc%^`&(@(+8#Ev1;arOnlx`38>&I}vlt4azC4;|!K@cpD z!WM&s*&7NvAqt_y!K|!MTO%MEkXQ;Dh2UP`MzKxRQ;;{s!DCfKrrGO_II-+cz{@Ts zbQ2I<%P|5+vDdRDGzVyrOwD(FU(qDcu(8nGkPSuziL^DBAtf3TU!y&&JHwLy82g)uMIU&|~`^xViu#G!}WB{YutWod?pdz0{nmz1TVP2IN7-0%LYZ+O< zG;r5KM8oeeLa`ncu|ntodf9A?4 zU%rm_aNLf5wKb*2!cys2wHS+W1_%<{;v}qQD*N z;E@{Qc{prU&hytVmBKcsiD*RNuP9I*8DA4K(pf-K(k^p?fQxn-h*;_meguMMEEM8LsBdj>=H@|kT(rdAxH?s9jNG%cgiMi7O zcTQtMh$*H%t==}-7ra7KI~rGIwQlG{sYvCI?c8N!ynv2%yGbem=$aU1l9=Qqo=TJ@ zgDH8n+XtgMN_yT`eh}ZgF;ImP&N!x&ooS~j)?9fjVAPTyZi}kT|Nv8Ahdu0R*X(9a>AJ4Jv&_ z5Mn|dk;0^cQyqwlYQvU+#b&Dw=&JI6{$kB-KA#_DF6(eJhty!7JHdm)@x(PlP;J`z zD6_|}7*VCc1>4&3RmwnwUeX+E7{$BY5a01)V~3GHLgLAthI7hzLHiATlIo2MU8joT zimMk}>AzzD*SqHi8-+7xeg!Ea7tR;mg+Z%(F39UGqI*$vA7k;`B=;`L(GC#SilM_S z^hiACXUFtGtC)L(8O6@HkCcD%na>Rwr1|6SK9@{X#?8va^2oDPOjTz`-#lEXnl2%m zD~4Iwoc*E$mBmP9iG&)3NX3EHO49M&%3?S9n!u6i`dgszii$EEbk0#k0i0fJBv}B6vX=7|Bt7ZHp4h8mXX7ZgR(YMTOryb0G zr+CiD^*PNqjJ`$|pC!m#XQ;H6DIH@M**!zBrGf9>3w3`J2Jdq11vBbPpuc{{6Rck0 zwk6JEVdZP0TTk}INEA1RDiVE08g*y^%sFt?11YuNo6^5xWT*7x4{?q~u@+CuXHeS~ z+6zUbuQ-m-Wvm>QNwJq$h88{l;(FOm_SnnhY5!ekxHnM1=h$CTVM$G|ok_W5nZ{J>s@-8&HM^M_WD~IDr%xsJmKb z@-BccGqEym#EyTJwgUliS{_jB^F|AI8huTnjuWC)5*Eqc{L&-JI9hhyaoi#Eqm|~t zB=80^+lDLN+Zmz-_nEZ}V`!Id>&i4Cloqtf5!V@V^F)hXMN+T9&>Ee?ZaB9Yt(zQ1 z)9`8HTye29ODW1BV_Q5#4AVy-D|(<8$mi~#^9G&KY0AxQWx z^Yb_V`cG`2}?%=mKz%3ds>VGi0?OF$D0vQi`0 z2t`K&3&;fMWaDhYdO^63+uGr%^eXXK%i|ih^TTVy$*)n;YI7c22l8#N%x)yi6qf zxgiGDXgZ2EV!~9qUnWR)*$eK&H1}Mr)RKZ$dj*zPK6dVMK$Weok^nJFD6q-EF=~K2 zFzndlfY}*)nxjRoKtkM8?6Pakz#bqfxDHtYuwFdRFFSJcNvv-%l*>+LhCP3u2986V zDZ?IW@Z9jQEWonKxlKJKxZl`d-Dfr}5PN~4)d4sO-);?X4v=%lUQE2JF7gV~u;RAh zO`y5)WNOIWT683|9$s-TkwxDEekT8&bk8FyAh_%fk^qiIg4hc~vjHpDS@s!w^3gK_no&Evt&1sI7vyjY;Zqatr3gByiQq5ennFbu^!K@RnnrT2{7#AJx|J zyl{>!8`{(&4?k!w~SWwoF4c)Vxs*cAnfvjflQ+QN?s1}8z=3Z*YzA?Lgf{g4_LO;b&Kfe6#zxtd1 zT29FSuLt%$gshG-C**$-*!O-;$bVe#<#z4nTmNLy7$96&6?;D?WIeF&kAOhPBh)_l zjaM)m-#g$xy?2DV64wzg{Ev$y=vDt(1YQCI6{ebg`!4v53f0!lr(Tq00X%tY1pg^h z^=H7mu!|{W|h6yYeapWTXo#XnCKM8#lFu8*W`}6{YG@0J|rmal% zkZa7XSV2Xx!CZ^kR8F^w>wwL<&`7X@op8?2t8FZ;O(f1DcFbjm9R!-#sp)_=*>4qp=_qC>#E>5hT&9DXnDQ zB0FHCAv7gj5x@mi<%JY6oB*PRQ6avDyE=oGQWHf;mh7{u7^iI2rU*rmE(YdNS9$gR zkA#}7H&K0)pyz}By?|gwDcs-0)|rAKLeMQdN-qxd(qJ=y8#~e6*pQ9~5{!Yw8I9!V z4Z=#Gqn_8dQeSE@Wu&pqFkIVd~^oXO!gGN8u1JDke?`(&{9fc=L^qP}5_3MA| zMGvY%v5z8zL+2IvqbRhL0cAK;+BOE)Eipg|-34{wH7TA9CJqe*Ua3If5hDTK8dM14 zb(j$Z@RDdCeC@IGVw+(bKnu|IaGh%)sx{U}Y9&w+H@UvDTfxG+-XvDoX2)C!_L*?o|UQ}78NosaiXvd3`=j5d!E ztq{$#=HyExs(6~GF~BiYoc)^(QcB4Z=q8gZdX%f&Rs-ND-5MGLm%lE}p^C_}5-0OoX^j>COQE0&WfKFh@8+hydOMkP?ycSQmIX#KD>Br*|tMq&}m% zONc%*m7aMDmOBYJ1Tq5iVh4>Njj38kOcme5^o5V98-iu9>Y~&F+uz{vS`W zVhiXG=2>VIM4vl24Hu-fq8h>tu99!>`Y?f(v~>^j%e6Rz8#ZOZQ!YjkQyCyHTlKV% z2^UNXx%CwrCu%U&B9H(=2Z6xY1NM^ble7qNwUn~Ra%&CsHx&}x-vqqCRFJm8bWPHk z5?#Eyk})@Nu7le{NAGenbd*L_7}H#0X z<;(}mKpM<>-w*uGW$DB?-kZ=bbjg^mU?}X1M9q$_5w+FWfst~GoGk2CVr8Sy-MW~A ztm%xSZKfx|1+ot=N0(((GVvFnI0*O*kG3^xYkXKKn*)TpxDm6fIQg*7hN#8Dh;PX0 zKuMHo(^k7x0(4BVg(gX8>p=FI`t6yY6A^ZBD-25rUM58dy3IqHcz`g@)@v+xp5g!vfDWHd&W1* z2+{>jh+??N!>%Z{!K*&TRspgnTkYUI#plvKN8VQs!;tD^iP8~ftBTSeJQC{#Xl{V_ zo{WHoDMS^@_7P75o$wt5*GGPW-h~D*p-dDk$x&3(sF+))7bGid^s{t7;dta7@;Vgl zHEaz*Zr%!$Z?h}_UXJmPZ=FQm8x5nX zc=`bhr{+O7aNkpjGUN|oft2ETq3X2*eo_IJ>WBl^JRm@hGn~U}5qeJjw66G$Qs_f( z(nc94VF@RMKq42eDuoRc#twFXZi@Bl7w(DS{DvTEG#v<$R`D68lLs<2IGz|!+X3>X z4>=f4%)JH%%T+=j%|d6C;sEs_Ie5kDh$w3ik)wH!lR0qs&SGXiA8Yqsz2%s6vjoH_K&@F~B%`J|J% zZ@lwTpuZixKH%Tajep^o1Ll1^v+*@&&gpPn-u0Rpll7&}YSztRBH#wk4i9(a-zL%M zXcVdG72<9su7jg_fNf3-f{;ZCr%S~f?{7J?<`5)BGTNTtIHUN`p4R}Y?Pm-_J z<0L?5+X>?5-oh{NMk|A}gkJ^cZ5W4d)qmRkr#}B7>F*zpBlZh^WZiELWW>X|>3-=K zxl1>$4a~6KhX;1OCNft5jy0;MEv<}bjDc!)-QI@N*kibuj4LQz9Iea0T&3X|0`Ne*{6J}u@4E)T#XrN^t;+%c zrdn<@_U}|Z)w3K_XJs;1`54xx2DrlI7Ck^hut)&K^Uem1BZ>-G6*g`@NLtW2fK(ak zxKsjaeI!e?gG8gPtc55!sv+N^QhjVgFW*DF8*&%!kOPN4vyVU4+|N%}G}%MMqa8eh zYib#fe6vlpwbQJ<(&)_bmh!HbGJpbr*0peU+3~gQ{zts?4iVS=VKH{fH@CTOpp8H5 zq*~^)RC$4BJG3KG5CO$18?~06DzJ9&27lAKS3Se2UAK?kl%^q0yh`*+|{STFS6J zWLy%x;ylC7R0LATwJ|iexWH>5%C{rEedxkgTNF;75I=9vpsx&h||-tiW0U_HwabZkD=Og zLe0S+a+cn-K+=Ey2${=d0Djufm^wqls(GywLyirTno-Tih_=HI!Xg2B64p=fX@8ZV zI&F(29}*z;Rvo4DW`!Vtv7ilsWq~fVkjs)vOz-@gG6N>ry`gj9km8-`AM zHEb}DE8OU8hm~!UNcCO0PHqsbdN2mV{td%yKwGHSUsfn)0vIyRWk<$bF)+eS4yN@m zjJgbx#M6-}aVtR>B0*Ri@mX8NvVv}L#W~5qjD6(#_Ae?Z={=U(lmUj?eb$w*RrK&d zju1U?<)``m11K4)8cMg0xHqUU!^Zo0=b6gOq!Zg}WlmOcmc0DH5XE@5ko<>gq@YQ5 zd>KjrA#%_h#IYLv83t+37&m_ZdDIW7i;N(I}1{*HM62lq$PYi0d9=b!CV_O0C4 z;95X5Z*fSz9s8KeO}PDy0I58!Yo06#&>|nREx^7X=p3k^#Bnc0J9>Y)g(?!nlMlT} z5L2qP3I$pqAObD35&0Xdxib}Q$9Q%I%LMxDHqv&Fh{_UFc%6(LE$U z^t9g`zWe_#F=@Z&|HE3zyUzNRSk_i4eYE|-OTpj1z&>g|c+0Irid)?0`y~i>CAv}g zj>Pcrw^YaS{`R^55{A3+J4ftPB;Nmm<@XN`ICwAv-+K#_nLJ?mhp_(Yhcp@CU=r+G zfoBksSg*gsrP?lL)|s5UjQThzvT#F4Z|V_E5KNm7rd9AO1^ycbN6h< zsy=0JTWtXuQ(4+YYN)hIsG@AMYz>hn;Dv0=m=+>;QZAxf)Z5QUI5LDFxcWev^Q z!E2NZF<8Kpni8I5`(U4kT;%2$fGQ7g5hEq+04QtS5fSS;LU@5T(U24uS>c&S^!

      eF!CfebS-xcr|wTSeZw#H=(;G+48f|(NSl@pW3@gLswh!*O8s87?tg4deXi)y1D zstJ^vjHHq9n626%IO=$7_=d-WM;Xd*{-^c0lNd4(^`c9U6mD3?Su!t2c!IsbV=+7d z9X^#0vku+aiQc+Mm}c}hL&TA&T_??YfOr7{k_HIWh_F^%;Ceu~r)L+8vPAi9QST_$ zy2%jlj(MzmGFJK5x82JfbAs%26;uE*Y(G(V(9OOz9E-65G&*1k3f?@SA?KDBAlt>zb*2xN3W6LZe zxi#^ctL8V5M?OIjRa~s_Ev~<{#AtEYaohH_PiQ`CaTaQR$LNBQe+l)Bd(<%2YsQa% zzN|K>;xnxI7~othddS-2Se=6ocP<0+v$ zZL%tM#AT!Cuw&0hkm;?gLF@WDVw4+o)}S}DqXfGgeJ;q@tl{R>t;3Dfj1jmsrS0Hu z=VDM6vAUyuq~~IMoGiOhbCrcLOSyT9AHV`SrrPHT~US@ex2N)Dr$=Nhn3jpSaE_N z+TS&lBG!w#5E;irF@g9$!PY3?Ftx0csGQ2H2jNq4z^X`c$lVaj%({ZLK*!eXHf;A) z9whoSvFr*n7C!a&5RE2&DnMULQC~2I{xu*)2R8MG!1Y4>OJ%&LCaOS`QUDB6R6sG< znule;3_+h9@O7xmdN$mF#tJ}yp;!qyZk(s8LZ-3DQc)_PWw#2Y^LL*~1E7n<$xiDo zA5sGuMxTAetwEg_q2_561=V7oA+FVGCIIivw`hu@z=&y+Vc-rMT*uvGxXeGzwH>U1 z>v@8uFRdfgda;M{BGN|2jI(O$#o_Aejja}r6p%s5m>d8L{6YtYd5--BM1Nu1xxg->iYGI1_maTGPlr%+R z!>dL!)jAM%RdL+3n59;pT(TrB%iCBL#b8u}d~eb1m`_dp13*&~TZ!#n@fNs@HCk zoZ1!}#b7-3cx1@fH0py}RZ1I(Plkyy5+D>2D&7tKalK|j|8o+~R*R12+ND_I#?wg2 zoi=X%#cq!cu-qq$JW>S08Ii%x@UbZyY=p@nC2y*Af<1t0V0Wyi^CgM_PU|3!;?0+K zEc-p~2FyLSjuSl%a_OQOh680B>W5>&wXlperD^U5$T)i)$sSNaokg2D!%WJk;fPH^B|}bPDbKw4v`H z4l-qEic?ZCdlR6b&JNaNATr$4;6`;{Dc$XWdPuUVM2hFA6KVzv7v#2`Geig_2=81a zJ{8_ku$@NW4bo7h#2Y|cpI#P*K-eo+9N5)N=R*$RUCtaHLRTDKV!VRvAf2f&UZz97 zg0x%$cOgN4-5VfTuU7lt|c`Ynlxh3{V;^!I?Cas>oKAV&uP! z<*8V4Vrh--U^|E+V>$C+BNtjTzabw9)xifoG@s6VXy=*<5-S}fmugPV2i+hpYRQ|UpYem_}$~pStY}daL!>tRgFZApx zZ(BLM@+R$>X(%C5?up+uSIky|IgoT;B)PZQ$s<|km)jssrDvL#oA(TVj>;mD+>y9&Qs*~_yZ(w81u#x-?ScKtvq*)9rU+xTBJ9L z|I`hge%g8XNYgX9j!mMk(|R)cw^U4!%)iK7vJC5)jP;CpGM7o8cQTueIU|Z-8C|XT z%SQ2Sdc^vXt8I6d4dwf!y4TodxLxE$`UPh{`H#*G8W$s2tuKhuA2G(>YafiF{;@uWLtS(bD`bHU!_<5i}3@b%-Z<2GTqj& zhwhF^bqnp{7irW!P%ht-A7Ej{v5MC!YsKaMXPv_D4I01PaE7*<+xY8ODhKBZ{3yNA z_l|OK<8TarZZkt2-c5MfPQ(7eK5uaFVWgZN>_biIw|&CQdkFVka@;>S4?MExU|bm; zJKC%X{v;`=yi06d41JrN z<+up2BXYX0ZNrJc92Y3COrVaHSYnUa65cV5v9;#r4P`OkZ?u;8;IvBxdD?j(m=p43 zHkG;5-0uJNse6pvr#C(y9cdbTc#DI&Dmzf=`G*I1gVT5NpY@YpvVL{%6Gn@FT$ZX> zKROtFERy-{c=!|36okBS;E+3Z>7Bmv)7Id`!G2=`n_8RmC9;sTlu*U^oAZl1ce_s$ z`Vieaj!G}to?G#A&aOY=x5W6aadw2AY0ExzFn7@ydk6>sU`!OoBk3E>eAk)TK7ydY z2lfy55%Mgch~3v(P?qHeFK0(Da&^~B4{W+oVW0CGAL`jgS^#F!Gsact-E#RUHcx)A z*tfWy{mujXoxW;eeq&ePO7=#FHFk-#AK89kLqq?j+%p}=tOE~c#F%w712gDCCw2N> ze0ae*9=-7&I_IW2 zk2{JJ{@LMQ8GnITUom|9#%u!u4N2iDHVInsL)9DrC0hG*qgs$i*W;w{x!ygD)zb1Bv|* z@6>notvIged;}%8gu>kY?j@PS z(CBQKN6j0+M-NzjX`VFtN6HI3i)vxsX)w^>y=EtkH{O&e?bsl8de1%3`4<$#ed0-) z1!#kS!#$iV89ORS6nC&;e&=5Eptu@6l;mc}kN@n$*2C)aanxsegS>MEm2WsY@*fKG zD4O%9_Ziml=;4n$z{{1q=nnqSDswV7)m3% zSUyGCdd`ifc17own__k*KG&A*<2w+iH-D(5YSge|dR+!Xo@NWi6MhVu&k_S58nPbh zEyxoApSn{30?j^Mo*@JUQzo5V{-eBwbXW()a>rQkMRNZOcUuoXjKbx6n;wq(lU|;& zdU@El0{L0CzBHJ53%kBL*GHQc3F%!4)lMA@bWndj?q9W0rRGrpwvQajYMl!xs|kFR zB3*EUOc&WR2)won);6}9R+=B;`>Qna&r~-6(NnsQHq$?IhABa&OjX(K(C&qe#b?-j z8Kuo%=8sasR5=qw<(&Q#veu_YgyV+RlQCLFu3(eN^g99OXvNDz1# zk2^3}t*^{D%5U^BUl^3HuE;zKwxYc5Hh*(+OAp#dH*`L zSba(-M`SWKAo+I{Udn8GhAy;Oe)AlJbq)aGSPH8PHi~Uo>8o(NpbI({92m389_;hI z;+}*5=~(-_CeD$0XMfj!j>l^o_vimz+<~CM$#eZ*&G7wWY#v0 zs-c?Ml4(d`_pU!jc4hsOm0jxwuv(M!U=y^05-tSQrpdGwQTO@LjRzVKqKB~ARSWG! zad(dv=3dOE3Rk|nS-ae|*@$17KwvI6k15@PApTCfj)nQ<6`KwGj?Fp{zoLdd!Yfdj zrJo>f(Nr@pTHaovt^AxM94-^cq0mo>W_@51Xnk z`1-V2qG#NU-qyjJ7N|GET<uZM_e=g2N=7Ei1VO3RpJLc&LeknsN~7(Vk?s*50l0 zR)#YU5hFK`30I!e+l(xUP_6y|3Dtv|H}D|xl;E!nMtOlM2!Zqt;1t}ZlfC;=@&EM@ z#={fekdWnJS&_Q<%I)aGg;%cle~T!@h2KHpfm`Ev@$xN8JOv2!@zE3FDfg^DJPO3~ zc;&JGXEz2TM`b<1Awy=5chLa+|JU0;437mn>J_Z z?LYtEcUs<|e!%;m}w{2s@c|*u@;Q9 zm8hqA3~4H;oz_UKxNSliRS9X-fLlz{f{F#?gtB=*3)b7?cgMy8JEX%KQ1`Hs9y)VQ z;Tk8gR_W+K=xpgFz-e|7-a|K{4pGq5aUzoS-IrKJC`J?z1+M>mU~RrRGG_xxfgc(o zn|jH)XgQiSok2ZMP&_~o0PqVa*i%$O8H$re_7?!gr(=U^1~)<`jQuvKV3QmInp=}lf z?H$O^r$%B*#jbbR?KB^W(+OFJh7;0ObBKXW&#CY#QxUMD*;?1j2%W%gGZ?4F2*b`N zqw!FgDDcn%Hslwm&?Z3yz&tuOQGQn~II2}?MhA^Sj68XE#J+jz#{iHUMjQde$XAjM zWSGL%NODQ6LwVDcQ0Jj~3g`slQzY7|_%%SCAjc99Q$2Ljjq#;X5oV}}L3h7VsJ^R| zPL5!$n%inm1ll2Lv84Hd42nj4q}8U>d}0$nhzH+PBoG2vT(hjM+k6$Hxr&hh$Y8ED zp(5v;#L$$9fXY$8KMG5f2@HiiPvMcL1tP(=j}n2(q@%HB)R6d2ZVp*Ocq)8;q9s&< zE!_S4fBz9>M`jcm;8jIT=WKHFs7E7%h=`nPiY>iC`1h1kT@I=i=Y4_xM1Fo1C1{+o#)t~s5ahwaiHf)DS|Ze(8sdAFZ5FIdW}z0A>*Gt0D-hQR;Jul_d9L0fSG4H2^)f~?A% zyxhkH3S#(lyyw+xTiF^>=J6n45+0)}`V>mwB9QIG<5Hr4P6`CPsm5fSns)If_n@{6wDo-jTi=@1bd`4e5i*ft<@vJRnc#VTkR__)qS4R9x1hc^XdJL2oPI z(e-#UM#%1-2XQVg<2&M|1e6}K(vA*fga+u#OgNFk6d)W=+@6}F~44dn^^6j&}z0xYxHskEA5ibuF+ zt02onDwj&Uy93NZX&urULaD@|IxTx)cOdS}L9ej)N#a9M=mW%7B!F(@@q}>Lw^5`W ztx!;x7xNa#_xEcXBsu=82MARcd<+7skHtTE#r0qM)(7u@Ff|PY@dt0?6)-pb{dYh? z5~4BnNsUWBmPh?sV7-c5X!`6nd~AXnlnepoh^eO49Rir2?7U?A`ujsJ7K?$k%8ufF z#PTT-;&*UsiVqZA046k2T`51tCAU8*Vi+4Wc`q4Mr^MX&@o#^mH;_Z2;-+{U2v77- zE2Z`%n3mH}#rOyp_t!t`Bwzz^T38xB3lO0VpfUZyXRY-P_#^`chSZr*e-xs8dCx!CQ?G&6Cc(|0?Cy< zmyCq7@tZ)TD1#oxL!5y1Vgr*NKkaUa#?Vh24u(?SLWUjwSLxZ(J>VU&cRaB5^dS2A z!RK~;hm`>5l`bL661D{mCXhw!sEg9pGtL}Ao`DK&S%KW17C=H2th9|`ohkX?77D6B zpIH{Ft(9-|TH1k)1#sF`CBNJzBO3FbB!T94*hap)8BZ}F6nOnU&42tuTqO@E zPYhTn_b`As=o!XI5=3=0|{M7Qd_h!w>~F})~)r6x54{!Q=||aev!(mU_J&!9 z6yM>i4b=}$W!K3B1Lj&aNM}xuD<03*V zU8iXdO!X$=)wmLqf;L2&88g~QK0YVTHCsO_9~(a^ezb5b`IFU4t0-T=dj@;HFS;<9JWc7u+Y~C*wWAcajrR-|2bd=9Jhw^+rcBHJC&w3v~Zu zAMS*>V7ms62#Y~AjveRXEIW$`Xs3nWX?ZBqt_gurjZN)uU%3&c&qfO_N7~jRH@Z*YoJ+_e&EE)c+{$G2M^uP0b&!ym4lKQTNk-dHTKmP?(`qYtrrhaTjle<^^Pw-NtGc;CK9{CxZM6EKRNc^ z*R|DSA2c3=5U|@f#}nA(A}^ig9V>g26T!r}U?fS#E+ua8L^6i$hqvCXUG8Shg+U7^KmfN=xX(~fazFCU&QcV0>cKy^v@F-vj|zAq(5 z++(hls*xOH6+(;bYUK<)HU9bkY20cUK&|=Jv0qDY$+6^=WZK)E#qUVzB{@8U&-3mT z$jvv)?aN8AnvC{bKuT5cj(mXP-FoBSKvL1Dbfzz}83j+kYOQRak0hKC_N_~%ao%sT zQbQ8Q6^3*2I?~l5!EM*T^8fx%&{{UaO28!G^CH^Ze1pV<#9gPv?%)fnf0=xB5Uf6S zX%&1W-W#6!C7^W>EV1GWGU_g_7FXgf^CnMT8YsZ9@{cD^CoAGO+}tGkyPR}=>Ou)( z_qUb(XIKpBtdqH&VZ`pVxd@@e_ce&8&JF`GXCV5%04&ar^Ra;-ieS&x>2|^$I|bnc z(%a174iyS#$gXB5&4Wv*(ztp&7;Caz*)g0g)rmr-Xo48vzs0Gi+*9NJQ}kQn)=Kg^ zZ-O{K4>r1#K$9Sd6{P;Fd!=~R1#~k;z58fsnYH>`O>8a53o*;G^bTtT&@j*+{R9=s z_3mr5o$uyb`CJ~heT0HVV<`a~8VBaeiXtPqc}c~F(azad%{ z4=*NNXzJ)-chAV`g^}dhWb~b&Bf*0G9eqb}B&GK8&wuO94qjRlAogpC!hPOlW(~zE9UgN)3KEm3%^FKu)h2GV@;CI9u2Dg5C6ss|}RT>;*410U4 zUq0`b-!`a;y%#J`v5q1>;D90&TDQ=Lco`q-(Axu$!*alTng&ruH031#;>cyvMv7V( zlOqde+qjdp+G6K~z?8SzGZ^#)z#_&F7NolJe6in;ntK8??QT3#im#>Mn$(0_-sd}VDk(ber zZVnhOTAE!JyV>uE{9t)|@D7yx@ni*>;zTmFnDFrtDS%O(T|rq%*B~p*wdQZdXUAuk zw+54g@rj-{E=)ZqR-ojrC4-67FTeT44X|Bw&&OU1vp4hco6Lu1MZ4c+ps)Gy1&*al zPA5XfnsVf@uIeD>ZBj7#FV! zX#DodGTlZ==S@@u8hG>kHB14R6?#TsIHqB$!9mQ!kXm5@n4+~Dx2y(-&&J;xUn~D~ zy!+K^dvJV}!_Wx^R$j++koxxHcS#zj5uju$Lnchdv;M5Vk(wID97fI^CaAlU_hE%WH^KV-pp7tiF$q>F()VzmJGd;a_u>mKDj9LGK2sT!FAlZN=A+obh{ zSu0*_na9MHoQntJuU?vWVGaZW96a8XLKQ!>5KYu5!r`#A3)R~-xKhGQaU9A@JP+Gm zbhcy8fHd5CvQutPLo3l#8?mfxnlYOSOrh_Oxl-h@US<^(PhP98N&5Lgc`%0N$5bvj zk02aDay35Vk~jtdv&IbBhA-kyo+1BRA%)rXxny*8YOIIDK7SSxC9jhv%N;rb>uMLH zgUNT2&Yw;tod4T-@a5gZU8mxw66-55oEta}$Aj@Eu$PsRvJUd$ zyOgA0cXb|{f*wQ5_XmSLCqyUI1E_py_`|ok5a!=J2jdoDZ|II<|IAdRI9bS$Y@Qh4 zd&~R4sY$+jm|5<{mlZ01^^bP96+ss~|uRK=RA%>FC6nueGP}wd9;L+y- zY5K{AK|7A2L-m${>F8w(>=)>tI7Ck^2Vyz?!?w4TBa<)~x1T$$Rjp#L|BaBjsl+eImGtDxU^&n1HwzKi(@ z-4NgYT8jJ9LV{dK7fSjttgJ%4K$HBeQ4ehx!a`_eAxG<4F-NX3^C;6W#~oPZD;Nz} z5n>ecIMz7gs|50~oxBn!zXk98fQu2$XJy z0}L{M?QEcXJ&1|w#pD{eECqe%v1LLjv1deKesVJDh;tn=yrN4<0xP#8*#SEkat;i@ zBTx?4C|%%55bO#3r&mU%POSEd^FIKe)?^=W=3in7M^dlOe>Gm7VLAVZZ$vuC1yfkR zBfBB)^E9iwwv=@Z@b05!#J7Dx`~fc$4pWF@(IJ8t$IeOR633d0nOaKkw)mA-UdhhH z&QtEO@nchii=*x!Bs3X?prQd7#5yy2@ZlCk{JnGh7B3&ZwbK0uJS;=;ZNKlXD^{!snmrwsCktC4J-@Hnc|wL zu9OFoUf6EH6UD?C7d8=GWl7cTWSPL{x7rsHI%)OC(0=19NdzZj6zWh~Ll88j?qcDo zAwP@AK(=Rfxpa1AVHGL-4wj3kvdQR3!Y%GDAqyR*J^A^UgCcEB4{P3pZKtDtMri53H_m zxC|iF1e1O-X|9lBg*f31al0O+NvmkZoHF^=YAT)znpWWtNjnUzib+~p1JeR42=U%{ zfACYzyVjmN8+_{m+PHglY)ZOMvc2Nfp7ou)?#hFzb;rF7ZoSV0fdgAQZy&HM96Gp5 zIdIkr<4^}VeLG#F146*XKzsSh8=#sv0qY%TGIk&5rDPRWMKh8P-5O6iFS)@x$)(kE ziF+!+bc|_E+IbLao(%1ieA?O1IsB?4tRq-K413RkKqj;k+fXDxa;Q|Dn5>obQ4yz{ z92)if>O09ITpPIIlZ!Cl!O?O?q|kvNr@}g+h}mxH`~Gh6yfE)s={$g`Rw}wr&ee?x z$(oy`+`zdFHi0u??@HTO@~k{UxRH>d?G$u`{kxBExACDuX zfCk>wd=ZSvG%}gWT1SL+Ve)hWU;r90sA6WsbS)>I5L$YOmgfbudi#psb!p{T&&9=0 z1Y+z@fq!a+iE1v2;HhxxE8^(_%XdbYEL?jVR%oLstAy>+= zjwCEiKO#5Bmoc}Ab{LRrD}z06G+AIoMO^=1Uhtsu;6fsM<4M29b8_5nlqB#A?F({d zC3t#A!hzVqmdv(GlRqc};62J8seWhSCB1GvNw$jY7_J#M&CQVfMPy6DOamWd`>Hj7 zWb0ONEP;gy1&E0h=AWPvg{9wf7lW>|{H9>fv4w9;jvh@?y;G$@4!-V9u7CZpItA-6 z9qN@z5|$Yem{+6%3UHs>hYh)o_0Sw(2iwd39zbPa^lRTdI z!;dE=*9!PwB>*(z(Ui0SZ`<0qJ8qpjQ~q+f1$YZPA!QfCnur*6Os(M7Z@+dMA&^rc zm~EdA^l-rWn2*GvP&s!-6hbCPnwGUd5^XD8*t#%5b!>+R5v-&?!ICnj7(_T0!EPi> z&WXXewQkx!F@2QF(^>Dz^jt@Vlq!89=S}= zEGz)hr^pdHBY*}CC1c0LffbwmTpfme=;@n1`-t0$)T%6BAt3?}<$*Vpaqj4Da^e^K^6@NHe!o#zvZCP=CzBn>BY zqkIfJK0!|)B{~X|a8hSOJU&5BAWb?hx8-*H*+>vA)3ReVuBOAux*Oo}61s#S$?sTN{%kY~>!_(`<4HC7a5|ppe$NH}$k}At z1!-D;DB`|z&!6A1*h3x3EW2i}P9-Qx|O@sdH-UGaUt zGZ@~64OquR>WE!lq!6+F@L)N{+60xt@tsA7$n01alR9v6f$*Jn~>7_MmTFn|@fBLsD^EVKjKq&u;yO zH|_gCe^AX#zbk@>Frv>%maFIW(;>-7Fn($~+q2Y!SrhU@u_^(C1!!=`$=1D4gXi^I zx!@^42R@^C9BgpE#Kz)Rem}N`szv95?7_Ydc|8mu=Dh{UBzNL_*7fdyph7QXn&z^z zkR5Z93fVPy&1?h7BYfAMi7{oBIqr25&oHxdzC74p>KYHXcV5MxmX=5(p}qtyv!lRj zh-Y82SblKubZM0U(Q}VC>YVY9>(lC3^EGN;nM{!r!m*}|G@85fw zU9jd8@c^xR!n-9&DX0mDr=P=avtwC~*eqlhya}I$LO2K_sYDWB0yz`r*t@E8)npgg zCG2fZof;2MDgR{UH0wR3O0KNa2s02$TbY8B6DI-4-R*5(%>`d~?$@&cnCKpLTx`B` zb>+V`Fjin;5I7^555(ibg~gc~>#?)zFF`PMjN5<0JJ{>Qp-2!R=pXu!Ew0psXR@y0 zh3`_@KG>}jsc{kNOak1WauSOuSLIK>C%(LhbfkRx=GUMqj%HBdS3qC zFLUi80hU$4_P4#-(a4Ns@N=!o4ms4uCZl?ePn_jqJq)%BJoTXJRma$|rauE|IM8|4 zMQ?z9w$t{QUBwgYJqnrKTiS<9IZ1%M8jzV_7ifgx6wu{dkE1))nHN4A{Ppnc6rl}z zxkBm;4cr#29)82@EE*^%B`&3qmA;ie|4^JjPiE2$P}wkBfWQDHx)3e#yUt_}Qee0l z3}=P^9*$YcQqxTRwj%>!#7TM8aL9eA^Zk2D6wNw=t2!X1Tt4p#sI9yw~Y@F>lR;uc{gPrkb!_MD5b+$}0;=>HT z5n!YM02G04G%1{V)rysQXe#>*Zt+ZT4J0_F?;kFf0FVU5r~c_X#4wOuJIvNdW=Fgi zG!rCLR2&|mW7CGgSJ(y>Feg4i=J`_aWDmPk~?wT4#RqARiA(?F8$@z zupgb9ZHfk#jj@VXw!jp4J}-22t-1gH)KLwub3#{0)bC$9p#k#p3^R)#e{YNPkbi)R z(QQl-gE0d%&1|l#N31jH4N$Nh^MwjDxs%khNZd%pB%aOE_)-8BZ{K5gL28%h!*XX; z54gc{Q%bEXI#zBH!=#cVDF$f(51OcS)^s_lt~7?fQ`y%X)#H`Rv$6x?zQ6LRcj58P zt`>oCg+^vuBLkO35EHk&X|UuPmpm+@5Y3+P8JwcV%GS&i1xsE5uSjzIv~l(NWETW1h~E@xID8;TJ(HS;W`i5^Dq3(7V*El z3N=5TJs3}jxG>Kylx$PO#5nULdo>b>hCjUEp>XQBnmz^-%j28qkMDw0*)EwQHc*J| zbYlXA?hls0mfKwI#)zvdY2dmOEkwP! zYak|>jKYaIfm98-0bVn-N(E!wq%6ZN=fACL?hZP@TQiK7I4j>p?B$d3S zY{O#MyIoX9mE$Z6V4LX6s_E#A??++(k&=5-hgXqy+7f zWi4tNxg};JeAom*4LC8ce8$Iz#t}RFcRUy#Zvfd?-O=9n2<|n66l%3i*my>C$SOb~ z>$_-ezYattY~~bVPtsQ*Mddla=u6?lr|1XbwbK&Tdxg`3pRk9j=P^jvT@5AgYZ5?< zB&R@fDbnG;WNl<+LGGd-d$_s3^Zg7}7^!?)nKbqkr7^*wuyHi@3Gq@Jie z5;`c_#I|l~ns8N^5#Ix4i(h@MbS!s7;rPLoC@g(sVFXgob~!j?OxrcoB#O#Zqau{NRg39=)R=ajzBz?b<2tdBD^6P za`qUd!3Tb;R1+;A$>XO`v8e2EtpZd{l{3;_J=EMstqx6<#?^MH46Q3MEzrVjU?4me zc}u-eYk2$n8&2s;U8&L|fq%J#0ky6E)*I63Dp@-vy>Dfx#?3OpA z4)tg89*G-TZJn9dd&ipPn4y;b^Ix>OKCnSZBH`|EN9b^1CD&I%j_8QAB84c*v%T~E zF$;DuD)1L(NJ=HBwe6-*?+(v)&zDi=A^ll>NcV#rPLZ7VLk=~oIg|uRV>!wB(qH{h`XP^O~ zIoQ>gEhdo|U_aUh{nhXCW(juEj`Y}7blXj{rjFmO=tV7z)d^kV7kD9rEUF$OfIvu~ zzd5d8o!6QD7x9w8DMeq~^jQHu1D!V>jj&}#V%zJ3cRN@F+lI#iLGa6s?F1g#(I7x( z5BmPWq$&mj!%;#l)EGygQuL*9tl18|Fj81_7M(p#+mkq|CMv9_xmLPD+Sta*Kn&L% zA%1x~5(fy=31=sp8U`l_N%PEU2KOp656g zSSIOv`E4V z_n%G#{(v8jIkoH~eyOSVH}&9@iVe^_c>KdciAg%UrQl4&9d5Z0w-6U3w=N=p7TWIH zHD5?5oK|smtZ6+T%~(Zc72WAj;N2YSNK-ZKcl5ynE*Pn({MpX4B1gcM$-t^SFlC;P zg?sbP;EH-ft@w{LO8qLME>X?Fv0gr7@n)vrU zrZhe_aa1sI=BR?(*$afdmp8>Hm;z<1T@-5Ux@}LUORwf9Cwmags~S}Tu4^h;cn{I2 zfbf-wX2P9H-(BCK58d>d`~1C~@oo1H`9_sLMK!40pwaJOl-wR25@0KmNz>f?GF=b1klf&9e9pBefD6>NHR%%gNSBOCMUE z81oPAebpHQ&USJ^^|o>KJPBtdBJD$9IvWZnW#?_jDVLda5IQ~M_V#+EG^WdEb;*BR z(=TAsXX8@5!Mj!0-CkJVSqmyFT$p}RXZGpK_n6M1V)2jv<9qj_v#k`BgE5~@O0aPT z=%-(QAtt;fx*sPVME8=fLFaFSa7N9o6E+T<(C4PF~W!^8$o@ReE)JAuAZU-A&9;@xXJ&pr=dAt1PmKXX% zDiQUwXR?FTXQ|HG%T*Q4igPkH^#r;-%k-khzz1AOP8ff*fvVob5cOTbrbtJcMi6@gB zIk-he!ZMmRkZykvF=Z*u^?9?)bxNL+6Zp7kxw!cVxfr^!W)tb~!^H0h}b@ zcDi+!#WL-Kl=*4n=kHRbyjyxb(0KM{=JGy3&9qAbZ3@KvP_B$6g6Z<4TcY}x>k$@v z?`lO4_UodqxcpQi&j-IE&dksu?QQ?o8T)Yj_Fw<-$NO&Y_;gp7)8{33fQN5!Xg$i0 zIDz8@_`KvUI+uEuC;ce2CiQ6uevaAdh*&982FQAzC_U&N+)GE_K6hr!Zxmmu+@rpA zRf!z&q^48A^Q5a}@Qlg~PL;S3MDKHF=XJ4hvNF?ON$^d@A5U);gP<#oHmbJv`#Q1| zL}>r)%l_FOzdb_oD)fi9>DHc*a(oTGn0u3aFL{VUa-1Sv)Awdtl}?~b%q=Q*o(?MM z_>mIH_52Nwsn!t0{-N>g4jpcvXwE|83_k)tC$f^PmTCEGCg&18WdOJ%eO6ejoCC+Lc$WBaN?V5<`0Bk zd{fBnd-Ja+QB;?1D^G3YBhHQ zx?0DdEEEjaW@(Nry>M{*v9cjIpMQ;SmsU19YF8ispkyJ^Sq<`NZ|_-7F1I%_AIjYR zt-;&B=t9Ie|gM1231ERmDG2c&?r#@JR?#@ zsM9y{jiAzbe{j4x;1A<=i{JiwOR${AY%B7JJ!8o`ow4NY5Zx;@DaH8#>+2;_ULvIU zkxPCc4*cBnpZ9W@i@$d((U-8*bZ0wQE)}U)Ku!a7TVG>#?^O7zGo>EW&2kAk8*7xHHpG2Z@p9YKF)E6I31wzfcn&@ z`o?bC);IXcOq^-M;0DMkc=lVCT9|N9f@HTpu`>eL{Q)4H&!i`q7v154 zVMqizo}|`(PBI=#| z+9XNM$;xHgPln^ZJk+>;>VJtD5+;r=$Qg}4O&;?;lDV^Q3}>*N`e^)<_-1i>Qq>zl zIW~2-M+xY;#-HGG$--Cs31{YP@GZLk$126Wv{EQb5;Br`;phpK;WN(FiE(G|Z%pv{ z{Cz(fyecKyvEh5v-Z7vU+Ril-PYS&v-TpBCRpsn(d!38k8_vc0{i)(TIz-~9NJyy4 z5y(d0`lYq(HQs)^_cxir5A=QX)5)N{FlHywVL!47SxG*_=x+O7n&!&ROW^ z$P;z%LHD6!+54Q_&G0)r=4ak$j4zFH-|1iRL1*#XGYC2Z$K;JhC*W#5DiMcs-F}^ zev;uUb~lOdF=x!*M=n-6!+AG#HMr_eHXBQnl+@sOg)|9wHt?$5X9SE3&T^cqvHf?$ zn_j)QXUhA0^VKSiF;{i)7SFf$ezYIa9RHAb3{h*;1i!J}`}BuD&Q+Y~_J=><#6Qdm zr7t3x?A=rkfEdtl!~;-~MvOX*+@%p^UA}DXMb3oN=}0rNOa0RsKbPEH&z`j^uXb-qcv=OO?xe zK||DtuKwD)jaCn2MAoc2NlSD4=e*3X?}@iR?MaK=D`|)LU z%sXP564+mAijaBemYU3*KNf&LwBm2c*jyoMAc zLl}u7&gcvKBLe8-abZc@lm4gekKWhyA@2hy!yS&#**VdJTeP4cZ51%)1P`w;=8aB_ zO~6e)=h2D({5X4TWTru5#RMqFPVNM~+P158nwt_wU3WZn@EaQJ`m&}M%`c7lFQS%s zWPuUMqz6jLUZ0?Mzq0DrM|&I8|(B`;Up^SPORQaPL>dInxv3{ZQov@d-v&WlP^=h+X7W=XWp$R_) z#cv$*7xr`e0I>u)3*xKpB!o%`~=BeiK%1M2?!eg@+a#l&WFG1C^ zAFGf5hgL_2XnlM86PXe3&c2m6trK^~Zw?OL>GWkjxxO9jkEH(_=((`*WGjxNWV>qq zs87*2w-8LAn*$LPN7ME7+A6C9mZavBR2JwgM z_R@PSW*(-6yNjrM=2L79zKCt|GFkp)!?1tmF)$H?I1JNg& z>rYVmSrz;EpRcQ!gjgyBE6&Y~cV}GG3`DllH$gm*>{A>7>uh!Tt;eu6EyJ$(E4HL{ zB9#tW=O$%@ZIVnB)g^aYjuQ}bA?Tz?SBY(uH($yi}f29R=-2PTf>0HLU>5p!pq^iA=?VL zR0#=6&H{>auT*VO2^SA!_pq*87A8B%lB5)Hs#1zU_8J`*?ku=Qp*>jdVpd} zY3Hh}?Yt3MnNq6J(e>3qmy$}DA_e4fz|g|G{qlSeChL{HU?iAnYH1&s)h-WEoLTL? zvEEqw_iw5YD+45%9y>y@K92ZlDjkT;?vb+`Y$#c}BWshIlYB~>|9S<5EuH7Ige0=2 zk-*A1jnK2^8s4ZT3yJA4oaTmIAl94z!*^v8y1X{`P%4V+dy7Vyzm+ed8DK$0Jj*qL znSLliA*&2~I{hTB3pB;zFpt9d`8AP=PKyUMOKPo?Rhd+VM@05PqNZgR0#9XQbEu)B z;I}%3Vwl(Fszx||wYHIO3l9h=7ZoawdPZVd#RX#WLr73#f;m_ZD@x~Wy|IsSkg26% z#y{dPrHH{!VKWx>Q8?L%w4dDN5BYz!tQofh&}G$ceol#gL6!df!lFXtdp666%3iDJ z3IBu-!Gf2EmC|88EV4MtydVkNT^APHDBUw_27uIzWeO5B?6(E3a}8QnpZV3ac{aiO8@Q$?%MhqW;>Wcc$R>={7A*TI}SHkD4~Oc0?x025S%~CWa|g z6w#hPdD~u6%@_y?$6n^3oUuC8=M_&YD$((n513L``g$mO#;!(o3;hF^!uq`!2_S9ko`N>j6f+K?? zbmU2Z7#Wm!-iq6AmtxM3@IVugmu(yaVpzw{3FQmk#qij$$uQmFViV|I4?^vU=<;*w zx!PhVMPiy)D@2Rt4gB1xirHsU9{HCj7pjc<1sPOl1OqW`I+U@3)&K6FnXo|bmIzcF z+}|Tmewe%r73iUcVi|P!1+hzR5e~H=h&&++nm@m&*ycsW(E+KYBf1R%Dsp0Q>?Lm? z67)o|w*=bf_$_yd07rL|up>E2XM%iKQfp-saoDHUhY{eW0wy4GsAJ(u9QhSLOtM3{ z)O$8^5@xSpNBB&K=jY3dwatYgCj9TF(aOIu-q(@-28*VfSiPVs4ihPhQdCD~^x7=$ zTyY^jMyJh4*c7%daZdg_h$$>OkDv!h}i zd>A3FMb)(>-m*FY6YQ%MC=jr5TT+z9)iB-D;4F;TxWA_8*i&tbT6#N*GgGg} ze5ur;az$eR#;1ra#;FzY-fPZfGj!qZD0|>{&^(80w*yRG(T^9i5>LW;%aH*)LK;+_ zZ>?;Uvxh9p;B4d;+|Vv*hR6$g+VKW#z>$j49&skfaTE-z#S6E^{*G2P^ZEw__yZ2! z?tqhd=nS!DurK>BDYs+kxH{6bt8yOyc!(w7Ih?c?xkRqT(lFI-R&`wO6zQ>@XrKHR zqCf$Au%~E(r(}jBp>@bdDayDMRbmsq|4-l7N?KUpdLTtuKR?#9G-4nt%p`;T@n=2y zu(FPSzb^U%TNxJcBnyk+*Dg^4^z3>l3oCcaV!CzdY`HARa&2|IwIo_{M$o+Npju#A z@#sszU4ftzfrRQ)FK%78(N~hK2(jkbWO-}5NvOER3I-xT^Qbe(;&|Z9p}w5w`AqK< z>78|FaUj4;oNg*2_)^?R$bcl|w@-ilM$1s~aY#@6gux@0mks?8Yw(&wej6IM=sOe2 zqFsoX9h+I5u9*f3sbjIoIErV?Rb;phG0jRh7YWTJTs4o*({M6h3g8bdWTOGyzOjL= z=x~KaO3NRyA`MwBFxr zj;dvSg9bUt32_IYjW=&+hP$-#iEsXg3RX}TR8zOS-+Ev>jya=*!Wvjex9WR6MrW_gH_LBSrkbmPp+R^)9Ouu_AldP?92G+s zfr}Jd&QavO0UmD6I5w7!N^I)*3Bj-=ld*!hZo&46FkGyO1&I@y*WbF@6qOL26=x(_ z2O<`W>WRvntRU!_uasFb-z|VvsI>JZ8;8HibNu*Izue+Ej7NXPhS-3$$rvil#GGY& zJtmqb*i;rg7aDDzfJQ~B-N;97Me*TJ_;QMthj3~26nY@pgFn4ggR&_to?8v6Cd;!- zUk%8u5q=Yw)f5YaBQRx95aT5;*Jv1Cgf9(ss!@I`)DTgXmzT)Gp?Wm*prjBRMAW~z z(%Jzcz^6e)h73gU;W!{|prYVmHy_3@1)Gm!#4oDPGZ;l;Fh;M>w~yQeeNrHwp+ZjM zAj}{s4O;zpA;&gB~=nRE0an=G}T231tNvM1Ai}Y`jCW~faK^Fjm zmDOg@TKKw#-MS@5v0^ML0BaY^kDUmyn=0zFg_J6ht)jmp&0YS0v7^XDyo^`HMw z>+VR-O1FZxk*lnYb+xpABWklT>lVRLu7}pXV+5fCe2A4YBTf+Bw9rhnqE ztQCz&?9K|Vs7N3;TL5DYX$Ca2EW9TXi5)Ut-~w^NR6 zyGAMaa%+$>{-1~9DOMaYf+7E5z7g>Ur~L^vvy~WtFavs^6djrb0mmY*saIM4ya@sl zvZg9muZUA>`N#luXv!uCXU)V#Q~;iQJ@egbADb@$}$4i)9B9nviT#|?l;>{JJGQC7zx%jJQ z7-zgAvVYlWWJmm+ec8R&nF47s#U=x`Ms$lX*;PAHx1F6Gbz5#!QB&%7!!zN7Zt1sB zmf7*fNy5`$ziAbeT%J85SLU-!=^KZ7HJo%#dg|Ly+{|J)0T9jbbEh7Er#X-%9T9v| z=j0$FW%?cQe-v;3Dji0_2jM80x zmtU$j>T{}G!>v8e`xl|wr6toUo_;A}8m;9#+a08z*$4{A19bb0xuWsYXO(L*ga2Xw zqiE9^-#VbI#y}MOVWk))7ec8P^7b`bSKae5{ZQvv>FdD_9ZYEl)KQ8e76OgzDZBs~ zV2>D4&h4is?PS0d!B}Y?Rh^cgzPk9mR^H6P#4VQwIg@DYgON-ez2^Cue9sF3Sk?gt zJ_S)P`!)Yy(B(u&d~1NGvx=aJ6E+@cPpj6r+ZjrqpR_}=z5`U})ZmRKtyl$sKJZ#_ z#6aD1EUW32_Jl!DR(`NLtSSIiMvm}JWb~tdXKLv}UJByCHkZ35Bx4rmO-as z`c@PgwUkDBmrWIj=f1O4q*m))sv_mc;ID)a&=C8RH$$Gmc90YvK^P*3C4L>;;r5~Gy zE4hcL;JLUI5|aDqVXHH0>$&iThhGV89sJgniVm(0-w3!DlxVrO&uw;;d?yKa79Dk5 z=Z16t_35#2X0c*M3ONiNM^k5Fe_Z)xqhnmqP*}F{o!F<~kAzV7*u;hg%5h#2N#eK! zVZL!1Ob(#63K5Ad_~`G#++<|xQeMb6S!eUPKq77;=$YMh#(t_6^BYQvZHLLA1~Q?KR^ z1lw4Vlt%5;q6@eX(!r+LzpBqK)`)C6^9r<3Ws$55VQn}LmPJ1c$Lw`2c<90~X1a4h zGkzyvw3Zg4h2^h){o;B#i}RnVFB7n!qyX{)>k_QG79o%jZiv5zC-bs66hfJ0O;>G^ z5WVXh7zttVtD570othl{Wl&B`P>yNJ76^q?vT|-LH{T4hQ_aO-0v;373}+ElOo~^Z z`r?*W`#NgHyE)7(W+8zPT2XASaPh8umtNYQl<0Oxnv zBqPtLj(7N4CreiukPw!dxFl%_(Ts-;8SB^Zltd5(|LV>hK088;3?v=6>?55+iizIAfEM{@cX4R03KI4)KQksUz1%*Jsq+{g} zZY3-?#m;$$24_cwNipgxfgIBo_ZqT;;gZwivu6-ju6T~s|8#s!iQ2a08XU*S;8okN zIXHGaN<`FXLrAP;!l48aSQ)R&QGR5mb1NmZDBLor$fl7hPvPHnL1wm9?pI{1#c37- zje@NBDybJHcL{@zW+R@S^RM))q2cakpzEs0blA8?m8cXfo!!6+tX*D-Ga26g3|^B( zwMEyxXn`%o+)=gt4uFT%#9{Wi)WVo0K{4I=>VYkE;=l#wlIIGXaLi^}oK1AflqdvSHXgyJCKULf_)mA-7o&QW6EF zEW64X8$1obQD!iJcnbvUs*oV=dAw4%)8!81>CRY*MyQPFwy;z*zY2h9`1>iw)~__Z zidq0pPRCB^Oj)zyAU68Z_hr_XgFf>QJP&jr4vDSyH`gkrh-1t}6Hb(8q^e6(lO>q& zlG_%e7s!z)lTMe5Jim-gQ*Zr#7Il8 zHO*o>S1
    1. pcgy5P_v(sNg8{S;EO?%#(N`!xu1r8~~ok%OV>^qa!BGNlg)rl!nuu z{GwWRg9!bETFIPrkrBEf8bk|^DcWV)s!g5f>?dA_GaPws78CrX`piF!z@dDD5^-4Oe36YOUs|t$gyOXOWNgpO;t$M4 za6B1*&O z1>kLUXhBV>-xgEHph% z;AcV67|==l3i!gcAY{Q7^I@7uo^UxCij7i!8o(rCc!~tu9MC!!Txf&|-3%%+qaIVo z)TFf#vr)1WQa@u_PWS)6V%Jw*srjf{j_$HNc-yz35qtPXcbthf^{O=Hb~ zaqcTi9FLfZosgkUWGSb=*W!%G{LTE>Ty#4_a<wtqFr%DHG}!rtPC}$V89Yd z_GP|&7X45IG$72OMnbv+f3BfZX?uR&F2t5Pm&?Ix&EPcw+k&-?%E%G_tQV0kJ#Vhz zY50TqA6#L~xS4dVi~A8R&;6VK4wx#pq?;22^xkh<-~!1VeT>U9%n50lc~y-dX4*VI1zEIg7d`> zP))Uifyca#C+RLDx4ocpau5WgfZcUo+baK`#bdug(n z;j9Re0ph>N6vdk|%&;piCx;3b{IdG8X@^^YH9adSgN3+fR%@B{t-}i>M74-t_%|$5 z1lBTK3{y;1loGctSQe-j5%R`(2jB4;0PuWDV00bffj>9nTDc;4u2YYT%8X?t;;lcEo!&Pio~bm zNM+|@9ExR@4p}r!?&8_xl*pP!VQs9L5s>^;>wrHDgO=S#KPo}l_Z1y~P0IfGR{`7Cn43#gDr9i) zRHgHZp2pZGo3I5AJ4tZh$r5yPVRa*3;X;L<8|=8SE974kq5RZ6Dnnno3m9pbIP-F6`h=qPycX{01K1vQ(k&rp`h>8L1&YjB@l^= z+!61KV}gXBt~0kNmM9u)X?PYDn1*zjXCJsPM13(r9o9`YBB4<#VZF9}-nN((_n`iU zp6w)eZO#l&1W*u?!imsjr~tmc4tymy(MR>gZ2a?qjN|cP`GUO$SpLZ#F@2WBzZe>4nP;DjI6CIUEB~1hLcqES8Zi zF##N(ts0ql7K~GP+i37Y6d0O~1e|R_YGZOlWjZS82EbRyha99LB4P&+6&=vv^SUsv z+r~;?<18{WT1okbnK3Ffk0b|xarD^BUUw=KbZbqzHUHY*L5C$%p$PIf0+~l@sXy-9 zYKSJ;PmZ8C@g&XSyf%b}74eAjlr?{N!cXpfEc%$XgA2cRp=0V4{!ww&CqvMdzMA`Z4y z_5QP^2ldxvx;EZ^IhZ757T4Sa#%J+>JvCLX(Fbv})ci*9b+@58uiAvco+b#sY`;_R zskn$OP)%H(9pL$r&^P#&O}!Uu6!wo`;Ya0)gniB+1EH?b+c)F;+xS78l%}^@;*V`Z zZ08Ax;iB_g>GLT@u|5-$KnyQvc~4p!(63e?LTY$dp19n((1?FYU7;qc1N};KTz^|v z#2Je#KK;q}8iw6ySzsk5`bGxhLgQkYo07AHJ&-Jr`7d(MKyp_wEhmQ9%_t^!AVhTd zFiN~EEh4Bj(fMR}@PV^sy017mil^J~{TNqH&LL%O+Sa4iJ$k=CU*Xu;5@<5G!RnZ| zwfcd@P2}3MyoWr8`MPXoS1Vk%b`+i;l!-TlK8=UbgKT``IBu*#gdyya^396gyU9y7 ziw%NfxEu>lDAOlPDk(hOj^aqjQTon4CP>4kSGDp9Q7nv*StOw-_!7Req3g!aGzO0`LpvDB(M_sgY%FzW z=A9WlrBOA9ppCqeFssxZ*0mo$9pv~KUAMN&M$ogbbA}ZDyOLflM2#QY| zQen>CiH^Db=-B=0t1>iUCg21#o3j=sr3TmIV*=JtW&f9bnv2xERmK4`TsJJq=*6QY zgD*rn3EmXMhed7*%StF57W4~WN%{b1hzJ4e2v;ld_Tn$BdA6=xCPTzMXlATV0!~p8 z_Df7qa5kWq@G}?81%+C}^G?Z72PuW1;=9kSqfwlp58fn0WhLv|#U2WwA*(V8{Q)$Z zPaaPqG%?4ZQAsRdpX^u|3Xy?cpC&(Mly6HCqSW$e65(TBx#vPh^cx{Lq^%633-*-#Qx*HQlMaKLuEkz}icEm92y)m&JAa1^@9t_J@uwj0g~j3k9&F z*x_@EE)P?`r0wl$KtM!$hR+%oWnds{qgI4USN))wVI1>Ce=)1oqOPgqs=~;p(-K6b zo8sE{-qTVci@{2=L~uSmHXK=5s}jqfNwdwS_{7f>#&8foB_4o0BkGZl_&$||s(m_) zh~Y(G9|Wwfm$m7zln!(0F_*9WIXjETH{B>aa|1BofMhQ;25-JlK3ZEoKgqX>$ctep zGZhxEw^~qS@=JRUs}gx4Dd~a}SZKNfr>0>e>fdox- z1NEF)J^IwzkqZ+wSp-It;X_)N%p|=mRvO2G;pm1HO`FV#p(T<< z(I8c-Az|5cQ3KN%1qoytOGFV`7G+^3jyQ#!v!KSEQ_NBJbeV~>&~!~`x*700!X;$) zerc;u^yk$&bs)WA=A+NypRXyx+r*2%5RYY24<2O57bR9LG)GY^CLmxIgaVVv*PaLs z%xf0Lg2}U_rNJB&lMeNLXoA6X02SyQX9N$Cb2+YmQ{^sPB+sIh0qcp*s5gf{Atfm3 z-7%dhA zi8cC2H>2tN6M|Sn{0VVIA`bG7@bj$9srspUuXCXiLZkO?F-GEjy~O>dYr52Fr1-Ozqg-K30FV7C47;z zDp+m#K2l_vRx8s&zjh-J@z)9DR5 zzNEr%;3^Y(bO~o!B(H$|Z4s3?bF^ko4OP0Ej@RQho2P=2rAx#Bv3rUapjjIPa5qn%IxYEAE- zr!zSiFW<$x$sIl>yI^I2v4SHMDxFAvNZ4kL`xv$xqXWcUge3Ikd!G99tr??XMD8Ga z*^_e=Vz1aEne8pfzGD@lK^uc;D3gK*iWYL>x-DU843a@wiRY@%C|X<+TTmfd8?C^+zQ6n{1F}0Z5&NrtHso@k+*n7p2(e(q zBrtUS6<7t|ixhnH{vJsq$R?Ga zb(VpuSPA+01pAOyci+?AEWn2WINH?#4og{|`HOd(HutsT4dWyBAfH?^AEy zw$GdZZ5MuSSAP2soEJPVckb}yk?>jICS?k&ZB4apt5CNmb5+MpoJ%F_5?Xb-L9}5* z!ACJ-cRB=hvPQ-KhJWfz+~e$5wO~$NYb-Z|D3_Ih9Z(-(cmp^m@ZjBzUG)*~REQ+~ z^UzMY{ewKuv)F&U?zB9_Ruo5VTbZ#VCL3{*a&YiNm#Bqegr@f z)B7(phbj;1ug>b&j7)arq*~|V@%Q=gD(&sJ@yN`<==18f6W^V*-JomFt?oP#p)gVi z&pGZ9r9S2aHW?FzZRvft_)O&}!RZ~MLH;p^9JWiEKk5Hku#o5=uYrF^m3OYTW_AB80O zx1)2RU3T`UL9VdM?9rv)3u%z0g)8Lsqw{%>bp@xTZ;G+kzRY^W4kjR z!WqdNiKIW4M|k)>(K55VNP&F6=A@Oao|))y2(f{84e9Zh;6wpD2?)y(LRk>_L-oPu z07*^_9^$zFf(jT8-XyjoIp8aB82E%!#tNM?b@F1(f5C~y3B@qxa*WbTOclR!?0r&s zajo{-I=19$Ubi=L={k&n+_KVgFkym|fCg<8+h64zg3)d03;g7sKqS`AU$} zTo^Ooc+s6bLratlikNMZg3rW$`wOOl2LI9yAJXG<(d9t_8Ir9d94RB&= zYY6>1L6_i>Qy0|SaQQ+bnOnsX_BY#L@lI&Wjzspy&DLFTg~(k=q~uJaxB^WXG; zRBLk41|4em1VubGQ_L#I;qs_8tdXs2wFbj`N6VFku(p(&R4-PZsvT=~#_0W_5NNzo z@#WxG3`gxEd&fzI=kjT%6pE?|K54zg5xS6Q`MjGZRPYz3k4pX$#V-)^LL2j$obx8j z<&GtIq8z9KH+`>&bgt`M;- z8wCUlh39bM z5;s_q@E&F{NEf?ZKUr`=Cu)trt1N5vI80-4hK-*Vw&Ce#-zA+vEXls)rM9GNM5O8j zibF~%8K)5vt^{8KYRacmgoA3HjRa+orSR8nfoq3sBZ~sxA#&3=$vYXM7_%XtLkPY*hNjA zdf}92tQ}a7jDBYIBQFe?uH`#$7~I6u^`)ejkZ=o%nPGer!7Mx5>afY^8YTH)9sGQ88jAJUGW^4w6#T4$nEjwJ0$8 zR<%PUk#v}f=EA&fC8?aPwa~F7Y4J!@opdcvjIsCs7bi@1H_G#k?*!A%vZjF`XbQIu z@Z>-C6s3h(m&x9g_jG)#nu!wI#Qm+_|2gsL!nfhm?GM_ybE#hYyLL_L;OZUx8+>m| z;m|nbg)^z!v<3`Jcs7kdeO@LrlZy?E1rjPFZV~6p0=?aN*X0}2rSqZJ-RQcZ^-a$4 zX%ew}$ths3m|r7SN^*&@)l^|?knMQ?cjX8qT~8b}#ltY`rh=*!)l=VmuW1)*Yflon zBR!40d*nqn*d7pCLd!z)QQz879|Z#eFX8q>w+$5n%F zS`s)JXz`WsT@qsrI&Z&Zk9dz}Mh@QDQO%+@xK`#%Civ_1RSG8Y>})mlw7uu>LuVKy zvVU1Kq|fKR1sOGL^1;(GoJ4?%=UlVj(euq*`eJn<+wTQeCRFaNKxXs=H?<#u0Sk)RY= z7v?M8*fcZ&th14l?rT0D-$pxt#hONE-Yc|>CFnN{Q!DWa`_bfN+gI~8Dc3FaOM&I~ z++pC@_0;R)jd6M466d&|^?e!BgZpy1nz||mVtaNv#jPjxa`i_+JvZMd-KcRj#)(R) zQJGmGV2HC=L!UH-(uGee!daj5TCX__O;;qe&v*7!a3#glxmGFVuA~6?LiB?Z?hyxA zlDEAiL;(MKZC@D^n@=67W(x$()UqAPfSa5Nb;36RwP+>s;R%Uf_k!(qdp%h9g_62ZVoZ;JolRtYh00bK$Kj|j0cyXwKe{;j(f1DU-l!ZN z2X}BiC5YmK4F4od$j9c&tT`u33yggrWxE7b`cBUHm4-NC5^aE;#aRd(W@OF*wUl1R z--WqKtlW_E+`;UWZpf7=XqqGzW}_*%8@-OvR3v#V@PdJ}#TDg_VnOsF~0h)E^Vdy0oh*=1H&+=#u zkD&`?ki&(gGJ&@8x8f}cZEIg()m5dHs&P^Je{Q+M`0d4xEp{SuB>ul2eD+{dL!b^_#E*)Ut%kAR@3;Iyq$W?3mxF|kiMrj z+H*Dxuf$G+oF*$TsS56p(7%y()O<(W8o6<|et4-oU%B!I~D#+a6DC3G%sT?2<&l_!l!rVI}yCusPCxksC*-UT)_*CQe|3V&(|V!LPZ_OLm9vz zb-_1!IFG0WPH4FFJ z^(^o|ne0+6PXMH}dZ?|k@C6S|S@DleEN6e3$0FB74+-ZFbMLKEh0q3~73y}fs}F$b z+4I9cIp-YptRa5+4i+u@&XAkll9!$G4$&=%}!S(MbW(z7W$DK(;A8Er61+wdEx zP0%XERSY^3a{88CnEpqtU3fwmFjD<$)^m?sx38zZ`{AEvl9QMr1q2}_j8g+++9dLM zITZR+FaKzM#Qb--mVZ<Yu%G!cQ8$e3EmbL4(GKRvueWdK4rr)#UtHA`S)XvG~@(^b<%#nL{Mb}X?G3HhK+(Zsz=W``{ z%s+Ej9&W`kCc%?P!wHWAi;>QUvsJcx2XanSQ?7S-Wn?k@Zi;ce2$t)4`Zf%W?+j`ccs+-S)HatdZ=DmIXkT(iTw&1V$ zv_-XQrK*UVmj`mWl1O@BcYE7Cw%mTze#SfR4BZ%{g3OSNV=r9P3*Jfv8J|GOe8IJA z;hP|bS8aH^)D^5|erbpgreB;|&FPI}-e#b{EoSrYUR|^Ij`n244(xQEi=DgfjOX9a z%@;}87Bp!6S%8*3ud@e7Y7^q8&ZXdM7&Xg1w9=%&qnLZtn>5#V(mN&I%By>Gc25=l zqN=;E4E}-t&|*zO6Y+3lmOWq^Q2jG)&pS|vCsr=&$%X)20Kt<+}u=rbs%6{W7TjGy@D0zD( zX-B%f9q;em^Ba5I{0Fn9N}Z(`mo&_Z(I-inuGtH?EZkO}J$T)}={rZgxA`slL4c@; z)6R!QU{=pF_8B`_sHUzB|82@Y>M#1W;qFSWzQ6pG&w));JD_!RNelx86t^|vaqax8 zCd^-;hS)p2=C>Fr&8??0Qkp0E|C+}4^J~%i4vHQhPTF9sv^tzhJ$CSKGRgEi5>h8l zZtMiKP;Y7_^;6G#bj@e68|V|b=VsO$MbEsV)sU@7P)XoK)NFrUn>!u;PhoMUN=JRmwb^oWn z^AM(PSRfv01x6JNH@xPw%&D!&_Mh0EHJ3`)o!zSY^`q|Njb}XC3%iGV8&h%@Kt*v6 zt$;sTkVLjh?lTF3P2UUNC{WYlU<+<#Wl;B`{(#m*1~^SvN=JQqDlDLnAR+gw&J{V`BRW_3Dz%q765L-vP| z;y62;Zj~lF)Gjvq{d?4d{&#|J1k-dnxLEr{AXD;`l-__Q?2pqc!9-?(G>9dQ3R9Rj z!~D`TNT8$h?8L1O zYnaRPfY;lkff<*pPJo4-o+!k=W9Qtn*Wq;+URbQ3^>(O}YD85go7~v563~?=t(821 zl@pg0@nB(w7{a37YJ_wr%&%VAn8fn)T-NXZ-r9~}%~o1Ho4+~O^{Kv}Cc7RTOciaQ zch@a;l86)-f^)c=XYh}_o;vIY(m>92Us>;AGg$_nOI(%-9tT23j8@sdq}?K_unV%9tZ?1!;)){n?tFK)dxBsmLH$vGU-qbX za|3OSAg>8kY&2-wl-?;doe!sNoACFH9p581f24Zuo3*3Ce@XfK1xj@{yMw11H-`xtRJ(WRS?RJo#bfuK~ zljPuslIc{5NJ5jmal<6Jg%HOzf@w(7!AI)#ENu5C-pY*x(@_O)!^Fj=o4OHp2mrng zy_d36hn+{OgMZZcQX>;)e^T;JNI>C9%4hXG`X#1vNE-+Fd#jXN_voXRkS_6@I#(0- zwS@cng>dU{*3O@~WJR`p1a-)%&{0)D$wpOI^7O#O@$3;WmUGU4RD)ek5G)~nNYe%w zXNp-ALKVpq!E0{$+(>zT*!xa!3JM6`{b}J~q_8Wl7UD0;Q5`cejTDKnQrR3vu89_J zgSh|UzhAcji##GY0*C75s#2_?l>sEU9wrFttdnSs+8|x{G;vpa3d?<5iPl?+qiAZk zxibO0l|Fa)$T^!-t*HxJoAt`Po{ho6K(9!J%1r$e3I-G@i)E!(WDJyL|sNdiB_zn&K>6T!b<+96UJh* zf?{jr3VpNy&-hzeJ;-M_Jv7AWd&w@AZSn=O`<~V6^$}v=Ybnfp#|060tWlZ>PH3UJ zOm`ukGYh)%$?otyN8Ptd;Zc|S5*kg0pfx2x8@%zea{m{{TXMIkbha16(mSAHa;aKA zZ=60+4J9!;E>J^|fFu)-ny({yZzwN5M?Q`cq=Tnc{$JYOKRS-fK8cl7)xRpk0vK)Mq(I6lX2D*!+01U?|Q!iN%_ag z1K9cG%H@_dDnR&e6;tIZKP((}u`xy4@cv)aM#M;RpWqXYO=o<0<=>&>;`P zj=&nu_RtK?9FBH~MNavn}udSQIc7jk8zJy$bXA$@+a4@0FY7EVLxzh(%xx*0;!>uAPz_ zrQSwvM|)VM(KWRFn*etZ^Q}cmYu|n<=KJRDa2%ypqYgQ1wChM6MqVzzn|#-3me<0b zpQK?&0*B#-*R*->S`6#%yEgP0aRn>(+9a*H=mOmWk_apjP@?Cof=L`57!rj&y-5~^XH;%S1ervKM8n@9WFs>t;rZCs-6|Qy>RTRT$g0MD994&IBaiaah z#+qY$TW@~KPyau^e^=Z27aJ4QKIUJyB;lM;r{f?;#6e~ zg^Emo*!emj&XFU~nTz;e0-4bBE;`4f&1q}k&Q*pSMjLZ;KW%xL-~Yms?1w|)r@}{H z_{s}k^(%yVx#>N`bhr@t)8i

      {Yu}Mef~8~(!y7H)@U32HpIf~pVch_=+?^G=Vmx~5Qa$qL@7O*>j2{4tuYdMV89#$|hIp*n zLyP4n>?e46e!C*uy?m}hn%LO9>WL?ii~;m4aiD)-whU>feXu50jjd5W+Nlf+1ThA zCa!(EdB>d^GE1{zsP($#=oG@WnM1WC`rgR7_DvyilHGfgzkj54Jkm4>S1r=CpXnSl z!-}9uxckwWCegyXbm-e}J&%C$?xexW|Ky~7@5Jq>#mL#3k1)yD^e(wZXc~BMlkU@P z&ySLS(Ie{HDvSn9WhF9#Bkx9&_GJ0{(IN$eWv96R&a{EcJBNh`nEP{YZAG;eE|(y`*$Rq)sQiI%DqhYQWgcy&o70WFKrgP zdT+Idh8y2pdiJv(nunQ!aYUTXVHn$I>CRx8y1oyE>eVOVJTiFpg^~wq`qS??bpNzE2*y6FVm;1-1b%yJtKLM-7L% zM+FD&I2Y(qMDK_kvgiw^b&3+ey`eR0KeX!_X6S1`GoV+I0G6T?{O(NAaR(tA(m+6T zhX5?YWrF*+wA78m=PS;RqZj`2DBc)J9_o)=Y+P8oD!LXkglq^FQFzfKQGLqM`nS%# z3ZU)qrPr5kj(qFHrO061HHY#i7O`*azhg9D?HJ-_#5Z7JBHi}^x8Y82qPdCuCoI*` zPnf<)71m5&)POrW^j7^czOVyeEnxLQ{SU3%e>zcr3$4a1+_jN+nvK-9?nmfF9r4-G zHF)fQTuk;?KXnHlL!%IO-);)JeVa|QMti_tZa?n6_W!2Q*iUsFeh~N>6ow5oq@G`j zJn3k&N5sBdGqrcVosL*Rx91DrvBqLKWcVL-;Uc>5t$(@5=B+OnaeXWta&#E^y3DCL z9$N;V$llzmSg|ud+dn~i*xddAi=gQL87jwE9as_l?UrT%1 zhzj<;5}yx)oMz{+yF)1EvJ>qR_ti~3MB|FE>nyNyr|S3r+YjaRoNInWPI0AfqV~{i z$b)*!(p}pSq2h+pR%T;p%~92lVPpNY6ZCFakhxPLE@Rl$sN_z23QTbRFE;fQk?$EMPmwpUP464+X-Y^(I z3Ez6XCx+IK;M7+CkBpfhDx;C-<(4 z-fJqBjcHNpmc-lZ%ACZ+;AI~#Mi=?fZ%TBd2*dLONE}70wN3YWNsQj+e^6EV}$hT1+lwt9-JmO(nbu38MZ579Kr$P!9aKUv#1qtRpBAr3G zj7)rVH_sackV;HK047_2_9Haa1#N05D-I9HqBG;65=K;=>3gq5-T(jQasAiFF={`3 zKaAD~4~*dLM>KnDGkEuffA;4a@2>MTbFK$*cF@vQHe4d`2Tz8-=cL!eeG?JpJTlnl<;&h=30Yvr<0a?%6N|(w5BWf zic)OETw*gL|9%A4R9hBahTQKyc~o1WB7Gdz7* zPw9Qc^L111xJ*1{%67r@D$jRX8eMDgMpB`7Q)C zXT%yjW-jHJO48VeF^vNyt5Mi#lFcU%x6y+S9 zJ;fY|xrsVb?WXk+>l#xzs3B*>B1vkBOH&h$;x^rI&QRRLS}8^>$6z{?K7Q_)rpS_7 z-0doyI4BA#bZ97{M{G>4m2lL^Ew^;)tI#tirxRZ%(Mv_ub@~`zaCuG&rVHgL)r7_R zO-m=1-e)L`_^S?wrU?bz#{<&=2Orw|WcEXjW5(yRV0`i15UB_DZYM6aDacm*fT>Z3 z=5#@aP*InZAPT&wDT95JxzaqVBYKA6;5prMtW`7V)K!^uNQ`s-peat9ra}f`4v0P# z=0jI(I6{@a&i1n5BscV#v!NTCbz_plX~hD5{ejQ>VR+K0JU%>6IWLZ*k7HhskQ&CC zw#d|?PeV|?6q?u9G=i5j1^X$Dc;^7CH`W?rC9oQ0nbVlAmFntj+i+0uu`SV-URytE zFXm0+O9C4+IM?=|W*I$2Bc`^3$GOxI2NZ1kIYl~kLTCVXDOKd3qn}K*pgR;xe-_hW_{ep?Ks@jFBGrAv42MVPn zZOLJSW}|m+$VbppZhH3_juW2;Wj(Yz1g)wx!$fShEDB{eZ&na(rH?nOvJhSz~(?pE1 z-1_BCWeE6YgWG_ zEVU3bkv!4;utK4$is*@9rf^jUuA5?o=bX71`_2QdZDp+1;q3TQN^D!e;jWb>e1cnx!F-zz}VA&esE(v^6R~1Qjn0=iA9}AT?yoAAAPd}gVDgoYZMI_W< zc0%^CUEhh^nlU!TBVUuDIjRjEp*fR2;0nkeYFuJygd&8`>xNYG30=XP1&7OnngzxN z#c>x5PZ>2}>jK9TPFc!{w?#LZXa1rMVi{&LF6um1t*W^?yuUz^Y>q0vd+WFVyTbFB z4NBwClIUYm8d4=Jqf$pnx*BI3#$95u;EDxr)|10wuSiZa^)ai7Bea*RF=M4y9ha-7!mwSW8bRyW!XzfZBrm`fO*=dcbbX#>rO zlng6(G#r7cEEqT!Zrfnp&BZ(tgz>jLq;Y%*wnCCImy4)5waS{)*f{NoKqNH*XEZ1! zbVzXYJ}h&(fRT)2b8Mm zi@l(TV~xWln)u^hg(*DxP|P%j7JfIze_FW@3m^1L6r6 zsk(?IXM!U|af*vkQkwZ@mngQ3qFy^FPq%B8AU~Jd(ynrzq{Brb{a$T-cB<} zK5f+IJG)r1Wf#vHSvLE{7mhQh^nh!rD?7~N19a3r!tbE z^X=YcR=V+noqclKJRyA8<~?qCI$q{I^M>g>+D)d5Sl5MpuU*vcrMZtg(|fW;sXuvd zH=i@&!qX2A7=nvFS=?j}oWqA0$+ih+;*0gh4a2c6ioDJKtNW&0DFv?`I4!+bdi7DB z8!+-Gjnoy7vkr4uPPi#O{|J9ASe!^*T|H_YLNfE4`OJA`Y@!fAaP$NqDE3>6O=5izM-vtKok0tgM&A<3=HTqzY5g<<#a` zW;*!bJB71C+ba%5E6ZWckY|3_k>bx&GMeZk%cPH_#tYq76N~+DKw{z$=e;^|a`*MB%~8W=eMPagn9RO{+;h;T>vSO9WcFwXro?|NYkHL@Kc~lzOv~ zc+YKa{WJ4~)MszZ&+qhRw%?dPy+e=_;pN7-NIkRl*Lur|)B|z{ORbW^!(#K+R6?}+ z|MZuF(o*8M*?w{Gj4>{q?SC#8>)8__*NR3b#0-0m#KFdd^1hG!^bO@J3&*6iHdES>{IDY9fg~WnO*2$ zc%JkCMLM#$2lSyY8het>Y}7x;scmplSH08HRCchz8gR&6-com$DY3(h)MBaq<_p4V z*1_{IcX^vyRMs|7vNF=zof^NGZ$3!G7M8*^@6FhYAn9y95xi7t2`9x_vws&4mZ(zL zM$mY{Q<$X}Xojj8S`KPpuZ`7_B(0g)KG-7>ye zOm;EBMQVlgkmg2WTbExLA6m$Awy<@F!O?+E8UBNm1*!5<_yAz8FUalH#0!<}{{YW3 zbHnI7AzPG={`*~`<)+@3^I~6evQcRLy1cL6$Lkr*Ea=6jq3NjXYW&mz2oVH1Y4la@HD1m#n^+iP$JJ6owy`u_UNQgAZ#@h z7=ld8eXYciV1cIIEd7%ZneeK2PRJ(xEfZUNFl!@U*?QpxF|w|9i9Pg{gtEQ+eI$zq zt3gX_eI<|sDKd1TvS&Tb1vh^5 zAPjJ7T)>5Uk*I}aGm+w_=;n=hDJ_HXioM-d9U9H%LSol6KSQ-b8G6&3uunRi@aZij1XH58%&##Gkbef5LLxla&kQ^{KWVDou zF1Q(YvR+^`5i?2cm5PqZpvr}t6_!dkEjo5_#ZoOycdM?%*#q^0J{1Vx=JjSGXRwL7 zLXjs!JWSn~^NIgiPpJ+}d6QObJH;p5O##1*ym!0>iRekvt9ByP$ex#JxI)-wdfhM< zDDcbpw~8?^s%FA_W5gc@QietVbO>{ihA%aH^( zy9*Dutsv2&B-f&~7_(1=9SezL38`R`x=jpP2Hqjsi3z;_U$2vqV}_-e?WrQXz~XRmux3I(T6NKCuvVEMy#Ib2*cbMS6S@QcvSLZS%}TouFh$ zB9`eWh(N;tQoPMlceylcmN1;k6nbPT*MZkv$7BZ>Hz_`MrB zFH21h2hs@DF_O}jF*Y|TA|yeUrK4Ch56@5d;l>zsmV=bm#|Fpbro;G|U52X6dUfi0 zEqB|b=Z78j)G0?Aj#8qOUvZx!M9xt2Qg0Y0Vkx&%o^q0&9t6y!eD|{1E`@Gv6LU?$ zwBvA0n1+$?40hw%$*I>0n#HvY$AZXr4>&|jTk0mA^duR5vmB$z-DxE`nfC334$mBK zLA(t>CwNT40vdYqEiYzv$rUBJA?GCY#yHkWyl0TqbTfY1Rj_99i_+(vkS)a&N(OIm zk}+dY;pUk4*kL z=PaMD9z~2G8;)>5|dUt05NUC-I~l zp5V6*=RZ2I4W)w)yB{^S;p!u0Tz$Ou38#shefE_LO_F?T`&s<>|LrE*|HYPk>7Q+h z13uF`9D6)RZubVi|LWFtaO{8b0k`<}BUCID8wMmB)saJmdi>1c(UEf*1X_Kw_i0mNVF(sZg z5bF+U04IROZZSwsTQEgE-wb6Y)(i!dLP;9)EEtTpP%?kHUSBXw=pT;7fWJirdzO>q zxI>-jWVU6CYPDuh%M@$oeQl`X;;a=XSTU4<%&|TKU?UIHMVA4I%`qiAx9NAkUC;={ zf`G8a=~h!(Xj&RuhN3Hg58%Al1p>m53XDt~nqUkXSgCa-RLF=b7iJW{C!j)~)pvMi z?jjS)P`%7&ZK=8w3(VKtw9v!D8cDKlfOu(L0t#@_I=3`n05mRQS?~Jx_kA8yOvdBu zaof1LY9tThH~nplQbK=`1qsde)jH1r=WmvTT(lJca*nSlrkAV7hV*k;{)UJC7uU}@ zledu|A^^M+i^rU#)Y6`AX;EkJA*P78O$2?wx{xy-#K~~~`LpP)dy3gfI4P3Q(AI(+ z)oMoDP)we5XQ<+&NK8CB{tC9~@;F(MS+w49?2|z0qW{-Zy1Hxr#Pl*|~ZvYWpx~_UVnUd%F z+@PUga=lSQ;ep6X>J(x?m1ua9A(ll628@K?vZr{mQxBA2LLmVF4*X5veqQPQ&;8%b zLFueb6RV|uT4Se-yq0VdJEsMbCkGgU9L&46CycI$=#8wS!T+^gKzqEw@Bn9pha;|o0E339}aMS!xS zDwrk9D81163qH|^86kH81q@N_SRlX+EESG?40s&M392w~lnAA7U`VbqOLv?;hc=eN zp_rw$fyg?QaLR=%tYC^55S>|$E6f}Wu&E4Y z73C3)&xuj@MTRouye(eCz0o}(uo{$te!~iwN@1Qu&7ujW&UHqXP`5N-3e{;B4&WU8 zt$2MEZn%$oQs_JmmtO|tgL^1`+jH*!+u;xrh`2cd{rgOYOF0^(%n{~~yXdpE$m22L ze|ey!Tm4L_ZVKqqga<&?@SKaDAFNj}t{hMd3sn-l7TSOy1BFWD5!orGC|Q6s0Z!R+ zO+y#HM&VEaC(>*Bj>Pr1F zRh&GuzAAJof?$?F0x>tHdGo@5u++RNX)q>{a!`ug%QD=N!l!h$AX9@TQ4ajjV0!j4%ZV5-0MZ8ZLUZ?EJ`^mC%Z^)cwA@l~=`TGstYRTM&ggPw z%0=6k2M9dMJWC8z@WCFAJOTKCDbEs=r>klxQbje)1O=`Yx!I^6p3@~)0%X9usqRVu zV-3Kgjhjc$#Hs4Mlgu(1uppiZxq-C&-IVDlp6+FBpD0;ymhvm*w) zBobaYL=64Q8R+etxolYPRArL~P$1n8h*^>tnVb$ei8CTlXHX%9L34^*i8eDm<$tO6 zF{|ICfvm&_Sx`W3#7V?#s2ijq7oK8VICIojt!G%KA6nmM1Wp9JVuS!|=45%ufv1k) zf;yVCrVEe}Ku9pXh8y$CJd)o&Bmxq8{IsJ$1QZ|A6(d-0kUNlVLcjJaKcAH9GU6V4 z3P~ezZ=m#cAVe^o<&3haY%|7_>(hoH@{5M&>z)Esu+_3VR!62@nY4D`tm>#Eg8|w08U9!6*AxftV@8@Pt@+Iynlg>sKU5^%LXQL zlFJ10{Q!1*nChzx>sMg8Q1)5;h7I4q;@ug5th1D{*s`!Xti!rxI8h1`F^m>Lp&FtW zB+`A&+8W`*FM29b0t@C`;Z8}*>55FphypxyB-9|VH$T^F^(XD$+n+kcy2)g3DeELZ z*0sazdD^%zF*9m!G4j*Ai&csTsNVe&xlFHO1)X+*Xxgh3K-TJ@IrNG+#YT-|b~suU z`Lpel1A~og-l7?fhNEN#2!WT`Bl6EYc3h_BjnP_(%le>EnsJN!+(Dj{{YL2>eyS6Q zBDnNR;L@FgHGi9*>0C9dSyl{AKK`4|>_)>7*8*}yesQ4P=D=O6TKbYx8|Y2P0<*dw zKA<)2<{#z9_|QdB{Tt)Px%XjMl7BPdou58G%l}u?H6K4;d$o7^h<%JS`iY(IH%u(y zq_b%!vqrK^^PeWA3f*OAS>wpB$(9vyf$h(RreM% zYgdq&aGz!?+eGKXUeUb*FK@p0hfmqL!7k_6bg}>8OZ$x_GMX>;Prk-KHd#FQ zyB|WBhY0ov-_x|^abr(duGS8w!*_xS?^85mbE8GZ_7%hFpeIC>v>TUaFsNM)JI@>@XGtG-@-+79z8u>cS8`9=K ziFuwCc4(b8Yn3rMCi-0LAglXLmsXI*jl7lgTxXulTVix)=gJn1fYxUpEh)_7Av$0$IV~&ri|G$a!Kra&TDDuFzvZ`U)=$oe2J+ z(-bIAv0?i&{a>oM#_{O*t7qgB6H)9$EWxn z{aE+$t~nZ?#IE&^JHbNYM38uaQZvpv*dDzGq=BYD;R8ygrMSVa)XBWaM7=kpF0cg& z`&wdS_^}|$qy(%Dey6kD_Vnu!TNM~b!O+b&gw|!&-V)93#`q=RT!2FtMW&ez0D?Sa zh*kykFb>Q^C`ZBz52GiAPJl{vKy~$}7|@ypyfmU`_8XuV*Xq%Se9c~$boIdtW|Jxr z4l^MX@*-_+O{qNPPCW6XtDOjtCC^U?0Ko4X2L48O@kQ~J6BD1k>0s`}i)Nx#!Qh9Z zO`5V>6_mQDY!9bJ=DDq-3BK>SM7Tid7bGCfjXI!XOvy>J2Bc11*orC?4HZc?dDC=; z15{SpkomM3gX=^!bT!(?FtYww0>e!$oOoysi}LG-K0+RK-VWULa2N@IC_NQ$;LfO+ zlu`HmAkA<-Bc%|q(L`Z=>LpWsqplTO51y!^W4UWXtzYwb}<881p;`2!v&Pv z%w2q1jC}vvZ{>u3q}n?^ghe!j9M}mcYoPKh>p4XMvn$)x9%wJgouU*$hNB!fZWcBG z$)79%e_yOWe-A45ZCAa0NWWz|(8Aw>1l^EQfpo#~mCdbjj9V7?JVn|$lZaUpVqx!b z2NIss!HLLu2J!$o9TzkcG7|!^2lb}KYD)>%`PI%}AQjt*1_nvGl*+o*!h`OnPz8`k z?NlO0Gu$PBjG2vC9MJkgH$DN90JJjomBg@@aheIgiQ~mrvu_J%hfq?Bpk30pz4tu) z2?7NfW#M8%0uxt9@GGX&qD1#j1Z0B}2X+i^4 zZV%p#C&NwpGIXcqI>|OFAWleLCQ0~Z+}8>L;vVI`iXyfMYLoru^sG0%w+yM(ElqrKCIU-K8!~E9hSMt^)Q%&B^fi1IFmj}R=8@8a2QH6G$84`C zZC|TKNU0)&Lg3;sXDJ?AFOkrr(K{5bw6Oppq3C?#yC3difB(R5-+?)QdkyA%`D_vE z==_sQcVNz?+c4*s?!cV);iCX^-j{i2<<{@#Kiac~3qBAbr4hP`C+S;e>q&r&xJm2D zO=pYK)e{r{^zYVS&NXnc%lX=YKmH4>u>Ty+`FBU}fTjD6-Udt00xbQfFW&}B@7(1B zu=J;I^7T82>(|GB8gc#dZN&AT{zb&~>$eeCrxo?*2VaJ z?Z4n$06adp9?zMQgM9tW(}`<(RBM5f=!6O+Afc=fbivJqoyJnd*G0)R*X)(}#&U;{yfW>jfE7^zVzfLPf9 zhx>M*#*KNWNP5+{!#BY9SNzpUr*FWd6I7W*(oz#%AAkLeA5ti_RDcdXCtyi9rjQ8K z!6u~pp(hpy8uT@?)MJ{!RCbz02Y5-JS<)cmnLx0nO$FkEJe3{5C=eo%mO_DOG}Kvw z%R%80g7fr%lZz!|KHA~ZptK5%Mo52M#N=4Def|3V4J+(7p!@(rq>16N6Z0gF&^26P zbk0m+%XHPH6Ov|2biJifal{3eM+0G|&EO&ohZt>PA!#(=6*d2eW*RGCqp*?gP|YNq zRR)F&pNTk1Q(>H`RyYp8*iucKC|T11T+*WwiDtUL@t@;b&w9QkwGFjL+9-JG5}uAZ z#gMu}k`|FP>8u*@l71hNRsG{)gj{r5ipWEub2<`@5)<#`3`fi}@=&()l%u=CEol@G zR3Gp{PKro$0+Xx)owDAPwo1E7mQ1vOXo?qzNBOdu58DPGAv1DFm)5$JRO9UZ&>LeZ z+6}7;eo1#|yN>!_L8=TurZQ~=rk2)FVQl~g31Q6-y8(XGq)Opsz7**Hjt z<~c7Ex5j@MPyaPlr=HnjdZ1c09tnG=-Q;N_*x@t|FlLya5l;ggG={$+~$rMn<|B0Z*-q5$`o|lX+H~IWrACSHB8CGA*0QOXqhd zV-DRGgA1@Y-Nm}RVD^SE(=ju`3jydiw6d)JwLHm!B7ZM0Mg!P$Y5$hz8#cZo;f-AdriBh2k8Nl-4p;{%)kvX zi`Td| z3&s{hI00>BD*)e*a53`EDi;PLg9BB4CC!Y}PBpNR=;}ocPlEYT)6Nxnv+FEGIgWD5teP5=a=6Srzyg z;+5Iypk#=;1`KdTfgqcvj5uNqRgvHRElUH2c)B;jAmXmRObG?bC{^2$XfLIp->#=C0qPf#U`a zFegA;fdjkf$g=m9RfR9JXWdn^aXIrv7TC2_!ggeO%ZrRVuW31LE;4b+$(HDG0b&c! ztV?~O6b^W^mB3qY71#6E`RhvhD9N-8mt+z$ozQ(1I<~+)b9UM_l@tucGIJYkxO?J| z6XiawPzR-}124GJ5Ql)Q5GU`NY#s5c35mG<6EEFk)jP6*!e>v?Bi&%nS*HL|*G{p( zc}4^473*F;0f4d3F^6KV6geGC)toM@b&-n0NMjV8C=)_l1)@XV@WPjb8n{)aS!|sJ z{;rHa4J(L=pl&_^m;`~(7LQK)>-r2AX*Vx0u77>fQf)2e zcd)=h?4V1xp?bMkUL|;?PEDFS&8A#; zf%z>0lXl9nq?9xa1HfMgMa*2_LD!6>f=eH~CcKaI2Vt&;q{- zp@Pjnywc#oO9sWTW5?kER>n4X8EfURi>y-&D(#*pb4u>cnp2_zFGDcv?) zUk!ljHCF%^B=Y)ieA`k1bt>_TP7d<`E7Bl!fRCm_;Yg|Ri^A8X;<^C_I@gXGKwacl zbT{3`cfh%px<;qOnjCr25CO$SQclDN1hhJq)Q50+sx=K+Lb6tgnjR>*bK5rbY6Z~O zAE&;Si*Z)v6SAr*LC*j@6RN<|$-8c+=?oYiuMLQ#XAVSZ5-=EQ_ofmI=H9e26 zXVzMwPXby8jdf@M2UM(@F52106y-$_2Vr7;DUA&v>gn-GIVXre9pT-ITS9hZ_kVf! zBLbhO*tvs5Pl~oV$1IRQ6@{lYAP6}2c$$0K(X%33A-3f}{V;XZS-Jxb1x_ln`}WF@Sf z?L8=F!l7HttA0sbx^NKe&OIwDv+r>B2*|{xdEpf$Yw^;G*n4YGq$*v7v@Y*-YZ{yJpU`ZlV^<^hPTt$;g#Mr{_(y>-^)di${xAg zJ1*}_4>!}FVQ;0iN@0=>(_Uh1>n$B%UfbD0l2_5oR{y2Me-n|q7*FhA^@s9IZzB%Dx-YV$a>jQ)7>U2BLC{{p-O&>@!(mpVjww+ z_MAFcGk)j|ymocqN`8i>J&X_6W z^DU$BmMjY#Hjqq(D@Y8!CeDhNuvm%j%2S=v`;*z9FHJt@&>G;4c&$Qr^q%ux)goV9n$K&_-N(xv%kP{!XIVeT-iC&GKeY zJ|Fn%p6?J~GuL*N&rtV3>4%JM#wPk3l%@V)p8tTh0LYGCTUZ`0>HQLDAaZJ1&Z6vd<)T3UL3n=9fh21%ReI#lDxFe=v+)vb7gfNCSU+ zG;>)jiie)vns9$}JoP|SSuOccBXb6r%lh1LTn!ihg~ckzB06ZHMVUQHw=X7Y-=IxfaC`fk zNO}R1_4XZfJb*zbs=Os0`V}+SHT2xC6Oqj;>P0 z^HLjSYuqfz=PK3lI?eonxnER<25a?JWlVe%6T1o;IOgK71X~9#c*TBO&Otd#hT$HGV9? zcMUZs#zY>}%YLz?LLj$g!0Q!ElReCbh361-tm1GFGdLw4Y9$Jwse<(qhXFVt(X^fmrYQbcSL;IOz4s_sFJ4Hw7ZOzOtXJ@8rUOt zj0G)*_(yl%5UiDWL$rF75>V$Ak#A*9tCjFu>1^pup(_Oj6Q5e_hyRDk(E(8Q0$nS# z8Lfe?m3vr>&7ncGfyNWZ4)S|mB+Jyih(S!o&!|66X8O?+0M|_BwyHA_!#`J7a_7) z?xrxYh0nnhrc`B23=5o%(MqI()=<{mA@{C|s`w?$AsG zCn`J>2C{`5fkOx+zn{Q%wWtqlnsX01jOGv`DjDoYab7(*j?|I%OK;H?F#;*sA>0f;Fr#5czW35ST|_Kw?J^6=@PLto`N_sx;P&n- zgC7qvQje>THG!FH66H!E@Xf95B6}_(z;2JEJ-3wJo>qa1?-JMC=em{5a|zPW2O%}( zLAbkIcqt4KhkP_`fQYnqsPtXYvTHGSFc=nY94Q4YvVCGUB6$WFg51)OH`YKB#Vav2 z@SNe5h4gj}-O3PLnSS!Y4|kb+0J#E@Olm1x9Y}Sn4)A2)tYgf^6Hq~E3Iut%y^xJNEh1sCu%}2xFcEYJNHjl3!DcUj2wbW+X&VTB z8zKZIjsT$R1T{%@vAK+V4@liB4Qs!lLkA__cQw>_rukmnNNbYCC%ryebxS8{bwU=y z4!+r?@h~I+rh$CHk3@zX+L1LuJ>#7%7QZH%E za@{f(!6`8nyy0Stg;(}hF1hBEbaM#P;!+abmCFSOc+X_+v|G-hXUh^>4(r%-F(|xk zrw!##KCK;on1a_Zy+A<2+Y@MGl#;n2n)@rIb@CbFEE;-n4Ip!6w8CU-TnS_^r?m?8V5z4)6qDDx7YhgSU5&AwE||0vRyf z2Lghi84(&{q3Gq?53nr|DZy)(x);Ur(Z!MD?n3tQ;@US|nKFL#_aDA<#lQGtEeQMZ zJ0f)YXCKjPpCA87s(#)iy$RE6Q`sZ-HJ>uf@_9Soy=Vt5znf953e6axgL2(2p^Q?iPTpB=Lzq+JIpk1LWlC4#K;4 z?az!8K=O+jm9n3xGIJF07{0i=05)ZOBAC@g)~v`QN1&1DjRCNr0jJ@>R1 zkK_?5z8#P(6KPrwTfS;rt`09I$~<2^jxw;Wr!vK z=>|tk!)iBY9AlO%CAC${kgE)K!Ht=dN4P?%+r`p@2HnyL${HaDFmQe?v=g^}=P|`Z zB+}2!d%3XXz1*hNgl4i?f|6{rmwT(4Vgu2}=HK*Qxx>skE1nFzr^MjLta&WJG@yXu z>~&M&?EFl+5>yY{=}KXRi&>mRb5Za))SU1ZU31Pkzhb(jY5Ax2Q2ond>ae9x?9U|+Yxz~;+j@46nV4nX2K25bV*$;< z^Yo^|E^38m1m=^p8NmN!>=M%jFc4tdw1dQrdL;nnNo#seo^{mX3Q|;*2YIb%Lo?za ziy(d%rGC68VGcT`OzO*q>xjn2NjX%<=wkCF2>=AphCD3lSIu?BMLYc}A@|xj$vDFo&gZ$-Fcxb@JW7|oZMJHU1t4u5es zRjcQ{EneSbrl*R*T1;+86MHq`P=1>|@wY_Vgu zn-T8`aD!Kkk)~Tk4SVW}bI|MtI6l%4@&c>cEqnG&dGN|T0NyNZ&Ya84M!Y;IpbDB> zg#D5tNOyM7bc2lU;1I}(fPfh)=V4@g2JWB+L=#RlG=~K`l|XKFsT=`50qBJKy05w> zKxvUftq%!&1CF+#S2aF2=t%4ZZgVfKW6S%@k5a#2sYzFkH({1TRV=oNVi!?R!_?n! zG$r>CvkFVB0nEPT1}L$j`0G7OrG;3IF%2LhpK=4hQa+1N=ylcry99KL$w;pVvty2`U%Hf0Jtb6*U+&W2lh}wg2kLr#H>y&6}EN>i7N%nI$=!* z0XVhmU0_1^WDS)ycI$S$+(Z(ZDpN4r$YH?#9f$c)DU(V;V@e7fNLTUoWpjkT7XpIF zT@(QG>sl}=eA42Izsk~+USnhLURP=GnXq(5tawO#nqttmDQg;5xH^zuHH$;_^`Mo` zqsD=mZX}jSDJ#mpItYe7ZyBYlt355UFp<9bR}JO)K+9?JUOKx!cgXN^IuDsJtXt9ws5m5?5DUG7gyk6Ws*22Ol~CF=TY zg)1Xk75N2_1A=2@*>r$7LdIy+3Wb;J4f^*ZaxpJlAWJejG#cs_T^X3c*N_&cGQ7V$B^v0Kw zrYNe)in6m4iBRN0q|_mLSRvu%EfBDEvA_ty5+D`(wo#w(;C7UxaG;jI{CnsQD!M2O z*vG5n+$*eG&B3y=h~UbyP-n$e1S&kJu1L8Rq&%e6G(a#$<*2mKy@zN*c*rY|q$$dRl8We6-CK)ocr#tgCsT+ACQ;tdZ?E4IMTz+XK-Ayp7Hyj(@x z{~Z;|Vk&$Qeh93C3?dRRoE8&XK`JJyBao^-2Mjk9xk_M|}B1&KBYD3YbmiIncdab#eh zW4STRp3SJLEW=`R=xj_KJL-+#5a`^qlQHW0qIW|GD?(7Wee_?gP~P3>j)? zGl}E@dXoa;o&Cek?>nOSYNdEJ50&l`=iiin0e@%a1b@{yhLqZub{Fb@E5kqRt#JR< z$`i)yuaxqKzr+gfxdPd?+4;&uqvu(kxgyVW&L66tcVzK7f`e(MgW2~DXEeF@91vG| zxIJ+GRdeWU?|^sdsyzSj;A{U;z7rfYPCtA?bT8(209+07f7pA{tG?uwgOM^%zqit6 z+gk2$utof7eoLuq=Ko>teSq7@&O5>GR*QkXibJ&8+z!K)8;?(L3&W8`h^6HzzFoYB z2e1i3e@Mx5yg6-5VK6eRjN=)3>{Wc;xL@Nz1VS*PWO}08n~fn3JkjPRiAJWKxjJ8k zpg@OHBi~4}vYE~0&XO!==Pur|MwT6IE_=COQ#1BB<*HO&)m0tFCP4^jyngR{f4<-M z`+lGOrC+t$AH zHnP(mBd;YsbQHw4JK~z!GsGH;w75s`z7J0)uT&l{} z{$#FHJZ~R6H~ngo{K%*MVtu4Ba^$g>`sS>iVq0ZQ?(yQU4AHN8YtwwLulmCg|JBY@ zuVq;w{;W9nx$!SPyVHO5GxnRS(cM(vg4K`7u~WzO)BMTm{7CbVKmIKr1u2)Evz61i zDR4)Q#S4GPwau6TVz-Z>Su+*k{d(@ujK0H=uBU56x{r(twM<=7H-=@4%M@ zYK+JLetxW4!^aNyIU5AwhaY=@!nhO^(O3Kk#O}N%08#hhi#BLc6XNk>fTzdXBOv|) z+KyoGh+F*5H!ce@Rd2K@>Au=7S2@071JnK``uRuRbAYi?Fm31J3&<~?x1Mar=Z62( z{80R5=aBcw(xvnd9Ba0lTu%SH3zMe+>ipn&M}3H2*!_B9@>n9$5(vrO>)i-_y;0{c ziR09|CGNy;)DLy{ivm#Mdg@a8dL8K4ei40kRsmzacIB;N@%W2dBaGQU9U@mBHxT7G z0~h_+>FS|ZfehJyT0c}jzI5y~E-^s{uhkRn^60-T{-^Zv$gl8&ZYqk7X9PNXP%FsZEIEnaNS#C5x{*~o*4MLXn*ka zf3pdE$vIMGOZZuv%I_Wa);7|^? zboH8`C%oyVpMq!6765<8!537*nUx2+%R;>n_lff-oqfKSj$#ozz1KUFqSTneD1Bz{ zq2`!)JU(4dy+~`*%_4Geoe9y}8lcMM6k+Z{mfUl zq5e=rv)%$cRXyV$Cns!dU1&{1-zN%2t_>_TE#3D+-GHbCIs=q7tE%{Sr+KYOmDCGM zX>`QqznZ%V@f1V_ntCEL&G){rJ2wEenA~5KFQpIFYZbotx;Gi_*huStg!IM+L4dWF z$jwf?oo`NoI1bOf|0DIa=F+Df#X$0|y$N-Z24=_cwv~I}7mtHgiw-+o{eeAzK@f=D zTq(AnI4!dk@%R}>9q(~!bs{m$<2|P(Mx(toSMDO-^E8G8+7>>qP8IX*k2N>po>UG4 zc%l{~&cpdc&;j@+W8UH55+gV#SqpjL<^QA1?+S+R0hD zHiUUMDfUxP!2MR7HQR&)xM6)vRMeVnrmqTR$NUqZ$P18LQpw3W$H9EBrP`pY_`$tD zKafG_YP$ZUeLI}0UnHwetw@;vhA=Xi6e(+3?W10VTpKbmxI~1OI*X>C^~8RWY6Dro z5(%RgNwAC^hX-3d8s3t(l$jz--NAfoi8=P{CFu5q`O#Ol^!e5a@1$c~t;hYP zH0t6V9-W2nV_nSye%9y)s~E^~7m&6NoF4cyDsS3|A+!nCc9?;w6(ME;aNSU>6$gS1 zykmtGmJqNpRPK4$J_0UumopEX_-eh4)U|eU9w#PD5pW)*74tv)Li{R!G2VNDq;@;S zSbyS-@AW@p*AeuYmBGDJ|0=UTv~cA1Bo-R1IY*{;q`ewN+5lV!9LKJ5#}GK3GO)6x ziu4+14)^O|cj=Gtf-#0O@k-Za5gP$3igMvLsW$DmP72urhRQ`kd-Bw5slb4Gm-0(W zF|Ds6D%Gj_fO@RnEmbV}Ar4wYmcYM?S$)O2l9P}t?c2g1ePO17tU@ED=fZ>zQu5&> zc6NV9&%qR%k;PbMpvUPu0u0JAQ*2Rq8F79@Er{cI&&MMMG$Y`M7YyLr0IW?Q+v+yZ z5|#CZd;%xJrM`zs4|oQg+4t1Lw46?`WMTeelg1fb^v2 zDX+9sFfoM$m;zHJZeLA@(nMI%XeZ9Eqct|&E z(Pon5pi$aT_J4Zz|8@yf*g&^RS|*rZsygXGILsYfB`(liZ!|b-A?Z`IDpdoZZ5Hgq ztj>8-IAVnQBH-&(gS&vq7R#UX6d7EiJH>=8K!F2FyE4MZ&(dBmnYP6Wa=}YeEu_<8 zpO{t=eo+ICyW%v@LOj$`aJLv((5!m@|M-F%N4f!J2CadEzNiu;_!JXo0j^63;d(fKgJi2z*iH~I%9!RdlMv()OH+6= zV!_u)MRc>FEh++5GEZR;nUJm1hU$uOGYzfoY_~E_fd6rRxBms0mKQ>dE7V9d;0V&a zd))v2^IkLh5B>U+|7HX(0oEp1aa5R`xwgrB6Y#j>0{qbX|HJak*5>YbJb5ED7vhm>PIw50cqa75W&Vwbb;4apzHJJZd< zf8d>0{t24=_TAMV^=J4m?xyI8#Y~61>zWPASh*p$evuEnCp}O5;@#%<*gyZPpa1*A z_5b>B$W#Zq7)5Ykkb~{CokL|)^FQIa2}28n;oS_eJO$>UMR|P{1V1w%dS8>5v%N1R zyv&>I)F$TnybBl>M3$z`wJsCm5}2!&cL2WxfN&0~z~n1pyyL_7mm;QN_UB^_vl;50 zUayCU>6F=g>vQB_5*i9lo>~SlDh{C8@Otm$!jhCASTb$Doz9;ED$E?(SY~!o?ecqly69D8!QdPjUr4;Nec-(shg<}~ z9$hrJ3Xo;6hE7bfScClb4|+l8-(~L+;=PpYFrXl3B(E#v1eV8wxF6!}}lKyNkjJobpp= zQoM47adJZN9&aX}G_n4&W`}3P7ZW#?;bfN*q?kWP1(qu#-^P@^V3U;r(NE5RQ{*tG z;?^z=F`F)yRkBEJ#1M??J%6NMDD@3{!Y)E(tWM6BncVO76O}Ko_KzSIay-GjvKluR zrhTNK)1!Gmr4v8toTI$|SSTKAg7{k?W{s*z|MXji7aZllGF?5&(=R5C-WX6xt3{C` zDb2uudV)3u%W}GarZ@ID;fsu^{D4r9C&x!@35>OxpjXtfp1BfQ0iHL(0T2UqyJEVB z)wHK^WYPG5>P!2(^AK!?}a+orDJ8d!_n z&FD*z9TEW4h(v@{U=p!tqsOO}0-Ye}dfGVlI-vz|C^Z0G#pVbVBp0_w6s9T7$NbEL zfA!x5Q(O>0&r5tErS-mKw-RF9K)3;~LLEhOH?ag7NV=emxO(1f>e!HBfIyyAa!WMb zagHzp#7$Nl?@D(_F4XCdPtCT*&K+fSZ!zjcUA%76G4+82;#uQ}=%Ka&NkB zIl1r*Pnrq*nyMMSMj|o8twh4xu6pwL0`D0@ zRF7ZKcTumm4Zw6JWRF;cxVx#AAOySSPEeO=PozncL^clKU)B{0Ja_{LDwB@#Rj=Jd z7)^s=09!?1$HZ~27a2DSQ<{Jjr%c3@G;~L>*+T3D>1n`Q@7KP*Vhs%JAP~EV z?4c`cljLnd%b`{Q*$(@3O!^B#x~6RAV^WLe2*TrW=YjgGUVbBlb_IfLs~+R$1&%{q zEOSGfcj!-BXYCp0UgsD$t|iSPlr8fk9()(big5QR`hXO-!a%n9$e=<=2Bq&q3LMtY zJg5U~R~dKgnc&gkdR5F*(KMANgp%Wy?EoK)&KK_L;e~ScRQ!SS`nCGZOkZ1w zVu!Gu3Myyth-Z%DADY4;VL|RlwK=~IO08EjEk1tx2m*trLI$hx5$j6(EQljU&57pH zgn=-QzF={OH;t^RHK>l`re15yqxH(um$4U6`^gX{Joj3 zmodGAShvBMYtbTaQ;_=3Q^u-B#&JLzscnk-#)`>Z0<~>LZ>)hJ{>owO-MDEECv>IE zarY>pvc**bd;kVkzL!9!;E{_BEPp;`&5#z&m@H?^gcvR=aIUs-ZwMzKB*DQkiDd0P zt%ProP_HRT$iqltbOX!B#czgGp&$gP9avEsW)*5}nRBB`=Ido8HKpNL7~{QQIERK? z#)gA>8hF)LG7vH4xN_0YIQJj<8~UR4Ed4*(+5KZR?}OX}GkpEXHc_>&6rB(8m-!Ps zIWknZUQ)o~$sAZ$#p_F#>F?WPgOTnqltoHlcbCNYoi1PY!;gt9Yj3ZaJMAk!^6WWl z-i8)NU-OAt0o<<K`Gh!0&rPdsV*kKC=Zb)^ zchiIqlW(q;$-YYUxeCdTdVDN=jV8}k5M!GMC0wcrq)1_-uZZFewdm(ZoyQ=hRa4;$ z3DvlvMyB^AKA+z_HYjGF=v+Q=xWvT-%|oX6M^66LYp1TY*OKI=xLUFfByKOMdvuC@ zh;&NH($Sr8rPB5R5?{7YIN-k>Q;W1h{OlBT&srnB>$a*Mm7ghBC$i12`0wpU{9O$t&|BHXJehIs#SZk1b>S z=9fo`*+svrgnCu{gJK_kaD55L*D-9jVrz;9$4i zNVe&oX&=vzO7RJDT+buEu=&wEApgWQvO!yaGKw-h6chm z_;zv92VH;T-C)P_6nnnNf620<_ngGK1Qn6(Ks3LRx;Hxdy1XTpPrOJVmXUwt7=Xv~ zA4=YzVgQBdC&{H!ehPrtBZKt&ckUk(sT1mfU-(e|4@mA;LV#>zN1uEJDi>n_>cLm< z9u>#$gonNvMFVPwipL<1@p$o~1(f{t?tNPB|3MRyA9wig#n-0m$M<*#*sX3mKex3d zK0D$$h4$Fo*7!tz|72t9-_YD{u^tq`HNN+}Q@E4g8ULLBl9=1te@nGXbI`=aN5p^lV}Ov+ zt=Hb9z_>xXE-w?1=Ii_Uj_*oVEEVSV|m_fbxlI#rvkO z_>WV3#c@PAa6b^)co1RlKtbpI)>g50;h2XTsx(V70K)9|zc9R3$MlO8!c93O^{$8t zpbsLlxLl5!DnO1yI3<9-LMbL2v2)SCK+Jt`nDK9Ww<78%`Zu)*6rg>1|IZF&pp|{P zdRxAg#~e#>u~a%8^1nfmyvf@1#x#2@QkEG!Sl)>k&-wG>kz-Ja8E6q}BNxROKZ-yF znGq@B-#`jm_c%qQD*)Tksl6oj;@2#+$qzMaF(irv%g!cVSVV8<8pe1V05wLl?5u4; zpT6Ej2iI`x1qD|~c);?b1`B9#h&EyX&k9rCEth@Vm3G%hY7WTd1z`G;w?MlV{#8Wv z^1u6a4LEuRGY9D{gUAReCo+iBAFTgwd%IbC{5M;}Ye$wmf=)jh4KVm(VGfa+L#O4``aS`xfsRcY z$LoXZu7Ph#U0 z9FRXK=ZG4?CBh7W-7FDEw2;~b;ioLmOt&@!q~}4P{J^L=)`7bL?mtdaZR={fO|65+ zcIcfQX6?_3O{Ok93a{wGtwidHTidOvdR40HPyl@V2cu3#!bT)WVvlelYjxwQzvXFE z6~lBO$#usEn_c%)WU6i#U_Y2e5DkB%M6BFPgj~gK9$W{7Eyh)?ZaT`vM4h%hsK8@< zmq`y)TCC!Qq$b^xjP514_v^pcD|(#qNg8bWAdP7B)(rQgdZd(r<`t3qe+yA(9|;dx zC%i4ea3MQE-AKLPf9kL&y9J}>FCKGhPC4&XJ6(4YA-&NqLv+0=={N8U0gC*2n)x0I zIr-0*3PwYP)*H~4z_Az%owO%?0@n+?rZJ=de7IcT{<%^Kp{cAg_FqY<_=s?sXJQXl zKRWUIGmQjVv_pjm8}L2;jXmuVCANpU_&7Sa1ls#GP;Dn64t*rbwg&eGKw?G?>f-w`j6iN5Bb1rJr{P7+I4k< zkaS^zcyuqso}sGXHMsqXZH$vkPWt5I)>+DB;RK<5Wjb2D(@oRBXp&o;!q2TPdYM?` z5}ow-)6^I3y?Jd&EXIsfdkRPMeF>LUjqQ(Pc{2*QidK=lSGE9|4KWQ#IphVYsVAD8Nk% z-h$`MAeh>=Yo_)Ik`39jyu_*_p1{rlpom|Qi^Xle*Ra&8Ef{p5EzshO%%Zo=^Q*@= z3$sdw>EZ%nk)Dq#g^9B^XqPSS373Y{0902U_T0rXJS5-eiZLmC0p=S>ZN>Wz9jA1a zV%N)l>$iU1J!36AHnf^j&6h2sH3jEB>=5WzEF=RG$sP_FMUtuqAvCBfpBw^En>YNUxX~J z+G%_6+F#nvn$|f_H4KO698-FEQM_OY(ic-%1O6&F=`N$zv)-Z?+!j^X0BpBsv{BVZ zI|miEJ`kW8*HlBF5hMc)NZl016$98o6KJvLr{L#Qbs{~MY}=poe*rQZ3ba2>sS3lf z(#XdM_ra2btZN2QTu>^5G@SIT;WbAbINcjz4DCwS%OZm@tFw%vchsOcKH|Hyiwq#A zIO$_A$d*)K!g-cVp}Bbh9-XTr27`os#u=bIE$45+{*B<>TW9~1+d*iWy9Qy6xYv_* z{N&fYVZYByBLXrktb(2f8xzEB=b%bw%b{%A>qBmJk+Le{H{uGA-J^n7K)Sl5Xg^!7 zvkz0vzgb-#TJ%IAOwb!@FisO^hFXd0dD|-(zEUJWF%sAiu*|OPH5cq$OlkY6Y#K@W zoXC3+leNmcrp_k-?D*pD-#%yo8`gu(`E#PV-OzR&>0btUULm5@MS@oII{j@ACxmd` z@}A7^6mms)e%@J}evP%V7pHH_Rd1f&j#;?w^Yz1nd?2>ZCs!8P_CNl4aBIC@zh15ZTN;xPR$2@}DR%MVEi5Jtn< zfr!9>gjZjkn2|4xHLe($06-uVLzIS4NplO)Vu^DYTRt`e496=PWS@u7 zn}RyH$Abic4yV$;ePHvbHb%iDhy7yF6rieVP3ZC&$~u1~OF8KBx#m7I+z4Z=}M@}n>FT(q{*(TQxV3gFz=ve0NaK6fIO?A)LPAs0Vjd1wQ$ou z++cT;dvs%+W~cwfCf5K;YvPlPy9ph?BjAq!25&V3YC{UiFk;<@Gy%^uDZ= zXOSB-12f_%2-hBQpls0GykJMUB3>}%D_%hPO)m*8?5Y)Wq7=bZWZyK-L_$0c^mXmJ zsSc`Y!eodYRn4kFT-xS<)9sEZrEr~^1`;OF#= zgjqLbcT_7X*|xwCxuaOy3c#XP3Ck=>_1pgVCTQ98Q96iG;wem04Hts+OkfEFv$TlK zoT;a=cC*fbP?s9d+zGq%^F!|mI>;xsIZC%tvN(!e1;-^!&w#W!Q@j^z5X++|6*VWQ z#j$g6l@;*#=U6pCzJX*+=C%_p-sff836|EhbjDk@4H0Z@C&ovdrya`6r>aI1Iwu&3 ziq=~Jlt;mjWkVH z4SPfd1|pfRdG~*Pbj86u4FuGAK&hs>CNppNIJH3NcnaNsJJSNbg}XX7DG0txrzD#l zTkfF--wRL_2(Aot&>%5tctpJl8Vp@UT|OfNX=ViPdr{X82HT6(P0v)Yn+JT9GV~?8 z`x|kMDJl-OkxQa_iRk#Z*}pK^ON(m^7{3MM(_W^Xyf5w^Qa$bg$1O?GumgBSt{-~Y_d zjgeXQsMoh#89s7s!2h~`J~2LA**~yrV3s}hJuzH4An$v&mAoT{QLM5s>`iQc^^UqE z&eFmqaXTCt>2r!BLj*PVJ~dhn4}_f~Q`uqX$`1fR_w}9o@En^Mae$XXhq~N-^~}(5 zy|{gCbo`Px>`d@|p4{oAx>gMl+s!gZz>xZl5 zwQ*|t)e*n+*xw4Tk@jcB#P(8YHm4?Rp8cUu3!R9`KRj^psh5kls#M9_;;0y#y<%i< zsQ5Xrxu+=Dwqo`>3i*A-@n;VEZ6^1fe6>58AFXs#T~W%P^F`&#sXfKY{vn|2)$58E zpW?X+zruxm#NRd4IPCM@b&*FVQFZs|o>7Q4oS?4^-C|2D`8|ADb(P-z@_d9!uK<(= zLI{``Ketc%6Zyh-WkguEA(LXOhbk%NMIX-S*NZ^paOy4mK`B%`e*R#0M^$2aZnOc_cht zy%n)vffUb!bA5dKRD?3W|A#;>9+V?g&#DcjN%gIwm;fVu5ioOp=n07ijyM1yi@4uU z4O|hQy$y!$GeUK|j~o#LYmNjwHhVr&A4WAld98`tkN=LNiZ2n7Q_IevY;(B=M063= zybA4)K>c{Wa3}s%XA)HE$=QUqWAJ7FjxeilsyqCB@<11m7?5e;%-UWkVtg|~ReFy` z^4GZw>NEoBa27f71r|wL6kRfrts1qosYnv0y*B2hh_sG_##g z`d<67NYcgcn=T&U^e?5Jq!6(9UcSB6%6l&+W@RMYl0~~YG8%+mooeRPSG}oXHez+N zX#oOg1dE-PM@6nZ40#Oba{xUCv6(yP1Yie%PLCgz9S)O$<%q{SkYd~$N-W9|D!2~< z&kLeJ4Q;0zv%z8Gu^BdKI2TUgR?vD%n1O+2n)cxuK6JUr`qYq5d?AoUsBsMH$G_&>k`g%r;gl%0A-r5T)%?ad0PQ) z@BL0kwo4z_Zf?k|?1RANs;64pp|Om4)uIJ}ZS^pmtna7DAU<{3S$m15ST`babI{k6 z@U}T?b{qIJ%IEd7WzeT*WfwXu7zvUpv78BI>jEM^I@Ho>`~9e)!y{3fb&z}&j!GI% z00%J!8~SAc`i}^bGB5@Q_);FCCtN$-MaR883a?8fZx|5eCObzv`SYa@?!Q`Q=KSb3 z@QH5Jr=X?MZy|hs;7GYwfb^_RlB_T^QrjqUWj4GvT73Ds`@)Xd~fF?VUeEeiFhx72XxGBLH zY2Fe*sZ&HR0JdydC@8LiHr2G|WYGrz8N*;`dkr7)*bdptDAarIId)dv`}EpX!2knSf!6~J=7kpsG-?#x(BlcCpQvGYQrs65 zvj&*`X#X?fCDdwVDX4}cq_N;Zp8N%}b~wu55W!SkL#fYVkwFU{Mp=%Xb{op+2s!@x%hr}8MN(_CxqM`u+FH3qqs&5{;_*0eg;M+rRlS75$-l_XZXH znURemg>!w|JgBW~P}(1)qGE@)TTtiw>4irI)BNF zlw;mOk-z*liab@gcRr7kxzGIo283VHF+KWAk#LD~NO>qTq6Xsu5)S^ifh6L!U={Cb zaqg;Up22tHK0us}YizuvqK{}=U_#*(AjZQ#Gtd0*#>3Zt@^b^c_{pDm=HeTF<^wLm zPd)zbm{ET^1cvMZIYaq1@ad*h=1sXuw|R{v2z@!c8X_*y7@^bstG>Td(h2o3^ zq^x;rh1P`9d11Fx{l{Vdd0-sO6|mF5sDVpx0UZv{2wqzgPA#gh=_@B|BG&jhj8FpA1U~#fX|!H6 z;C-v&DlH~-2sQLuq7s7Sl&|aXXu*`<6BB@-rMC-_w?4b*qv1ucet@f_5#fnpmTD{X za#@r}N%eK@f=rm&lxz5i-YKIJnUFD!k%SYiX%Q#@B^#Y-)~@$ow9|IdWOBj#Z@=#9 z=>#eDn}A;ln6ATK#*>EZTNg4*C^4(yF#Ppb?KZeWW)KG)DK7ePdt?B0pPdE2Gv%e7 zS&l?=#;%m5R7q3_o3nt)M_y@P4BIE$bwtgCNl?P-dPJ*-1~ITAupB3SNi-EL(!DfI zrOVAm&-f2t*@1w)R*1I&SJBXf$O?#d*qXC1`_ga~tH`UWXGXG&$zi6wW@B|gRt0d$ z%(K%`p^2I)MaG0K?5NwLphhaj4VD=gh=C?rGa|>8p0O41Kn_KzSc98zNChBq)4|Nj z!~jqLKeP_~QIC_ngH8DbuxPl*v{{Q|6q~$Ws;wXz(zf!;PS`C1>e&qU*Y~lP%m4-0 z>R<6si)+3eE52N0ivt;Z)VYY#likb^GiS5S;fk8&aQ>TzR1?iQqAXxxQf^1ierCkW zIiofP-fr{&mxHoMh;d_QDynY43qw3l3eH8$aAjrc9fDYi3q_cW4)Ek>3`e0z7%&2L(aWA~% z8`WDv52Ru$(Xj;zd;|a_0lLp%ND1Hv&ytv5p6+r=Fi=%$1iI=|hKz~{Y6{vaIw%Sh z2uWZBSgOEXPMLSh-vR@~qE?nE2qGygB@&m30hhSz0g3?G99jJ6YR)(*NTCcL_d`|W zT`(UYpT%0XEHb5gO)R_n?F>*BEGC-P#rqUBd4{4e29r%3%K{Td{aS6EnCLbb_| z0Hg1X{g)a#sy7yg`DaAPVkCoFpuHhsjxW}I2IU8?X)2J2Zv$*&xIWG(evIW2uGPTW zL&^#}iBO47GN42nKwgB%>Htxc4iHM~9d#@$rC+>3`(7AQACH|NiHl zkOZ4bof(F6>G3$+M7hiEx?ddVvOYTomMctR9NbqSaxsffxtQd@$NhnrdX1&Za+en@ zR+!(%4V2zQj!%eCx(qIlXj5E{`lWH4@z?#7h&7Fc5n0u77IQ;GD}gni zpb6}F`|ThR8c+z*>kEQ>~&jbfCk25dZ{u>a9@J%3(2= z7b(a6EmL51HaJIaxKfX@bw4(=S)9}3-{p*F}vrfm|+cL z!EYFukrCjqnBP7oLB{q>9AU7TX)8E}%C5$m%f1YC4_&J(#B`vS8GOU>d1G;)dP=?j z900{2eojC^K9P*=LM|L+l`mmBM)d@UqC98_D6&jX9JtyQDScWr^&$ z$@=gLf7O0v15L4fz&P zI4X(@Yd4t*$luvAEf9&IDnTLf1Nk9OqE`cZy;w|?C5}KE+fNmi3Inq>?18;(aaSqw zWV~$^O(Nrg;t)W_0Wj9XRmcn}!4U9W^zQDz=RSUFXMZ}oHl5ncwu#i4k%=kjiaaUC z_Muh`uWzj_TAa9U)SrjP^KL;~SHhxAp{T=ubL|EPHN zyP-Kgdzo&lG(kK650c&q+asrQm&(J3#q``%|8wPEp``dV?@sQ-^qu_h2yzP6ru}VC z&E}hzKfKLv(A=}sd1X4hp=_{mk=*)Sb-PNRqfHWC_o2sfRE~FSN1~LBnBenx+3FT? zjA&ccQneUbBi=>-lD}kq`%leB)8l9Rx8GsO%e1iw@OC0m{DRj-=FT2x*$%&h?5t4_ zJM!ZvJbKw{ta{l~0y#FtMLJI#m;7`E;@3ynoIQ;w$+Lc$i85t;kN4Ad=_1L<9#ptW=sdNkR zk>Z|M>Pa;>_3G_V&su(zmsCR{r}AbxSFVG~blK=OR#9PoNRb2KGqiqos9}`)D&d!Y zIkrtb`J0hGO?;I}A_ zKOup9MtCotzgu+V#R%@Dz+%sBsAA(&5#bq-5WLR~t&;T(Y}tB6y!hBV65MYynCHa) za%wU{d(+-cd=Q@q$aO!iP;U{!RFFoAc+NQLU{|Rv3jzQE;x(NPh;4_Jmwd&M?GJwR zt15 z!=kcxLz!gnje2-Xv_E}N9^XKSfuBD9-SYrLAKB{c_s7lW0N09_;y{Xyk9cD&f8p5N z?gKKh!C(gJ`UF%h_Kk^ctQvn)EIm;(k|U&v*URK}z-9IK0kQ$!vlQnk^YxCNCTm+# zgOh8=@5Cjxs9H*0B2)FX@8x^O>Nh#as%tNixx_(1Y7gL>`CpPfKzQyUz6knkep>j2 zQ&UC10316)q4!SKB~TG?Nj(rsPb-w2YXA#GcnAXFFQ!j-Knyq5VxP@AKy6=k@K5cJ zIKA!Rz6)YPL^9Wfb{ynEV~iEr`F}S0I+MVnro?zVf4U0!Z_tz@rQSYp`XX4aU{LG|g<}~?Lkp1x0EcKmr8bRseWVV(i$fR~4snWtFq_Z# z7fP9v#YlREL*4{q5l(emgMA=A#=WT4;lVoa$S?h83?(4G zdBl35h|v<-8kDOs5;6sTRvZc>fDXF_Lj}gcX2Fc~yos~TX@O@Ndt9%*A;8n>Li(67 z{QuV|R5M&^6x+$C?Y7`4oN054Ca1c7;e32WOl`v<^J%AkSird~9jt$|=(Xa01!feG zsbcU2r?X!G<^}r1 zwy+fN*g**(9_-BrW!isR-okwWp$CS2fW0j6$5;mzA%WBaD^`H{uhrR5`!Ki?PN56v z4uH9PR2@e~WYmj@L;y?#ZrR=qMmC#A1pi}IJ!wL{1_oAr3&NgzH{}tsg(`XmE!9$A zaD;n49zYEpgxe6~{bs(WAB8F98gN`DG+e*%qu=<-O~#f;T0(6hXF^UWX9mnsx1_Ee zEap`8ydeF<&NqErCTCuB&I?b$m?1GN`nSiub`ETgmMEfnUtM!}>Qq8U?;T*WO%H^b{6|7D({~4n$U&9z()FL+8`A82>DpEGB80Q9ONU>qFKZ-&chx+ z`Ub80gcI9)+39MLDhPUX{Q!s1_!?|}E-qVJUyIkwz1CqLplNU~`|lyLyf+SxF4G7D z`PFR20dGI{E5* zd%aR9dByDrhjb2C$P7>SF6MFiwSpJ{2`?nWkPIhr8fMGFw^rD+vm(+5{T+ZwvH8e3 z<@Z-vy~pyoa-;Owe7jGIBeX14mYQq_HE~9=_SYwp1QX2+D7?~;n}Oxmvau}D*&`hM zElyUNIOti3#Y=i4@sFN6LZH;BZiftB?Ft*UY9{GDS3=-$V>f~lIHHgEf$5IOq=LAO z%1CGvkiJ-?Cn6a^hd{3zDY^mn;0<&)Ae-z_NRA(s;^P2hMZiEx4q?*-ly6moHxfOC zalz5S4wxs}1kJ1~k90ebnq{C>cz-v%1*q-)$&H=%697%$P5SksLWlFUx3#fieTVE}0k!exo_h zW&iuAIsZV(L`ZF;HQtvW>Y4Dlhp6p~KyB~+XV;0GC9MS}3?7jW1it`29DH$b4t=~9 zzzrhNd$dA`rwUJ-6c(aPFpiL&5mLJhk#%PZ|F^)s!0{iR^Ts1c=z$L2j+Y4UJ@aR8 zzo@Zyp5XUGx9nXnyz>-37F?Kj{`&iWXcxEsLOtDLGgVe1E({Fiwb?A+I}+u)RXa2V zIBg(S9q~xjKvBPoqys`v{7E+P} z-2&40lFfn1W{}rwx!g1V@Yp5~4w?HT#^FRaT&mo+XNgt?={PnqC0})jLNAz)*h+5I(%_~d_lO0RlQ*-TxO3s zeg^KZQ^kzOuE>e-niiFD0F()d9%3FckyH0Cd=ibF!+v>(8H@#pyC7RxNciSJZ9qdp z7O&cBvT>5zP>Jt4z7^bpYN#o2F4sz85NON%+Ws0(5X5ciFN! zcn4m=ZqFgo%Z!3$gu3&|n8Zn@q0a%73b3$%m(2k%1mO=7A+#~gn(Cl;qlEUz0^!bs z6BRv!!zpH@d&Y?*fGydJd~pl@xEty&Ku4|t>Xbl#G|mDZ%h-VWvD)%jAo)75B@a^} zhWX_Vq7UHE#~_94^u6*srYnzDp)liPGc~%71j(-B8tyPCsi3Tza4j<<6fp2u$e7bN z4X`kAhFYDb-oJ`?Ma@Jyy=q!93;O94Cx0Xvt9@Qy43UYZ&ijDp#UVjcuDYw9mPBzb zzrvMj7*@kT7{k**o&=l;u~-dlZdph^?R!u=7R2o#l#S2zdSp`$EJTgv*6jv6=iu;> z4K0(C*K94#+)~!Dwv}g*VZ2N+7H4hHLZ!p@oEst5Sm^8nYL#?A0-vYM&7QR1;J4+f zk0!%M&ntFidY@n2T!jyDuOUkz z`bvtl=T*Pp1DFcvr9ls>LTbH3pn@Xb*#Li5x_~?IzNP_gc2iF!41hA^ z+rPQRId}>vC`?x`TF4XXHD#cKKpx2`z{WGCn4n`}(6wPSKzcO=j$xA=?Y3ZrFafQT z@%=3;L#ikz5&J?c2XHAq>zi1BQ6(ZU+|aHY83@Q@0?A0An1HcqXqh)dsmy==cLriJ zRTFnPBN)yLy{MiQL6*Lj(g9~m-8C`;SmTkc5A(#)NmCVxg)eiKTE14)1JjM$UK|$$ zgoWm4Udpr(iNo40tY`_+w0@(ZxMA z5&S~>vVr=%8%;p#5mEfLL_p9z9u9KI7K&D#j2W@*qnXJV%f+MkOuUVGIQJj^ATslNFM~OLTFe;>u#nl!*H;K$r_-2D>mj1II#fY170^G z2)ubzVHx3BeZh-f5(1DGx(nzU0Llet1*+l!B62O(Z+!ooF`#4wRidLYZ2&6^P(ISY zxCCq{0r{x3D|O9t4Fn&2J2DwOT!U*Fbav3OGj)(0d$*vkW7vLx7_ufHM+?jIOjv{t z4q63^#Gq>)hL1!FgJ->&@T0eW4$FDcHv_E3U~j-o^h_N~#N~t$btQmb0%E4CN4o;) z08P%qVj^r3m4QK?guQVeM~E$b0DzQ#70|LwK(7T$Ao?zbFU0bM2army2_GLwJ~ABvG*XuuDq?FIN;SZCR1jasP-Aq^RwJVXDz*r$ zhQyG<=^{jDYSJ}=$QD-|3*&*7Ya}w!75|ri7?AL2Xh~ct4ULZwx)}gWb=(-PAAKL@ zj}a~KL=rT-h*dxfgbB05t(gLEfsG0m`Er;sigX1Bj!LbK5BJuk5tJmB6C53MP5PC) zpZ=wmGcH3`u13oUoQtSzLI58SKz9|m72Sjs8acy-hz1yh+y;9yJa3^2?Zn1;73n-NeMvX-k zU$GETp|Q5X7`2-+G=jILA9LsKc{b|l7C+@3_GN9vzN*gHl8r+=LGl7EH+_{r;@(94M<;t7B-S$!6)uwOsOOP>lvu7B2qbsy+~`2_4Aw_6 z4_0&cihTs+M{rjq%f38t!@XeY^;K|qQLb{>M$iV|=&{T3 zXGd)_`vgln;xr4tK(|qpblyFZ-A$25M)#Dnjlp4PkiTq4=_f0w`h)Qvt!`TJg6+7< z!hjWEX@r%Ed6Dr%5z27`h`%L7RQh+_$$o|EJ3*_Ry0Z9GQUC+zG6~vEELtk72}1M+ zR24(yemdtyaZGD0B~~iU#9y~>gTAUjZ zR$!yD9Dd>{;kFvw6eK2#KfL)qR@L_w@pF|Q0 z(|#5T?NUb9d>7sHQx0g@XhWOqnXVHDs;#Kv;nc&U8$f0B1E?uRH&X|CSCz|?_uBsr zibzF?;6MO!^m_gJ6=t56aoq+*5zxdoYgXl!$e4`bJQEQJ zRjnSP?%^7~_*3>sq^WQa?gco99(w9v9moQ{FN{E)NN+aSB(d%zk6kE;kr;h4JOxtnACi`9jUFNv!b?s6KV8m2D#hOtwq40$bd|ou5M5eaj2LU=?q#5 z?iq5^t%E@4@ODu{C0H4uj_892(NE;x$oK^T$z>=L56^bihR8J$!L7RwbeNgqCb}&` zO5%QOFOa4Sq#rFtH{)dIC?HHA;9jer7k5AUxtnYlqFVaJC^T4yH76#U%mdKa6nhPo z1q6z$axw{z=>XHcouhp*l8lO^)nXRju1P>a=i|6p$j=%rVPz*p=H!4`5IBo6RH#tE zebC9#h$;r$Mr%wKVYn=-mPgOW+06(XjXJU)7etLkfETEa8Nbi4|xI|)N7q_<6t);EtYA`aeF=JPo zkygMUD*fFX1;aLo_^-iR2pPmc#jOh>p-Yk4Bryl0GeWe4NfhUU^+Z#-cgTpYqsc;h zD`b)}zyoU(HmJj_fgfU4)l6uFqfK!{=h0!9bWh`07H_`OY2W`u&$fX8W-7HgfL!Rf zoHTL@)g0NQ0wD(=i!~&qr)ObZn2SfEg+prKat6;hpli-b98W&UPo0m0x>Jlo10bw2 z0E_T0+kjDXHA8rs{D0Vc8{jz3^Ukxo*ue<2$@*N`czWiAI0%PJkYXI}MVEjS;&5-}SdtZ=ZZ{QU zz=2Jjk+q~KiSE|7rW7WpyUWIutaG%*cHdH(aYY#iqIK-o6q^MS3-GV&X@#EtOV@oYOG)V#UGqqlo(ME zN%RiyD92zP=j@}pNR^9K&ATp8pKKkIlKOg_KhR}k$e+N2SS=c}3+m@8;RIx(O~bf#gOd&G70TRgx(G8F$|v4E=NA@W^_6WGhFSo(-U-(D z{O;EpLtOBSPc}Y2{X+WsgUPS@`wTF6`A_#g;KQYSQx0G!jLbc6L3%f-nC~ND$A}99 z?)@zW${-QvQh-hu`VqBn#Fxy*MXdNk*U{N0ApdEb3ETXT~b3Ih{D=WpLc z7y%S-0+okvLX0=B^VvJ|X$tqr#{pDB-0?^bKB%`$eS5*8R(5J*ND+AHo=0BI_8OF5=P z5UKR2)=OzMXP7m%$db@mWj7G)IF^3j=oYT6mBpzrz@x|qDwjdc#I@%o;MLFvY$0Zo zF%Bt9KD7Bw^@54s@nVSsrtujIkH&zWp_xQ{kj)V+#>}(qA3QMpsQH3(!yLrL)=e(c za;&PW2YHtxfNQ#@!?_dwi_4EW(<6K$2P#Kf&CPk?`M`9shG&vxedwROoAzh4pFgK% zT5|1{`7{@2Q^EXk5w&`(myDK=g$+gU%=E}C- zd~ONpNTVs_8iV0N3>Su;fp{p2u#F)HRYw3gkS&P6_OISCe0E{sGn?MynHU%TYi%>_ zZw-gVw0;v_;4NMT=QQx4dF3@7Z6#)M@o&q`%)H@B^uss4Oh+1(MFmmR3cdrh14H2BR&0Ki@NhI&gwctgGRnpL zootyFWc;^i21+df4w&nku^ir)Z+SAtC}~O5B&c^}TE)ve8^6hP)#ay58=!y}Z&}tq zykNe5Z(g7Nfm^;e7vd^xeBZNcS^s~-{!{z%|K&Z#(x_%k;-!QKGZvXy0A_udm^8I8 zENj)weDmLb%17V#bKl2bd~aU=ADY0X&)@!PxzXEyeDnL8d+g>mck?LO{G}NvUNzy~ z_~9>EP~90yY66-wiL;qK4-l8%2SRonHq(}Lvr&RVT38|AviV)e_R5h349w@)Ak8n> zNjEo4{*VtSc3PKrtT1ao|M0tw?#FO?FmdwQ9p1$J))MLFTr%I&*3I2h@dKC63>s)I z8*+=cUgp5%Jx5&IW?QO7)QP4;+}@m+<}bTetLTx|!Ua{8C`ECm{bu8b9by1$!ik%= z7Ci$eCxm7~u6(ogS}@!Bl%a+G#uHZ1v}Y6OxizP?Yr5+8IkZ%@>u{{%_l4AGPZ5VG zI+~aueW=ZkSOOW;6%J?G4+=}H|+E6)ezWc2qcy>M z>Ebx~#vTqjw`EszvQIC2^{!J7uF_sSIvr?Sx|Cy8xubdx!Z_CiH@8|Iz757jCJ7I; z1cZESWrf+;vSlf^pg0$?8o8USaT5*k6rF`Umo@&j8Ov(!M(-ms=uC zVaf;-v)cf~|)E$hy^j7^vk%3JoV(fNLPsNq8n zSt!u$o{Flu@K}PcClL%9u+8JnEfVf&n02|3Ta)A zm`yq_$#&7iXQoI>K(vUrR5KYwbeE{61GZtd9M*D|2rK`?pHWIl-r~Ymbr4ITHTAja zv`~)F6<_-J9f{635Oi!prGYh?5?^>YpvS_s2n{gucB#EhfSSpwt+-c!x?`?o%o!F1 zuU6u+{6PK+tbP@;iRN*UV;-0nGpZ-n7#L1OvL@nXq7CQL_+R{U%i2N83XP?cLMQ8d zJc?yhbPP4bcxP3dB(6$twC&-&%#Dzda-QZr2A6&{cV`Z>9{G+Vg%^WJywEhLmBa$q zr{h}L2X=-Oq;G7o7QM(0Ol-5s8CD$$G-v=<66V-*XS2}=PL63apAg)d`M_Bk((W32 zfs(31xN9onre@pcsK^K7tRmA$&;h}XW1iNtrc(dY-+4#l#iCOT3(AdaMQ2tviX6Dw zvuX}_!Dw_Wv3NUc*u=~^Jy=41>h7LAjMqwhwjsNm7p17r{@CL3P$Vqfvs*jb=3e|v zF4E@XoABmLO7U9ri_-ZxT~5B?y}S9M^u9QHZko6q;&8j8?40kZeVyi9yYb>0w34J! z*&y+}^i=eCRJ;;D{m`_E<16=cnlrle3(@PbkH}xy1ooQc$y(vM^qx;2nm$e5R#JoY zv3RlASA2VJdh|)33_@lh^*p1R7bk9C>)GVsamXsQIk}h|Z^v@5udS-{i8)GMNIiP3 z8EA*vJ8O_mbZ;&}7EhjzPDLW$eIh;^1+~MvcPUzY$$RbbkKAZSy9edcv|qa`ZI-!a ztvohYBR%h6rSWVEwM@asfnNCJ_}cWC=tthp@iC82KbQWod?}lgd_w}lG?@}#7=JFc zN9SSfz3Rt?V^$s=M2)p*(J3oQH7FKQbZ(O8Rk7G`gcvlQ^hv(R`-AbXdfVfMcuuz+ z(U(#lL`=r;#t7nF+C~7c!Ec6N_icvp{Gn=$$+|x&Hzj5&yNOm2yIUaP zpeS#CLCxWkSNBP>7zPuSj777c1qenmHbWqhhQfFM>5TBBn97|$J9Kr zIPAl;|b-D(G5 z`N1ge{2NN^#NqFQ>h^^~JALB&#vg#762@k9K zql);%a_y&Ob@V}}>MrDD8Jf2YJT7)EJ4~RX?5(38Tszv0$Bs$9CDbX0fzff_Dw*1v zu^!@g^sCd3N2vI;bs=jD2w`xz6s9d;*4(I?*~KxVf>qT#d?!libET4 z`x9F49lcs7sB%=B1Ze^?w4HE~iC~!c3EJJ(LK-_XZT~d8?5m&qx%;7cIdqe2qP1m8 ziV}1>Opl^cZNhF7&oK~!@Fw@*1L86Nu!`9nxfDO0>1?SJ)McoIT_2&OXpRigpnh}! zgIr$A?$T;vu8ldH=JsFD3M2f{!rcTB*Og1&gVSFJ1T+0z_uWH8aSk<&2}5heq2>V* z>oeM=k5PshN}ey|uBG4Ce4y<)h{7!7>MOVJDI6hs+HYLiMPC?_zv0OfsxdVjk8Ggz zLN8~h3hG+hb0=P+WN1jFR2?w!E2!$1sGB`PbG?Bkmn@Ux?oN7yon%>U5BjKZAXNdm zo<6)x_nMe&{^(U&*OP=q&51=rE&2cjGlE!@)%EHt>SSAwyI*?po%Khw*@3;rWQ?plS8GN&NU8GmBo zWV4{K1n4Sl@%-p#;b+{q?`t>waqQx{OPF`hmMRs)u}&@|dv+Xdww%+N{xT^Sq`<%k zuy!I9^HTdRk_I&4S%T6(Gk<@;K6$8nTBGlSxsD1tQCz}d!;Z>WVap=_lqlJl(5HJ7 z4!$W3vOtRIFoFm4;wI2zel;V-|9@*GRBhLVfz?5%bw0m6mTgD zM#%u6NLp^TZ}ni5OV`MP6G$ZLQ9YkbVA!l;)k^SQ?Cxcc@2L0+|C0+41>{gcTbzgm z{Q`3g1Csf(34ukJVf@aq;#u^Kr<-_H7fTlvx2u>9y-p}k?n&xH@iZv_ZnpQstdmbX z#0RhI&G_J^Z1`V3xPSkv-@X}eX*n=Ho3>?qOk)|Ef2y0`3-hybjoHjgW>(`!%D*i~ z8sNYfmMVV~BgXu;#S%6D;0v>z`~UOB5aas0U*YgFyr;hV{hL2wR}U|7^T$os;N}k( zmb21>{8k_#1l!FTD+e9tO8cze>ca}`QD%UbRW;d8n{{Q}yzk%CE$Fu~j%&NA7u_Sg%)4>4`?;E5BeO*V^iL%6f<270_ z=z%2$T30!UV`_h8c)0Mb@%>hh65Sce6Oc0f)Os*cftv{Hke*@>21cMey>QF+=&^uM zIE&YFfA*_eyeKw6owwwNcGDrX2JE4Ud)2Y6us;qolIz*MTl68_JC^nAsbJC83PC3` z%A(b_W9#X5aSN?frKe&7Sri_<8gaK+P^8f!qDlx3&;@=L+dP$@9J+ug5WYe+o|j{h z|A`;kvfGVlU=`icaug`iEpUspg0+y(VZsU(H;d(H%14brl^a_CH{qj($1;ecf%Mou z{ALAGr%fA((8<|Ec_$HMsH*1;ZepgQLSZ3FNN>i|>o}caqWho^M|I5^g*>S{?BnV@ zC{OmvKmP-(miSS|ysTyLmK|oVc4AST&z6gpDqF3LSxR53+(OjGK4{3;Q*L!U7n0Q! z$UZ*&Y?8ka2YuroYP?Dd0R`nM-GV|L&bKKsHk*uboOOhwdydFrE9r-~SgnXER>!kX z-;+}%CB)gtQhoE>Y-Y6eyx;RG(Sc$p97xNRBtcrlHp)Ck9mNYDBmA@Fh+rNj#UOvFX-lQu|;WWcNoY zDxgAl+DCM@J4wF+Ob474nyTX5v%CgbdA&iHGU+8f*FsVkH@GVO=h1zx5n;G=-bs!E6Y)x-W0{sJ!L{U=rWnd&fupOh*H9@z zZ@$Q(kKq%@s)F|exCG#)t<{LJWd8OWnKODM)m&M|g-C)D?ux5j^mQwimq~IzST6`r zBa2}+5l}Y%gR(C&3l29fd^@7(Kyo1t0SaOdglWvnRbT)7ZuX~+UCqVU`b?Hs#hw>{ zKq>gtaw>u*zd8=)*f!`$bviD=?!s3`S8*$490%8e(ApqtjDQ~dX2Kg>n zN=61q(w%D)^YsnHj!G-wXFklSkLqS0HBa7A%W`|C=Ju-q#G=MQS&zv8h zK28S5k|Hmt-|?6Nf~qZyg@0bPoKEI_vN`-LI}Z2CJn7}ngK*GA@h%_qlm`ym4w!0Y zS6wj*wR`b^M|4IK_Z_ac7Tnx5a>yb!W@aZgpx=F+1xEZglf?C=CUHhX^~JkVUH;@p&~X zK`95uP%L2qnUjH86}slpGqc)3nImO}L0as~`RJ9o4^`b(mf2`r2cz?{XTzRB>1(7V zI>n0~VB2`rZLl9gJ$EE~W(MP4>4a@RuNeb6wB>2jR5BH8_9IJUSE9OsvAXCcRX3#t z6xTIxu}-+Daz)&`RJ>okepxf7F`E^030O6QBazuAZf}NC669W^g(_FR%ZN=CY0m&O!J*Z~1oL1Wjw@4Dm81$Z9;SrR_z4^4Y;c4Gx zDphl{siw5!mUGgsxILqWRJTo#|X z{rgp=Xzs+qBUz@ZJw#x%xvs+O2g6w@N~WAy7Crn35d!g=Jd-eq*5bHzv1sCBfObK3 z(q?QXifu4fwjla)!3Ah#Eo=?lrPLsm-DoAs$K`pcEgqg45ug11sg={aTUp=FM{9l~ zD^ilRc{cDSj!8+aMe;~T^&_5lFle-E`pUGZm8VDDOpIOfDu8|x?Ttqe)~*C6YmJNE zZb0XF_wlwW@ANU88Yg01e5B~HWXJ*=Tk}=(ao_Pu(CT~VqKUJU{g6P`0OU>NkFPB! zi)-oJojRF5j!B~T4(3ZNj2^ID`!n;hwvz1kULMRKd*2+)&t)ca_N%w<4Bq#tcQr2- zYr9GaKFud`w_7U~YZXeH)l)a~ltjg9tW3dK2|*HlwQ}tXemRO+^QZj-#jN#;Ptlr> zb+)rXHrFbPyJC;itl?dk;-0otwH;1*Dw0#vwJkLk^J6gRH;Qv|_mjbi2%1$1O5=%m zzFp6?p3~k&Tu+a$-FqRvx0y?(n(=AXoR0Rjk?p<`q2zSjOaUfE+I%VDtn6IRqPe{v zdi|Y7^~4~7(5zu)O7a8ns2D`)>!Q@{qWwm4z!20kxdD!>l)vQi#GsE6Xg*R28Y>_9;s5EK+r5vBUMj%CC*KiIKlm}FUI{Ae%@r~E zKr?;P14zQ71~{9zEDlo34ga&QMt-z%CooP~Ly3k|J@fRwWKV83^k~fGP$< z01$G!cc%TE5jFwdLri<#Uz{1-nLN1nMDt|>1MV|a^7a|65fE8_l-h8}Xd|7x03ZIo z*Z%Qe9g04(6<|Ou3j-+%g9maWnxO!7vVIH%)dB-L0+C-T{Y2$NaD)R2&;&S>1{aP3 zfI*Wjp{cQcw+h&K4?)9MAy2{+kwU-~4|Vw@Vh|5UC?U)z*y0+P2t8DjAP^?nw7f|x zht=!$W()rzimb-JntrtaIaGI}=XU|csqEq!{2vQBEiBa_mw ztP+qqBwt>A?#%uEC$xjcbqK<@qu{$I4L%Cca=p-&A??=zO4#5JsbvG;n%2^tgT|%X52=PRnixqMY7jRaC5Q#F5i1(H z4sL55FfB}vSC0VPkbxnxntttHUbx|peq`%%fnf_XuuAlZNd|yHj^uR)>;=s9Oe)Fk zU~0OHuljt෠q$Mv*IyyTb$N>066cvD953;o%Q84Q-i7Ie1DV^vS?*UTm0?6&k z);}468GZFSGC`3mkL&1M&rOKO znFt@+ZP$t0lWQPbjzy>)jP^N5wuZ*eYNV=8pW1H9Exqph99dK~VtY8O;Vg)Wi-r30 zsx3j)=s}B9ahW5JKY~@rQXr|~(l$IeAXUybAQ+z7f20f4m0D(+ zVre?hsFhM2r2Aj{#a~$li@agFi6wyC2Kb?i!+AeuHS!{Y^)Lkdk%S=y26*!oo=drl zhXBIb=(X+BJ(fD1N#L?&o4%{!kizj=xjhf^wSgIZSr|L7 zWw+c_$>ZPW2TzHuA5~d#%JJ zua(r~7UpQxHW5tue*9%sD>=>BHN9k{3Y+dfxqWuA?jYgK!(cFGHa3m7v=@#9CU3W0 zERZw6d?HoZZaLL#3vjT#bZ>Z=l8!dVBj(%)8;Qv$5Vjv96iuXh?`)^Fu>@2Z z>Rd(>hpE~rysHxB{%*9@h`4|UJ{k$tH;QjWPQLc%_V(!CfttMm2ZEkONQ&GPOa9eO zj{e(#yiLvJW7+5aCXhG0hS6^RJ0UnDNOBWXoIpwBa|kyR`}!_H5`erRpf?2aKKplG z`ExoM}3HObol zu9x|l-G`UPv3&Da*sdYJ;kWSa&AW^xugy;mzvcTNIrH^*d8joE-$*y#e(UQd2jH!r z-}c?`S0Q7`>t>6p!-n1QV@p~BD8cxVV{Nwnfxj=~G|uXAJ9%Dj!BkBE?4)b}(QS|M z=__87CB>Vkr^!^53#6?CQ!;$D^AD^I+n|%=DM(j6Z7y$%{lOd5GM;62DvbB zLQcs6TR@1Sga*~JCb5i#)oQz;4YDOO5R3oqU*_FOry@yfFN#jv(^Ndc_e!w&9jVP| z@sk*YZ17p2aDxVuUTq7{b^vh!vnz9Nm07_5)!e9iWzCmapNfm#v6gjO+zV(ey-?EGiUk$*0@W1@yZSR?s40AQ# zrWLRjtm_{akE{HM3C3#D!pyp1ftaM)7RC|7FRRFE5FKb~Hg9xOT~Xnjjj z?^!*U?8MzAWakGLj*q5I4W)zN)Yg{M7vdm6ABq)Z{wE*4rOFsip{3FmblY;#64en1 zht)1p-J@`LAfpk@^;r(qYShQxE4eb`3V&N%}hA{oMYZ2$^vFf0{; zmI@0Yz2NWF6hj1Lft%Can@fQug5<`3@p3h?IX#W{Z{^`ulJJB@ma_(c4&g8@C$t_8WH3VG;}>5!kaaKhO|ic6+)#v8?-lXTLKV|L^k8#oRsBK2q7oc*l%?4P1JR>I>MZuvsqBRj9LSvaJrSnCWp6~}v z)Yx6sVQVM$Wu`MTkXh4GZX!cB{@Txe|ATI=U3BQPSg@#CUJiPw+j7`!SaXY>pCX5j z!c$B7>}>q0d6_}6mJ@+}N3WSlY{HWqkga>QOX4MBY>LTZu-RdVAW|HWgvea^o% zdNC=^C934cjCP|O^~AZ!td~%dq8#*g#a9le+b4HF#i#ny2P-?r9}7H4ev=c0ucz%f z43<$f*(NRWX#_d0%eka75DR;n_49MM@8P$o z9CuYeqjCB7Jnl;X!`lyzFYkC}1Bmg^@9j&r7sl=agL2MXd_Wyh@BjFzLLP)6sumB|OV;7d?QSuraEGWhL zI1;odd2q7<$QnQdS0DT1A#YE}t|JG)JFZ3PJFpfwPh zAi;o$XU^SnpdbOsxSy=+OS?9ofTr>n249IDDey3G73nGLbu=4Ruca3%9F51ERG>M_JUmd2HJ&@>zQk&g$F`{AFtWxN027li}V zT6HO0#$G4DGJ6hGH6Rt>yC7FF6Q-TqtsXPV768%HUOCxkv}ZQ%0OEx^y2N1YrN&^OXmKF-^TfGTzph=1?72@PxASWk zt24>wDt3TZH(^%rJSL41e!1w)hk0!}l8iR)}5oKO}O4jGQ^ zK8KPb9sy7b<_HCv*?hHesXS&MgwMF>!pO6TVw{Kp@C*RDA9m=%2!-yRGLr{okwaH$ zq0CMB3zJ(tw3CnVFlWB($hvPRvMfR@8w;(9Aycp(8IGohIyTON&{n+8L64PbuwvA8 z#$d!MeOEUV-um4sGs~!hzz!#t)~<35#XQq7LdKj|I%tnbh*=x>tjE!?vm`?=??! z%0oxyVqsxq>|C&L8RWfdp=XRv$zI@iF!&q0{&f|iBY#H+(|f*|r~qO}`PAKKt|BEq zl-p(Vju$Wq^X{I*sR1G@Jne;K0tup?xU0L7V>8eeP{PYuK^wL89K*cOya5Q;bh8 zn!;Bo_^Jg5%k`Or!;_5+lBC(s_GOkBNX!~tlqz#D9JT(atF<+Hwbvq-paqmnE#J^i4qW zKNbRf8z3pZhZp&PX~|uvj~H2FFw7yS`6kDaZ-(r|&9Zjqe|E@Dq$SWTx5saSk$-fv z8r_g7`M1Hy{imWk*JN@8!xmEo^Yrg|Va%If8oSxNBL_wC_AGlvFN>NK#(>j`OA62T6G{G0HcpH=1 z!hAbeo%DLb!C~0x*M47%a;jr-Wlrf}QP_LkR$|gYn(m;}E_x}+deiC|-Trc_fAHHs z^qbZPqP)kH=kZ3Yj233nDx2VdR;)%3lS>+%g;wA1L&$@lHgcLAzy9nRy@#i8JPm)q z1$wtet^6#I+Y?(p^h+hyk39B@~Eqt*L24L!l97U^1fBw|x5CJ8pG} zlCz=G0ypmh8@Q$3mZlR7@`&`>t6;RdqWpkjU+n~_u9c|s736<$i=Zh6#w}!kJ8UNj zIX~e{I>W z>6WvvP1dJNsqD$}xOGzMmS{Vc?$1hr<1MSlp~gs2?U~^WWJ6Bl!j|*nPrcpAO%pXZJ`o!Q@A*hH8 z$W%4JgC*mzjIea7YKaC9>57EmvpRmnvswkw`Om~_pF3;SW=dY;@xWorq6ag%N})A9 zcZDi?nN`N(nQuSsjEQqT6NI^X3MsWT_6D;u6LKn01I%O9eWa6S0$uV6`9?-y6L)`81+} zZVA4p${(+RjdFm3pt*9v#Rv1KnBMWeo<5iM{pPu1jMthdJ(D!4qjF)IO}6AVW#HDk zb8GqWAQ#X>oD6Ei9Wa3Cp=G1p@^oMCKAxxzbf)V;*I95ypC|%k1|Ilenl8Attgm?2 zVvS0Pbgy2cn@7{2=ho)40l4$$UtkMQHeg^mExWH2SjF_yHiN(sNmR1xwxCRTM5~M! zO}c7Kwr!?|tiftzwjqP3DHSrSxP&wY26k%C4g2&wE2Ga=BlbIrAHamH|MtVUjAp$s z_GJ6<0+Ux7EZ(ugLQc}pSv{@z`H_aBbgfu)W)t2ryg?Qe7{UnJ-nanpIdnD}JBzZy zlar>y1)B~@B7z)d6{kTGTZ?7Zy}K7V-`uDQCUq3eq@jHM-~0rPoUp+|h(pl0RrUp} zOh-US4pd_fOrh#TW@DA$H&s`oxB|DytaHfMAQo&IJehg+(6Rb0=V^(;+=Qz^Jr=;n zdEWrF<`slnZ)AUIg;~2@OLK9Ady@kmcH{OBG+upV2k?JYb#0TV zY0*cJw#Z^^+d7o-Ssz<*Au0f3XBkTp!Z34$yBJ0sr<-Int!hpPkg|Fu>eIuPm~Cfy zpmqzeWp8dtr9J<0Vu*T8Cx4aGVk$LUxybnm!RfH1zVVyyGSjSw%rDPjAjo=-#l8x5 z+VWJ6tt5aPCM}a(%8Z3yE0kFaoJv(R&V^}DJ`x@geqt#~4I(RCixk~8P|fzhY|X%B zJ%^p#t>#W9@Ze%LF>gk-{MQ%%8v};18w!UGrWJ);r)^uI@R&*Yl_KyIp>Ydfa5jq< z&{sD{NouezgS56#pkS@ExH6Q$Ht!9;wI-r+N*{r|?bDjyS+tjNLPa_K^n( zIJ7WAWSX6(Z!}h`7eV@Sn=3vK!8XwVCe3bqrot5ob}b>~Z+EZ}c*U~`Hxt4rMwl2D zS<-WDWY<^6K{HJSK~W8e`=zWVR*ss*moh7hsm&y?v^l~k04lPUlNh`cia9$0Xy9V; zmiSJ6@m94wW6jL`xEp`-U%zWLI+TPCe>TfF>acBB35b{l3dMIgc@+i>XH1Z<1o;tR zCX(tTE{3ztEG>1-v9#`U^0DFv#4=f8G&0VZ9cfj?uBkycr7(qHdN#o}a8*cF2bXKvS*zfy5zU=Uv#6w?SkLsc zg|cCd5i(jA1`NfwH6zk;B@t4|M**Ry;?SLHSjiy8uKI9eq|q-mu0;Df%)qsHW1HT= zO#-%XedXMA z`E(=~*>RiR5#4YW25}~(~bFsuO`{f^!Wb%e5v=v`26^t?bq%YU5Y-| zPNsv#**H5@gc8{-f3O2_??SXRIeKBbw`+QP{4_YDrutmrs4kasW8&-wy{DN`<;mUM zKTaO^&&6mQ&-DSlil_ao_xSjXZlIb>T0;G5)bpD2$P-LvhIkk?X7uT(nQ(4vo=uiE zCgYeV&N>NxS9ThQ1yZupq$0xpIaPZJQdB*qZfsg$D`|=>&f-?bMw9V2dhV-nBr?DlbJ1M$F^KR6>waO?B*B4N`!N0zId&d z+Pi`lo54*brFeV3iQzYZPGEob|mAv^y5N5fFayG;e@AUkun|#E&uYn=K zx=cy721YmEM~X~mLtlJeIr{h1J_R-M0t80>dDv8b+b4k~cLrZM+;~#H`q%%~s{gKl zE`?+d{^31V@p`bZcfH!x?3;Jg9d&W57AX zF=g4)K|-4}(iFhbkkYV&>#*gjWU5Xi(_n=pPgc_>6;vma(|m`jxnxDdA56bSET8&O z_dVZzVEn&R`n7-aTJ^|0DWP}v$r67ehV}<$L^7;Wd$y(5Arw|Wmh1svi7)Ls(?5K5 z`Szv+o4jgT0yui6ebHYDo}qmjSZw0kz76=Zosob`mUpI_mnau^J#qBHMnuXy9e<`T-90tso@|>w z9T=f$%w^<$wbO%e$a%3d5_G5LY3O#LGfA(rHmW9BUr|iYSr|cz)pU8EGg=DxWaJ++ z)l7#sc)aw0u*^)err76Gx_feovWZ;je)p!9+HmgGe7KmAxW|}aV z1;v+ULR}r0PB!>AB+zsr1iejMUR<2v*757T$rv{*}2Ke$b4* z{Zo%Hr5zyK8Hk1(EnJ2?xxpvceJ*WdK&-E2Hata;&?Fe4L4m#~fZXoq1eY*%s9t0E zttNBWnxLy74S|4P5`?RlIh!v4Dw*D#pa6>?u)IF5KP!yMpYMLQbm*#eO+{wjcAf41 z{)jIJ>9aHa$Cdk~KYEX32f;XEHc@QP;IEQVNsUpAhU>9oga~dY7rkv-IKf(DeAP98Pi&Qp3Bm~0UuFSh7$;fDHIr5HHht-(FDvcV zFRSDfzl8(rVkl*MpM>mNNGaqR#+yAyXcW$5^0TUsUxK^F4@MDv;M>0Zbv2n~f2`wPGg&SXA_ zlJxvuLZ}uc$FP3LT*7%WE=={}e4goq1YYQ_YK$K-@lxNmyaVd~-`uKYakkGzIx+&X zI})2PMZ55P0`>cNQduQuUtvPY)%Ya506D%=TtvSZ#19LfZ0S4~lz9S_7|+TjT3uBO zj)bW7@V#->jaRXBFuV}K>N#?miae_2)U&~}5;QNURX@XhRul8>=2=20 z|8^UC%#>odh5;+8**#fHAd4}_(T2S>tfz*L3PlBQwPm+Z=~r3pD6~iPIQC8y52unI zfZfO})M6&(a|?#MU(et-3P;_Bo7HfhAzxdQ1DT7(f?kPw(oJ+Wz7ko-`S&(=DNQEi z3uzDEFXh}C?YcV|v(NwPy8#}bl%`hd^Sh1a@tbMU|7Qu!Zwe;^>HW>DXs)rzhrCIq zyeU*XXL72MU!LIKA)6AxoZxi{bbPz^7}yf%&g&F?qAR&QSI=I`GAE8GS1<4t_he7jlH1NqQ@`s|XY zb`*XgN(T|3qe`iKt{a8(_;95Fd65caWkf8@x6w*Y29czqaU~i}ACA}|O8#|~_!Px%dd+c#d#GyL z*aP{)y*ca7wqH!#mi)6s`L8rS(~|QUPU&j21o*DoHs=sD0!24(>(ZX9F=>9re3#06 zlHCRJ#YoHo)E02XFqJZd0+r$N0RXlgtX4KOLFQ~iQH?G+y!R%mn&ZeYNGNH1QM_Ed z;vxs&{Q!PFOe*)+|7`1RNkwouRUO1o=5!NsX5fi~F~z1c9L)l(X2UD~{nP=Lif9ZQ z<&uve`G`8Cajh{wwKoo+L79b^{Pmcx!Bs$Jdya1_2;;<1j~o4qIrS`P!yn)MKWk(3~}es~Lyc z4yV@MI``gxW@b?9_9(EH#^HbnYd87N8GdCPI?L!#t~F3z|Yju412* zR#}rH5-ZIDoVw~ut0gG0nXqmnhe|I_Q*0sQO}Rl>NQ2|;Q@7%g;pba~d|;SP;O0FR zyPcKfJHHyv9Sa|V#~GfhSXO@_0>;X8V=jxE+j0PHxryRhH0C`#K4{z?;ktL^h4@ga z329CF`#}HcDYOdaiJsI~!>w!R}K-$&je7jSa^gfaPfFBoGN_jXr<5Xm8 zc$0I9E?xF&M^b%Yx;B>`pOx|6co#jDn;VU|XB;fb_{z~64?F@!VEScq=U_aUq%ex3 z(8&WD8D!s6)?<-fmL*qaEG~ylh{Z$AE_zb4yoMVRdx%+T06rZ@D9}19ct&R|HIOcA zzmu}DEqi0`r@u8K8ioBnc-s=(<$UmH(K1vws`nYqPgcxbKo5gV#93yxGFFKB3J@y6 zEf=7lB&{}x4Q+svN?s#!C4G9#Q0{_{wZWuFKnDX+d_(zqSc!`cyFk-fY<4^( z3>N_GQyuwhqM zD2^7YoGY>6+D)Cq4GIJujyw~hZ`s4jirrGpc-0^$IdIJ>ztwEr4!MbT2)my9Cgzm z?QFSm{s++jQ34Z?t;RDrYwBu(WDR?iDOTZL@_2i;Z2?R|?_8yS7!4h_q~#LY+(A>nqmBN(XJq~)YNPMeh6=%om)H8KZn{2;w}aVFdnYL@^|_Fw-D z4+uCXMI*5h z7(1k14Gsp&9*2P@e|xsQ%D|#nYfIhoIn_)ue#>EsXajq?OpnO^TKNtq4%>;Ti^p1J zZW*?-@i~^FVdulpRXm%)!Z{U56lfN=xg}R{XtFml2h2ogzjxRdp)N4!pk?{%iOa>R zE3lJY@{GIZDOn{3#~XII3nH!DJO0}5JYW?8YFjpULDeB-0GOybAZ)@_q0Mq$T#JU_ zFzj%|9WX#KaVWXK1F>up6-jnZFhX`E7thW~O%PHm9d)a( z|M$OQRogbbrg>+{SAd=bT$T`WcnH-6_?y*=?@5in0Fhjp4$dkucd(h7SqBzD%aMpa zU_xi?AOg#KftK5`Wd_Eo#_g@Mn&Z5tx(oPVK=S=4fe@NilKtYMzlwOwYk%~eJZKa> zSF??C!yqwzShtyg)@Ysw*i&iACV>t`&I-r?HrV4FoBwh#Xm+w~Dtsh0AT~sf{n>IuGHMLaMAsTNcVKcwCADm^~rL8y4xT=)2^kFH2RNY7jOTAUx?I;*|sC9e6nZ| z%_qe70fC5<-DR*Iz|1EqqF*Ww{|LPsE%RH(~fqPJ&DwSg&4-AP? zrgsCz)EPbxowy)d7JFtkverG)GR!uU7d>QiBA|FgLH8p!_fV7Is>!*f&KU^FFl+cs z2Obz;om#$q^Dm?uj}`t5bwa(Kf*ayp)%`~WTk$JCT5=hQ!$5--0IL8d+W)aUqM%-Iokk+VZs_UL&Me6JW`6!`QK>ssBkQ434$L;$@Q{eM^0eSYW_=|@@9PcA3xu-%tE`mGK`=Y}= z!wm(3OLzjn&b`qS&yIZTfd{uz`-(ZP>v%hwu0WKL&7az67HzX8PWU5M%?9@xspe=X zG(RM_$3d+~Ea#~qppk82)loyPYcB=%#C0&NN)^IK1%KMnDU2A^&6cyCd(5}P0&udH z$*2CpM8Kn>Y3ZH^f&k1nXg(Pk%fRFG^ z?GUNUL)`(U6IiZk#rjCNjif-b$cLoKCXLk#l=<=&E<_XCkVPAEJ#+9AqF587NMAXDWT4EZqY8^+$MkOjND+c*$|TGk+E`eD z)ro|rmB5K@uH3sP8IXvdBZ&&MdMc0y=O>(yQG8U1S!sx_z~ACKAAM%tEE--wmHtcKDEQv9HifGe42F%TZn;* znf(S3HL|HxW=w)X#9=)J(wZ+LHB*@@F!mgNX3T| z@%|(p&6TuwRfo0l9JH)>JDN#ICe{%5hc~K0GlKy-keQM#sFHfkXH$Ug64Qyy%xZc! zXzck2-WQk@e-NNZkQD_J2a;BcfEi(`Ty`W#6kI9f^pu&JKf>G<^u+F z;6G4M1Ei9XxE4u;`J}9G+;hu!UGeY#A-s8Wme=3+y7AO6KIW(T3mzh)niL^xbb7o& z@!iouyxTQ+CmxAfbZX>RBVh(HPm(JTo%1_XigQ~is6Q2)^BeGwF*(6LG0wHXqpr=h z?~j0N6x73b;&J~391I+jjKbwLVN-kF(8cyU@sg@dR~C+>dp7`oMqRJwTw8+y(EDuk zSh`F7*kv!AjwA`?@%~qz`24CrPhb(WtdxU?1vSa*&DFxJA1SFP$e1Iur)4(_LF6>E z>{zBV)jEqEr=HhENKvhbo1|t7L+IED%3*51#Ckoxnp3O3J;@M_c zv^6&dtc;*>;T#R(R_Qz)S@X|EQ;Z>a-E05VAao{+84rw?h!#W!oatI3wBc!>_m1Gz zP9IdMnZXEv^H7eeE-MwEOFN?D^0ce90*eDRh5i6a>Y2N< z_6p=!ID!(Az}2$wn1qKPdffDRNQ1TVQp|(%7^rEI(iUT!mOxt;p}6_c=W##Zb9dLW zEW$7S0Y}<<=bo84Ki>16bKduR-t(UNzSwp^NfIHv*QE>8V29i(;%v97KUOWr_UI*3 z$-BDs16i3&wS0;U9+ zKQ63?O(p!+35pYJ&k7g(T;?T=tk!V8AdUq&_xw24ox+)9DarswU9jFX2FwDBFKSDZ z8pB_Trkgxc(UU+)0}u_{sdW$ZRvNpnGF9jmHa^`g@4I~{Y3^>e>vDV;`Ulc#wXvh} zCOsTsZ{Jb6LtZ8I9r;pPrEzu`9n+*oyjhNymPr)$HDIZJ zCnzZ}md5Dw)}LozZ{fc@`P{yl8NW)<=*+^txSO$wba$hEZLQfoI#*S<-r^^t>}Y3W zer0u}Ok-(JWAgGEo7|G(pCuKb+m6P2n|ZrAUg4zcP>*#>m~)mTv+Ju>*y8s9A!k!8 zI6*IXD5&m?kF_UCIy^|z)eX!>Zm5P?PQ$wM#wvXz%eRB2t#wT}k*{T8eI+hnM% zHLe;1!(KXkIxGM0zhDDxVI#KI(r41Dz9YUI=mFRq^OZ(^xMV*g(}@M6pxw&ryN>#p zBswQ@Sh+zj{&SuLt!K6 z3T*90v&L12vk6m?1>Q@OyrfnIVCnjI-=Kw^N(EDqOC+?K1UHH|+aoIU9L@@NVZh8e zD80I=W^4~ZiJsig*m{``-Zb+^As!=$2?Jy1Ex-zp2nqP+ama=i-&l;}qQSH56N#wDw4bPu3I>%0FshNLIWV&tWw-0>jzE!762_);gR8S*6A4 z1cb(f+1h*sEIpP=_w#eo*O+9A3 zWhI457tpluAM}C1ZJOk{fdxDB+2q|x3Eqsscmc7?J5X8z0f&E=0Wj_@b4=t*FQq&S zzO7f3F7(UUEVZizbvEq+ysue-zK78ersfsy!-;|OT$}T`yJ3NT0U&dnt>A2+QyZ_X z(Ex5_=f0U|R-vJ~yBqA2mMOd${>#xjLc~fSyXshD_s)ewX_I0lvU$X;67&+$K$5|l zJg{^EOepA5V37neW(Wn|rpB;B8u|9hU!5Bm}37hW!$ei5=kI0z5A37=C@dLv-}Q7;A8@7&nM2HHnVk7j#iIlg^( zewDTsUxs5al?z!Ikh_t5kg@l-1_G~>U|@{(e>-X>Mr~vx-?nk((X3{Blh;?A7~4dI zm@G?fS&xx5^Z>lffgyG@lwa(6ljWh)RcX?KCTG_7J2OGymmTfE8V_c(V!%Q;v4Ho0 zBjI*&d{T_{eBfwEG+I4A`rD8QGT~l=3Ic5LqaHGW7v4CPo}DC`Qd`!B^k6=`4~c)R zQ?pt_F7Nk~RUi&9SNb(H_VY9wpU>Z!m0^(0R)$6?ma$qRk!HF(?`Gzjt1fLt<;f-h zWy@RW9a`AY-iOoQWY4}G`x-kwikqp%7AUnG7dWTa2H25556h6 z5evuUe(>x2{UfJo!8gV8*@8dZ-FftGB(vI``C5U%kmCgT{!4zj2L>~Kcwv5G?k#qD z;mnUGiQqxRAN)cuY2_}OOMo-EJA zP4Lik9}InccLrZW$?!||A?LiY`B=8vuo#N6BY8eW7CUO|ug^X=r~f!|MiM)ml_kf_ zaKsHQ!f`L-J701Yt2+3Ie0>k=)jMN2pAF~P{qLF24(yW!Dn5*=#k_k@Mu$!&Psi`% zwVRUqb$Bk=H*+%H6HnfKxLD4PJpPskX4B{Q9$olk)8o*Xrf|Y8ZXE?c?*AII9|+_Q zL4V+cwHdA(l}s0>_`HM9xn9Y^6!>=B+NteuOE!eFm&D$Xr|4H3cqNafZ6T5Dmt#2A z$2r!PubxRd?m_l)&-FgzKCvek^tdvv1=z)Vb77&~K^biJFqSH|-km=4`+v+aFrTs~ z+ZhTfkQ+GMO?Qs3fwF-E?tj%ivV^SPxbzu7#PFF*qkDF;Lwn@qItZo&Yn-@KJ5X@| z$v*I%t8L+;_KMNZ=2$~!O&u69w^ZRba;hu0k?h6&sKs+%ysEQfM!(j(;g4)1`HXC< zR$j&{C++_z*{GfQ3$-O|_a4CNZ0f4Js5^WD2nSQKMVO_a$ZA`(A&mF8@ z9*=%~DXQ)np)|*u6l~?%dOv^L@844cO07RyBAnc`l)kY|T3AEQnXs)0`N|X-PaOcFlCR0*&X=R(d(=0YN&0P`_S^tK-aEy2Rjma90+Tbho8DXbuUP!gIj-;a*m-@60E zc=Y}T+lZrLW$xBPwW(jUW6KjPv36D_fFiqKQX_c%!=>U3r-0ew@aUGz=hNtOm(C^@b)7TSEvtL$}T8?na>~&jbvQOh<@%i;- z1~KeX*TF1-egLH!U=^@(1P%#`vUrajJ>A5o>E0`N`O$@w4UEzR)3#W@*rb zO+4I!Wp&FImU(PjaG{{<+ztTnnNT`0UHj0m8z>_c{aoVbgH(06``wj zW@l47hcS{J86C-SK=@$H7VPUQFfLl=CYHnO@T+q$*mT8KQydo4)ehd&9IOCp9UnBO z-W~@Pz{w32$X*UVXIaHrMfQk4y^>=soZqrd&jUMGS%2gJ3AN$&l?+#lt&`Ucv94NY zqUm3ro91HW*B7_9hG@k*N>K4P?_`VXByNo7QtfRofZ8w|YT!q4@m{+7O%Gg$^o9JY zaRGB0&ZJ>~G82G|VT%n}HfOt^+vuG( zDvOQi3Y$`rhbBB~QLu~N>|m>Jg?M=ET0@^$9^nv|9cBbn|d(_EM^?a)I z%M`S)d>wYFxz#ReS(EO-($Vc1My2M7z6RQiOsg5)h5%e^QNp|egQn(E6>J;^9C``o z^K@@n9;p|PKX}EdX0aSKS#CO1rkp|1T^#cc*wfAOg@=Lr=d;mr^=Qh*s^kk*N|o?B zop9jAhxV{GyrcF)elua~=$IR-(BILGdl^={NQquPwdj}Z{62my!h=qPN!O6DPbG4Z zmtR{QPpWG@cA5+y&#>;eI!`Ed3*oOl^p7LQEfCPGo0nKRlIE`1f-O7% z#J8h)RM}AKR6t>h|IBu^$W(Q(yX*F}ma>YD0>9m^8JqLKu%<7p$Qp50+cl2uq~@iW zCBKq^iOIW<^za35Fodj%*wG1RlUNZ5AuK%et*<%Y5AV+yK5C=#(}2HsZyXD=0sP7J z;yKoW7XxnF+A)1G(8G`YAc<^lyWa69gQI1(>^ZS|bY_^@^rOA+G}(E+65sdPX+IR; zhq!0(;mug!3>azq9MlHg17I)Uhb{@ZKAsX>e1~Tho1<25-7SCTrCZlrblel?#{4kX z>yLg}k}z^@{<(>US#6E1#kk9vj5xV3nLtJ3Ji4Grfk6Oc@_b?BI4k|wlI&}|l7kG# zgVkoZ(6d9iTxm5?agW6e=6Qv#uI1URIbT}TKIW|w1?){I(nogO8}I8Tox^LWCC8Ej zzC|^}cCcF&s8d?f4&&b0Kl=!39pMxBc z&9Jj9%!0xPV(D7@q8*n(7MXq|59OoqvD3l`zJ9z31kwpAPIEwSF(?Xtzi}$I97X!A z?}%%1tbaJ>1(}uUxQy_|M7E&^W%w{)QT6adln=)l<51zH7B$9LX*Ed7Iga%L8ukc; zAX=yL5>6e?x=b0m1onY(l0FENZ*Toa?zR*~P#QCy2h_VGtbive8#)WLu;Mjh^G*O* zM+EUH2RryVm)43yr8AK{V+2&CPW&@G2twx{-DYs&%trGW??AfNnDkp^S>E3W-xY_} zqx>?2BrmCyY$HvRN!pH#K%&qLF;u0TiJ7ESC|gNM8)%CtdGdca8IJ=plAhP8sftAp zK(x5M_oa_qmava_mW`s50qm9Aw4Ou7a&icR6h>2=#!wxbT6|7=K*IRy_3c_`UtIXu zT;*{uLx#a5uoKfeY`Qycc{a@{XIdU>YCHY0yfXQzd8T?D8EZ0&0Zzzi9Hz^*$>6s4 zp@E`;b^=J!;SgKVjH1i6-!c7a5^u}|wMh6z?Syd#!vST+{l}nP+`Wn!H6kBlUcn+^ zaXcprYp%SxrW!(xROFNGR$k3qj$Mu;5F{)e8IO{WivlJH$QYBiy11PKJ=*Rt1D`{I z1ytvgl%T*=mNY}Lo4&~E*0)^7CH9hdj6|d|dCspR5%&+!Fl0s@n05di=ySP%fs||t zIK#24%yM~(#!LCCP%h{AhT%jG7sB9oZsiv*)k%`RTgczrZm84=$biP~fOnI~aJ3qP zGz9LglyBk)71aY=mgM26G@JwuvUE4~k(m#C;5lqg)v#QgV2;P?76>+-g(pnd<2{Cy z9AJi-Rr#f`a{wYLMgth)2iH7Q!2n4~$@sBWu9+?fqrqI;g^ZK90iP=DBR_O0JW+TI zI9Qy9LZaEH3fvCEo2e|5{xBxC zoKc4{BVbVgdRWVu`a4PNdKQ_5alEYvLU5_q(oEz*t<;`cdL+1CGek0Gi&WInfn0PR z`WJXsYFwtUcnyx=&>CN_xvs)dcxBa9l{Q0o_VF8v1wEnB4Dp)-9A+mcJ*|DEsiH7` z#{`56Jws)3dX4TD+6YA{imbM%aB=yVwT(?jU|T1WbmBIVW+K)gDZa%`fKon?kXkL z<_sx(Yw&^qbU%W82PW`5U*w3l4fRm*m7y1fm~Q5373INc^5M(E$okkD zv$#SNV_+Nl>UnwL3l4J+@%l_w^@cEqygM#Rrk|KGEruAH&kU@wYRADhyEB-H;vQM5 z96heet15tIM*}itWX-yTtpf4iZLfUBs4Y)Kt#S-Y-ulefv(*?QG7QtcmfG^`Y47Gq zOsipVtjEc6cRYSL1C2>$>|B<#Cw+~@tYm;{m8av}&gjr!Kp!77tejP;wRk*7C>>|p zF9l&Gq|>~qI`Adr)`f|yjDb+Rpj$KWmkU80Yw-Fk`yxq-Kz1=yV#;q15)_9ArtyGHkdRoO+DYB}uTfz~r*M0}SeS?c%E+WHY!~n_8JV)p%Lnt=^qHDy(}th%>af>0q)pv%Q~p zkNB_XS~I;eb?g83>L}U_^rpEVL5u9&)b@;o;AT1nWU+e>J3M9BlvALt`f%T>^8Ngl z8-D?oV<`5E<*^(L0(wOwm6hF(VgD^-DLIk7nP5Cpst&Rd&?1D*=6q)XWo9p*#$BCf z15#lMz_cmGd|--PO|w`db3meenuin^v8GqXX{EzYyvl2`f51yje+0n={a%X})JUXY z_C;(R>;&%bg)-0#*TB^I<)A&}f=@>=Ih0|Dpk*vYG)yH2m#RK^61#_&DzdA{7(=fH z=TB@7&d?$0%ibwm$sT&}8TD{kLQ5F=P=USKWtvyA+hdY}$Q7MliZU#qVcS^EGM2?{ zngRIBz(GKG+z5SOFM%^;-||ZVHJ=bSkWP1FhH!IEKSw-xbne;M@$@jgnlNnT%_~IX zCf5C$AcZa>vi9YlAevxMKm3F6QX?U#oJ2y7! z8nK4ir0L~3dqWw=1G`3Z1D>QsZ}LX$rq<$+U7VKDHC(MGHF~kvjMk5PX%6d6EvivU zZQ^8GaS6avGo(=EMH*KD{_Y_3pae&QqN=hQE8)R?F9o5&8J%f)g&mR|6&?|e&4r#h zRnZR;gf03YqBIpiGV^xb&U3zKVk@eO8A0J=_(es_*KL#E1{qD|CH1ZrtGMz^bt>iX zj9O-PL2EO!o&JMV;}<7yzT~`vGoFT*JbC2B#q==u6AHRK)lllMZ_lPr-f$^&b)nM$ zAkkzcbVRy}zH%fHx&^Zp;}QJK48kQ*ig+@dd%Y105YY42KmOR}LoOM9(CP{sU+%gC z+x|e|w#DswFR|Jt!V!NS(p^fIvFag`?q_^%n&CB$bF(@V5RWCufVnM@w?InfGBG=w zB+|TQ%TNwx7=6<1$bFr)9HZ5?L~Auke(#sO#?OnsT_{;+ayN0!@D% z#8Mha=4TIGekny~xI*$1seC1;%}`)-gDi+bYh`l;_Y;k$q)UZe>fEC_3^T4PDzs4{ z&|C(2hnZKz{*||E3qhv*Vu%zNQW>g8nbXV;no!z(ofs{)VCYg{HKBnWHIoFTPFk{} z&@c->10-Us@{PU(Ij4E@?;!eMUd1qJN}H_*riaHUv|3z=DUZL3HO zP4P1f@et+=N&4jOvvQS4tUaU%V#Rtoy=aNfx#!(#dY0siPAs#X zEXXZf)u}A(OUFz%l;}Y*TpN?&e}4y!QP))71bH_TIlC_*oPP5Ucb7b_zXCt}6SS|G zhssLVr&8=N4H$_P_z4!18lYa?ag~DwR4RA$uT;4N-5xmS5s1;;qA~#WP?Mg>qAYC4cu1$_PI3F$FP=3>@c7eC!Z8~`?nBuDyRdK~YPZR! z6Cb z1H6ZaJ86b?Ja9YfH8?BiTjLAG7wT}$<0)U+?dZ}#^#M=S>l_~R>kuc&9OlXSq*Jyr1zPzL+Xkx>B-Z1ssx*aP0gXgxYT zGCDL>Wi~!pzl4K6fg4b*7JRMgr~NSy1ka4fK^KRB%;l}SGjnwu)|L>_-icm))v{%! zsMW(zZ3M%WaM{M>Xt$xNO;nF$&oZ`ThbxL{bnAzFJc&9LcA!v;*%-yT?h6)MfNnc$W{l z?MBC?9!2m~#JGNhrq8X`f@T#=bVj`dj36X8U}PNo!%|Zq5r8X{tIy$(Qr_$JPsFr0 zn}no~q71j(FH8bZLE+`$0eAG#thLbywNeid`VJ6~E{mGSd^R1Qnf%wL*WaT%_5D(c z*We3s<Tr3lu{ht~s3c8{9gEkpk$0V&WO+CXbk%{D+HUw{9c>B)V8S{hrYcF< z1y2ykW$R4&HZ~P_n*KT^@lC@vAx4bVisufohBd_aOhGy&%tl>z1=x!_9k^4YqJ!7O z&r`=UND3@aWBS6$62xXX3N=7S|C0%0!A;EPNID;Do^&b4{?rozYm`@BqgyONr$rt%QmW!yia}ia2o9}^50T*%+ zB^OWUxrn;uP&sf1|1`UVpPWPn77~B`>*rcXeEd=x>1hFmJ|}Gck_f&THsdyb!?-Yd z@ahG^dbX$E!>ZiVqHgHroI~lu`)!LNhm}G|nQuDOrJ5!wf z_lvMS7YJl%i3R}^;aipBfzTV=>yQg{|W`5wINBKWu_ zeFl$_1G%{E5@dpotuzSw#=Ws#A#Xn|l%~6MYOyXAh}N?Rxb$nT^jOwiKQX48nleH1 zc)#?OO&C$t9@#0%CG3*a&n=!9#BUX&%e~C~N*bZR{`NQNVI_nk3sFT1r)WJ$NJW4; zgQ6l+5F08xMn8XJ{^r*p6w-uy&`nxCHuUSS`V^&rkkFv;ND0}3g<7w^TYEW&kdwGm zSA~l~4U`!_MQv-t9^;Ij5iQUe5Tl?v{~c}gBztFL1Cg$L?nalA0_(~i`nvCubHvh5 z_e;_2_R>$)QGxt=+$UxCL!X4qtS`KQk`01<*{BZEGTS_k2dK~oxt9j|q~>WrdPFw3 z14413_hFMlQ?x#$1`vk{$~KDghkr_08N>$-n<fZrxQ{=%K#l(uR&Uik|kT z{WX9yAO59DtxCdcK zDqyf7qTnP(k=3rhdqpK6b#Yo@5pP^0F#ymRIEN5hWGW4xguK2Pc-I9NXQ>F19e+j^ zMZDPSQ8T3$`=7WRd6{1#dybg+4V<2UDDg_hi`0(ehd{2ibLxD!Om8 z{_q-{qhedgq&-ExL_#VEL4<(19yCahUxj0%0evW&YT(+^4+qKd+eL~n(Ed;UM&qXb zS@>r`V>K^m6vK*)R^bj2ddB@h1Er_{V-cVj$@6aD=oy08nK_pgN-3zz|JoZ4SxJ^; zAm-EvuevanC`n>?!S)%6k-ms$bt>6q4LT|0+0MNm{MrN-E*;iO`LLA?IyX~Es`dG1GdkfJJ?vlpF zY#CvkZ~~0FH9&zJiZcVbMy9R{cN7XcJKS8=M3~|AHkD+q*oWLeX;iB zF7;D?RNraZPBBOLgwUeMlmA^?x#rr6<&1XL<1H*z!~wDW*cL1Nq1-9@6X}`fUezB# zC!VPH#|qTQy-vp-VmCyb*+GFT=N=hZhaVKYSC8hZsKRrMK`B19 zf*Nx&@hcRYaH!e0i4;ZlR>7NwJb|>ek6*?dmm_ z9*_9dEqL*L+vDY@S;pLt!babjkkW|$-2=l!_@;w3rQ)hkeG7WGAL+}pqhkZ@2o8ZA z)FuPvjYkxuC&M@^top}XYMdrXDZnOUP-T_(cE3OV#Fx=2TUu^KsbAhho3PkD26b3= zmL@=U=-d`1n`~MOJ{k}Y3@If#id%Nw%8d)nI2JY4xe9xeFJC;fb;$+KrtIYY;1+rstnt2;quBQSBJ~zJ?_%8oJ(OIkf^yNC(ofMKnZvep>FLVD5@?_= zkAnuBsvrjzWN^$Ieiq3HncXu^y)?(>xK)>WX)2W)ai*!9>RYS!Xwi_$l~2VcBNT9A zDkI8!VdW1pqAxhrx|`Sv!cL!A{Q21<8k!i8$2@dkjY~LHk(l9hWSt=}7{RE3bm+tm z?xQ4YU_wcze`rBnIjD}<5e)7r3%`h0>KdCG(_CsJL5MSkkH#VmWiXAO`Plb&A(H&0 z9^iKIm)EnWyHT$GOITBnxEP`F&>wEQ80ok))_>{x;#r6m8zKv6vyH@j2-oy;x{qT> z7?8P?ADZG#N_@Ui0)BH3iKqn_Wa%fE$>^C7xwLmX3fAyl-S^xyWnFGqX&>ijq*_9Qk;x=|9b|Kpn4fs^Z}I}Wkxqco}|P! zqzCE>CDrM{6kVbK5%fYHaOU$wQ7U>c697>N3K{ROfAU3olMqU{a=|GqgG8^i6Y7%dSrFHXzdk%OiLINr&Jn0piH@4o}%0^~uDmj7bs@XO|adzq@ zj3g=!g4>|JRMRcexT+44-K@jrYxzcm?Lbii=@H{vT0iOeXGedHbjd2^Ho0PL>Z;3u>PT6*PHG&GEq9AhnVPYA}jDs0XNI&V%^6kNe2vKy9m<6 zyEMB>Wc~4m$m|lP02XQt-s?G9Z0If%E^hEa8*E$*p4_~pbX7$Cec_umdJ86n)=INd ze&9FMMW|1~qNC40^&G}%HL~N|&4kYR-k|k4F=24m3!W9)DB_iHfeFI4nH*?OyHH}@ zz#1Ag4b^~>-YgVlXM~FMw}w#77NRNe-t=5OyQcmuNGZC6Q3=lp;Bty0QA$j7=Fxt1 z;glV*2TX@5Frc=&Bh@$d19!R&3sfI+iyF$OEv-bf4@=f)iwGT5xohe=KZFbzAq5?@ zDCOQNL-xk6Z)XTnOdG8&BoRje1_VulZm844MY%w>qRshfU*NaiVxoRx&o)O7)jTZ4 z`-N*X%#kUj6!NevNfjN@4Mpdtq*Taq5JU-grP8b)cnEsBT0v^k2cDpeo*)X{Kmcby z3_>AS7iJW4)z~3!qj0L28q(#EglAuO96~@-S1c93fNkiY#H!n<2peIuc$>dBzqd&2 zs_b6adFHP^h2LP6l$1zDgL0jAQ_0CW+(^|m;$}Kq-*6i0<=`hALD2`rK(F-8UEjTA z)UTue(E}$Y*rHc&GIYrKM33d$g)zDn3Q3)1Wz1tY+7Z-hK*RQ2+r|EhVui& zfrk1REWbh`>9yoc59JHpcCLQSZ*8BT+cYQ82+%^YQh=mw{7V;OAB-#(^}UE)b(F-? z{YZ+%30hv#Jei)KBfl*=JozwVkpmB?rl_mdlB)^?8z5R_Klgk(EMpjtJgJys>A0zY zZhddw-@K;^Jw4mv0;(#{i3{|f)!x4|U!=9sA?cX?iD9ka;*>}$xc0+n1Fe(Cy_w&Q z%qQAID6D(oJJy6*=zVmg9BSD|qbOz(w{OuQQAJJJsks?yILb=jIJ<8nRTfngxtY#g zu>OmN+P59MVqcJ%5FNI{vZ}^XRQTQNUWDc;txD>Gpl{iKYB5uK2@9KOXebYSql2}O z<)scW9WrvB%eh-b7<4PTkW)0ouhJ6rAI~YA&XY;)8FM;Sd;bt93@O4c32IQWyceSs zo)aMBmY88HGUFFI3x(RsWb4AEEOkYlO}g!-uBH@ysV}X^Z+=Y?q0vjN>F*^=v}f1N zIXnolhLt_N2Q~&eLQQd|*aw;1>Tz3HZC<##C^O|CRf%L(JnOT4`s{oDmFl<0(AokrucRypz3AA@n(PhQ!PYZ?eav45Z91?RVLO)MFaOF0H6SX9)#E=-W zK1-?gqBb+bF*c}tkXCxM2s0?}({dR^xV&6B9qo*26QbhIefvLbuV{Yi;&%|`m_wzm zp-WOv{|b_3h(U#Jao52SaI!L*xrc(%1zgz%+8nQKXnAg;?37g9=csLc4VFP^tt|Vw zc<>rb&wIttC#)oFt@tMRs&tF&usHV6B)vKn=lJrJ_~ z{9P!M18|G+!fA_CjxFj*6K#=khZSbXB6U&9rpA&^oh;jF5yDg!AI_U9!#t;IdY|<-x_rC*2a8G({(kxOWHIC~WBjstYCe)Hs(Q_2ms?9{E*` zH5VtKl3$#JlnQNg(GsIz&W^7n#m-iJolLixM+aJ*6FQ7hE(X2z0%85L*M(1g;Q|jm zC2Eiw$zC9=fBLsJ8R48VeQ&Na=|&=hM9)ug{bm1I`Dh3=gJYSw5MMtT;wLYWy1!3& z;w#TI2GX%7^ZPvcgWSIB^G_+s5Aw?QeC8*a;kF7LeqTn}Y|gD$&b#<0|8C1xW%&CN zFF)_)^M9^?^Zn;X{pi0hawq-K3v&LOKMe(w!1T9=bM1~U_~g31;)3x%Qlw}W>CIiN z0+@~~oEtw1UBbq0^x7H_OCAnWh6JrphnoXiAw!BK7K72~YY1qvtS~QHdp4$u$qVwt z9*wSFGSAQ66_JH`F5H|ubI5}^00v8&T{aRAr}*0)PF|K}=fFmlkVa`qosX zyWP)O9Wd`$irL9La&T#>$AO9dY+2@$TD-&h@t6PN#q8WhI;>E{7Fl=P3a+1|VZgxX z%Di<$7b-OSltSMPqFcAz)}=~WnyeP5&Cr9i3bzM0WHru8Emc zc(<$P6hh_R>nd`o5YhGgeUCESUZn6-F+l#_2uT&u_|tp7(=?EjeK0mHU4C KJ5OA+@c#jyA+t*W diff --git a/Arcade_MiST/Custom Hardware/Galaga_MIST/galaga_mist.qpf b/Arcade_MiST/Custom Hardware/Galaga_MIST/galaga_mist.qpf index 82a737e3..c2212bda 100644 --- a/Arcade_MiST/Custom Hardware/Galaga_MIST/galaga_mist.qpf +++ b/Arcade_MiST/Custom Hardware/Galaga_MIST/galaga_mist.qpf @@ -1,31 +1,31 @@ # -------------------------------------------------------------------------- # # -# Copyright (C) 2016 Intel Corporation. All rights reserved. -# Your use of Intel Corporation's design tools, logic functions +# Copyright (C) 1991-2014 Altera Corporation +# Your use of Altera Corporation's design tools, logic functions # and other software and tools, and its AMPP partner logic # functions, and any output files from any of the foregoing # (including device programming or simulation files), and any # associated documentation or information are expressly subject -# to the terms and conditions of the Intel Program License -# Subscription Agreement, the Intel Quartus Prime License Agreement, -# the Intel MegaCore Function License Agreement, or other -# applicable license agreement, including, without limitation, -# that your use is for the sole purpose of programming logic -# devices manufactured by Intel and sold by Intel or its -# authorized distributors. Please refer to the applicable -# agreement for further details. +# to the terms and conditions of the Altera Program License +# Subscription Agreement, Altera MegaCore Function License +# Agreement, or other applicable license agreement, including, +# without limitation, that your use is for the sole purpose of +# programming logic devices manufactured by Altera and sold by +# Altera or its authorized distributors. Please refer to the +# applicable agreement for further details. # # -------------------------------------------------------------------------- # # -# Quartus Prime -# Version 16.1.0 Build 196 10/24/2016 SJ Lite Edition -# Date created = 11:01:44 November 04, 2017 +# Quartus II 64-Bit +# Version 13.1.4 Build 182 03/12/2014 SJ Web Edition +# Date created = 17:44:51 March 04, 2019 # # -------------------------------------------------------------------------- # -QUARTUS_VERSION = "16.1" -DATE = "11:01:44 November 04, 2017" +QUARTUS_VERSION = "13.1" +DATE = "17:44:51 March 04, 2019" # Revisions -PROJECT_REVISION = "galaga_mist" +PROJECT_REVISION = "Galaga_MiST" +PROJECT_REVISION = "Berzerk_MiST" diff --git a/Arcade_MiST/Custom Hardware/Galaga_MIST/galaga_mist.qsf b/Arcade_MiST/Custom Hardware/Galaga_MIST/galaga_mist.qsf index c6ee1f1f..1eec429f 100644 --- a/Arcade_MiST/Custom Hardware/Galaga_MIST/galaga_mist.qsf +++ b/Arcade_MiST/Custom Hardware/Galaga_MIST/galaga_mist.qsf @@ -1,6 +1,6 @@ # -------------------------------------------------------------------------- # # -# Copyright (C) 1991-2013 Altera Corporation +# Copyright (C) 1991-2014 Altera Corporation # Your use of Altera Corporation's design tools, logic functions # and other software and tools, and its AMPP partner logic # functions, and any output files from any of the foregoing @@ -17,15 +17,15 @@ # -------------------------------------------------------------------------- # # # Quartus II 64-Bit -# Version 13.1.0 Build 162 10/23/2013 SJ Web Edition -# Date created = 15:12:41 May 07, 2018 +# Version 13.1.4 Build 182 03/12/2014 SJ Web Edition +# Date created = 21:36:26 March 08, 2019 # # -------------------------------------------------------------------------- # # # Notes: # # 1) The default values for assignments are stored in the file: -# galaga_mist_assignment_defaults.qdf +# Galaga_MiST_assignment_defaults.qdf # If this file doesn't exist, see file: # assignment_defaults.qdf # @@ -34,12 +34,54 @@ # and any changes you make may be lost or overwritten. # # -------------------------------------------------------------------------- # -set_global_assignment -name ORIGINAL_QUARTUS_VERSION 15.1.0 -set_global_assignment -name PROJECT_CREATION_TIME_DATE "17:45:13 JUNE 17,2016" + + + +# Project-Wide Assignments +# ======================== +set_global_assignment -name ORIGINAL_QUARTUS_VERSION 13.1 +set_global_assignment -name PROJECT_CREATION_TIME_DATE "23:59:05 MARCH 16, 2017" set_global_assignment -name LAST_QUARTUS_VERSION 13.1 -set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files -set_location_assignment PIN_7 -to LED +set_global_assignment -name PROJECT_OUTPUT_DIRECTORY Output +set_global_assignment -name PRE_FLOW_SCRIPT_FILE "quartus_sh:rtl/build_id.tcl" +set_global_assignment -name SYSTEMVERILOG_FILE rtl/galaga_mist.sv +set_global_assignment -name VHDL_FILE rtl/galaga.vhd +set_global_assignment -name VHDL_FILE rtl/gen_video.vhd +set_global_assignment -name VHDL_FILE rtl/gen_ram.vhd +set_global_assignment -name VHDL_FILE rtl/galaga_video.vhd +set_global_assignment -name VHDL_FILE rtl/stars_machine.vhd +set_global_assignment -name VHDL_FILE rtl/stars.vhd +set_global_assignment -name VHDL_FILE rtl/sound_machine.vhd +set_global_assignment -name VHDL_FILE rtl/mb88.vhd +set_global_assignment -name VHDL_FILE rtl/roms/sp_palette.vhd +set_global_assignment -name VHDL_FILE rtl/roms/sp_graphx.vhd +set_global_assignment -name VHDL_FILE rtl/roms/sound_seq.vhd +set_global_assignment -name VHDL_FILE rtl/roms/sound_samples.vhd +set_global_assignment -name VHDL_FILE rtl/roms/rgb.vhd +set_global_assignment -name VHDL_FILE rtl/roms/galaga_cpu3.vhd +set_global_assignment -name VHDL_FILE rtl/roms/galaga_cpu2.vhd +set_global_assignment -name VHDL_FILE rtl/roms/galaga_cpu1.vhd +set_global_assignment -name VHDL_FILE rtl/roms/bg_palette.vhd +set_global_assignment -name VHDL_FILE rtl/roms/bg_graphx.vhd +set_global_assignment -name VHDL_FILE rtl/roms/cs54xx_prog.vhd +set_global_assignment -name VHDL_FILE rtl/T80/T80se.vhd +set_global_assignment -name VHDL_FILE rtl/T80/T80_Reg.vhd +set_global_assignment -name VHDL_FILE rtl/T80/T80_Pack.vhd +set_global_assignment -name VHDL_FILE rtl/T80/T80_MCode.vhd +set_global_assignment -name VHDL_FILE rtl/T80/T80_ALU.vhd +set_global_assignment -name VHDL_FILE rtl/T80/T80.vhd +set_global_assignment -name VERILOG_FILE rtl/pll.v +set_global_assignment -name VERILOG_FILE rtl/scandoubler.v +set_global_assignment -name SYSTEMVERILOG_FILE rtl/video_mixer.sv +set_global_assignment -name VERILOG_FILE rtl/osd.v +set_global_assignment -name VERILOG_FILE rtl/mist_io.v +set_global_assignment -name SYSTEMVERILOG_FILE rtl/hq2x.sv +set_global_assignment -name VHDL_FILE rtl/dac.vhd + +# Pin & Location Assignments +# ========================== set_location_assignment PIN_54 -to CLOCK_27 +set_location_assignment PIN_7 -to LED set_location_assignment PIN_144 -to VGA_R[5] set_location_assignment PIN_143 -to VGA_R[4] set_location_assignment PIN_142 -to VGA_R[3] @@ -67,74 +109,125 @@ set_location_assignment PIN_88 -to SPI_DI set_location_assignment PIN_126 -to SPI_SCK set_location_assignment PIN_127 -to SPI_SS2 set_location_assignment PIN_91 -to SPI_SS3 +set_location_assignment PIN_90 -to SPI_SS4 set_location_assignment PIN_13 -to CONF_DATA0 -set_location_assignment PLL_1 -to "pll:pll|altpll:altpll_component" +set_location_assignment PIN_49 -to SDRAM_A[0] +set_location_assignment PIN_44 -to SDRAM_A[1] +set_location_assignment PIN_42 -to SDRAM_A[2] +set_location_assignment PIN_39 -to SDRAM_A[3] +set_location_assignment PIN_4 -to SDRAM_A[4] +set_location_assignment PIN_6 -to SDRAM_A[5] +set_location_assignment PIN_8 -to SDRAM_A[6] +set_location_assignment PIN_10 -to SDRAM_A[7] +set_location_assignment PIN_11 -to SDRAM_A[8] +set_location_assignment PIN_28 -to SDRAM_A[9] +set_location_assignment PIN_50 -to SDRAM_A[10] +set_location_assignment PIN_30 -to SDRAM_A[11] +set_location_assignment PIN_32 -to SDRAM_A[12] +set_location_assignment PIN_83 -to SDRAM_DQ[0] +set_location_assignment PIN_79 -to SDRAM_DQ[1] +set_location_assignment PIN_77 -to SDRAM_DQ[2] +set_location_assignment PIN_76 -to SDRAM_DQ[3] +set_location_assignment PIN_72 -to SDRAM_DQ[4] +set_location_assignment PIN_71 -to SDRAM_DQ[5] +set_location_assignment PIN_69 -to SDRAM_DQ[6] +set_location_assignment PIN_68 -to SDRAM_DQ[7] +set_location_assignment PIN_86 -to SDRAM_DQ[8] +set_location_assignment PIN_87 -to SDRAM_DQ[9] +set_location_assignment PIN_98 -to SDRAM_DQ[10] +set_location_assignment PIN_99 -to SDRAM_DQ[11] +set_location_assignment PIN_100 -to SDRAM_DQ[12] +set_location_assignment PIN_101 -to SDRAM_DQ[13] +set_location_assignment PIN_103 -to SDRAM_DQ[14] +set_location_assignment PIN_104 -to SDRAM_DQ[15] +set_location_assignment PIN_58 -to SDRAM_BA[0] +set_location_assignment PIN_51 -to SDRAM_BA[1] +set_location_assignment PIN_85 -to SDRAM_DQMH +set_location_assignment PIN_67 -to SDRAM_DQML +set_location_assignment PIN_60 -to SDRAM_nRAS +set_location_assignment PIN_64 -to SDRAM_nCAS +set_location_assignment PIN_66 -to SDRAM_nWE +set_location_assignment PIN_59 -to SDRAM_nCS +set_location_assignment PIN_33 -to SDRAM_CKE +set_location_assignment PIN_43 -to SDRAM_CLK +set_location_assignment PIN_31 -to UART_RX +set_location_assignment PIN_46 -to UART_TX + +# Classic Timing Assignments +# ========================== +set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 +set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 + +# Analysis & Synthesis Assignments +# ================================ set_global_assignment -name FAMILY "Cyclone III" -set_global_assignment -name TOP_LEVEL_ENTITY galaga_mist +set_global_assignment -name DEVICE_FILTER_PACKAGE TQFP set_global_assignment -name DEVICE_FILTER_PIN_COUNT 144 set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 8 +set_global_assignment -name VERILOG_INPUT_VERSION SYSTEMVERILOG_2005 +set_global_assignment -name VERILOG_SHOW_LMF_MAPPING_MESSAGES OFF +set_global_assignment -name TOP_LEVEL_ENTITY galaga_mist + +# Fitter Assignments +# ================== set_global_assignment -name DEVICE EP3C25E144C8 +set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 1 +set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVTTL" set_global_assignment -name CYCLONEIII_CONFIGURATION_SCHEME "PASSIVE SERIAL" set_global_assignment -name CRC_ERROR_OPEN_DRAIN OFF set_global_assignment -name FORCE_CONFIGURATION_VCCIO ON -set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVTTL" set_global_assignment -name CYCLONEII_RESERVE_NCEO_AFTER_CONFIGURATION "USE AS REGULAR IO" set_global_assignment -name RESERVE_DATA0_AFTER_CONFIGURATION "USE AS REGULAR IO" set_global_assignment -name RESERVE_DATA1_AFTER_CONFIGURATION "USE AS REGULAR IO" set_global_assignment -name RESERVE_FLASH_NCE_AFTER_CONFIGURATION "USE AS REGULAR IO" set_global_assignment -name RESERVE_DCLK_AFTER_CONFIGURATION "USE AS REGULAR IO" + +# EDA Netlist Writer Assignments +# ============================== set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim-Altera (VHDL)" + +# Assembler Assignments +# ===================== set_global_assignment -name USE_CONFIGURATION_DEVICE OFF set_global_assignment -name GENERATE_RBF_FILE ON + +# Power Estimation Assignments +# ============================ set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW" set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)" + +# Advanced I/O Timing Assignments +# =============================== set_global_assignment -name OUTPUT_IO_TIMING_NEAR_END_VMEAS "HALF VCCIO" -rise set_global_assignment -name OUTPUT_IO_TIMING_NEAR_END_VMEAS "HALF VCCIO" -fall set_global_assignment -name OUTPUT_IO_TIMING_FAR_END_VMEAS "HALF SIGNAL SWING" -rise set_global_assignment -name OUTPUT_IO_TIMING_FAR_END_VMEAS "HALF SIGNAL SWING" -fall - set_global_assignment -name EDA_TIME_SCALE "1 ps" -section_id eda_simulation + +# start EDA_TOOL_SETTINGS(eda_simulation) +# --------------------------------------- + + # EDA Netlist Writer Assignments + # ============================== set_global_assignment -name EDA_OUTPUT_DATA_FORMAT VHDL -section_id eda_simulation - set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity DE10_LITE_Default -section_id Top - set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity DE10_LITE_Default -section_id Top - set_global_assignment -name PARTITION_COLOR 16764057 -entity DE10_LITE_Default -section_id Top - set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -entity DE10_LITE_Default -section_id Top + +# end EDA_TOOL_SETTINGS(eda_simulation) +# ------------------------------------- + +# ------------------------- +# start ENTITY(galaga_mist) + + # start DESIGN_PARTITION(Top) + # --------------------------- + + # Incremental Compilation Assignments + # =================================== set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top -set_global_assignment -name VHDL_FILE rtl/galaga_mist.vhd -set_global_assignment -name VHDL_FILE rtl/galaga.vhd -set_global_assignment -name VHDL_FILE rtl/stars.vhd -set_global_assignment -name VHDL_FILE rtl/sp_palette.vhd -set_global_assignment -name VHDL_FILE rtl/sp_graphx.vhd -set_global_assignment -name VHDL_FILE rtl/sound_seq.vhd -set_global_assignment -name VHDL_FILE rtl/sound_samples.vhd -set_global_assignment -name VHDL_FILE rtl/sound_machine.vhd -set_global_assignment -name VHDL_FILE rtl/galaga_cpu3.vhd -set_global_assignment -name VHDL_FILE rtl/galaga_cpu2.vhd -set_global_assignment -name VHDL_FILE rtl/galaga_cpu1.vhd -set_global_assignment -name VHDL_FILE rtl/stars_machine.vhd -set_global_assignment -name VHDL_FILE rtl/cs54xx_prog.vhd -set_global_assignment -name VHDL_FILE rtl/bg_palette.vhd -set_global_assignment -name VHDL_FILE rtl/bg_graphx.vhd -set_global_assignment -name VHDL_FILE rtl/gen_video.vhd -set_global_assignment -name VHDL_FILE rtl/T80/T80se.vhd -set_global_assignment -name VHDL_FILE rtl/T80/T80_Pack.vhd -set_global_assignment -name VHDL_FILE rtl/T80/T80_Reg.vhd -set_global_assignment -name VHDL_FILE rtl/T80/T80_MCode.vhd -set_global_assignment -name VHDL_FILE rtl/T80/T80_ALU.vhd -set_global_assignment -name VHDL_FILE rtl/T80/T80.vhd -set_global_assignment -name VHDL_FILE rtl/mb88.vhd -set_global_assignment -name VERILOG_FILE rtl/keyboard.v -set_global_assignment -name SYSTEMVERILOG_FILE rtl/hq2x.sv -set_global_assignment -name SYSTEMVERILOG_FILE rtl/video_mixer.sv -set_global_assignment -name VERILOG_FILE rtl/scandoubler.v -set_global_assignment -name VHDL_FILE rtl/rgb.vhd -set_global_assignment -name VHDL_FILE rtl/pll.vhd -set_global_assignment -name VERILOG_FILE rtl/osd.v -set_global_assignment -name VERILOG_FILE rtl/mist_io.v -set_global_assignment -name VHDL_FILE rtl/gen_ram.vhd -set_global_assignment -name VHDL_FILE rtl/dac.vhd -set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 -set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 + # end DESIGN_PARTITION(Top) + # ------------------------- + +# end ENTITY(galaga_mist) +# ----------------------- set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file diff --git a/Arcade_MiST/Custom Hardware/Galaga_MIST/galaga_mist.sdc b/Arcade_MiST/Custom Hardware/Galaga_MIST/galaga_mist.sdc deleted file mode 100644 index 3eba3b05..00000000 --- a/Arcade_MiST/Custom Hardware/Galaga_MIST/galaga_mist.sdc +++ /dev/null @@ -1,33 +0,0 @@ -#************************************************************ -# THIS IS A WIZARD-GENERATED FILE. -# -# Version 13.1.4 Build 182 03/12/2014 SJ Full Version -# -#************************************************************ - -# Copyright (C) 1991-2014 Altera Corporation -# Your use of Altera Corporation's design tools, logic functions -# and other software and tools, and its AMPP partner logic -# functions, and any output files from any of the foregoing -# (including device programming or simulation files), and any -# associated documentation or information are expressly subject -# to the terms and conditions of the Altera Program License -# Subscription Agreement, Altera MegaCore Function License -# Agreement, or other applicable license agreement, including, -# without limitation, that your use is for the sole purpose of -# programming logic devices manufactured by Altera and sold by -# Altera or its authorized distributors. Please refer to the -# applicable agreement for further details. - - - -# Clock constraints - -create_clock -name "CLOCK_27" -period 37.037 [get_ports {CLOCK_27}] -create_clock -name {SPI_SCK} -period 10.000 -waveform { 0.000 0.500 } [get_ports {SPI_SCK}] - -# Automatically constrain PLL and other generated clocks -derive_pll_clocks -create_base_clocks - -# Automatically calculate clock uncertainty to jitter and other effects. -derive_clock_uncertainty diff --git a/Arcade_MiST/Custom Hardware/Galaga_MIST/galaga_mist.srf b/Arcade_MiST/Custom Hardware/Galaga_MIST/galaga_mist.srf index f5b4c3aa..549b16a8 100644 --- a/Arcade_MiST/Custom Hardware/Galaga_MIST/galaga_mist.srf +++ b/Arcade_MiST/Custom Hardware/Galaga_MIST/galaga_mist.srf @@ -1 +1,3 @@ { "" "" "" "*" { } { } 0 10036 "" 0 0 "Quartus II" 0 -1 0 ""} +{ "" "" "" "*" { } { } 0 10541 "" 0 0 "Quartus II" 0 -1 0 ""} +{ "" "" "" "*" { } { } 0 113028 "" 0 0 "Quartus II" 0 -1 0 ""} diff --git a/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/dac.vhd b/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/dac.vhd index 47b2185e..0f78fe97 100644 --- a/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/dac.vhd +++ b/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/dac.vhd @@ -2,6 +2,8 @@ -- -- Delta-Sigma DAC -- +-- $Id: dac.vhd,v 1.1 2005/10/25 21:09:42 arnim Exp $ +-- -- Refer to Xilinx Application Note XAPP154. -- -- This DAC requires an external RC low-pass filter: @@ -15,34 +17,55 @@ ------------------------------------------------------------------------------- library ieee; - use ieee.std_logic_1164.all; - use ieee.numeric_std.all; +use ieee.std_logic_1164.all; entity dac is - generic ( - C_bits : integer := 10 - ); - port ( - clk_i : in std_logic; - res_n_i : in std_logic; - dac_i : in std_logic_vector(C_bits-1 downto 0); - dac_o : out std_logic - ); + + generic ( + msbi_g : integer := 12 + ); + port ( + clk_i : in std_logic; + res_n_i : in std_logic; + dac_i : in std_logic_vector(msbi_g downto 0); + dac_o : out std_logic + ); + end dac; +library ieee; +use ieee.numeric_std.all; + architecture rtl of dac is - signal sig_in: unsigned(C_bits downto 0); + + signal DACout_q : std_logic; + signal DeltaAdder_s, + SigmaAdder_s, + SigmaLatch_q, + DeltaB_s : unsigned(msbi_g+2 downto 0); + begin - seq: process(clk_i, res_n_i) - begin - if res_n_i = '0' then - sig_in <= to_unsigned(2**C_bits, sig_in'length); - dac_o <= '0'; - elsif rising_edge(clk_i) then - -- not dac_i(C_bits-1) effectively adds 0x8..0 to dac_i - --sig_in <= sig_in + unsigned(sig_in(C_bits) & (not dac_i(C_bits-1)) & dac_i(C_bits-2 downto 0)); - sig_in <= sig_in + unsigned(sig_in(C_bits) & dac_i); - dac_o <= sig_in(C_bits); - end if; - end process seq; + + DeltaB_s(msbi_g+2 downto msbi_g+1) <= SigmaLatch_q(msbi_g+2) & + SigmaLatch_q(msbi_g+2); + DeltaB_s(msbi_g downto 0) <= (others => '0'); + + DeltaAdder_s <= unsigned('0' & '0' & dac_i) + DeltaB_s; + + SigmaAdder_s <= DeltaAdder_s + SigmaLatch_q; + + seq: process (clk_i, res_n_i) + begin + if res_n_i = '0' then + SigmaLatch_q <= to_unsigned(2**(msbi_g+1), SigmaLatch_q'length); + DACout_q <= '0'; + + elsif clk_i'event and clk_i = '1' then + SigmaLatch_q <= SigmaAdder_s; + DACout_q <= SigmaLatch_q(msbi_g+2); + end if; + end process seq; + + dac_o <= DACout_q; + end rtl; diff --git a/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/galaga.vhd b/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/galaga.vhd index 3627d8a9..a848b22e 100644 --- a/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/galaga.vhd +++ b/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/galaga.vhd @@ -106,14 +106,15 @@ entity galaga is port( clock_18 : in std_logic; reset : in std_logic; --- tv15Khz_mode : in std_logic; video_r : out std_logic_vector(2 downto 0); video_g : out std_logic_vector(2 downto 0); video_b : out std_logic_vector(1 downto 0); - video_blankn : out std_logic; + video_clk : out std_logic; + video_csync : out std_logic; + video_hb : out std_logic; + video_vb : out std_logic; video_hs : out std_logic; video_vs : out std_logic; - pix_ce : out std_logic; audio : out std_logic_vector(9 downto 0); b_test : in std_logic; @@ -131,6 +132,7 @@ port( end galaga; architecture struct of galaga is + signal reset_n: std_logic; signal clock_18n : std_logic; @@ -315,7 +317,7 @@ architecture struct of galaga is begin -pix_ce <= ena_vidgen; + clock_18n <= not clock_18; reset_n <= not reset; @@ -911,7 +913,7 @@ cs06XX_di <= cs51XX_do when "0001", cs06XX_do <= cs06XX_di when mux_addr(8)= '0' else cs06XX_control; -process (clock_18, nmion_n) +process (clock_18, nmion_n, ena_vidgen) begin if nmion_n = '1' then elsif rising_edge(clock_18) and ena_vidgen = '1' then @@ -970,7 +972,9 @@ hcnt => hcnt, vcnt => vcnt, hsync => video_hs, vsync => video_vs, -blankn => video_blankn +csync => video_csync, +hblank => video_hb, +vblank => video_vb ); -- microprocessor Z80 - 1 @@ -1086,7 +1090,7 @@ port map( clk => clock_18n, addr => cs54xx_rom_addr(9 downto 0), data => cs54xx_rom_do -); + ); -- cpu1 program ROM rom_cpu1 : entity work.galaga_cpu1 diff --git a/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/galaga_mist.vhd b/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/galaga_mist.vhd deleted file mode 100644 index 3190482a..00000000 --- a/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/galaga_mist.vhd +++ /dev/null @@ -1,243 +0,0 @@ -library ieee; -use ieee.std_logic_1164.all; -use ieee.std_logic_unsigned.ALL; -use ieee.numeric_std.all; - -entity galaga_mist is -port( - CLOCK_27 : in std_logic; - LED : out std_logic; - VGA_R : out std_logic_vector(5 downto 0); - VGA_G : out std_logic_vector(5 downto 0); - VGA_B : out std_logic_vector(5 downto 0); - VGA_HS : out std_logic; - VGA_VS : out std_logic; - SPI_SCK : in std_logic; - SPI_DI : in std_logic; - SPI_DO : out std_logic; - SPI_SS3 : in std_logic; - CONF_DATA0 : in std_logic; - AUDIO_L : out std_logic; - AUDIO_R : out std_logic - -); -end galaga_mist; - -architecture struct of galaga_mist is - signal clock_72 : std_logic; - signal clock_18 : std_logic; - signal pll_locked : std_logic; - signal r : std_logic_vector(2 downto 0); - signal g : std_logic_vector(2 downto 0); - signal b : std_logic_vector(1 downto 0); - signal hsync : std_logic; - signal vsync : std_logic; - signal blankn : std_logic; - signal pix_ce : std_logic; - signal audio : std_logic_vector(9 downto 0); - signal audio_pwm : std_logic; - signal reset : std_logic; - signal scanlines : std_logic_vector(1 downto 0); - signal hq2x : std_logic; - - -- User IO - signal buttons : std_logic_vector(1 downto 0); - signal joy0 : std_logic_vector(7 downto 0); - signal joy1 : std_logic_vector(7 downto 0); - signal status : std_logic_vector(31 downto 0); - signal scandoubler_disable : std_logic; - signal ypbpr : std_logic; - - signal kbd_joy : std_logic_vector(7 downto 0); - signal mright : std_logic; - signal mleft : std_logic; - signal ps2Clk : std_logic; - signal ps2Data : std_logic; - signal ps2_scancode : std_logic_vector(7 downto 0); - - signal VGA_R_O : std_logic_vector(2 downto 0); - signal VGA_G_O : std_logic_vector(2 downto 0); - signal VGA_B_O : std_logic_vector(2 downto 0); - - constant CONF_STR : string := - "Galaga;;O4,Screen Direction,Upright,Normal;O89,Scandoubler Fx,None,HQ2x,CRT 25%,CRT 50%;T5,Reset;"; - - function to_slv(s: string) return std_logic_vector is - constant ss: string(1 to s'length) := s; - variable rval: std_logic_vector(1 to 8 * s'length); - variable p: integer; - variable c: integer; - begin - for i in ss'range loop - p := 8 * i; - c := character'pos(ss(i)); - rval(p - 7 to p) := std_logic_vector(to_unsigned(c,8)); - end loop; - return rval; - end function; - - component mist_io - generic ( STRLEN : integer := 0 ); - port ( - clk_sys :in std_logic; - SPI_SCK, CONF_DATA0, SPI_DI :in std_logic; - SPI_DO : out std_logic; - conf_str : in std_logic_vector(8*STRLEN-1 downto 0); - buttons : out std_logic_vector(1 downto 0); - joystick_0 : out std_logic_vector(7 downto 0); - joystick_1 : out std_logic_vector(7 downto 0); - status : out std_logic_vector(31 downto 0); - scandoubler_disable, ypbpr : out std_logic; - ps2_kbd_clk : out std_logic; - ps2_kbd_data : out std_logic - ); - end component mist_io; - - component video_mixer - generic ( LINE_LENGTH : integer := 384; HALF_DEPTH : integer := 1 ); - port ( - clk_sys, ce_pix, ce_pix_actual : in std_logic; - SPI_SCK, SPI_SS3, SPI_DI : in std_logic; - scanlines : in std_logic_vector(1 downto 0); - scandoubler_disable, hq2x, ypbpr, ypbpr_full : in std_logic; - rotate : in std_logic_vector(1 downto 0); - R, G, B : in std_logic_vector(2 downto 0); - HSync, VSync, line_start, mono : in std_logic; - - VGA_R,VGA_G, VGA_B : out std_logic_vector(5 downto 0); - VGA_VS, VGA_HS : out std_logic - ); - end component video_mixer; - - component keyboard - PORT( - clk : in std_logic; - reset : in std_logic; - ps2_kbd_clk : in std_logic; - ps2_kbd_data : in std_logic; - joystick : out std_logic_vector (7 downto 0) - ); - end component; - -begin - -reset <= status(0) or status(5) or buttons(1) or not pll_locked; - -pll : entity work.pll - port map( - inclk0 => CLOCK_27, - c0 => clock_72, - c1 => clock_18, - locked => pll_locked -); - -scanlines(1) <= '1' when status(9 downto 8) = "11" and scandoubler_disable = '0' else '0'; -scanlines(0) <= '1' when status(9 downto 8) = "10" and scandoubler_disable = '0' else '0'; -hq2x <= '1' when status(9 downto 8) = "01" else '0'; - -vmixer : video_mixer - port map ( - clk_sys => clock_72, - ce_pix => pix_ce, - ce_pix_actual => pix_ce, - - SPI_SCK => SPI_SCK, - SPI_SS3 => SPI_SS3, - SPI_DI => SPI_DI, - - scanlines => scanlines, - scandoubler_disable => scandoubler_disable, - hq2x => hq2x, - ypbpr => ypbpr, - ypbpr_full => '1', - rotate => '1' & not status(4), - R => VGA_R_O, - G => VGA_G_O, - B => VGA_B_O, - HSync => hsync, - VSync => vsync, - line_start => '0', - mono => '0', - - VGA_R => VGA_R, - VGA_G => VGA_G, - VGA_B => VGA_B, - VGA_VS => VGA_VS, - VGA_HS => VGA_HS -); - -mist_io_inst : mist_io - generic map (STRLEN => CONF_STR'length) - port map ( - clk_sys => clock_18, - SPI_SCK => SPI_SCK, - CONF_DATA0 => CONF_DATA0, - SPI_DI => SPI_DI, - SPI_DO => SPI_DO, - conf_str => to_slv(CONF_STR), - buttons => buttons, - scandoubler_disable => scandoubler_disable, - ypbpr => ypbpr, - joystick_1 => joy1, - joystick_0 => joy0, - status => status, - ps2_kbd_clk => ps2Clk, - ps2_kbd_data => ps2Data -); - - mleft <= joy0(1) or joy1(1) or kbd_joy(6) when status(4) = '0' else joy0(2) or joy1(2) or kbd_joy(5); - mright <= joy0(0) or joy1(0) or kbd_joy(7) when status(4) = '0' else joy0(3) or joy1(3) or kbd_joy(4); - -galaga : entity work.galaga - port map( - clock_18 => clock_18, - reset => reset, - video_r => r, - video_g => g, - video_b => b, - video_blankn => blankn, - video_hs => hsync, - video_vs => vsync, - pix_ce => pix_ce, - - audio => audio, - b_test => '0', - b_svce => '0', - coin => kbd_joy(3) or status(1), - start1 => kbd_joy(1) or status(2), - start2 => kbd_joy(2) or status(3), - left1 => mleft, - right1 => mright, - fire1 => joy0(4) or joy1(4) or kbd_joy(0), - left2 => mleft, - right2 => mright, - fire2 => joy0(4) or joy1(4) or kbd_joy(0) -); - -VGA_R_O <= r when blankn = '1' else "000"; -VGA_G_O <= g when blankn = '1' else "000"; -VGA_B_O <= b&b(1) when blankn = '1' else "000"; - -u_dac : entity work.dac - port map( - clk_i => clock_18, - res_n_i => not reset, - dac_i => audio, - dac_o => audio_pwm -); - -AUDIO_L <= audio_pwm; -AUDIO_R <= audio_pwm; - -u_keyboard : keyboard - port map( - clk => clock_18, - reset => reset, - ps2_kbd_clk => ps2Clk, - ps2_kbd_data => ps2Data, - joystick => kbd_joy -); - -LED <= '1'; - -end struct; diff --git a/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/gen_video.vhd b/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/gen_video.vhd index 47379532..c5dee924 100644 --- a/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/gen_video.vhd +++ b/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/gen_video.vhd @@ -7,25 +7,34 @@ use ieee.std_logic_1164.all,ieee.numeric_std.ALL; entity gen_video is port( - clk : in std_logic; - enable : in std_logic; - hcnt : out std_logic_vector(8 downto 0); - vcnt : out std_logic_vector(8 downto 0); - hsync : out std_logic; - vsync : out std_logic; - blankn : out std_logic +clk : in std_logic; +enable : in std_logic; +hcnt : out std_logic_vector(8 downto 0); +vcnt : out std_logic_vector(8 downto 0); +hsync : out std_logic; +vsync : out std_logic; +csync : out std_logic; -- composite sync for TV +hblank : out std_logic; +vblank : out std_logic ); end gen_video; architecture struct of gen_video is - signal hblank : std_logic; - signal vblank : std_logic; - signal hcntReg : unsigned (8 DOWNTO 0) := to_unsigned(000,9); - signal vcntReg : unsigned (8 DOWNTO 0) := to_unsigned(015,9); +signal hclkReg : unsigned (1 DOWNTO 0); +--signal hblank : std_logic; +--signal vblank : std_logic; +signal hcntReg : unsigned (8 DOWNTO 0) := to_unsigned(000,9); +signal vcntReg : unsigned (8 DOWNTO 0) := to_unsigned(015,9); + +signal hsync0 : std_logic; +signal hsync1 : std_logic; +signal hsync2 : std_logic; + begin hcnt <= std_logic_vector(hcntReg); vcnt <= std_logic_vector(vcntReg); +hsync <= hsync0; -- Compteur horizontal : 511-128+1=384 pixels (48 tiles) -- 192 à 255 : 64 pixels debut de ligne (8 dont 2 dernières tiles affichées) @@ -40,43 +49,67 @@ vcnt <= std_logic_vector(vcntReg); -- Synchro horizontale : hcnt=[176 à 204] (29 pixels) -- Synchro verticale : vcnt=[260 à 003] ( 8 lignes) -process(clk) begin - if rising_edge(clk) then -- clk & ena at 6MHz - if enable = '1' then +process(clk, enable) +begin - if hcntReg = 511 then - hcntReg <= to_unsigned (128,9); - else - hcntReg <= hcntReg + 1; - end if; +if rising_edge(clk) and enable = '1' then -- clk & ena at 6MHz - if hcntReg = 191 then - if vcntReg = 261 then - vcntReg <= to_unsigned(0,9); - else - vcntReg <= vcntReg + 1; - end if; - end if; + if hcntReg = 511 then + hcntReg <= to_unsigned (128,9); + else + hcntReg <= hcntReg + 1; + end if; - if hcntReg = (175+ 0-8+8) then hsync <= '1'; -- 1 - elsif hcntReg = (175+29-8+8) then hsync <= '0'; - end if; + if hcntReg = 191 then + if vcntReg = 263 then + vcntReg <= to_unsigned(0,9); + else + vcntReg <= vcntReg + 1; + end if; + end if; - if vcntReg = 252 then vsync <= '1'; - elsif vcntReg = 260 then vsync <= '0'; - end if; + if hcntReg = (175+ 0-8+8) then hsync0 <= '0'; -- 1 + elsif hcntReg = (175+29-8+8) then hsync0 <= '1'; + end if; - if hcntReg = (127+16+8) then hblank <= '1'; - elsif hcntReg = (255-17+8+1) then hblank <= '0'; - end if; + if hcntReg = (175-8+8) then hsync1 <= '0'; + elsif hcntReg = (175+13-8+8) then hsync1 <= '1'; -- 11 + elsif hcntReg = (175 +192-8+8) then hsync1 <= '0'; + elsif hcntReg = (175+13+192-8+8) then hsync1 <= '1'; -- 11 + end if; - if vcntReg = (240+1-1) then vblank <= '1'; - elsif vcntReg = (015+1) then vblank <= '0'; - end if; + if hcntReg = (175-8+8) then hsync2 <= '0'; + elsif hcntReg = (175-28-8+8) then hsync2 <= '1'; + end if; + + if vcntReg = 252-1 then csync <= hsync1; + elsif vcntReg = 253-1 then csync <= hsync1; + elsif vcntReg = 254-1 then csync <= hsync1; -- and hsync2; + elsif vcntReg = 255-1 then csync <= hsync2; -- not(hsync1); + elsif vcntReg = 256-1 then csync <= hsync2; -- not(hsync1); + elsif vcntReg = 257-1 then csync <= hsync2; -- not(hsync1) or not(hsync2); + elsif vcntReg = 258-1 then csync <= hsync1; + elsif vcntReg = 259-1 then csync <= hsync1; + elsif vcntReg = 260-1 then csync <= hsync1; + else csync <= hsync0; + end if; + + if vcntReg = 260 then vsync <= '0'; + elsif vcntReg = 003 then vsync <= '1'; + end if; + + if hcntReg = (127+16+8) then hblank <= '1'; + elsif hcntReg = (255-17+8+1) then hblank <= '0'; + end if; + + if vcntReg = (240+1-1) then vblank <= '1'; + elsif vcntReg = (015+1) then vblank <= '0'; + end if; + + -- blankn <= not (hblank or vblank); + +end if; - blankn <= not (hblank or vblank); - end if; - end if; end process; end architecture; \ No newline at end of file diff --git a/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/keyboard.v b/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/keyboard.v deleted file mode 100644 index 89f7e34e..00000000 --- a/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/keyboard.v +++ /dev/null @@ -1,82 +0,0 @@ - - -module keyboard -( - input clk, - input reset, - input ps2_kbd_clk, - input ps2_kbd_data, - - output reg[7:0] joystick -); - -reg [11:0] shift_reg = 12'hFFF; -wire[11:0] kdata = {ps2_kbd_data,shift_reg[11:1]}; -wire [7:0] kcode = kdata[9:2]; -reg release_btn = 0; - -reg [7:0] code; -reg input_strobe = 0; - -always @(negedge clk) begin - reg old_reset = 0; - - old_reset <= reset; - - if(~old_reset & reset)begin - joystick <= 0; - end - - if(input_strobe) begin - case(code) - 'h16: joystick[1] <= ~release_btn; // 1 - 'h1E: joystick[2] <= ~release_btn; // 2 - - 'h75: joystick[4] <= ~release_btn; // arrow up - 'h72: joystick[5] <= ~release_btn; // arrow down - 'h6B: joystick[6] <= ~release_btn; // arrow left - 'h74: joystick[7] <= ~release_btn; // arrow right - - 'h29: joystick[0] <= ~release_btn; // Space - 'h11: joystick[1] <= ~release_btn; // Left Alt - 'h0d: joystick[2] <= ~release_btn; // Tab - 'h76: joystick[3] <= ~release_btn; // Escape - endcase - end -end - -always @(posedge clk) begin - reg [3:0] prev_clk = 0; - reg old_reset = 0; - reg action = 0; - - old_reset <= reset; - input_strobe <= 0; - - if(~old_reset & reset)begin - prev_clk <= 0; - shift_reg <= 12'hFFF; - end else begin - prev_clk <= {ps2_kbd_clk,prev_clk[3:1]}; - if(prev_clk == 1) begin - if (kdata[11] & ^kdata[10:2] & ~kdata[1] & kdata[0]) begin - shift_reg <= 12'hFFF; - if (kcode == 8'he0) ; - // Extended key code follows - else if (kcode == 8'hf0) - // Release code follows - action <= 1; - else begin - // Cancel extended/release flags for next time - action <= 0; - release_btn <= action; - code <= kcode; - input_strobe <= 1; - end - end else begin - shift_reg <= kdata; - end - end - end -end -endmodule diff --git a/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/mist_io.v b/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/mist_io.v index ad233a3b..2f41221f 100644 --- a/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/mist_io.v +++ b/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/mist_io.v @@ -5,6 +5,7 @@ // http://code.google.com/p/mist-board/ // // Copyright (c) 2014 Till Harbaum +// Copyright (c) 2015-2017 Sorgelig // // This source file is free software: you can redistribute it and/or modify // it under the terms of the GNU General Public License as published @@ -47,13 +48,16 @@ module mist_io #(parameter STRLEN=0, parameter PS2DIV=100) output SPI_DO, input SPI_DI, - output reg [7:0] joystick_0, - output reg [7:0] joystick_1, + output reg [7:0] joystick_0, + output reg [7:0] joystick_1, +// output reg [31:0] joystick_2, +// output reg [31:0] joystick_3, +// output reg [31:0] joystick_4, output reg [15:0] joystick_analog_0, output reg [15:0] joystick_analog_1, output [1:0] buttons, output [1:0] switches, - output scandoubler_disable, + output scandoublerD, output ypbpr, output reg [31:0] status, @@ -61,13 +65,13 @@ module mist_io #(parameter STRLEN=0, parameter PS2DIV=100) // SD config input sd_conf, input sd_sdhc, - output img_mounted, // signaling that new image has been mounted + output [1:0] img_mounted, // signaling that new image has been mounted output reg [31:0] img_size, // size of image in bytes // SD block level access input [31:0] sd_lba, - input sd_rd, - input sd_wr, + input [1:0] sd_rd, + input [1:0] sd_wr, output reg sd_ack, output reg sd_ack_conf, @@ -82,192 +86,222 @@ module mist_io #(parameter STRLEN=0, parameter PS2DIV=100) output reg ps2_kbd_data, output ps2_mouse_clk, output reg ps2_mouse_data, - input ps2_caps_led, + + // ps2 alternative interface. + + // [8] - extended, [9] - pressed, [10] - toggles with every press/release + output reg [10:0] ps2_key = 0, + + // [24] - toggles with every event + output reg [24:0] ps2_mouse = 0, // ARM -> FPGA download + input ioctl_ce, output reg ioctl_download = 0, // signal indicating an active download output reg [7:0] ioctl_index, // menu index used to upload the file - output ioctl_wr, + output reg ioctl_wr = 0, output reg [24:0] ioctl_addr, output reg [7:0] ioctl_dout ); -reg [7:0] b_data; -reg [6:0] sbuf; -reg [7:0] cmd; -reg [2:0] bit_cnt; // counts bits 0-7 0-7 ... -reg [9:0] byte_cnt; // counts bytes reg [7:0] but_sw; reg [2:0] stick_idx; -reg mount_strobe = 0; +reg [1:0] mount_strobe = 0; assign img_mounted = mount_strobe; assign buttons = but_sw[1:0]; assign switches = but_sw[3:2]; -assign scandoubler_disable = but_sw[4]; +assign scandoublerD = but_sw[4]; assign ypbpr = but_sw[5]; -wire [7:0] spi_dout = { sbuf, SPI_DI}; - // this variant of user_io is for 8 bit cores (type == a4) only wire [7:0] core_type = 8'ha4; // command byte read by the io controller -wire [7:0] sd_cmd = { 4'h5, sd_conf, sd_sdhc, sd_wr, sd_rd }; +wire drive_sel = sd_rd[1] | sd_wr[1]; +wire [7:0] sd_cmd = { 4'h6, sd_conf, sd_sdhc, sd_wr[drive_sel], sd_rd[drive_sel] }; + +reg [7:0] cmd; +reg [2:0] bit_cnt; // counts bits 0-7 0-7 ... +reg [9:0] byte_cnt; // counts bytes reg spi_do; assign SPI_DO = CONF_DATA0 ? 1'bZ : spi_do; -wire [7:0] kbd_led = { 2'b01, 4'b0000, ps2_caps_led, 1'b1}; +reg [7:0] spi_data_out; -// drive MISO only when transmitting core id -always@(negedge SPI_SCK) begin - if(!CONF_DATA0) begin - // first byte returned is always core type, further bytes are - // command dependent - if(byte_cnt == 0) begin - spi_do <= core_type[~bit_cnt]; +// SPI transmitter +always@(negedge SPI_SCK) spi_do <= spi_data_out[~bit_cnt]; - end else begin - case(cmd) - // reading config string - 8'h14: begin - // returning a byte from string - if(byte_cnt < STRLEN + 1) spi_do <= conf_str[{STRLEN - byte_cnt,~bit_cnt}]; - else spi_do <= 0; - end - - // reading sd card status - 8'h16: begin - if(byte_cnt == 1) spi_do <= sd_cmd[~bit_cnt]; - else if((byte_cnt >= 2) && (byte_cnt < 6)) spi_do <= sd_lba[{5-byte_cnt, ~bit_cnt}]; - else spi_do <= 0; - end - - // reading sd card write data - 8'h18: - spi_do <= b_data[~bit_cnt]; - - // reading keyboard LED status - 8'h1f: - spi_do <= kbd_led[~bit_cnt]; - - default: - spi_do <= 0; - endcase - end - end -end - -reg b_wr2,b_wr3; -always @(negedge clk_sys) begin - b_wr3 <= b_wr2; - sd_buff_wr <= b_wr3; -end +reg [7:0] spi_data_in; +reg spi_data_ready = 0; // SPI receiver always@(posedge SPI_SCK or posedge CONF_DATA0) begin + reg [6:0] sbuf; + reg [31:0] sd_lba_r; + reg drive_sel_r; if(CONF_DATA0) begin - b_wr2 <= 0; bit_cnt <= 0; byte_cnt <= 0; - sd_ack <= 0; - sd_ack_conf <= 0; - end else begin - b_wr2 <= 0; - - sbuf <= spi_dout[6:0]; + spi_data_out <= core_type; + end + else + begin bit_cnt <= bit_cnt + 1'd1; - if(bit_cnt == 5) begin - if (byte_cnt == 0) sd_buff_addr <= 0; - if((byte_cnt != 0) & (sd_buff_addr != 511)) sd_buff_addr <= sd_buff_addr + 1'b1; - if((byte_cnt == 1) & ((cmd == 8'h17) | (cmd == 8'h19))) sd_buff_addr <= 0; - end + sbuf <= {sbuf[5:0], SPI_DI}; // finished reading command byte if(bit_cnt == 7) begin + if(!byte_cnt) cmd <= {sbuf, SPI_DI}; + + spi_data_in <= {sbuf, SPI_DI}; + spi_data_ready <= ~spi_data_ready; if(~&byte_cnt) byte_cnt <= byte_cnt + 8'd1; - if(byte_cnt == 0) begin - cmd <= spi_dout; - - if(spi_dout == 8'h19) begin - sd_ack_conf <= 1; - sd_buff_addr <= 0; - end - if((spi_dout == 8'h17) || (spi_dout == 8'h18)) begin - sd_ack <= 1; - sd_buff_addr <= 0; - end - if(spi_dout == 8'h18) b_data <= sd_buff_din; - - mount_strobe <= 0; - - end else begin - case(cmd) - // buttons and switches - 8'h01: but_sw <= spi_dout; - 8'h02: joystick_0 <= spi_dout; - 8'h03: joystick_1 <= spi_dout; + spi_data_out <= 0; + case({(!byte_cnt) ? {sbuf, SPI_DI} : cmd}) + // reading config string + 8'h14: if(byte_cnt < STRLEN) spi_data_out <= conf_str[(STRLEN - byte_cnt - 1)<<3 +:8]; - // store incoming ps2 mouse bytes - 8'h04: begin - ps2_mouse_fifo[ps2_mouse_wptr] <= spi_dout; - ps2_mouse_wptr <= ps2_mouse_wptr + 1'd1; - end + // reading sd card status + 8'h16: if(byte_cnt == 0) begin + spi_data_out <= sd_cmd; + sd_lba_r <= sd_lba; + drive_sel_r <= drive_sel; + end else if (byte_cnt == 1) begin + spi_data_out <= drive_sel_r; + end else if(byte_cnt < 6) spi_data_out <= sd_lba_r[(5-byte_cnt)<<3 +:8]; - // store incoming ps2 keyboard bytes - 8'h05: begin - ps2_kbd_fifo[ps2_kbd_wptr] <= spi_dout; - ps2_kbd_wptr <= ps2_kbd_wptr + 1'd1; - end - - 8'h15: status[7:0] <= spi_dout; - - // send SD config IO -> FPGA - // flag that download begins - // sd card knows data is config if sd_dout_strobe is asserted - // with sd_ack still being inactive (low) - 8'h19, - // send sector IO -> FPGA - // flag that download begins - 8'h17: begin - sd_buff_dout <= spi_dout; - b_wr2 <= 1; - end + // reading sd card write data + 8'h18: spi_data_out <= sd_buff_din; + endcase + end + end +end - 8'h18: b_data <= sd_buff_din; +reg [31:0] ps2_key_raw = 0; +wire pressed = (ps2_key_raw[15:8] != 8'hf0); +wire extended = (~pressed ? (ps2_key_raw[23:16] == 8'he0) : (ps2_key_raw[15:8] == 8'he0)); - // joystick analog - 8'h1a: begin - // first byte is joystick index - if(byte_cnt == 1) stick_idx <= spi_dout[2:0]; - else if(byte_cnt == 2) begin - // second byte is x axis - if(stick_idx == 0) joystick_analog_0[15:8] <= spi_dout; - else if(stick_idx == 1) joystick_analog_1[15:8] <= spi_dout; - end else if(byte_cnt == 3) begin - // third byte is y axis - if(stick_idx == 0) joystick_analog_0[7:0] <= spi_dout; - else if(stick_idx == 1) joystick_analog_1[7:0] <= spi_dout; - end - end +// transfer to clk_sys domain +always@(posedge clk_sys) begin + reg old_ss1, old_ss2; + reg old_ready1, old_ready2; + reg [2:0] b_wr; + reg got_ps2 = 0; - // notify image selection - 8'h1c: mount_strobe <= 1; + old_ss1 <= CONF_DATA0; + old_ss2 <= old_ss1; + old_ready1 <= spi_data_ready; + old_ready2 <= old_ready1; + + sd_buff_wr <= b_wr[0]; + if(b_wr[2] && (~&sd_buff_addr)) sd_buff_addr <= sd_buff_addr + 1'b1; + b_wr <= (b_wr<<1); - // send image info - 8'h1d: if(byte_cnt<5) img_size[(byte_cnt-1)<<3 +:8] <= spi_dout; - - // status, 32bit version - 8'h1e: if(byte_cnt<5) status[(byte_cnt-1)<<3 +:8] <= spi_dout; - default: ; - endcase + if(old_ss2) begin + got_ps2 <= 0; + sd_ack <= 0; + sd_ack_conf <= 0; + sd_buff_addr <= 0; + if(got_ps2) begin + if(cmd == 4) ps2_mouse[24] <= ~ps2_mouse[24]; + if(cmd == 5) begin + ps2_key <= {~ps2_key[10], pressed, extended, ps2_key_raw[7:0]}; + if(ps2_key_raw == 'hE012E07C) ps2_key[9:0] <= 'h37C; // prnscr pressed + if(ps2_key_raw == 'h7CE0F012) ps2_key[9:0] <= 'h17C; // prnscr released + if(ps2_key_raw == 'hF014F077) ps2_key[9:0] <= 'h377; // pause pressed end end end + else + if(old_ready2 ^ old_ready1) begin + + if(cmd == 8'h18 && ~&sd_buff_addr) sd_buff_addr <= sd_buff_addr + 1'b1; + + if(byte_cnt < 2) begin + + if (cmd == 8'h19) sd_ack_conf <= 1; + if((cmd == 8'h17) || (cmd == 8'h18)) sd_ack <= 1; + mount_strobe <= 0; + + if(cmd == 5) ps2_key_raw <= 0; + end else begin + + case(cmd) + // buttons and switches + 8'h01: but_sw <= spi_data_in; + 8'h02: joystick_0 <= spi_data_in; + 8'h03: joystick_1 <= spi_data_in; +// 8'h60: if (byte_cnt < 5) joystick_0[(byte_cnt-1)<<3 +:8] <= spi_data_in; +// 8'h61: if (byte_cnt < 5) joystick_1[(byte_cnt-1)<<3 +:8] <= spi_data_in; +// 8'h62: if (byte_cnt < 5) joystick_2[(byte_cnt-1)<<3 +:8] <= spi_data_in; +// 8'h63: if (byte_cnt < 5) joystick_3[(byte_cnt-1)<<3 +:8] <= spi_data_in; +// 8'h64: if (byte_cnt < 5) joystick_4[(byte_cnt-1)<<3 +:8] <= spi_data_in; + // store incoming ps2 mouse bytes + 8'h04: begin + got_ps2 <= 1; + case(byte_cnt) + 2: ps2_mouse[7:0] <= spi_data_in; + 3: ps2_mouse[15:8] <= spi_data_in; + 4: ps2_mouse[23:16] <= spi_data_in; + endcase + ps2_mouse_fifo[ps2_mouse_wptr] <= spi_data_in; + ps2_mouse_wptr <= ps2_mouse_wptr + 1'd1; + end + + // store incoming ps2 keyboard bytes + 8'h05: begin + got_ps2 <= 1; + ps2_key_raw[31:0] <= {ps2_key_raw[23:0], spi_data_in}; + ps2_kbd_fifo[ps2_kbd_wptr] <= spi_data_in; + ps2_kbd_wptr <= ps2_kbd_wptr + 1'd1; + end + + 8'h15: status[7:0] <= spi_data_in; + + // send SD config IO -> FPGA + // flag that download begins + // sd card knows data is config if sd_dout_strobe is asserted + // with sd_ack still being inactive (low) + 8'h19, + // send sector IO -> FPGA + // flag that download begins + 8'h17: begin + sd_buff_dout <= spi_data_in; + b_wr <= 1; + end + + // joystick analog + 8'h1a: begin + // first byte is joystick index + if(byte_cnt == 2) stick_idx <= spi_data_in[2:0]; + else if(byte_cnt == 3) begin + // second byte is x axis + if(stick_idx == 0) joystick_analog_0[15:8] <= spi_data_in; + else if(stick_idx == 1) joystick_analog_1[15:8] <= spi_data_in; + end else if(byte_cnt == 4) begin + // third byte is y axis + if(stick_idx == 0) joystick_analog_0[7:0] <= spi_data_in; + else if(stick_idx == 1) joystick_analog_1[7:0] <= spi_data_in; + end + end + + // notify image selection + 8'h1c: mount_strobe[spi_data_in[0]] <= 1; + + // send image info + 8'h1d: if(byte_cnt<6) img_size[(byte_cnt-2)<<3 +:8] <= spi_data_in; + + // status, 32bit version + 8'h1e: if(byte_cnt<6) status[(byte_cnt-2)<<3 +:8] <= spi_data_in; + default: ; + endcase + end + end end @@ -422,6 +456,8 @@ localparam UIO_FILE_TX = 8'h53; localparam UIO_FILE_TX_DAT = 8'h54; localparam UIO_FILE_INDEX = 8'h55; +reg rdownload = 0; + // data_io has its own SPI interface to the io controller always@(posedge SPI_SCK, posedge SPI_SS2) begin reg [6:0] sbuf; @@ -431,15 +467,10 @@ always@(posedge SPI_SCK, posedge SPI_SS2) begin if(SPI_SS2) cnt <= 0; else begin - rclk <= 0; - // don't shift in last bit. It is evaluated directly // when writing to ram if(cnt != 15) sbuf <= { sbuf[5:0], SPI_DI}; - // increase target address after write - if(rclk) addr <= addr + 1'd1; - // count 0-7 8-15 8-15 ... if(cnt < 15) cnt <= cnt + 1'd1; else cnt <= 8; @@ -451,11 +482,15 @@ always@(posedge SPI_SCK, posedge SPI_SS2) begin if((cmd == UIO_FILE_TX) && (cnt == 15)) begin // prepare if(SPI_DI) begin - addr <= 0; - ioctl_download <= 1; + case(ioctl_index[4:0]) + 1: addr <= 25'h200000; // TRD buffer at 2MB + 2: addr <= 25'h400000; // tape buffer at 4MB + default: addr <= 25'h150000; // boot rom + endcase + rdownload <= 1; end else begin addr_w <= addr; - ioctl_download <= 0; + rdownload <= 0; end end @@ -463,7 +498,8 @@ always@(posedge SPI_SCK, posedge SPI_SS2) begin if((cmd == UIO_FILE_TX_DAT) && (cnt == 15)) begin addr_w <= addr; data_w <= {sbuf, SPI_DI}; - rclk <= 1; + addr <= addr + 1'd1; + rclk <= ~rclk; end // expose file (menu) index @@ -471,21 +507,24 @@ always@(posedge SPI_SCK, posedge SPI_SS2) begin end end -assign ioctl_wr = |ioctl_wrd; -reg [1:0] ioctl_wrd; - -always@(negedge clk_sys) begin +// transfer to ioctl_clk domain. +// ioctl_index is set before ioctl_download, so it's stable already +always@(posedge clk_sys) begin reg rclkD, rclkD2; - rclkD <= rclk; - rclkD2 <= rclkD; - ioctl_wrd<= {ioctl_wrd[0],1'b0}; + if(ioctl_ce) begin + ioctl_download <= rdownload; - if(rclkD & ~rclkD2) begin - ioctl_dout <= data_w; - ioctl_addr <= addr_w; - ioctl_wrd <= 2'b11; + rclkD <= rclk; + rclkD2 <= rclkD; + ioctl_wr <= 0; + + if(rclkD != rclkD2) begin + ioctl_dout <= data_w; + ioctl_addr <= addr_w; + ioctl_wr <= 1; + end end end -endmodule +endmodule \ No newline at end of file diff --git a/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/pll.vhd b/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/pll.vhd deleted file mode 100644 index ce065a7b..00000000 --- a/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/pll.vhd +++ /dev/null @@ -1,389 +0,0 @@ --- megafunction wizard: %ALTPLL% --- GENERATION: STANDARD --- VERSION: WM1.0 --- MODULE: altpll - --- ============================================================ --- File Name: pll.vhd --- Megafunction Name(s): --- altpll --- --- Simulation Library Files(s): --- altera_mf --- ============================================================ --- ************************************************************ --- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! --- --- 13.1.4 Build 182 03/12/2014 SJ Full Version --- ************************************************************ - - ---Copyright (C) 1991-2014 Altera Corporation ---Your use of Altera Corporation's design tools, logic functions ---and other software and tools, and its AMPP partner logic ---functions, and any output files from any of the foregoing ---(including device programming or simulation files), and any ---associated documentation or information are expressly subject ---to the terms and conditions of the Altera Program License ---Subscription Agreement, Altera MegaCore Function License ---Agreement, or other applicable license agreement, including, ---without limitation, that your use is for the sole purpose of ---programming logic devices manufactured by Altera and sold by ---Altera or its authorized distributors. Please refer to the ---applicable agreement for further details. - - -LIBRARY ieee; -USE ieee.std_logic_1164.all; - -LIBRARY altera_mf; -USE altera_mf.all; - -ENTITY pll IS - PORT - ( - inclk0 : IN STD_LOGIC := '0'; - c0 : OUT STD_LOGIC ; - c1 : OUT STD_LOGIC ; - locked : OUT STD_LOGIC - ); -END pll; - - -ARCHITECTURE SYN OF pll IS - - SIGNAL sub_wire0 : STD_LOGIC_VECTOR (4 DOWNTO 0); - SIGNAL sub_wire1 : STD_LOGIC ; - SIGNAL sub_wire2 : STD_LOGIC ; - SIGNAL sub_wire3 : STD_LOGIC ; - SIGNAL sub_wire4 : STD_LOGIC ; - SIGNAL sub_wire5 : STD_LOGIC_VECTOR (1 DOWNTO 0); - SIGNAL sub_wire6_bv : BIT_VECTOR (0 DOWNTO 0); - SIGNAL sub_wire6 : STD_LOGIC_VECTOR (0 DOWNTO 0); - - - - COMPONENT altpll - GENERIC ( - bandwidth_type : STRING; - clk0_divide_by : NATURAL; - clk0_duty_cycle : NATURAL; - clk0_multiply_by : NATURAL; - clk0_phase_shift : STRING; - clk1_divide_by : NATURAL; - clk1_duty_cycle : NATURAL; - clk1_multiply_by : NATURAL; - clk1_phase_shift : STRING; - inclk0_input_frequency : NATURAL; - intended_device_family : STRING; - lpm_hint : STRING; - lpm_type : STRING; - operation_mode : STRING; - pll_type : STRING; - port_activeclock : STRING; - port_areset : STRING; - port_clkbad0 : STRING; - port_clkbad1 : STRING; - port_clkloss : STRING; - port_clkswitch : STRING; - port_configupdate : STRING; - port_fbin : STRING; - port_inclk0 : STRING; - port_inclk1 : STRING; - port_locked : STRING; - port_pfdena : STRING; - port_phasecounterselect : STRING; - port_phasedone : STRING; - port_phasestep : STRING; - port_phaseupdown : STRING; - port_pllena : STRING; - port_scanaclr : STRING; - port_scanclk : STRING; - port_scanclkena : STRING; - port_scandata : STRING; - port_scandataout : STRING; - port_scandone : STRING; - port_scanread : STRING; - port_scanwrite : STRING; - port_clk0 : STRING; - port_clk1 : STRING; - port_clk2 : STRING; - port_clk3 : STRING; - port_clk4 : STRING; - port_clk5 : STRING; - port_clkena0 : STRING; - port_clkena1 : STRING; - port_clkena2 : STRING; - port_clkena3 : STRING; - port_clkena4 : STRING; - port_clkena5 : STRING; - port_extclk0 : STRING; - port_extclk1 : STRING; - port_extclk2 : STRING; - port_extclk3 : STRING; - self_reset_on_loss_lock : STRING; - width_clock : NATURAL - ); - PORT ( - clk : OUT STD_LOGIC_VECTOR (4 DOWNTO 0); - inclk : IN STD_LOGIC_VECTOR (1 DOWNTO 0); - locked : OUT STD_LOGIC - ); - END COMPONENT; - -BEGIN - sub_wire6_bv(0 DOWNTO 0) <= "0"; - sub_wire6 <= To_stdlogicvector(sub_wire6_bv); - sub_wire3 <= sub_wire0(0); - sub_wire1 <= sub_wire0(1); - c1 <= sub_wire1; - locked <= sub_wire2; - c0 <= sub_wire3; - sub_wire4 <= inclk0; - sub_wire5 <= sub_wire6(0 DOWNTO 0) & sub_wire4; - - altpll_component : altpll - GENERIC MAP ( - bandwidth_type => "AUTO", - clk0_divide_by => 3, - clk0_duty_cycle => 50, - clk0_multiply_by => 8, - clk0_phase_shift => "0", - clk1_divide_by => 3, - clk1_duty_cycle => 50, - clk1_multiply_by => 2, - clk1_phase_shift => "0", - inclk0_input_frequency => 37037, - intended_device_family => "Cyclone III", - lpm_hint => "CBX_MODULE_PREFIX=pll", - lpm_type => "altpll", - operation_mode => "NO_COMPENSATION", - pll_type => "AUTO", - port_activeclock => "PORT_UNUSED", - port_areset => "PORT_UNUSED", - port_clkbad0 => "PORT_UNUSED", - port_clkbad1 => "PORT_UNUSED", - port_clkloss => "PORT_UNUSED", - port_clkswitch => "PORT_UNUSED", - port_configupdate => "PORT_UNUSED", - port_fbin => "PORT_UNUSED", - port_inclk0 => "PORT_USED", - port_inclk1 => "PORT_UNUSED", - port_locked => "PORT_USED", - port_pfdena => "PORT_UNUSED", - port_phasecounterselect => "PORT_UNUSED", - port_phasedone => "PORT_UNUSED", - port_phasestep => "PORT_UNUSED", - port_phaseupdown => "PORT_UNUSED", - port_pllena => "PORT_UNUSED", - port_scanaclr => "PORT_UNUSED", - port_scanclk => "PORT_UNUSED", - port_scanclkena => "PORT_UNUSED", - port_scandata => "PORT_UNUSED", - port_scandataout => "PORT_UNUSED", - port_scandone => "PORT_UNUSED", - port_scanread => "PORT_UNUSED", - port_scanwrite => "PORT_UNUSED", - port_clk0 => "PORT_USED", - port_clk1 => "PORT_USED", - port_clk2 => "PORT_UNUSED", - port_clk3 => "PORT_UNUSED", - port_clk4 => "PORT_UNUSED", - port_clk5 => "PORT_UNUSED", - port_clkena0 => "PORT_UNUSED", - port_clkena1 => "PORT_UNUSED", - port_clkena2 => "PORT_UNUSED", - port_clkena3 => "PORT_UNUSED", - port_clkena4 => "PORT_UNUSED", - port_clkena5 => "PORT_UNUSED", - port_extclk0 => "PORT_UNUSED", - port_extclk1 => "PORT_UNUSED", - port_extclk2 => "PORT_UNUSED", - port_extclk3 => "PORT_UNUSED", - self_reset_on_loss_lock => "ON", - width_clock => 5 - ) - PORT MAP ( - inclk => sub_wire5, - clk => sub_wire0, - locked => sub_wire2 - ); - - - -END SYN; - --- ============================================================ --- CNX file retrieval info --- ============================================================ --- Retrieval info: PRIVATE: ACTIVECLK_CHECK STRING "0" --- Retrieval info: PRIVATE: BANDWIDTH STRING "1.000" --- Retrieval info: PRIVATE: BANDWIDTH_FEATURE_ENABLED STRING "1" --- Retrieval info: PRIVATE: BANDWIDTH_FREQ_UNIT STRING "MHz" --- Retrieval info: PRIVATE: BANDWIDTH_PRESET STRING "Low" --- Retrieval info: PRIVATE: BANDWIDTH_USE_AUTO STRING "1" --- Retrieval info: PRIVATE: BANDWIDTH_USE_PRESET STRING "0" --- Retrieval info: PRIVATE: CLKBAD_SWITCHOVER_CHECK STRING "0" --- Retrieval info: PRIVATE: CLKLOSS_CHECK STRING "0" --- Retrieval info: PRIVATE: CLKSWITCH_CHECK STRING "1" --- Retrieval info: PRIVATE: CNX_NO_COMPENSATE_RADIO STRING "1" --- Retrieval info: PRIVATE: CREATE_CLKBAD_CHECK STRING "0" --- Retrieval info: PRIVATE: CREATE_INCLK1_CHECK STRING "0" --- Retrieval info: PRIVATE: CUR_DEDICATED_CLK STRING "c0" --- Retrieval info: PRIVATE: CUR_FBIN_CLK STRING "c0" --- Retrieval info: PRIVATE: DEVICE_SPEED_GRADE STRING "8" --- Retrieval info: PRIVATE: DIV_FACTOR0 NUMERIC "13500" --- Retrieval info: PRIVATE: DIV_FACTOR1 NUMERIC "3" --- Retrieval info: PRIVATE: DUTY_CYCLE0 STRING "50.00000000" --- Retrieval info: PRIVATE: DUTY_CYCLE1 STRING "50.00000000" --- Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE0 STRING "72.000000" --- Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE1 STRING "18.000000" --- Retrieval info: PRIVATE: EXPLICIT_SWITCHOVER_COUNTER STRING "0" --- Retrieval info: PRIVATE: EXT_FEEDBACK_RADIO STRING "0" --- Retrieval info: PRIVATE: GLOCKED_COUNTER_EDIT_CHANGED STRING "1" --- Retrieval info: PRIVATE: GLOCKED_FEATURE_ENABLED STRING "0" --- Retrieval info: PRIVATE: GLOCKED_MODE_CHECK STRING "0" --- Retrieval info: PRIVATE: GLOCK_COUNTER_EDIT NUMERIC "1048575" --- Retrieval info: PRIVATE: HAS_MANUAL_SWITCHOVER STRING "1" --- Retrieval info: PRIVATE: INCLK0_FREQ_EDIT STRING "27.000" --- Retrieval info: PRIVATE: INCLK0_FREQ_UNIT_COMBO STRING "MHz" --- Retrieval info: PRIVATE: INCLK1_FREQ_EDIT STRING "100.000" --- Retrieval info: PRIVATE: INCLK1_FREQ_EDIT_CHANGED STRING "1" --- Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_CHANGED STRING "1" --- Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_COMBO STRING "MHz" --- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone III" --- Retrieval info: PRIVATE: INT_FEEDBACK__MODE_RADIO STRING "1" --- Retrieval info: PRIVATE: LOCKED_OUTPUT_CHECK STRING "1" --- Retrieval info: PRIVATE: LONG_SCAN_RADIO STRING "1" --- Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE STRING "Not Available" --- Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE_DIRTY NUMERIC "0" --- Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT0 STRING "deg" --- Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT1 STRING "deg" --- Retrieval info: PRIVATE: MIG_DEVICE_SPEED_GRADE STRING "Any" --- Retrieval info: PRIVATE: MIRROR_CLK0 STRING "0" --- Retrieval info: PRIVATE: MIRROR_CLK1 STRING "0" --- Retrieval info: PRIVATE: MULT_FACTOR0 NUMERIC "7" --- Retrieval info: PRIVATE: MULT_FACTOR1 NUMERIC "2" --- Retrieval info: PRIVATE: NORMAL_MODE_RADIO STRING "0" --- Retrieval info: PRIVATE: OUTPUT_FREQ0 STRING "72.00000000" --- Retrieval info: PRIVATE: OUTPUT_FREQ1 STRING "18.00000000" --- Retrieval info: PRIVATE: OUTPUT_FREQ_MODE0 STRING "1" --- Retrieval info: PRIVATE: OUTPUT_FREQ_MODE1 STRING "1" --- Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT0 STRING "MHz" --- Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT1 STRING "MHz" --- Retrieval info: PRIVATE: PHASE_RECONFIG_FEATURE_ENABLED STRING "1" --- Retrieval info: PRIVATE: PHASE_RECONFIG_INPUTS_CHECK STRING "0" --- Retrieval info: PRIVATE: PHASE_SHIFT0 STRING "0.00000000" --- Retrieval info: PRIVATE: PHASE_SHIFT1 STRING "0.00000000" --- Retrieval info: PRIVATE: PHASE_SHIFT_STEP_ENABLED_CHECK STRING "0" --- Retrieval info: PRIVATE: PHASE_SHIFT_UNIT0 STRING "deg" --- Retrieval info: PRIVATE: PHASE_SHIFT_UNIT1 STRING "deg" --- Retrieval info: PRIVATE: PLL_ADVANCED_PARAM_CHECK STRING "0" --- Retrieval info: PRIVATE: PLL_ARESET_CHECK STRING "0" --- Retrieval info: PRIVATE: PLL_AUTOPLL_CHECK NUMERIC "1" --- Retrieval info: PRIVATE: PLL_ENHPLL_CHECK NUMERIC "0" --- Retrieval info: PRIVATE: PLL_FASTPLL_CHECK NUMERIC "0" --- Retrieval info: PRIVATE: PLL_FBMIMIC_CHECK STRING "0" --- Retrieval info: PRIVATE: PLL_LVDS_PLL_CHECK NUMERIC "0" --- Retrieval info: PRIVATE: PLL_PFDENA_CHECK STRING "0" --- Retrieval info: PRIVATE: PLL_TARGET_HARCOPY_CHECK NUMERIC "0" --- Retrieval info: PRIVATE: PRIMARY_CLK_COMBO STRING "inclk0" --- Retrieval info: PRIVATE: RECONFIG_FILE STRING "pll.mif" --- Retrieval info: PRIVATE: SACN_INPUTS_CHECK STRING "0" --- Retrieval info: PRIVATE: SCAN_FEATURE_ENABLED STRING "1" --- Retrieval info: PRIVATE: SELF_RESET_LOCK_LOSS STRING "1" --- Retrieval info: PRIVATE: SHORT_SCAN_RADIO STRING "0" --- Retrieval info: PRIVATE: SPREAD_FEATURE_ENABLED STRING "0" --- Retrieval info: PRIVATE: SPREAD_FREQ STRING "50.000" --- Retrieval info: PRIVATE: SPREAD_FREQ_UNIT STRING "KHz" --- Retrieval info: PRIVATE: SPREAD_PERCENT STRING "0.500" --- Retrieval info: PRIVATE: SPREAD_USE STRING "0" --- Retrieval info: PRIVATE: SRC_SYNCH_COMP_RADIO STRING "0" --- Retrieval info: PRIVATE: STICKY_CLK0 STRING "1" --- Retrieval info: PRIVATE: STICKY_CLK1 STRING "1" --- Retrieval info: PRIVATE: SWITCHOVER_COUNT_EDIT NUMERIC "1" --- Retrieval info: PRIVATE: SWITCHOVER_FEATURE_ENABLED STRING "1" --- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" --- Retrieval info: PRIVATE: USE_CLK0 STRING "1" --- Retrieval info: PRIVATE: USE_CLK1 STRING "1" --- Retrieval info: PRIVATE: USE_CLKENA0 STRING "0" --- Retrieval info: PRIVATE: USE_CLKENA1 STRING "0" --- Retrieval info: PRIVATE: USE_MIL_SPEED_GRADE NUMERIC "0" --- Retrieval info: PRIVATE: ZERO_DELAY_RADIO STRING "0" --- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all --- Retrieval info: CONSTANT: BANDWIDTH_TYPE STRING "AUTO" --- Retrieval info: CONSTANT: CLK0_DIVIDE_BY NUMERIC "3" --- Retrieval info: CONSTANT: CLK0_DUTY_CYCLE NUMERIC "50" --- Retrieval info: CONSTANT: CLK0_MULTIPLY_BY NUMERIC "8" --- Retrieval info: CONSTANT: CLK0_PHASE_SHIFT STRING "0" --- Retrieval info: CONSTANT: CLK1_DIVIDE_BY NUMERIC "3" --- Retrieval info: CONSTANT: CLK1_DUTY_CYCLE NUMERIC "50" --- Retrieval info: CONSTANT: CLK1_MULTIPLY_BY NUMERIC "2" --- Retrieval info: CONSTANT: CLK1_PHASE_SHIFT STRING "0" --- Retrieval info: CONSTANT: INCLK0_INPUT_FREQUENCY NUMERIC "37037" --- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone III" --- Retrieval info: CONSTANT: LPM_TYPE STRING "altpll" --- Retrieval info: CONSTANT: OPERATION_MODE STRING "NO_COMPENSATION" --- Retrieval info: CONSTANT: PLL_TYPE STRING "AUTO" --- Retrieval info: CONSTANT: PORT_ACTIVECLOCK STRING "PORT_UNUSED" --- Retrieval info: CONSTANT: PORT_ARESET STRING "PORT_UNUSED" --- Retrieval info: CONSTANT: PORT_CLKBAD0 STRING "PORT_UNUSED" --- Retrieval info: CONSTANT: PORT_CLKBAD1 STRING "PORT_UNUSED" --- Retrieval info: CONSTANT: PORT_CLKLOSS STRING "PORT_UNUSED" --- Retrieval info: CONSTANT: PORT_CLKSWITCH STRING "PORT_UNUSED" --- Retrieval info: CONSTANT: PORT_CONFIGUPDATE STRING "PORT_UNUSED" --- Retrieval info: CONSTANT: PORT_FBIN STRING "PORT_UNUSED" --- Retrieval info: CONSTANT: PORT_INCLK0 STRING "PORT_USED" --- Retrieval info: CONSTANT: PORT_INCLK1 STRING "PORT_UNUSED" --- Retrieval info: CONSTANT: PORT_LOCKED STRING "PORT_USED" --- Retrieval info: CONSTANT: PORT_PFDENA STRING "PORT_UNUSED" --- Retrieval info: CONSTANT: PORT_PHASECOUNTERSELECT STRING "PORT_UNUSED" --- Retrieval info: CONSTANT: PORT_PHASEDONE STRING "PORT_UNUSED" --- Retrieval info: CONSTANT: PORT_PHASESTEP STRING "PORT_UNUSED" --- Retrieval info: CONSTANT: PORT_PHASEUPDOWN STRING "PORT_UNUSED" --- Retrieval info: CONSTANT: PORT_PLLENA STRING "PORT_UNUSED" --- Retrieval info: CONSTANT: PORT_SCANACLR STRING "PORT_UNUSED" --- Retrieval info: CONSTANT: PORT_SCANCLK STRING "PORT_UNUSED" --- Retrieval info: CONSTANT: PORT_SCANCLKENA STRING "PORT_UNUSED" --- Retrieval info: CONSTANT: PORT_SCANDATA STRING "PORT_UNUSED" --- Retrieval info: CONSTANT: PORT_SCANDATAOUT STRING "PORT_UNUSED" --- Retrieval info: CONSTANT: PORT_SCANDONE STRING "PORT_UNUSED" --- Retrieval info: CONSTANT: PORT_SCANREAD STRING "PORT_UNUSED" --- Retrieval info: CONSTANT: PORT_SCANWRITE STRING "PORT_UNUSED" --- Retrieval info: CONSTANT: PORT_clk0 STRING "PORT_USED" --- Retrieval info: CONSTANT: PORT_clk1 STRING "PORT_USED" --- Retrieval info: CONSTANT: PORT_clk2 STRING "PORT_UNUSED" --- Retrieval info: CONSTANT: PORT_clk3 STRING "PORT_UNUSED" --- Retrieval info: CONSTANT: PORT_clk4 STRING "PORT_UNUSED" --- Retrieval info: CONSTANT: PORT_clk5 STRING "PORT_UNUSED" --- Retrieval info: CONSTANT: PORT_clkena0 STRING "PORT_UNUSED" --- Retrieval info: CONSTANT: PORT_clkena1 STRING "PORT_UNUSED" --- Retrieval info: CONSTANT: PORT_clkena2 STRING "PORT_UNUSED" --- Retrieval info: CONSTANT: PORT_clkena3 STRING "PORT_UNUSED" --- Retrieval info: CONSTANT: PORT_clkena4 STRING "PORT_UNUSED" --- Retrieval info: CONSTANT: PORT_clkena5 STRING "PORT_UNUSED" --- Retrieval info: CONSTANT: PORT_extclk0 STRING "PORT_UNUSED" --- Retrieval info: CONSTANT: PORT_extclk1 STRING "PORT_UNUSED" --- Retrieval info: CONSTANT: PORT_extclk2 STRING "PORT_UNUSED" --- Retrieval info: CONSTANT: PORT_extclk3 STRING "PORT_UNUSED" --- Retrieval info: CONSTANT: SELF_RESET_ON_LOSS_LOCK STRING "ON" --- Retrieval info: CONSTANT: WIDTH_CLOCK NUMERIC "5" --- Retrieval info: USED_PORT: @clk 0 0 5 0 OUTPUT_CLK_EXT VCC "@clk[4..0]" --- Retrieval info: USED_PORT: @inclk 0 0 2 0 INPUT_CLK_EXT VCC "@inclk[1..0]" --- Retrieval info: USED_PORT: c0 0 0 0 0 OUTPUT_CLK_EXT VCC "c0" --- Retrieval info: USED_PORT: c1 0 0 0 0 OUTPUT_CLK_EXT VCC "c1" --- Retrieval info: USED_PORT: inclk0 0 0 0 0 INPUT_CLK_EXT GND "inclk0" --- Retrieval info: USED_PORT: locked 0 0 0 0 OUTPUT GND "locked" --- Retrieval info: CONNECT: @inclk 0 0 1 1 GND 0 0 0 0 --- Retrieval info: CONNECT: @inclk 0 0 1 0 inclk0 0 0 0 0 --- Retrieval info: CONNECT: c0 0 0 0 0 @clk 0 0 1 0 --- Retrieval info: CONNECT: c1 0 0 0 0 @clk 0 0 1 1 --- Retrieval info: CONNECT: locked 0 0 0 0 @locked 0 0 0 0 --- Retrieval info: GEN_FILE: TYPE_NORMAL pll.vhd TRUE --- Retrieval info: GEN_FILE: TYPE_NORMAL pll.ppf TRUE --- Retrieval info: GEN_FILE: TYPE_NORMAL pll.inc FALSE --- Retrieval info: GEN_FILE: TYPE_NORMAL pll.cmp FALSE --- Retrieval info: GEN_FILE: TYPE_NORMAL pll.bsf FALSE --- Retrieval info: GEN_FILE: TYPE_NORMAL pll_inst.vhd FALSE --- Retrieval info: LIB_FILE: altera_mf --- Retrieval info: CBX_MODULE_PREFIX: ON diff --git a/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/scandoubler.v b/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/scandoubler.v index e85cba43..5a3ccd17 100644 --- a/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/scandoubler.v +++ b/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/scandoubler.v @@ -19,6 +19,18 @@ // TODO: Delay vsync one line +`define BITS_TO_FIT(N) ( \ + N <= 2 ? 0 : \ + N <= 4 ? 1 : \ + N <= 8 ? 2 : \ + N <= 16 ? 3 : \ + N <= 32 ? 4 : \ + N <= 64 ? 5 : \ + N <= 128 ? 6 : \ + N <= 256 ? 7 : \ + N <= 512 ? 8 : \ + N <=1024 ? 9 : 10 ) + module scandoubler #(parameter LENGTH, parameter HALF_DEPTH) ( // system interface diff --git a/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/sound_machine.vhd b/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/sound_machine.vhd index d4cfda81..3243e6fb 100644 --- a/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/sound_machine.vhd +++ b/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/sound_machine.vhd @@ -69,7 +69,7 @@ snd_ram_1_we <= ram_1_we; sum <= ('0' & snd_ram_0_do) + ('0' & snd_ram_1_do) + ("0000" & sum_r(4)); -process (clock_18) +process (clock_18, ena) begin if rising_edge(clock_18) and ena = '1' then if snd_seq_do(3) = '0' then diff --git a/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/video_mixer.sv b/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/video_mixer.sv index 3dd47eec..126ca276 100644 --- a/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/video_mixer.sv +++ b/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/video_mixer.sv @@ -50,7 +50,7 @@ module video_mixer input [1:0] scanlines, // 0 = HVSync 31KHz, 1 = CSync 15KHz - input scandoubler_disable, + input scandoublerD, // High quality 2x scaling input hq2x, @@ -113,9 +113,9 @@ scandoubler #(.LENGTH(LINE_LENGTH), .HALF_DEPTH(HALF_DEPTH)) scandoubler .b_out(B_sd) ); -wire [DWIDTH:0] rt = (scandoubler_disable ? R : R_sd); -wire [DWIDTH:0] gt = (scandoubler_disable ? G : G_sd); -wire [DWIDTH:0] bt = (scandoubler_disable ? B : B_sd); +wire [DWIDTH:0] rt = (scandoublerD ? R : R_sd); +wire [DWIDTH:0] gt = (scandoublerD ? G : G_sd); +wire [DWIDTH:0] bt = (scandoublerD ? B : B_sd); generate if(HALF_DEPTH) begin @@ -129,8 +129,8 @@ generate end endgenerate -wire hs = (scandoubler_disable ? HSync : hs_sd); -wire vs = (scandoubler_disable ? VSync : vs_sd); +wire hs = (scandoublerD ? HSync : hs_sd); +wire vs = (scandoublerD ? VSync : vs_sd); reg scanline = 0; always @(posedge clk_sys) begin @@ -237,7 +237,7 @@ wire [7:0] pr = (pr_8[17:8] < 16) ? 8'd16 : (pr_8[17:8] > 240) ? 8'd240 : pr_8[1 assign VGA_R = ypbpr ? (ypbpr_full ? yuv_full[pr-8'd16] : pr[7:2]) : red; assign VGA_G = ypbpr ? (ypbpr_full ? yuv_full[y -8'd16] : y[7:2]) : green; assign VGA_B = ypbpr ? (ypbpr_full ? yuv_full[pb-8'd16] : pb[7:2]) : blue; -assign VGA_VS = (scandoubler_disable | ypbpr) ? 1'b1 : ~vs_sd; -assign VGA_HS = scandoubler_disable ? ~(HSync ^ VSync) : ypbpr ? ~(hs_sd ^ vs_sd) : ~hs_sd; +assign VGA_VS = (scandoublerD | ypbpr) ? 1'b1 : ~vs_sd; +assign VGA_HS = scandoublerD ? ~(HSync ^ VSync) : ypbpr ? ~(hs_sd ^ vs_sd) : ~hs_sd; endmodule diff --git a/Arcade_MiST/Custom Hardware/Galaga_MiST/rtl/build_id.tcl b/Arcade_MiST/Custom Hardware/Galaga_MiST/rtl/build_id.tcl new file mode 100644 index 00000000..938515d8 --- /dev/null +++ b/Arcade_MiST/Custom Hardware/Galaga_MiST/rtl/build_id.tcl @@ -0,0 +1,35 @@ +# ================================================================================ +# +# Build ID Verilog Module Script +# Jeff Wiencrot - 8/1/2011 +# +# Generates a Verilog module that contains a timestamp, +# from the current build. These values are available from the build_date, build_time, +# physical_address, and host_name output ports of the build_id module in the build_id.v +# Verilog source file. +# +# ================================================================================ + +proc generateBuildID_Verilog {} { + + # Get the timestamp (see: http://www.altera.com/support/examples/tcl/tcl-date-time-stamp.html) + set buildDate [ clock format [ clock seconds ] -format %y%m%d ] + set buildTime [ clock format [ clock seconds ] -format %H%M%S ] + + # Create a Verilog file for output + set outputFileName "rtl/build_id.v" + set outputFile [open $outputFileName "w"] + + # Output the Verilog source + puts $outputFile "`define BUILD_DATE \"$buildDate\"" + puts $outputFile "`define BUILD_TIME \"$buildTime\"" + close $outputFile + + # Send confirmation message to the Messages window + post_message "Generated build identification Verilog module: [pwd]/$outputFileName" + post_message "Date: $buildDate" + post_message "Time: $buildTime" +} + +# Comment out this line to prevent the process from automatically executing when the file is sourced: +generateBuildID_Verilog \ No newline at end of file diff --git a/Arcade_MiST/Custom Hardware/Galaga_MiST/rtl/build_id.v b/Arcade_MiST/Custom Hardware/Galaga_MiST/rtl/build_id.v new file mode 100644 index 00000000..35a7b1c4 --- /dev/null +++ b/Arcade_MiST/Custom Hardware/Galaga_MiST/rtl/build_id.v @@ -0,0 +1,2 @@ +`define BUILD_DATE "190308" +`define BUILD_TIME "213631" diff --git a/Arcade_MiST/Custom Hardware/Galaga_MiST/rtl/galaga_mist.sv b/Arcade_MiST/Custom Hardware/Galaga_MiST/rtl/galaga_mist.sv new file mode 100644 index 00000000..394fb329 --- /dev/null +++ b/Arcade_MiST/Custom Hardware/Galaga_MiST/rtl/galaga_mist.sv @@ -0,0 +1,179 @@ +module galaga_mist +( + output LED, + output [5:0] VGA_R, + output [5:0] VGA_G, + output [5:0] VGA_B, + output VGA_HS, + output VGA_VS, + output AUDIO_L, + output AUDIO_R, + input SPI_SCK, + output SPI_DO, + input SPI_DI, + input SPI_SS2, + input SPI_SS3, + input CONF_DATA0, + input CLOCK_27 +); + +`include "rtl\build_id.v" + +localparam CONF_STR = { + "Galaga;;", + "O2,Rotate Controls,Off,On;", + "O34,Scanlines,Off,25%,50%,75%;", +// "O34,Scandoubler Fx,None,HQ2x,CRT 25%,CRT 50%;", + "T6,Reset;", + "V,v1.20.",`BUILD_DATE +}; + +assign LED = 1; +assign AUDIO_R = AUDIO_L; + +wire clk_36, clk_18, clk_9; +pll pll( + .inclk0(CLOCK_27), + .c0(clk_36), + .c1(clk_18), + .c2(clk_9) + ); + + +wire [31:0] status; +wire [1:0] buttons; +wire [1:0] switches; +wire [9:0] kbjoy; +wire [7:0] joystick_0; +wire [7:0] joystick_1; +wire scandoublerD; +wire ypbpr; +wire [10:0] ps2_key; +wire [9:0] audio; +wire hs, vs; +wire blankn = ~(hb | vb); +wire hb, vb; +wire [2:0] r,g; +wire [1:0] b; + +galaga galaga( + .clock_18(clk_18), + .reset(status[0] | status[6] | buttons[1]), + .video_r(r), + .video_g(g), + .video_b(b), + .video_hb(hb), + .video_vb(vb), + .video_hs(hs), + .video_vs(vs), + .audio(audio), + .coin(btn_coin), + .start1(btn_one_player), + .left1(m_left), + .right1(m_right), + .fire1(m_fire), + .start2(btn_two_players), + .left2(m_left), + .right2(m_right), + .fire2(m_fire) + ); + +video_mixer video_mixer( + .clk_sys(clk_36), + .ce_pix(clk_9), + .ce_pix_actual(clk_9), + .SPI_SCK(SPI_SCK), + .SPI_SS3(SPI_SS3), + .SPI_DI(SPI_DI), + .R(blankn ? {r,3'b1} : 0), + .G(blankn ? {g,3'b1} : 0), + .B(blankn ? {b,4'b1} : 0), + .HSync(hs), + .VSync(vs), + .VGA_R(VGA_R), + .VGA_G(VGA_G), + .VGA_B(VGA_B), + .VGA_VS(VGA_VS), + .VGA_HS(VGA_HS), + .rotate({1'b1,status[2]}), + .scanlines(scandoublerD ? 2'b00 : status[4:3]), +// .scanlines(scandoublerD ? 2'b00 : {status[4:3] == 3, status[4:3] == 2}), +// .hq2x(status[4:3]==1), + .scandoublerD(scandoublerD), + .ypbpr(ypbpr), + .ypbpr_full(1), + .line_start(0), + .mono(0) + ); + +mist_io #( + .STRLEN(($size(CONF_STR)>>3))) +mist_io( + .clk_sys (clk_36 ), + .conf_str (CONF_STR ), + .SPI_SCK (SPI_SCK ), + .CONF_DATA0 (CONF_DATA0 ), + .SPI_SS2 (SPI_SS2 ), + .SPI_DO (SPI_DO ), + .SPI_DI (SPI_DI ), + .buttons (buttons ), + .switches (switches ), + .scandoublerD (scandoublerD ), + .ypbpr (ypbpr ), + .ps2_key (ps2_key ), + .joystick_0 (joystick_0 ), + .joystick_1 (joystick_1 ), + .status (status ) + ); + +dac #( + .msbi_g(9)) +dac ( + .clk_i(clk_36), + .res_n_i(1), + .dac_i(audio), + .dac_o(AUDIO_L) + ); + +// Rotated Normal +wire m_up = ~status[2] ? btn_left | joystick_0[1] | joystick_1[1] : btn_up | joystick_0[3] | joystick_1[3]; +wire m_down = ~status[2] ? btn_right | joystick_0[0] | joystick_1[0] : btn_down | joystick_0[2] | joystick_1[2]; +wire m_left = ~status[2] ? btn_down | joystick_0[2] | joystick_1[2] : btn_left | joystick_0[1] | joystick_1[1]; +wire m_right = ~status[2] ? btn_up | joystick_0[3] | joystick_1[3] : btn_right | joystick_0[0] | joystick_1[0]; + +wire m_fire = btn_fire1 | joystick_0[4] | joystick_1[4]; +wire m_bomb = btn_fire2 | joystick_0[5] | joystick_1[5]; + +reg btn_one_player = 0; +reg btn_two_players = 0; +reg btn_left = 0; +reg btn_right = 0; +reg btn_down = 0; +reg btn_up = 0; +reg btn_fire1 = 0; +reg btn_fire2 = 0; +reg btn_fire3 = 0; +reg btn_coin = 0; +wire pressed = ps2_key[9]; +wire [7:0] code = ps2_key[7:0]; + +always @(posedge clk_36) begin + reg old_state; + old_state <= ps2_key[10]; + if(old_state != ps2_key[10]) begin + case(code) + 'h75: btn_up <= pressed; // up + 'h72: btn_down <= pressed; // down + 'h6B: btn_left <= pressed; // left + 'h74: btn_right <= pressed; // right + 'h76: btn_coin <= pressed; // ESC + 'h05: btn_one_player <= pressed; // F1 + 'h06: btn_two_players <= pressed; // F2 + 'h14: btn_fire3 <= pressed; // ctrl + 'h11: btn_fire2 <= pressed; // alt + 'h29: btn_fire1 <= pressed; // Space + endcase + end +end + +endmodule \ No newline at end of file diff --git a/Arcade_MiST/Custom Hardware/Galaga_MiST/rtl/galaga_video.vhd b/Arcade_MiST/Custom Hardware/Galaga_MiST/rtl/galaga_video.vhd new file mode 100644 index 00000000..c3c7b644 --- /dev/null +++ b/Arcade_MiST/Custom Hardware/Galaga_MiST/rtl/galaga_video.vhd @@ -0,0 +1,211 @@ +--------------------------------------------------------------------------------- +-- Phoenix video generator by Dar (darfpga@aol.fr) +-- http://darfpga.blogspot.fr +--------------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; +use ieee.std_logic_unsigned.ALL; +use ieee.numeric_std.all; + +entity phoenix_video is +port( + clk11 : in std_logic; + reset : in std_logic; + hclk : out std_logic; + hcnt : out std_logic_vector(9 downto 1); + vcnt : out std_logic_vector(8 downto 1); + sync : out std_logic; + adrsel : out std_logic; + rdy : out std_logic; + vblank : out std_logic; + hblank_frgrd : out std_logic; + hblank_bkgrd : out std_logic +); end phoenix_video; + +architecture struct of phoenix_video is + signal hclk_i : std_logic := '0'; + signal hstb_i : std_logic := '0'; + signal hcnt_i : unsigned(9 downto 1) := (others=>'0'); + signal vcnt_i : unsigned(8 downto 1) := (others=>'0'); + signal vblank_n : std_logic := '0'; + signal sync1_i : std_logic; + signal sync2_i : std_logic; + + signal pulse_a : std_logic; + signal pulse_b1 : std_logic; + signal pulse_b2 : std_logic; + signal pulse_c1 : std_logic; + signal pulse_c2 : std_logic; + signal pulse_d1 : std_logic; + signal pulse_d2 : std_logic; + signal sync_i : std_logic; + signal vcntr_i : unsigned(8 downto 1) := (others=>'0'); + + signal rdy1_i : std_logic; + signal rdy2_i : std_logic; + signal j1 : std_logic; + signal k1 : std_logic; + signal q1 : std_logic; + signal j2 : std_logic; + signal k2 : std_logic; + signal q2 : std_logic; + +begin + +-- horizontal counter clock (pixel clock) +process (clk11) +begin + if rising_edge(clk11) then + hclk_i <= not hclk_i; + end if; +end process; + +-- horizontal counter from 0x0A0 to 0x1FF : 352 pixels +process (hclk_i) +begin + if rising_edge(hclk_i) then + if reset = '1' then + hcnt_i <= (others=>'0'); + else + hcnt_i <= hcnt_i +1; + if hcnt_i = "111111111" then + hcnt_i <= "010100000"; + end if; + end if; + end if; +end process; + +-- vertical counter clock (line clock) = hblank +process (hclk_i) +begin + if rising_edge(hclk_i) then + if (hcnt_i(3) and hcnt_i(2) and hcnt_i(1)) = '1' then hstb_i <= not hcnt_i(9); end if; + end if; +end process; + +-- vertical clock from 0x00 to 0xFF : 256 lines +process (hstb_i) +begin + if rising_edge(hstb_i) then + if reset = '1' then + vcnt_i <= (others=>'0'); + else + vcnt_i <= vcnt_i +1; + if vcnt_i = "11111111" then + vcnt_i <= "00000000"; + end if; + end if; + end if; +end process; + +-- vertical blanking +vblank_n <= + not(vcnt_i(8) and vcnt_i(7)) + or + ( not + ( not (vcnt_i(8) and vcnt_i(7) and not vcnt_i(6) and not vcnt_i(5) and not vcnt_i(4)) + and + not (vcnt_i(8) and vcnt_i(7) and not vcnt_i(6) and not vcnt_i(5) and vcnt_i(4)) + ) + ); + +-- vertical syncs +sync1_i <= not( vcnt_i(8) and vcnt_i(7) and (vcnt_i(6) and not vcnt_i(5) and not vcnt_i(4) and not vcnt_i(3))); +-- horizontal syncs +sync2_i <= not( not hcnt_i(9) and (hcnt_i(7) and not hcnt_i(6) and not hcnt_i(5))); + +-- ready signal for microprocessor +rdy1_i <= not( not(hcnt_i(9)) and not hcnt_i(7) and hcnt_i(6) and not hcnt_i(5)); +rdy2_i <= not( not(hcnt_i(9)) and hcnt_i(7) and hcnt_i(6) and hcnt_i(5)); + +-- background horizontal blanking +j1 <= hcnt_i(6) and hcnt_i(4); +k1 <= hstb_i; + +process (hclk_i) +begin + if rising_edge(hclk_i) then + if (j1 xor k1) = '1' then + q1 <= j1; + elsif j1 = '1' then + q1 <= not q1; + else + q1 <= q1; + end if; + end if; +end process; + +j2 <= not hcnt_i(6) and hcnt_i(5); +k2 <= hcnt_i(8) and hcnt_i(7) and hcnt_i(6) and hcnt_i(4); + +process (hclk_i) +begin + if rising_edge(hclk_i) then + if (j2 xor k2) = '1' then + q2 <= j2; + elsif j2 = '1' then + q2 <= not q2; + else + q2 <= q2; + end if; + end if; +end process; + +-- output +hclk <= hclk_i; +hcnt <= std_logic_vector(hcnt_i); +vcnt <= std_logic_vector(vcnt_i); +--sync <= not(sync1_i xor sync2_i) ; original syncs +rdy <= not(vblank_n and (not (rdy1_i and rdy2_i and not hcnt_i(9)))); +adrsel <= vblank_n and hcnt_i(9); + +vblank <= not vblank_n; +hblank_frgrd <= hstb_i; +hblank_bkgrd <= not(hcnt_i(9) and q1) and not(hcnt_i(9) and (q2)); + +-- make sync pulses width close to 4.7us (26 pixels) +-- and add compensation pulse 2.35us (13 pixels) +-- falling edge should always occured at 32 or 64us +process (hclk_i) +begin + if rising_edge(hclk_i) then + if hcnt_i = '0'&X"BF" then pulse_a <= '0'; end if; -- 4.7us normal sync + if hcnt_i = '0'&X"D9" then pulse_a <= '1'; end if; -- negative pulse , start at 0x0C0 + + if hcnt_i = '0'&X"BF" then pulse_b1 <= '0'; end if; -- 2.35us fisrt precomp sync + if hcnt_i = '0'&X"CC" then pulse_b1 <= '1'; end if; -- negative pulse, start at 0x0C0 + + if hcnt_i = '1'&X"6F" then pulse_b2 <= '0'; end if; -- 2.35us 2nd precomp sync + if hcnt_i = '1'&X"7C" then pulse_b2 <= '1'; end if; -- negative pulse, start at 0x170 + + if hcnt_i = '0'&X"A5" then pulse_c1 <= '1'; end if; -- 4.7us fisrt precomp sync + if hcnt_i = '0'&X"BF" then pulse_c1 <= '0'; end if; -- positive pulse, end at 0x0C0 + + if hcnt_i = '1'&X"55" then pulse_c2 <= '1'; end if; -- 4.7us 2nd precomp sync + if hcnt_i = '1'&X"6F" then pulse_c2 <= '0'; end if; -- positive pulse, end at 0x170 + + if hcnt_i = '1'&X"FF" then pulse_d1 <= '0'; end if; -- begin of vsync field + if hcnt_i = '0'&X"BF" then pulse_d1 <= '1'; end if; -- falling edge at 0x0C0 + + if hcnt_i = '1'&X"FF" then pulse_d2 <= '1'; end if; -- end of vsync field + if hcnt_i = '1'&X"6F" then pulse_d2 <= '0'; end if; -- rising edge at 0x0170 + + sync <= sync_i; + + if hcnt_i = '1'&X"FF" then vcntr_i <= vcnt_i; end if; -- synchronise vcnt with hcnt + end if; +end process; + +-- mux syncs with respect to line counter +with vcntr_i select +sync_i <= pulse_b1 and pulse_b2 when X"DF", + pulse_b1 and pulse_b2 when X"E0", + pulse_b1 and pulse_d2 when X"E1", + pulse_c1 or pulse_c2 when X"E2", + pulse_c1 or pulse_c2 when X"E3", + (pulse_c1 and not pulse_d1) or (pulse_b1 and pulse_b2 and pulse_d1) when X"E4", + pulse_b1 and pulse_b2 when X"E5", + pulse_a when others; + +end struct; diff --git a/Arcade_MiST/Custom Hardware/Galaga_MiST/rtl/mb88.vhd b/Arcade_MiST/Custom Hardware/Galaga_MiST/rtl/mb88.vhd new file mode 100644 index 00000000..a85b39f7 --- /dev/null +++ b/Arcade_MiST/Custom Hardware/Galaga_MiST/rtl/mb88.vhd @@ -0,0 +1,580 @@ +--------------------------------------------------------------------------------- +-- mb88 by Dar (darfpga@aol.fr) +-- http://darfpga.blogspot.fr +--------------------------------------------------------------------------------- +-- +-- Version 0.3 -- 28/02/2017 -- +-- fixed instruction JMP (0xC0..0xFF) let r_pa be incremented when r_pc = 0x3F +-- +-- Version 0.2 -- 26/02/2017 -- +-- corrected r_stf for tstR instruction (0x24) +-- corrected r_stf for tbit instruction (0x38-0x3B) +-- +-- Version 0.1 -- 25/02/2017 -- +-- outO instruction write to ol,oh depending on r_cf +--------------------------------------------------------------------------------- +-- Educational use only +-- Do not redistribute synthetized file with roms +-- Do not redistribute roms whatever the form +-- Use at your own risk +--------------------------------------------------------------------------------- + +-- Todo : Timer, Serial + +-- Features : + +--------------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; +use ieee.std_logic_unsigned.all; +use ieee.numeric_std.all; + +entity mb88 is +port( + clock : in std_logic; + ena : in std_logic; + reset_n : in std_logic; + + r0_port_in : in std_logic_vector(3 downto 0); + r1_port_in : in std_logic_vector(3 downto 0); + r2_port_in : in std_logic_vector(3 downto 0); + r3_port_in : in std_logic_vector(3 downto 0); + r0_port_out : out std_logic_vector(3 downto 0); + r1_port_out : out std_logic_vector(3 downto 0); + r2_port_out : out std_logic_vector(3 downto 0); + r3_port_out : out std_logic_vector(3 downto 0); + k_port_in : in std_logic_vector(3 downto 0); + ol_port_out : out std_logic_vector(3 downto 0); + oh_port_out : out std_logic_vector(3 downto 0); + p_port_out : out std_logic_vector(3 downto 0); + + stby_n : in std_logic; + tc_n : in std_logic; + irq_n : in std_logic; + sc_in_n : in std_logic; + si_n : in std_logic; + sc_out_n : out std_logic; + so_n : out std_logic; + to_n : out std_logic; + + rom_addr : out std_logic_vector(10 downto 0); + rom_data : in std_logic_vector( 7 downto 0) + + ); +end mb88; + +architecture struct of mb88 is + + signal reset : std_logic; + signal clock_n : std_logic; + + signal ram_addr : std_logic_vector(6 downto 0); + signal ram_we : std_logic; + signal ram_di : std_logic_vector(3 downto 0); + signal ram_do : std_logic_vector(3 downto 0); + + signal r_pc : std_logic_vector(5 downto 0) := (others=>'0'); + signal r_pa : std_logic_vector(4 downto 0) := (others=>'0'); + signal r_si : std_logic_vector(1 downto 0) := (others=>'0'); + signal r_a : std_logic_vector(3 downto 0) := (others=>'0'); + signal r_x : std_logic_vector(3 downto 0) := (others=>'0'); + signal r_y : std_logic_vector(3 downto 0) := (others=>'0'); + signal r_stf : std_logic := '1'; + signal r_zf : std_logic := '0'; + signal r_cf : std_logic := '0'; + signal r_vf : std_logic := '0'; + signal r_sf : std_logic := '0'; + signal r_nf : std_logic := '0'; + + signal r_pio : std_logic_vector(7 downto 0) := (others=>'0'); + signal r_th : std_logic_vector(3 downto 0) := (others=>'0'); + signal r_tl : std_logic_vector(3 downto 0) := (others=>'0'); + signal r_tp : std_logic_vector(5 downto 0) := (others=>'0'); + signal r_ctr : std_logic_vector(5 downto 0) := (others=>'0'); + + signal r_sb : std_logic_vector(3 downto 0) := (others=>'0'); + signal r_sbcnt : std_logic_vector(3 downto 0) := (others=>'0'); + + signal interrupt_pending : std_logic := '0'; + signal irq_n_r : std_logic := '0'; + + subtype stack_size is integer range 0 to 3; + type stack_def is array(stack_size) of std_logic_vector(15 downto 0); + signal stack : stack_def := (others=>(others=>'0')); + + subtype ram_size is integer range 0 to 127; + type ram_def is array(ram_size) of std_logic_vector(3 downto 0); + signal ram : ram_def := (others=>(others=>'0')); + + signal single_byte_op : std_logic := '1'; + signal op_code : std_logic_vector(7 downto 0) := X"00"; + + signal a_p1 : std_logic_vector(3 downto 0); + signal a_p1_z : std_logic; + signal a_p1_c : std_logic; + signal a_m1 : std_logic_vector(3 downto 0); + signal a_m1_z : std_logic; + signal a_m1_c : std_logic; + signal y_p1 : std_logic_vector(3 downto 0); + signal y_p1_z : std_logic; + signal y_p1_c : std_logic; + signal y_m1 : std_logic_vector(3 downto 0); + signal y_m1_z : std_logic; + signal y_m1_c : std_logic; + signal m_p1 : std_logic_vector(3 downto 0); + signal m_p1_z : std_logic; + signal m_p1_c : std_logic; + signal m_m1 : std_logic_vector(3 downto 0); + signal m_m1_z : std_logic; + signal m_m1_c : std_logic; + signal adc : std_logic_vector(4 downto 0); + signal adc_z : std_logic; + signal adc_c : std_logic; + signal sbc : std_logic_vector(4 downto 0); + signal sbc_z : std_logic; + signal sbc_c : std_logic; + signal cma : std_logic_vector(4 downto 0); + signal cma_z : std_logic; + signal cma_c : std_logic; + signal a_pim : std_logic_vector(4 downto 0); + signal a_pim_z: std_logic; + signal a_pim_c: std_logic; + signal im_my : std_logic_vector(4 downto 0); + signal im_my_z: std_logic; + signal im_my_c: std_logic; + signal im_ma : std_logic_vector(4 downto 0); + signal im_ma_z: std_logic; + signal im_ma_c: std_logic; + signal a_and_m : std_logic_vector(3 downto 0); + signal a_and_m_z : std_logic; + signal a_or_m : std_logic_vector(3 downto 0); + signal a_or_m_z : std_logic; + signal a_xor_m : std_logic_vector(3 downto 0); + signal a_xor_m_z : std_logic; + signal nega : std_logic_vector(3 downto 0); + signal nega_z : std_logic; + signal rola : std_logic_vector(3 downto 0); + signal rola_z : std_logic; + signal rora : std_logic_vector(3 downto 0); + signal rora_z : std_logic; + signal do_da : std_logic; + signal daa : std_logic_vector(3 downto 0); + signal daa_z : std_logic; + signal daa_c : std_logic; + signal das : std_logic_vector(3 downto 0); + signal das_z : std_logic; + signal das_c : std_logic; + signal dca : std_logic_vector(3 downto 0); + signal dca_z : std_logic; + signal dca_c : std_logic; + signal x_z : std_logic; + signal y_z : std_logic; + signal tl_z : std_logic; + signal th_z : std_logic; + signal sb_z : std_logic; + signal k_port_in_z : std_logic; + signal r0_port_in_z : std_logic; + signal r1_port_in_z : std_logic; + signal r2_port_in_z : std_logic; + signal r3_port_in_z : std_logic; + signal sel_bit_y : std_logic_vector(3 downto 0); + + signal m_set_bit : std_logic_vector(3 downto 0); + signal m_clr_bit : std_logic_vector(3 downto 0); + signal m_tst_bit : std_logic; + + signal mem : std_logic_vector(3 downto 0); + signal mem_z : std_logic; + signal imm_x7_z : std_logic; + signal imm_xF_z : std_logic; + +begin + +clock_n <= not clock; +reset <= not reset_n; + +rom_addr <= r_pa & r_pc; + +ram_addr <= X"0" & rom_data(2 downto 0) when ((rom_data >= X"50") and (rom_data <= X"57")) else r_x(2 downto 0) & r_y; + +ram_we <= '1' when(( (rom_data = X"1D") or (rom_data = X"1A") or + (rom_data = X"0A") or (rom_data = X"0B") or + (rom_data = X"2A") or + (rom_data = X"19") or (rom_data = X"09") or + ((rom_data >= X"30") and (rom_data <= X"37") ) or + ((rom_data >= X"50") and (rom_data <= X"57") ) + ) and (single_byte_op = '1')and ena = '1') + else '0'; + +with rom_data select +ram_di <= r_a when X"1D", r_a when X"1A", + r_a when X"0A", r_a when X"0B", + r_sb when X"2A", + m_m1 when X"19", m_p1 when X"09", + m_set_bit when X"30", m_clr_bit when X"34", + m_set_bit when X"31", m_clr_bit when X"35", + m_set_bit when X"32", m_clr_bit when X"36", + m_set_bit when X"33", m_clr_bit when X"37", + r_a when X"50", r_y when X"54", + r_a when X"51", r_y when X"55", + r_a when X"52", r_y when X"56", + r_a when X"53", r_y when X"57", + X"A" when others; + + +a_p1 <= r_a + X"1"; +a_p1_z <= '1' when a_p1 = X"0" else '0'; +a_p1_c <= '1' when a_p1 = X"0" else '0'; + +a_m1 <= r_a - X"1"; +a_m1_z <= '1' when a_m1 = X"0" else '0'; +a_m1_c <= '1' when a_m1 = X"F" else '0'; + +y_p1 <= r_y + X"1"; +y_p1_z <= '1' when y_p1 = X"0" else '0'; +y_p1_c <= '1' when y_p1 = X"0" else '0'; + +y_m1 <= r_y - X"1"; +y_m1_z <= '1' when y_m1 = X"0" else '0'; +y_m1_c <= '1' when y_m1 = X"F" else '0'; + +m_p1 <= ram_do + X"1"; +--m_p1_z <= '1' when m_p1 = X"0" else '0'; +--m_p1_c <= '1' when m_p1 = X"0" else '0'; + +m_m1 <= ram_do - X"1"; +--m_m1_z <= '1' when m_m1 = X"0" else '0'; +--m_m1_c <= '1' when m_m1 = X"F" else '0'; + +with rom_data(2 downto 0) select +m_set_bit <= ram_do or X"1" when "000", + ram_do or X"2" when "001", + ram_do or X"4" when "010", + ram_do or X"8" when others; + +with rom_data(2 downto 0) select +m_clr_bit <= ram_do and not X"1" when "000", + ram_do and not X"2" when "001", + ram_do and not X"4" when "010", + ram_do and not X"8" when others; + +m_tst_bit <= ram_do(to_integer(unsigned(rom_data(1 downto 0)))); + +rola <= r_a(2 downto 0) & r_cf; +rola_z <= '1' when rola = X"0" else '0'; + +rora <= r_cf & r_a(3 downto 1); +rora_z <= '1' when rora = X"0" else '0'; + +nega <= not(r_a) + X"1"; +nega_z <= '1' when nega = X"0" else '0'; + +adc <= ('0'&ram_do) + ('0'&r_a) + ("0000"&r_cf); +adc_z <= '1' when adc(3 downto 0) = X"0" else '0'; +adc_c <= '1' when adc(4) = '1' else '0'; + +sbc <= ('0'&ram_do) - ('0'&r_a) - ("0000"&r_cf); +sbc_z <= '1' when sbc(3 downto 0) = X"0" else '0'; +sbc_c <= '1' when sbc(4) = '1' else '0'; + +cma <= ('0'&ram_do) - ('0'&r_a); +cma_z <= '1' when cma(3 downto 0) = X"0" else '0'; +cma_c <= '1' when cma(4) = '1' else '0'; + +a_pim <= ('0'&rom_data(3 downto 0)) + ('0'&r_a); +a_pim_z <= '1' when a_pim(3 downto 0) = X"0" else '0'; +a_pim_c <= '1' when a_pim(4) = '1' else '0'; + +im_my <= ('0'&rom_data(3 downto 0)) - ('0'&r_y); +im_my_z <= '1' when im_my(3 downto 0) = X"0" else '0'; +im_my_c <= '1' when im_my(4) = '1' else '0'; + +im_ma <= ('0'&rom_data(3 downto 0)) - ('0'&r_a); +im_ma_z <= '1' when im_ma(3 downto 0) = X"0" else '0'; +im_ma_c <= '1' when im_ma(4) = '1' else '0'; + +a_and_m <= r_a and ram_do; +a_and_m_z <= '1' when a_and_m = X"0" else '0'; + +a_or_m <= r_a or ram_do; +a_or_m_z <= '1' when a_or_m = X"0" else '0'; + +a_xor_m <= r_a xor ram_do; +a_xor_m_z <= '1' when a_xor_m = X"0" else '0'; + +do_da <= '1' when (r_a > X"9") or (r_cf = '1') else '0'; + +daa <= r_a + X"6"; +daa_z <= '1' when daa = X"0" else '0'; +daa_c <= '1' when r_a > X"9" else '0'; + +das <= r_a + X"A"; +das_z <= '1' when das = X"0" else '0'; +das_c <= '1' when r_a > X"5" else '0'; + +dca <= r_a + X"F"; +dca_z <= '1' when dca = X"0" else '0'; +dca_c <= '1' when dca = X"F" else '0'; + +x_z <= '1' when r_x = X"0" else '0'; +y_z <= '1' when r_y = X"0" else '0'; +tl_z <= '1' when r_tl = X"0" else '0'; +th_z <= '1' when r_th = X"0" else '0'; +sb_z <= '1' when r_sb = X"0" else '0'; +k_port_in_z <= '1' when k_port_in = X"0" else '0'; +r0_port_in_z <= '1' when r0_port_in = X"0" else '0'; +r1_port_in_z <= '1' when r1_port_in = X"0" else '0'; +r2_port_in_z <= '1' when r2_port_in = X"0" else '0'; +r3_port_in_z <= '1' when r3_port_in = X"0" else '0'; + +with r_y(1 downto 0) select +sel_bit_y <= "0001" when "00", + "0010" when "01", + "0100" when "10", + "1000" when others; + +imm_x7_z <= '1' when rom_data(2 downto 0) = "000" else '0'; +imm_xF_z <= '1' when rom_data(3 downto 0) = "0000" else '0'; + +process (clock_n) -- register data before memory value update at middle cycle +begin + if rising_edge(clock_n) then + mem <= ram_do; + if ram_do = X"0" then mem_z <= '1'; else mem_z <= '0'; end if; + if m_p1 = X"0" then m_p1_z <= '1'; else m_p1_z <= '0'; end if; + if m_p1 = X"0" then m_p1_c <= '1'; else m_p1_c <= '0'; end if; + if m_m1 = X"0" then m_m1_z <= '1'; else m_m1_z <= '0'; end if; + if m_m1 = X"F" then m_m1_c <= '1'; else m_m1_c <= '0'; end if; +end if; +end process; + +process (clock) +begin + if rising_edge(clock) then +-- mem <= ram_do; +-- if ram_do = X"0" then mem_z <= '1'; else mem_z <= '0'; end if; + + irq_n_r <= irq_n; + r_nf <= not irq_n; + if irq_n = '0' and irq_n_r = '1' and r_pio(2) = '1' then + interrupt_pending <= '1'; + end if; + + if reset = '1' then + r_pc <= (others=>'0'); + r_pa <= (others=>'0'); + r_si <= (others=>'0'); + r_a <= (others=>'0'); + r_x <= (others=>'0'); + r_y <= (others=>'0'); + r_stf <= '1'; + r_zf <= '0'; + r_cf <= '0'; + r_vf <= '0'; + r_sf <= '0'; + r_nf <= '0'; + r_pio <= (others=>'0'); + r_th <= (others=>'0'); + r_tl <= (others=>'0'); + r_tp <= (others=>'0'); + r_ctr <= (others=>'0'); + r_sb <= (others=>'0'); + r_sbcnt <= (others=>'0'); + interrupt_pending <= '0'; + stack <= (others=>(others=>'0')); + single_byte_op <= '1'; + else + if ena = '1' then + + op_code <= rom_data; + single_byte_op <= '1'; + + if r_pc = "111111" then + r_pc <= "000000"; + r_pa <= r_pa + "0001"; + else + r_pc <= r_pc + "000001"; + end if; + + if single_byte_op = '1' then + if interrupt_pending = '1' then + stack(to_integer(unsigned(r_si)))(13 downto 0) <= (r_cf & r_zf & r_stf & r_pa & r_pc); + r_pc <= "000010"; + r_pa <= "00000"; + r_si <= r_si + "01"; + interrupt_pending <= '0'; + else -- no irq + case rom_data is + when X"00" => r_stf <='1'; -- nop + when X"01" => r_stf <='1'; -- outO portO <- A //!PLA todo + if r_cf = '0' then ol_port_out <= r_a; end if; + if r_cf = '1' then oh_port_out <= r_a; end if; + when X"02" => r_stf <='1'; p_port_out <= r_a; -- outP portP <- A + when X"03" => r_stf <='1'; -- outR(Y) portR(Y) <- A + if r_y = X"0" then r0_port_out <= r_a; end if; + if r_y = X"1" then r1_port_out <= r_a; end if; + if r_y = X"2" then r2_port_out <= r_a; end if; + if r_y = X"3" then r3_port_out <= r_a; end if; + when X"04" => r_stf <='1'; r_y <= r_a; -- tay Y <- A + when X"05" => r_stf <='1'; r_th <= r_a; -- tath TH <- A + when X"06" => r_stf <='1'; r_tl <= r_a; -- tatl TL <- A + when X"07" => r_stf <='1'; r_sb <= r_a; -- tas SB <- A + when X"08" => r_stf <= not y_p1_c; r_y <= y_p1; r_zf <= y_p1_z; -- icy Y <- Y+1 + when X"09" => r_stf <= not m_p1_c; r_zf <= m_p1_z; -- icm M[X,Y] <- M[X,Y]+1 + when X"0A" => r_stf <= not y_p1_c; r_y <= y_p1; r_zf <= y_p1_z; -- stic M[X,Y] <- A; Y <- Y+1 + when X"0B" => r_stf <='1'; r_a <= mem; r_zf <= mem_z; -- x A <- M[X,Y]; M[X,Y] <- A + when X"0C" => r_stf <= not r_a(3); r_a <= rola; r_zf <= rola_z; r_cf <= r_a(3); -- rol + when X"0D" => r_stf <='1'; r_a <= mem; r_zf <= mem_z; -- l A <- M[X,Y]; + when X"0E" => r_stf <= not adc_c; r_a <= adc(3 downto 0); r_zf <= adc_z; r_cf <= adc_c; -- adc A <- M[X,Y]+A+CF; + when X"0F" => r_stf <= not a_and_m_z; r_a <= a_and_m; r_zf <= a_and_m_z; -- and A <- A & M[X,Y]; + when X"10" => + if do_da = '1' then r_stf <= not daa_c; r_a <= daa; r_cf <= daa_c; -- daa A <- A + 6 ; si A>9 or CF + else r_stf <= '1'; r_cf <= '0'; end if; + when X"11" => + if do_da = '1' then r_stf <= not das_c; r_a <= das; r_cf <= das_c; -- das A <- A + 10; si A>9 or CF + else r_stf <= '1'; r_cf <= '0'; end if; + when X"12" => r_stf <='1'; r_a <= k_port_in; r_zf <= k_port_in_z; -- inK A <- K + when X"13" => r_stf <='1'; -- inR A <- R(Y) + if r_y = X"0" then r_a <= r0_port_in; r_zf <= r0_port_in_z; end if; + if r_y = X"1" then r_a <= r1_port_in; r_zf <= r1_port_in_z; end if; + if r_y = X"2" then r_a <= r2_port_in; r_zf <= r2_port_in_z; end if; + if r_y = X"3" then r_a <= r3_port_in; r_zf <= r3_port_in_z; end if; + when X"14" => r_stf <='1'; r_a <= r_y; r_zf <= y_z; -- tya A <- Y + when X"15" => r_stf <='1'; r_a <= r_th; r_zf <= th_z; -- ttha A <- TH + when X"16" => r_stf <='1'; r_a <= r_tl; r_zf <= tl_z; -- ttla A <- TH + when X"17" => r_stf <='1'; r_a <= r_sb; r_zf <= sb_z; -- tsa A <- SB + when X"18" => r_stf <= not y_m1_c; r_y <= y_m1; -- dcy Y <- Y-1 + when X"19" => r_stf <= not m_m1_c; r_zf <= m_m1_z; -- dcm M[X,Y] <- M[X,Y]-1 + when X"1A" => r_stf <= not y_m1_c; r_y <= y_m1; r_zf <= y_m1_z; -- stdc M[X,Y] <- A; Y <- Y-1 + when X"1B" => r_stf <='1'; r_a <= r_x; r_x <= r_a; r_zf <= x_z; -- xx A <- X, X <- A + when X"1C" => r_stf <= not r_a(0); r_a <= rora; r_zf <= rora_z; r_cf <= r_a(0); -- ror + when X"1D" => r_stf <='1'; -- st M[X,Y] <- A + when X"1E" => r_stf <= not sbc_c; r_a <= sbc(3 downto 0); r_zf <= sbc_z; r_cf <= sbc_c; -- sbc A <- M[X,Y]-A-CF; + when X"1F" => r_stf <= not a_or_m_z; r_a <= a_or_m; r_zf <= a_or_m_z; -- or A <- A | M[X,Y]; + when X"20" => r_stf <='1'; -- setR + if r_y(3 downto 2) = "00" then r0_port_out <= (r0_port_in or sel_bit_y ); end if; + if r_y(3 downto 2) = "01" then r1_port_out <= (r1_port_in or sel_bit_y ); end if; + if r_y(3 downto 2) = "10" then r2_port_out <= (r2_port_in or sel_bit_y ); end if; + if r_y(3 downto 2) = "11" then r3_port_out <= (r3_port_in or sel_bit_y ); end if; + when X"21" => r_stf <='1'; r_cf <= '1'; -- setCF + when X"22" => r_stf <='1'; -- clrR + if r_y(3 downto 2) = "00" then r0_port_out <= (r0_port_in and not sel_bit_y ); end if; + if r_y(3 downto 2) = "01" then r1_port_out <= (r1_port_in and not sel_bit_y ); end if; + if r_y(3 downto 2) = "10" then r2_port_out <= (r2_port_in and not sel_bit_y ); end if; + if r_y(3 downto 2) = "11" then r3_port_out <= (r3_port_in and not sel_bit_y ); end if; + when X"23" => r_stf <='1'; r_cf <= '0'; -- clrCF + when X"24" => -- tstR + if r_y(3 downto 2) = "00" then r_stf <= not r0_port_in(to_integer(unsigned(r_y(1 downto 0)))); end if; + if r_y(3 downto 2) = "01" then r_stf <= not r1_port_in(to_integer(unsigned(r_y(1 downto 0)))); end if; + if r_y(3 downto 2) = "10" then r_stf <= not r2_port_in(to_integer(unsigned(r_y(1 downto 0)))); end if; + if r_y(3 downto 2) = "11" then r_stf <= not r3_port_in(to_integer(unsigned(r_y(1 downto 0)))); end if; + when X"25" => r_stf <= not r_nf; -- tsti (interrupt) + when X"26" => r_stf <= not r_vf; r_vf <= '0'; -- tstv (timer overflow) + when X"27" => r_stf <= not r_sf; r_sf <= '0'; -- tsts (serial) + when X"28" => r_stf <= not r_cf; -- tstc (CF) + when X"29" => r_stf <= not r_zf; -- tstz (ZF) + when X"2A" => r_stf <= '1'; r_zf <= sb_z; -- sts M[X,Y] <- SB + when X"2B" => r_stf <= '1'; r_sb <= mem; r_zf <= mem_z; -- ls SB <- M[X,Y] + when X"2C" => r_stf <= '1'; -- rts + r_pa <= stack(to_integer(unsigned(r_si-"01")))(10 downto 6); + r_pc <= stack(to_integer(unsigned(r_si-"01")))( 5 downto 0); + r_si <= r_si - "01"; + when X"2D" => r_stf <= not nega_z; r_a <= nega; -- negA A <- -A + when X"2E" => r_stf <= not cma_z; r_zf <= cma_z; r_cf <= cma_c; -- c M[X,Y]-A ?= + when X"2F" => r_stf <= not a_xor_m_z; r_a <= a_xor_m; r_zf <= a_xor_m_z;-- eor A <- A xor M[X,Y]; + when X"30" | X"31" | X"32" | X"33" => r_stf <='1'; -- sbit M[X,Y](op&3) <- 1 + when X"34" | X"35" | X"36" | X"37" => r_stf <='1'; -- rbit M[X,Y](op&3) <- 0 + when X"38" | X"39" | X"3A" | X"3B" => r_stf <= not m_tst_bit; -- tbit M[X,Y](op&3) == 1 + when X"3C" => -- rti + r_pa <= stack(to_integer(unsigned(r_si-"01")))(10 downto 6); + r_pc <= stack(to_integer(unsigned(r_si-"01")))( 5 downto 0); + r_stf <= stack(to_integer(unsigned(r_si-"01")))(11); + r_zf <= stack(to_integer(unsigned(r_si-"01")))(12); + r_cf <= stack(to_integer(unsigned(r_si-"01")))(13); + r_si <= r_si - "01"; + when X"3D" => single_byte_op <= '0'; -- jpa + when X"3E" => single_byte_op <= '0'; -- en + when X"3F" => single_byte_op <= '0'; -- dis + when X"40" => r_stf <= '1'; r0_port_out <= (r0_port_in or X"1"); -- setd RO(op&3) <- 1 + when X"41" => r_stf <= '1'; r0_port_out <= (r0_port_in or X"2"); -- setd RO(op&3) <- 1 + when X"42" => r_stf <= '1'; r0_port_out <= (r0_port_in or X"4"); -- setd RO(op&3) <- 1 + when X"43" => r_stf <= '1'; r0_port_out <= (r0_port_in or X"8"); -- setd RO(op&3) <- 1 + when X"44" => r_stf <= '1'; r0_port_out <= (r0_port_in and not X"1"); -- setd RO(op&3) <- 0 + when X"45" => r_stf <= '1'; r0_port_out <= (r0_port_in and not X"2"); -- setd RO(op&3) <- 0 + when X"46" => r_stf <= '1'; r0_port_out <= (r0_port_in and not X"4"); -- setd RO(op&3) <- 0 + when X"47" => r_stf <= '1'; r0_port_out <= (r0_port_in and not X"8"); -- setd RO(op&3) <- 0 + when X"48" | X"49" | X"4A" | X"4B" => -- tstd R2(op&3) ?= + r_stf <= not r2_port_in(to_integer(unsigned(rom_data(1 downto 0)))); + when X"4C" | X"4D" | X"4E" | X"4F" => -- tba A(op&3) ?= + r_stf <= not r_a(to_integer(unsigned(rom_data(1 downto 0)))); + when X"50" | X"51" | X"52" | X"53" => -- xd A <-> M[0,op&3] + r_stf <= '1'; r_a <= mem; r_zf <= mem_z; + when X"54" | X"55" | X"56" | X"57" => -- xyd Y <-> M[0,op&3] + r_stf <= '1'; r_y <= mem; r_zf <= mem_z; + when X"58" | X"59" | X"5A" | X"5B" | X"5C" | X"5D" | X"5E" | X"5F" => -- lxi imm (op&7) + r_stf <='1'; r_x <= '0' & rom_data(2 downto 0); r_zf <= imm_x7_z; + when X"60" | X"61" | X"62" | X"63" | X"64" | X"65" | X"66" | X"67" => -- call addr + single_byte_op <= '0'; + when X"68" | X"69" | X"6A" | X"6B" | X"6C" | X"6D" | X"6E" | X"6F" => -- jpl addr + single_byte_op <= '0'; + when X"70" | X"71" | X"72" | X"73" | X"74" | X"75" | X"76" | X"77" | + X"78" | X"79" | X"7A" | X"7B" | X"7C" | X"7D" | X"7E" | X"7F" => -- ai A <- A+imm (op&F) + r_stf <= not a_pim_c; r_a <= a_pim(3 downto 0); r_zf <= a_pim_z; r_cf <= a_pim_c; + when X"80" | X"81" | X"82" | X"83" | X"84" | X"85" | X"86" | X"87" | + X"88" | X"89" | X"8A" | X"8B" | X"8C" | X"8D" | X"8E" | X"8F" => -- lyi Y <- imm (op&F) + r_stf <='1'; r_y <= rom_data(3 downto 0); r_zf <= imm_xF_z; + when X"90" | X"91" | X"92" | X"93" | X"94" | X"95" | X"96" | X"97" | + X"98" | X"99" | X"9A" | X"9B" | X"9C" | X"9D" | X"9E" | X"9F" => -- li A <- imm (op&F) + r_stf <='1'; r_a <= rom_data(3 downto 0); r_zf <= imm_xF_z; + when X"A0" | X"A1" | X"A2" | X"A3" | X"A4" | X"A5" | X"A6" | X"A7" | + X"A8" | X"A9" | X"AA" | X"AB" | X"AC" | X"AD" | X"AE" | X"AF" => -- cyi imm - Y ?= + r_stf <= not im_my_z; r_zf <= im_my_z; r_cf <= im_my_c; + when X"B0" | X"B1" | X"B2" | X"B3" | X"B4" | X"B5" | X"B6" | X"B7" | + X"B8" | X"B9" | X"BA" | X"BB" | X"BC" | X"BD" | X"BE" | X"BF" => -- ci imm - A ?= + r_stf <= not im_ma_z; r_zf <= im_ma_z; r_cf <= im_ma_c; + when others => r_stf <='1'; -- jmp addr if ST (op_code C0..FF) + if r_stf = '1' then r_pc <= rom_data(5 downto 0); end if; -- (let r_pa be incremented when r_pc = 0x3F) + end case; + end if ; + else -- 2 bytes op_code, rom_data = 2nd byte + case op_code is + when X"3D" => r_stf <='1'; r_pa <= rom_data(4 downto 0); r_pc <= r_a & "00"; -- jpa PA <- data&0x1f; PC <- A*4 + when X"3E" => r_stf <='1'; r_pio <= r_pio or rom_data; -- en PIO <- PIO or imm data + when X"3F" => r_stf <='1'; r_pio <= r_pio and not rom_data; -- dis PIO <- PIO and not imm data + when X"60" | X"61" | X"62" | X"63" | X"64" | X"65" | X"66" | X"67" => -- call addr if ST + r_stf <= '1'; + if r_stf = '1' then + stack(to_integer(unsigned(r_si)))(10 downto 0) <= (r_pa & r_pc) + '1'; + r_pc <= rom_data(5 downto 0); + r_pa <= op_code(2 downto 0) & rom_data(7 downto 6); + r_si <= r_si + "01"; + end if; + when X"68" | X"69" | X"6A" | X"6B" | X"6C" | X"6D" | X"6E" | X"6F" => -- jpl if ST + r_stf <= '1'; + if r_stf = '1' then + r_pc <= rom_data(5 downto 0); + r_pa <= op_code(2 downto 0) & rom_data(7 downto 6); + end if; + when others => r_stf <='1'; + end case; + end if; + + end if; + end if; + end if; +end process; + +-- RAM +process(clock_n) +begin + if rising_edge(clock_n) then + if ram_we = '1' then + ram(to_integer(unsigned(ram_addr))) <= ram_di; + end if; + end if; +end process; + +ram_do <= ram(to_integer(unsigned(ram_addr))); + +end struct; \ No newline at end of file diff --git a/Arcade_MiST/Custom Hardware/Galaga_MiST/rtl/pll.ppf b/Arcade_MiST/Custom Hardware/Galaga_MiST/rtl/pll.ppf new file mode 100644 index 00000000..93e67f9f --- /dev/null +++ b/Arcade_MiST/Custom Hardware/Galaga_MiST/rtl/pll.ppf @@ -0,0 +1,12 @@ + + + + + + + + + + + + diff --git a/Arcade_MiST/Custom Hardware/Galaga_MiST/rtl/pll.qip b/Arcade_MiST/Custom Hardware/Galaga_MiST/rtl/pll.qip new file mode 100644 index 00000000..afd958be --- /dev/null +++ b/Arcade_MiST/Custom Hardware/Galaga_MiST/rtl/pll.qip @@ -0,0 +1,4 @@ +set_global_assignment -name IP_TOOL_NAME "ALTPLL" +set_global_assignment -name IP_TOOL_VERSION "13.1" +set_global_assignment -name VERILOG_FILE [file join $::quartus(qip_path) "pll.v"] +set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "pll.ppf"] diff --git a/Arcade_MiST/Custom Hardware/Galaga_MiST/rtl/pll.v b/Arcade_MiST/Custom Hardware/Galaga_MiST/rtl/pll.v new file mode 100644 index 00000000..7fa0e4da --- /dev/null +++ b/Arcade_MiST/Custom Hardware/Galaga_MiST/rtl/pll.v @@ -0,0 +1,365 @@ +// megafunction wizard: %ALTPLL% +// GENERATION: STANDARD +// VERSION: WM1.0 +// MODULE: altpll + +// ============================================================ +// File Name: pll.v +// Megafunction Name(s): +// altpll +// +// Simulation Library Files(s): +// altera_mf +// ============================================================ +// ************************************************************ +// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! +// +// 13.1.4 Build 182 03/12/2014 SJ Web Edition +// ************************************************************ + + +//Copyright (C) 1991-2014 Altera Corporation +//Your use of Altera Corporation's design tools, logic functions +//and other software and tools, and its AMPP partner logic +//functions, and any output files from any of the foregoing +//(including device programming or simulation files), and any +//associated documentation or information are expressly subject +//to the terms and conditions of the Altera Program License +//Subscription Agreement, Altera MegaCore Function License +//Agreement, or other applicable license agreement, including, +//without limitation, that your use is for the sole purpose of +//programming logic devices manufactured by Altera and sold by +//Altera or its authorized distributors. Please refer to the +//applicable agreement for further details. + + +// synopsys translate_off +`timescale 1 ps / 1 ps +// synopsys translate_on +module pll ( + inclk0, + c0, + c1, + c2, + locked); + + input inclk0; + output c0; + output c1; + output c2; + output locked; + + wire [4:0] sub_wire0; + wire sub_wire2; + wire [0:0] sub_wire7 = 1'h0; + wire [2:2] sub_wire4 = sub_wire0[2:2]; + wire [0:0] sub_wire3 = sub_wire0[0:0]; + wire [1:1] sub_wire1 = sub_wire0[1:1]; + wire c1 = sub_wire1; + wire locked = sub_wire2; + wire c0 = sub_wire3; + wire c2 = sub_wire4; + wire sub_wire5 = inclk0; + wire [1:0] sub_wire6 = {sub_wire7, sub_wire5}; + + altpll altpll_component ( + .inclk (sub_wire6), + .clk (sub_wire0), + .locked (sub_wire2), + .activeclock (), + .areset (1'b0), + .clkbad (), + .clkena ({6{1'b1}}), + .clkloss (), + .clkswitch (1'b0), + .configupdate (1'b0), + .enable0 (), + .enable1 (), + .extclk (), + .extclkena ({4{1'b1}}), + .fbin (1'b1), + .fbmimicbidir (), + .fbout (), + .fref (), + .icdrclk (), + .pfdena (1'b1), + .phasecounterselect ({4{1'b1}}), + .phasedone (), + .phasestep (1'b1), + .phaseupdown (1'b1), + .pllena (1'b1), + .scanaclr (1'b0), + .scanclk (1'b0), + .scanclkena (1'b1), + .scandata (1'b0), + .scandataout (), + .scandone (), + .scanread (1'b0), + .scanwrite (1'b0), + .sclkout0 (), + .sclkout1 (), + .vcooverrange (), + .vcounderrange ()); + defparam + altpll_component.bandwidth_type = "AUTO", + altpll_component.clk0_divide_by = 3, + altpll_component.clk0_duty_cycle = 50, + altpll_component.clk0_multiply_by = 4, + altpll_component.clk0_phase_shift = "0", + altpll_component.clk1_divide_by = 3, + altpll_component.clk1_duty_cycle = 50, + altpll_component.clk1_multiply_by = 2, + altpll_component.clk1_phase_shift = "0", + altpll_component.clk2_divide_by = 3, + altpll_component.clk2_duty_cycle = 50, + altpll_component.clk2_multiply_by = 1, + altpll_component.clk2_phase_shift = "0", + altpll_component.compensate_clock = "CLK0", + altpll_component.inclk0_input_frequency = 37037, + altpll_component.intended_device_family = "Cyclone III", + altpll_component.lpm_hint = "CBX_MODULE_PREFIX=pll", + altpll_component.lpm_type = "altpll", + altpll_component.operation_mode = "NORMAL", + altpll_component.pll_type = "AUTO", + altpll_component.port_activeclock = "PORT_UNUSED", + altpll_component.port_areset = "PORT_UNUSED", + altpll_component.port_clkbad0 = "PORT_UNUSED", + altpll_component.port_clkbad1 = "PORT_UNUSED", + altpll_component.port_clkloss = "PORT_UNUSED", + altpll_component.port_clkswitch = "PORT_UNUSED", + altpll_component.port_configupdate = "PORT_UNUSED", + altpll_component.port_fbin = "PORT_UNUSED", + altpll_component.port_inclk0 = "PORT_USED", + altpll_component.port_inclk1 = "PORT_UNUSED", + altpll_component.port_locked = "PORT_USED", + altpll_component.port_pfdena = "PORT_UNUSED", + altpll_component.port_phasecounterselect = "PORT_UNUSED", + altpll_component.port_phasedone = "PORT_UNUSED", + altpll_component.port_phasestep = "PORT_UNUSED", + altpll_component.port_phaseupdown = "PORT_UNUSED", + altpll_component.port_pllena = "PORT_UNUSED", + altpll_component.port_scanaclr = "PORT_UNUSED", + altpll_component.port_scanclk = "PORT_UNUSED", + altpll_component.port_scanclkena = "PORT_UNUSED", + altpll_component.port_scandata = "PORT_UNUSED", + altpll_component.port_scandataout = "PORT_UNUSED", + altpll_component.port_scandone = "PORT_UNUSED", + altpll_component.port_scanread = "PORT_UNUSED", + altpll_component.port_scanwrite = "PORT_UNUSED", + altpll_component.port_clk0 = "PORT_USED", + altpll_component.port_clk1 = "PORT_USED", + altpll_component.port_clk2 = "PORT_USED", + altpll_component.port_clk3 = "PORT_UNUSED", + altpll_component.port_clk4 = "PORT_UNUSED", + altpll_component.port_clk5 = "PORT_UNUSED", + altpll_component.port_clkena0 = "PORT_UNUSED", + altpll_component.port_clkena1 = "PORT_UNUSED", + altpll_component.port_clkena2 = "PORT_UNUSED", + altpll_component.port_clkena3 = "PORT_UNUSED", + altpll_component.port_clkena4 = "PORT_UNUSED", + altpll_component.port_clkena5 = "PORT_UNUSED", + altpll_component.port_extclk0 = "PORT_UNUSED", + altpll_component.port_extclk1 = "PORT_UNUSED", + altpll_component.port_extclk2 = "PORT_UNUSED", + altpll_component.port_extclk3 = "PORT_UNUSED", + altpll_component.self_reset_on_loss_lock = "OFF", + altpll_component.width_clock = 5; + + +endmodule + +// ============================================================ +// CNX file retrieval info +// ============================================================ +// Retrieval info: PRIVATE: ACTIVECLK_CHECK STRING "0" +// Retrieval info: PRIVATE: BANDWIDTH STRING "1.000" +// Retrieval info: PRIVATE: BANDWIDTH_FEATURE_ENABLED STRING "1" +// Retrieval info: PRIVATE: BANDWIDTH_FREQ_UNIT STRING "MHz" +// Retrieval info: PRIVATE: BANDWIDTH_PRESET STRING "Low" +// Retrieval info: PRIVATE: BANDWIDTH_USE_AUTO STRING "1" +// Retrieval info: PRIVATE: BANDWIDTH_USE_PRESET STRING "0" +// Retrieval info: PRIVATE: CLKBAD_SWITCHOVER_CHECK STRING "0" +// Retrieval info: PRIVATE: CLKLOSS_CHECK STRING "0" +// Retrieval info: PRIVATE: CLKSWITCH_CHECK STRING "0" +// Retrieval info: PRIVATE: CNX_NO_COMPENSATE_RADIO STRING "0" +// Retrieval info: PRIVATE: CREATE_CLKBAD_CHECK STRING "0" +// Retrieval info: PRIVATE: CREATE_INCLK1_CHECK STRING "0" +// Retrieval info: PRIVATE: CUR_DEDICATED_CLK STRING "c0" +// Retrieval info: PRIVATE: CUR_FBIN_CLK STRING "c0" +// Retrieval info: PRIVATE: DEVICE_SPEED_GRADE STRING "8" +// Retrieval info: PRIVATE: DIV_FACTOR0 NUMERIC "3" +// Retrieval info: PRIVATE: DIV_FACTOR1 NUMERIC "3" +// Retrieval info: PRIVATE: DIV_FACTOR2 NUMERIC "3" +// Retrieval info: PRIVATE: DUTY_CYCLE0 STRING "50.00000000" +// Retrieval info: PRIVATE: DUTY_CYCLE1 STRING "50.00000000" +// Retrieval info: PRIVATE: DUTY_CYCLE2 STRING "50.00000000" +// Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE0 STRING "36.000000" +// Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE1 STRING "18.000000" +// Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE2 STRING "9.000000" +// Retrieval info: PRIVATE: EXPLICIT_SWITCHOVER_COUNTER STRING "0" +// Retrieval info: PRIVATE: EXT_FEEDBACK_RADIO STRING "0" +// Retrieval info: PRIVATE: GLOCKED_COUNTER_EDIT_CHANGED STRING "1" +// Retrieval info: PRIVATE: GLOCKED_FEATURE_ENABLED STRING "0" +// Retrieval info: PRIVATE: GLOCKED_MODE_CHECK STRING "0" +// Retrieval info: PRIVATE: GLOCK_COUNTER_EDIT NUMERIC "1048575" +// Retrieval info: PRIVATE: HAS_MANUAL_SWITCHOVER STRING "1" +// Retrieval info: PRIVATE: INCLK0_FREQ_EDIT STRING "27.000" +// Retrieval info: PRIVATE: INCLK0_FREQ_UNIT_COMBO STRING "MHz" +// Retrieval info: PRIVATE: INCLK1_FREQ_EDIT STRING "100.000" +// Retrieval info: PRIVATE: INCLK1_FREQ_EDIT_CHANGED STRING "1" +// Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_CHANGED STRING "1" +// Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_COMBO STRING "MHz" +// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone III" +// Retrieval info: PRIVATE: INT_FEEDBACK__MODE_RADIO STRING "1" +// Retrieval info: PRIVATE: LOCKED_OUTPUT_CHECK STRING "1" +// Retrieval info: PRIVATE: LONG_SCAN_RADIO STRING "1" +// Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE STRING "Not Available" +// Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE_DIRTY NUMERIC "0" +// Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT0 STRING "deg" +// Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT1 STRING "deg" +// Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT2 STRING "ps" +// Retrieval info: PRIVATE: MIG_DEVICE_SPEED_GRADE STRING "Any" +// Retrieval info: PRIVATE: MIRROR_CLK0 STRING "0" +// Retrieval info: PRIVATE: MIRROR_CLK1 STRING "0" +// Retrieval info: PRIVATE: MIRROR_CLK2 STRING "0" +// Retrieval info: PRIVATE: MULT_FACTOR0 NUMERIC "4" +// Retrieval info: PRIVATE: MULT_FACTOR1 NUMERIC "2" +// Retrieval info: PRIVATE: MULT_FACTOR2 NUMERIC "1" +// Retrieval info: PRIVATE: NORMAL_MODE_RADIO STRING "1" +// Retrieval info: PRIVATE: OUTPUT_FREQ0 STRING "36.00000000" +// Retrieval info: PRIVATE: OUTPUT_FREQ1 STRING "18.00000000" +// Retrieval info: PRIVATE: OUTPUT_FREQ2 STRING "9.00000000" +// Retrieval info: PRIVATE: OUTPUT_FREQ_MODE0 STRING "0" +// Retrieval info: PRIVATE: OUTPUT_FREQ_MODE1 STRING "0" +// Retrieval info: PRIVATE: OUTPUT_FREQ_MODE2 STRING "0" +// Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT0 STRING "MHz" +// Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT1 STRING "MHz" +// Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT2 STRING "MHz" +// Retrieval info: PRIVATE: PHASE_RECONFIG_FEATURE_ENABLED STRING "1" +// Retrieval info: PRIVATE: PHASE_RECONFIG_INPUTS_CHECK STRING "0" +// Retrieval info: PRIVATE: PHASE_SHIFT0 STRING "0.00000000" +// Retrieval info: PRIVATE: PHASE_SHIFT1 STRING "0.00000000" +// Retrieval info: PRIVATE: PHASE_SHIFT2 STRING "0.00000000" +// Retrieval info: PRIVATE: PHASE_SHIFT_STEP_ENABLED_CHECK STRING "0" +// Retrieval info: PRIVATE: PHASE_SHIFT_UNIT0 STRING "deg" +// Retrieval info: PRIVATE: PHASE_SHIFT_UNIT1 STRING "deg" +// Retrieval info: PRIVATE: PHASE_SHIFT_UNIT2 STRING "deg" +// Retrieval info: PRIVATE: PLL_ADVANCED_PARAM_CHECK STRING "0" +// Retrieval info: PRIVATE: PLL_ARESET_CHECK STRING "0" +// Retrieval info: PRIVATE: PLL_AUTOPLL_CHECK NUMERIC "1" +// Retrieval info: PRIVATE: PLL_ENHPLL_CHECK NUMERIC "0" +// Retrieval info: PRIVATE: PLL_FASTPLL_CHECK NUMERIC "0" +// Retrieval info: PRIVATE: PLL_FBMIMIC_CHECK STRING "0" +// Retrieval info: PRIVATE: PLL_LVDS_PLL_CHECK NUMERIC "0" +// Retrieval info: PRIVATE: PLL_PFDENA_CHECK STRING "0" +// Retrieval info: PRIVATE: PLL_TARGET_HARCOPY_CHECK NUMERIC "0" +// Retrieval info: PRIVATE: PRIMARY_CLK_COMBO STRING "inclk0" +// Retrieval info: PRIVATE: RECONFIG_FILE STRING "pll.mif" +// Retrieval info: PRIVATE: SACN_INPUTS_CHECK STRING "0" +// Retrieval info: PRIVATE: SCAN_FEATURE_ENABLED STRING "1" +// Retrieval info: PRIVATE: SELF_RESET_LOCK_LOSS STRING "0" +// Retrieval info: PRIVATE: SHORT_SCAN_RADIO STRING "0" +// Retrieval info: PRIVATE: SPREAD_FEATURE_ENABLED STRING "0" +// Retrieval info: PRIVATE: SPREAD_FREQ STRING "50.000" +// Retrieval info: PRIVATE: SPREAD_FREQ_UNIT STRING "KHz" +// Retrieval info: PRIVATE: SPREAD_PERCENT STRING "0.500" +// Retrieval info: PRIVATE: SPREAD_USE STRING "0" +// Retrieval info: PRIVATE: SRC_SYNCH_COMP_RADIO STRING "0" +// Retrieval info: PRIVATE: STICKY_CLK0 STRING "1" +// Retrieval info: PRIVATE: STICKY_CLK1 STRING "1" +// Retrieval info: PRIVATE: STICKY_CLK2 STRING "1" +// Retrieval info: PRIVATE: SWITCHOVER_COUNT_EDIT NUMERIC "1" +// Retrieval info: PRIVATE: SWITCHOVER_FEATURE_ENABLED STRING "1" +// Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" +// Retrieval info: PRIVATE: USE_CLK0 STRING "1" +// Retrieval info: PRIVATE: USE_CLK1 STRING "1" +// Retrieval info: PRIVATE: USE_CLK2 STRING "1" +// Retrieval info: PRIVATE: USE_CLKENA0 STRING "0" +// Retrieval info: PRIVATE: USE_CLKENA1 STRING "0" +// Retrieval info: PRIVATE: USE_CLKENA2 STRING "0" +// Retrieval info: PRIVATE: USE_MIL_SPEED_GRADE NUMERIC "0" +// Retrieval info: PRIVATE: ZERO_DELAY_RADIO STRING "0" +// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all +// Retrieval info: CONSTANT: BANDWIDTH_TYPE STRING "AUTO" +// Retrieval info: CONSTANT: CLK0_DIVIDE_BY NUMERIC "3" +// Retrieval info: CONSTANT: CLK0_DUTY_CYCLE NUMERIC "50" +// Retrieval info: CONSTANT: CLK0_MULTIPLY_BY NUMERIC "4" +// Retrieval info: CONSTANT: CLK0_PHASE_SHIFT STRING "0" +// Retrieval info: CONSTANT: CLK1_DIVIDE_BY NUMERIC "3" +// Retrieval info: CONSTANT: CLK1_DUTY_CYCLE NUMERIC "50" +// Retrieval info: CONSTANT: CLK1_MULTIPLY_BY NUMERIC "2" +// Retrieval info: CONSTANT: CLK1_PHASE_SHIFT STRING "0" +// Retrieval info: CONSTANT: CLK2_DIVIDE_BY NUMERIC "3" +// Retrieval info: CONSTANT: CLK2_DUTY_CYCLE NUMERIC "50" +// Retrieval info: CONSTANT: CLK2_MULTIPLY_BY NUMERIC "1" +// Retrieval info: CONSTANT: CLK2_PHASE_SHIFT STRING "0" +// Retrieval info: CONSTANT: COMPENSATE_CLOCK STRING "CLK0" +// Retrieval info: CONSTANT: INCLK0_INPUT_FREQUENCY NUMERIC "37037" +// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone III" +// Retrieval info: CONSTANT: LPM_TYPE STRING "altpll" +// Retrieval info: CONSTANT: OPERATION_MODE STRING "NORMAL" +// Retrieval info: CONSTANT: PLL_TYPE STRING "AUTO" +// Retrieval info: CONSTANT: PORT_ACTIVECLOCK STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_ARESET STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_CLKBAD0 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_CLKBAD1 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_CLKLOSS STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_CLKSWITCH STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_CONFIGUPDATE STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_FBIN STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_INCLK0 STRING "PORT_USED" +// Retrieval info: CONSTANT: PORT_INCLK1 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_LOCKED STRING "PORT_USED" +// Retrieval info: CONSTANT: PORT_PFDENA STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_PHASECOUNTERSELECT STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_PHASEDONE STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_PHASESTEP STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_PHASEUPDOWN STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_PLLENA STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_SCANACLR STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_SCANCLK STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_SCANCLKENA STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_SCANDATA STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_SCANDATAOUT STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_SCANDONE STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_SCANREAD STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_SCANWRITE STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_clk0 STRING "PORT_USED" +// Retrieval info: CONSTANT: PORT_clk1 STRING "PORT_USED" +// Retrieval info: CONSTANT: PORT_clk2 STRING "PORT_USED" +// Retrieval info: CONSTANT: PORT_clk3 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_clk4 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_clk5 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_clkena0 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_clkena1 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_clkena2 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_clkena3 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_clkena4 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_clkena5 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_extclk0 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_extclk1 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_extclk2 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_extclk3 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: SELF_RESET_ON_LOSS_LOCK STRING "OFF" +// Retrieval info: CONSTANT: WIDTH_CLOCK NUMERIC "5" +// Retrieval info: USED_PORT: @clk 0 0 5 0 OUTPUT_CLK_EXT VCC "@clk[4..0]" +// Retrieval info: USED_PORT: c0 0 0 0 0 OUTPUT_CLK_EXT VCC "c0" +// Retrieval info: USED_PORT: c1 0 0 0 0 OUTPUT_CLK_EXT VCC "c1" +// Retrieval info: USED_PORT: c2 0 0 0 0 OUTPUT_CLK_EXT VCC "c2" +// Retrieval info: USED_PORT: inclk0 0 0 0 0 INPUT_CLK_EXT GND "inclk0" +// Retrieval info: USED_PORT: locked 0 0 0 0 OUTPUT GND "locked" +// Retrieval info: CONNECT: @inclk 0 0 1 1 GND 0 0 0 0 +// Retrieval info: CONNECT: @inclk 0 0 1 0 inclk0 0 0 0 0 +// Retrieval info: CONNECT: c0 0 0 0 0 @clk 0 0 1 0 +// Retrieval info: CONNECT: c1 0 0 0 0 @clk 0 0 1 1 +// Retrieval info: CONNECT: c2 0 0 0 0 @clk 0 0 1 2 +// Retrieval info: CONNECT: locked 0 0 0 0 @locked 0 0 0 0 +// Retrieval info: GEN_FILE: TYPE_NORMAL pll.v TRUE +// Retrieval info: GEN_FILE: TYPE_NORMAL pll.ppf TRUE +// Retrieval info: GEN_FILE: TYPE_NORMAL pll.inc FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL pll.cmp FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL pll.bsf FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL pll_inst.v FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL pll_bb.v FALSE +// Retrieval info: LIB_FILE: altera_mf +// Retrieval info: CBX_MODULE_PREFIX: ON diff --git a/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/bg_graphx.vhd b/Arcade_MiST/Custom Hardware/Galaga_MiST/rtl/roms/bg_graphx.vhd similarity index 100% rename from Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/bg_graphx.vhd rename to Arcade_MiST/Custom Hardware/Galaga_MiST/rtl/roms/bg_graphx.vhd diff --git a/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/bg_palette.vhd b/Arcade_MiST/Custom Hardware/Galaga_MiST/rtl/roms/bg_palette.vhd similarity index 100% rename from Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/bg_palette.vhd rename to Arcade_MiST/Custom Hardware/Galaga_MiST/rtl/roms/bg_palette.vhd diff --git a/Arcade_MiST/Custom Hardware/Galaga_MiST/rtl/roms/cs54xx_prog.vhd b/Arcade_MiST/Custom Hardware/Galaga_MiST/rtl/roms/cs54xx_prog.vhd new file mode 100644 index 00000000..6bc7f7fd --- /dev/null +++ b/Arcade_MiST/Custom Hardware/Galaga_MiST/rtl/roms/cs54xx_prog.vhd @@ -0,0 +1,86 @@ +library ieee; +use ieee.std_logic_1164.all,ieee.numeric_std.all; + +entity cs54xx_prog is +port ( + clk : in std_logic; + addr : in std_logic_vector(9 downto 0); + data : out std_logic_vector(7 downto 0) +); +end entity; + +architecture prom of cs54xx_prog is + type rom is array(0 to 1023) of std_logic_vector(7 downto 0); + signal rom_data: rom := ( + X"C6",X"C6",X"52",X"12",X"3D",X"06",X"58",X"80",X"90",X"1B",X"0A",X"CA",X"1B",X"71",X"B8",X"C9", + X"3E",X"04",X"59",X"84",X"0D",X"1C",X"18",X"0D",X"1C",X"1A",X"0D",X"1C",X"1A",X"0D",X"1C",X"1A", + X"0D",X"1C",X"1D",X"1C",X"83",X"2F",X"71",X"08",X"0A",X"0D",X"80",X"38",X"F8",X"23",X"01",X"88", + X"20",X"08",X"0D",X"21",X"0E",X"1A",X"68",X"41",X"88",X"22",X"23",X"90",X"01",X"89",X"0D",X"0E", + X"1A",X"0D",X"7F",X"68",X"90",X"58",X"19",X"68",X"90",X"59",X"86",X"19",X"68",X"90",X"08",X"19", + X"68",X"90",X"08",X"19",X"0D",X"B1",X"D8",X"DF",X"B2",X"FF",X"90",X"85",X"1D",X"92",X"E6",X"5B", + X"81",X"0D",X"59",X"85",X"1D",X"91",X"23",X"0C",X"04",X"5B",X"0D",X"B0",X"F5",X"50",X"08",X"0D", + X"B0",X"F8",X"88",X"59",X"D3",X"50",X"08",X"0D",X"59",X"87",X"1A",X"50",X"1D",X"68",X"90",X"85", + X"0D",X"7F",X"68",X"90",X"0D",X"23",X"1C",X"23",X"0E",X"1C",X"1D",X"91",X"88",X"1D",X"68",X"66", + X"89",X"59",X"93",X"0F",X"B1",X"D8",X"8E",X"09",X"8A",X"0D",X"8E",X"38",X"DE",X"90",X"21",X"01", + X"38",X"E5",X"89",X"20",X"E7",X"89",X"22",X"8D",X"0D",X"7F",X"68",X"FC",X"58",X"19",X"68",X"FC", + X"59",X"8B",X"19",X"68",X"FC",X"08",X"19",X"68",X"FC",X"08",X"19",X"0D",X"B1",X"68",X"C0",X"C9", + X"B2",X"68",X"EC",X"90",X"8A",X"1D",X"92",X"68",X"D0",X"5B",X"89",X"0D",X"59",X"8A",X"1D",X"91", + X"23",X"0C",X"78",X"04",X"5B",X"0D",X"B0",X"68",X"E3",X"50",X"08",X"0D",X"B0",X"68",X"E6",X"59", + X"8D",X"68",X"BA",X"50",X"08",X"0D",X"59",X"8C",X"1A",X"50",X"1D",X"FC",X"8A",X"0D",X"7F",X"FC", + X"0D",X"23",X"1C",X"23",X"0E",X"1C",X"1D",X"91",X"8D",X"1D",X"68",X"D0",X"5A",X"8F",X"0D",X"8B", + X"3B",X"C3",X"90",X"81",X"03",X"88",X"0D",X"8A",X"23",X"0E",X"1D",X"89",X"0D",X"8B",X"0E",X"1D", + X"59",X"80",X"38",X"E1",X"5A",X"86",X"0D",X"23",X"8A",X"0E",X"1D",X"87",X"0D",X"8B",X"0E",X"1D", + X"59",X"8F",X"0D",X"7F",X"68",X"12",X"5A",X"8C",X"19",X"68",X"12",X"08",X"19",X"68",X"12",X"08", + X"19",X"68",X"12",X"8F",X"59",X"19",X"0D",X"B0",X"69",X"47",X"5A",X"0D",X"1C",X"23",X"0E",X"1C", + X"1D",X"7F",X"68",X"12",X"91",X"59",X"1D",X"5A",X"84",X"0D",X"8D",X"1D",X"7F",X"D7",X"85",X"0D", + X"8E",X"1D",X"8C",X"90",X"1D",X"68",X"12",X"85",X"0D",X"8E",X"1D",X"B0",X"E3",X"8F",X"1D",X"59", + X"1D",X"68",X"12",X"90",X"8C",X"1D",X"68",X"12",X"1B",X"53",X"54",X"80",X"13",X"5A",X"8F",X"1D", + X"54",X"53",X"1B",X"52",X"3E",X"04",X"3C",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"FC",X"00",X"00",X"00",X"69",X"C0",X"00",X"00",X"69",X"DC",X"00",X"00",X"6A",X"55",X"00",X"00", + X"6A",X"5D",X"00",X"00",X"69",X"F9",X"00",X"00",X"6A",X"40",X"00",X"00",X"69",X"68",X"00",X"00", + X"FC",X"00",X"00",X"00",X"FC",X"00",X"00",X"00",X"FC",X"00",X"00",X"00",X"FC",X"00",X"00",X"00", + X"FC",X"00",X"00",X"00",X"FC",X"00",X"00",X"00",X"FC",X"00",X"00",X"00",X"52",X"3E",X"04",X"3C", + X"1B",X"53",X"54",X"5B",X"80",X"0D",X"59",X"85",X"1D",X"88",X"93",X"1D",X"5B",X"87",X"0D",X"59", + X"1A",X"5B",X"0D",X"59",X"1D",X"54",X"53",X"1B",X"52",X"3E",X"04",X"3C",X"1B",X"53",X"54",X"5B", + X"88",X"0D",X"59",X"8A",X"1D",X"8D",X"93",X"0A",X"5B",X"0D",X"51",X"08",X"0D",X"59",X"8C",X"1A", + X"51",X"1D",X"53",X"1B",X"52",X"54",X"3E",X"04",X"3C",X"1B",X"53",X"54",X"5A",X"81",X"0D",X"8C", + X"1D",X"82",X"0D",X"8D",X"1D",X"83",X"0D",X"8E",X"1D",X"80",X"0D",X"8F",X"1D",X"92",X"59",X"1D", + X"53",X"1B",X"52",X"54",X"3E",X"04",X"3C",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"1B",X"53",X"54",X"5A",X"89",X"55",X"3F",X"04",X"25",X"CB",X"C8",X"25",X"CB",X"80",X"13",X"55", + X"1A",X"12",X"1A",X"55",X"E5",X"1B",X"53",X"54",X"87",X"55",X"3F",X"04",X"E5",X"1B",X"53",X"5B", + X"54",X"8F",X"55",X"3F",X"04",X"25",X"E8",X"E5",X"25",X"E8",X"80",X"13",X"55",X"1A",X"12",X"1A", + X"14",X"55",X"23",X"0C",X"BE",X"E5",X"53",X"1B",X"52",X"54",X"3E",X"04",X"3C",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00"); +begin +process(clk) +begin + if rising_edge(clk) then + data <= rom_data(to_integer(unsigned(addr))); + end if; +end process; +end architecture; diff --git a/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/galaga_cpu1.vhd b/Arcade_MiST/Custom Hardware/Galaga_MiST/rtl/roms/galaga_cpu1.vhd similarity index 100% rename from Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/galaga_cpu1.vhd rename to Arcade_MiST/Custom Hardware/Galaga_MiST/rtl/roms/galaga_cpu1.vhd diff --git a/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/galaga_cpu2.vhd b/Arcade_MiST/Custom Hardware/Galaga_MiST/rtl/roms/galaga_cpu2.vhd similarity index 100% rename from Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/galaga_cpu2.vhd rename to Arcade_MiST/Custom Hardware/Galaga_MiST/rtl/roms/galaga_cpu2.vhd diff --git a/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/galaga_cpu3.vhd b/Arcade_MiST/Custom Hardware/Galaga_MiST/rtl/roms/galaga_cpu3.vhd similarity index 100% rename from Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/galaga_cpu3.vhd rename to Arcade_MiST/Custom Hardware/Galaga_MiST/rtl/roms/galaga_cpu3.vhd diff --git a/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/rgb.vhd b/Arcade_MiST/Custom Hardware/Galaga_MiST/rtl/roms/rgb.vhd similarity index 100% rename from Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/rgb.vhd rename to Arcade_MiST/Custom Hardware/Galaga_MiST/rtl/roms/rgb.vhd diff --git a/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/sound_samples.vhd b/Arcade_MiST/Custom Hardware/Galaga_MiST/rtl/roms/sound_samples.vhd similarity index 100% rename from Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/sound_samples.vhd rename to Arcade_MiST/Custom Hardware/Galaga_MiST/rtl/roms/sound_samples.vhd diff --git a/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/sound_seq.vhd b/Arcade_MiST/Custom Hardware/Galaga_MiST/rtl/roms/sound_seq.vhd similarity index 100% rename from Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/sound_seq.vhd rename to Arcade_MiST/Custom Hardware/Galaga_MiST/rtl/roms/sound_seq.vhd diff --git a/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/sp_graphx.vhd b/Arcade_MiST/Custom Hardware/Galaga_MiST/rtl/roms/sp_graphx.vhd similarity index 100% rename from Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/sp_graphx.vhd rename to Arcade_MiST/Custom Hardware/Galaga_MiST/rtl/roms/sp_graphx.vhd diff --git a/Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/sp_palette.vhd b/Arcade_MiST/Custom Hardware/Galaga_MiST/rtl/roms/sp_palette.vhd similarity index 100% rename from Arcade_MiST/Custom Hardware/Galaga_MIST/rtl/sp_palette.vhd rename to Arcade_MiST/Custom Hardware/Galaga_MiST/rtl/roms/sp_palette.vhd