From d651f3d21e1c21410e6ada954a8d089d87982535 Mon Sep 17 00:00:00 2001 From: Marcel Date: Sun, 28 Apr 2019 11:12:40 +0200 Subject: [PATCH] Display selected Gear --- .../Sprint2_MiST/Release/sprint2.rbf | Bin 250984 -> 251241 bytes .../Sprint2_MiST/rtl/gearshift.sv | 94 ------------- .../Sprint2_MiST/rtl/gearshift.vhd | 89 ++++++++++++ .../Sprint2_MiST/rtl/joy2quad.sv | 32 ++--- .../Sprint2_MiST/rtl/osd.sv | 39 ++++-- .../Sprint2_MiST/rtl/playfield.vhd | 54 +++++--- .../Sprint2_MiST/rtl/sprint2.vhd | 113 ++++++++++++--- .../Sprint2_MiST/rtl/sprint2_mist.sv | 92 ++++--------- .../Sprint2_MiST/sprint2.qsf | 21 +-- .../SprintOne_MiST/Release/sprint1.rbf | Bin 247161 -> 246969 bytes .../SprintOne_MiST/rtl/gearshift.sv | 97 ------------- .../SprintOne_MiST/rtl/gearshift.vhd | 89 ++++++++++++ .../SprintOne_MiST/rtl/joy2quad.sv | 32 ++--- .../SprintOne_MiST/rtl/osd.sv | 39 ++++-- .../SprintOne_MiST/rtl/playfield.vhd | 28 +++- .../SprintOne_MiST/rtl/sprint1.vhd | 66 +++++++-- .../SprintOne_MiST/rtl/sprint1_mist.sv | 37 ++--- .../SprintOne_MiST/rtl/sprint1_sound.vhd | 2 +- .../SprintOne_MiST/rtl/video_mixer.sv | 3 +- .../SprintOne_MiST/sprint1.qpf | 1 - .../SprintOne_MiST/sprint1.qsf | 22 +-- .../SuperBreakout_MiST/rtl/build_id.sv | 4 +- .../SuperBreakout_MiST/rtl/roms/006400.m2 | Bin 256 -> 0 bytes .../SuperBreakout_MiST/rtl/roms/006400_m2.hex | 17 +++ .../SuperBreakout_MiST/rtl/roms/006401.e2 | 1 - .../SuperBreakout_MiST/rtl/roms/006401_e2.hex | 3 + .../SuperBreakout_MiST/rtl/roms/033280.p4 | Bin 512 -> 0 bytes .../SuperBreakout_MiST/rtl/roms/033280_p4.hex | 33 +++++ .../SuperBreakout_MiST/rtl/roms/033281.r4 | Bin 512 -> 0 bytes .../SuperBreakout_MiST/rtl/roms/033281_r4.hex | 33 +++++ .../SuperBreakout_MiST/rtl/roms/033282.k6 | Bin 32 -> 0 bytes .../SuperBreakout_MiST/rtl/roms/033282_k6.hex | 3 + .../SuperBreakout_MiST/rtl/roms/033453.c1 | Bin 2048 -> 0 bytes .../SuperBreakout_MiST/rtl/roms/033453_c1.hex | 129 ++++++++++++++++++ .../SuperBreakout_MiST/rtl/roms/033454.d1 | Bin 2048 -> 0 bytes .../SuperBreakout_MiST/rtl/roms/033454_d1.hex | 129 ++++++++++++++++++ .../SuperBreakout_MiST/rtl/roms/033455.e1 | Bin 2048 -> 0 bytes .../SuperBreakout_MiST/rtl/roms/033455_e1.hex | 129 ++++++++++++++++++ Arcade_MiST/Phoenix Hardware/Capitol.jpg | Bin 8113 -> 28543 bytes 39 files changed, 1006 insertions(+), 425 deletions(-) delete mode 100644 Arcade_MiST/Atari BW Raster Hardware/Sprint2_MiST/rtl/gearshift.sv create mode 100644 Arcade_MiST/Atari BW Raster Hardware/Sprint2_MiST/rtl/gearshift.vhd delete mode 100644 Arcade_MiST/Atari BW Raster Hardware/SprintOne_MiST/rtl/gearshift.sv create mode 100644 Arcade_MiST/Atari BW Raster Hardware/SprintOne_MiST/rtl/gearshift.vhd delete mode 100644 Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/rtl/roms/006400.m2 create mode 100644 Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/rtl/roms/006400_m2.hex delete mode 100644 Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/rtl/roms/006401.e2 create mode 100644 Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/rtl/roms/006401_e2.hex delete mode 100644 Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/rtl/roms/033280.p4 create mode 100644 Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/rtl/roms/033280_p4.hex delete mode 100644 Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/rtl/roms/033281.r4 create mode 100644 Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/rtl/roms/033281_r4.hex delete mode 100644 Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/rtl/roms/033282.k6 create mode 100644 Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/rtl/roms/033282_k6.hex delete mode 100644 Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/rtl/roms/033453.c1 create mode 100644 Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/rtl/roms/033453_c1.hex delete mode 100644 Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/rtl/roms/033454.d1 create mode 100644 Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/rtl/roms/033454_d1.hex delete mode 100644 Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/rtl/roms/033455.e1 create mode 100644 Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/rtl/roms/033455_e1.hex diff --git a/Arcade_MiST/Atari BW Raster Hardware/Sprint2_MiST/Release/sprint2.rbf b/Arcade_MiST/Atari BW Raster Hardware/Sprint2_MiST/Release/sprint2.rbf index 957209d1da8eef2aa4f5aa99565b8243bfa9b185..24cc83f5466d113ea0d794c78921e122e7bda6c9 100644 GIT binary patch literal 251241 zcmeFa4V)ZDbuZd8Zi}(A;F+d9v=u~tYR zJ0!9RD99%`Y)fW0IGoGmG?_Aa8e#`$qO;xWiF%;R-Bi0jn>)8!KnVY+;#v(9u^wk=L)8On#(Brh>-rq2d(o!QFA zWny{Egzwh?y8+CPd77#0Vx?0ShuFrh( z9{1G=KoP+FV}Q6^d}Ue;aT?3VdJ@y)HJyN%udoF|FpK=KF7XWm4g)?2AP-LfVmdQj zp8>>qF)zGs2bd8g`Qbg2dHocCGPMBYjdj@HaXRz({C5%XK884Lx@+GgI0%STq2odx z2-F1vGbs=9Pg$~02<%rrQzpC;ct03Hm=dNv6#=s*vC-E-JbJhQ@NEG3NalYm0;ZD( z^2Biv_uU-OX$Ig{08x^UqX1rsn`tqP`CPXG z-U}cCM1bkit;PsOL${`6!*)aX9fHIRuEGdF2=+kRSFrAu)8*;x*@SgL>I|2U;pswu&@JgMG;olQLEz`P`| z?aAkmqc%_En|#FZdlB%NJW@wFj!2K!hXCY__c1Vyb5aazrvb{2v|{);fb&KC%=IYd zZMy5@fCB*PC-b>J#c51`7(kqqac=}y1714`m=*)G$OD0V&>kS4Tt4oJfa?y|nHZQC z-}CzQ2qveI55g}+urdKL{p6MW6XG=T!Eqp&!w+>fIWCgpbh>*6Kb{A$|6?FO2{xv^ zy*~o-!2)ETc$iH7DElP6`SKL=5Kr@zYixrg=UC3Ggk=#hkI!7I-VVq|@H+rL|GxlU z2LR-SSHjx>yvF>IXWEZ3ACxce@Uhj35feAPPzziDIiW~zug328iDH|L$ zgqR+AiXl$pm2?QaCr@n0mjTqP82CIHPECT-fMimV2i{ZnV*0$29)b6q!>C`JTRGPd zIPU)*z_b|nJQq+#N#K~}*o=YnrUPyyaO@@XXiE^LOJn-e5%7K?ki@riza)Vr2`ouq zz7pX4$N99Qe09cchK^#iRxE!~ z#@vt1?{&=Wb61$H#O)WKKU?;K@}{D^;b6-=cz?7~C}O zJOxQvwVKuxsX(sHdaP{LRaCBL({QDHN3oUrZ%@lAaeaC96*G<`uxMbf)XX+sE2b%?IC{0 z!cR$6sw|)s?CzASKWRHhZBWTSSX50br5r8+ulB)ukFf2ORv+$3uSqNJfMXp7iIRG% zd;xHvNEsKUm?PbAkdaF^r3Gvfm6#$jhf|c9q8u#pmzC?Lips-I6~#QOnttZ5evDa* z0%xUXlyNBKq@)T$vz^aprC)j*(IlJ~O0lnV= zSLy%dW{sBA+YNn-pJ_iV^p2uW;(TWHS#)L+L6U)=8%#dU@4nTCK|UhXlkJhZ_b9ZR%a4LvNM%S-}XbE zXeYI<^_b9%R@&L#%q_AGnaob6S^t~a3oIn}71K)dCCrT-0@a((eW@eiB|ZFL<-Y({ z&t=Aj7toGbi@Fnk_w%zBSWqTJcJ6XkUra`Q*>GcnJW14nF@9Q0#zt^m< zoVla;dVT%2GkbU3Vl`?v6~^+~6_35+@--I99v{xvr}Jt*=bgFZ+^ue(0`K-C zVo%|yW3z=@qKDUiXVF$a9yu|-i>mvNY8 zJI zC9B){uWohAT~a+e@eg;L-Hg>)E5xSU|9}3=?H+ZulTUMpsHfJMF=ZYTKi5bXv49XJ zpNxpp<@JWzS!_jhU}!)5Fq)}YG5uMa`PpT0v6p;Z%*9f9B}J%Svg9R#d8q%q385>` z-9X7=8Dxs`^k)`z>vZuf?^E~Fn4iI3UPO!h6%p-TGQ3}r;ck6nmke~vNVaF&jD4?s z6fxn4JnPZg?S)U~wQXl=_AS=w_kIC^Zj?pT`&k*+b|zo#F#LUvR=3mSy(7>aK0J8a zMT<6BF8Z$JqQ$$Ty}@}eZnGBrL7Qr`GLy_)Vk{|*`P{!^B(`X#+R`bL`RG+gnZ;JJ zb9cTzcR5?^mE>i&yK~C@-#K@qJ4@3^#|WSEA#}W7juRU=3_|KZda?ASb0%|Y&Abah zy*j2|Z?_~}ocx8UhAJk)J6B+&`ki8uUiXUaUDX2ho4AYRp3pRdl$0?sUX zx^n4r@@?Z;%FI7&u~+%|Q=X&bSuw^a_;k>GV`IAHvrAj#aOA?72;W_sTCe$MpPQO^ zwkc@kqAi!ofBWd!vN5&Z4_*9ISqf8@7@J8lGo>%G#1>CqaRRcMnThL#rZ0uOm(H3j zRA-{q??=u)W;GEDN66yf}pH<{`Cftj> zBF{u-;pt0^EmUSp5?hkkG!j^vE~XL6tV!pj#N?*bo=f;v@ymP4L=w9H>oe^1%__L* zGtWtJf>k&53wKS=@!6!F|)c$t`9igYhtr2E|bHUwW>%iS&~%Xy9Exlh0B zxqflJ`zKFO?78IJJ;OIIdCjNLxjOAHdI)Gf!idVq-k-Vr&kxL;x6mA{M~fDlS~}gm zQ0Xtak}cM*g|g^x1TyIr+ zGfiCcPH%qrcNV%aNaw8c8|*D=xlb(2zLnpZK-|HcHt2BG?n#Ha=Q!Lw6IYVG=G8%q z&6j+0j{E#CxqYF;ru^qBF9Gk8#1<$#{Z#&y^TFK(%4UY*=c17 z$+@Xy*@?F|Ae)!{LxSh_8I!Znj%lkb1-xmqyoB#=|46=7so(#5=PeyF;aAre2ilWm zb&_4WF#Mq%`pgZJ-dtQ5l8(~3Jr}=BA00MdN=sdw-g{b~cQb!*v3Lq^DN5a;Li2cd zMM!MP?4E}vbQW1mgLE-cd_Ju~p3R(Pl|2VuGY_WbS7b3Y zhkM(LwuknLc}E*cF!iW3ZGfr9_X3OFWTh5ZV@mLSWTYdT9VD1zP8=_CjRf7 zD~-M0FW|cB1IClrKIFY~WWW5Z;Lyl^`CY;MQ-l1l;81WM|05k-(fra)mzN}TY( zPo!CEUf|4Cs`$?w>X%_zgbK2FF|yJU_M?d-WZ`|F2Tnmxt;LUkVG+sLQhHCMc8YU0_ZybLB3d!73wkrJFO zXSco+k&{INF42p%m%Q|~?*(_t%b;Wn=3&|j=Q3l9rmuWOsXlG<&n6N7Uz=Dm)0fQj zh3XC@2EAD_(-Z%rGqz~<&eH$JGf#DB6xwU-t7_BzySTFNiAJ?_Ns6qW+%iG6{W*+QSkXR+9&^Msqed{&_k zXwo#0`_Id#Nj=M?dCzto)_2vKzU`0wd&=0%`IG-LM~MYw&%2rPz}QO^g}s`Ju0cxaSn7$SgVNL9T$|;xzWkBR>13_* zNm1^M2)(EMa;ERC%7!B{_W4OKB!QbsyOnsxnV7&xLBSV-Sh!WY!+e>eltZ}5) zDWdsrG2ievQ)zax7SWzIG07M13wypiS69dyV6Q_$lhE+dOe@Mq|7MzuL{iuVW?)6C zlE|9w_W5fzvse7Gog>iX?z+C8vLKQKN zF(p5*vI;a!dh*RT^vn-?tH#`nw2C$_)|SF-_z$^%FehivCd?L&HA9=nd$hpD|6CK^VdJynS#;U0yhI|jk_J=0@| z@rIlh3I*74Z$KO4{P3y~Ta*MOU{uo-AE}bZ$eD<%Bn7}UyE<&AmFA8wPhQ)rpc8vY zEGWpoZ^VL(2{J2&m|ew`IRD32n1Nc;0g{2jl$l9cPKOs}KT$lraaOM`swwG7y! z`oKuto*SP3A00DK)XZd6CM8-A9LhCg{(XA$kR*=Nl(d+5;y>7FChzJ;5Gm4C#0@(EOE$_wQ9&AE-_#_#9w9; zD>EiHAufrlUBLI_-o~$oh8UuvsF@tFQsId$#3lKijp>5&{QF*0Zy{y95JDXBQ|EO? zE-`oKuKMj)VOAqsTF%Y~X>-UbKA@>e_qs#JM7NcCz`&bC7#v2@5s1Bn**$^IIxXj6 z&6urdSDlnm5(6u06>flmsTdNZ*1>^>m06I#q4=?3@H1 zzY(zsa$;IsL9j$<@L)6r0%B@{UF6Oe^yY8<&yZO(9B9B$TLwv-aP{czNjl*@ip~i3 znzXY2NQ9W2NtQybI-E-gK&B_GE-YCy+BX~|0~;kxDc0^#y5Kkd+tF!Ar8{N@DHFYm z#)Z!$pO95&6HIpBk8g%HLK3Lv9V`vA95R0Y(D~yADhPsp>cQ_YT z1^d9=@_c5af}&6FYQHtN0Yad&G)I;BV|OR+)0TGoe?YtaX1bczAOA^c#3BJgg4IHZ zuC>^6a6+dg;8Ml3gN1_@q+EoM&b5gDrUkoDFw@FwU)UL;XcZ#f$!XCNCCkiel05UC z*nV#D$x5AUVzH2ng%{+KZ2|)WlfWDvhQV*Xuh)QNf?s8kb!Dtke)z=7p-`!i4 zijlc0H-1zRTJB2GDtLiDW4VL5pPE;>x$#}*RW5W3DUxljzogI4-)rT@F82pUU;8yX z*!_umQ-2+}xFgu=-)D5cZ`co>_@M8Lo4r%r$470UjlTBC@X(gj#h)tH#xAauzm{v* zBkCP@IZea3d1qNK>@N>he^aX+diy=U-IM)5;ZUx-@WM|!+0(uj@guvn>z#f2@aggfP2AUCU!{)pmtU`~INDQCU$51%tT1c6G zOkk$R-vb|=)epk(FanN|aGeU#GDa07k#dca0B5z(?EXV}kHrpGQ0H2mC@l+{);f9^ z*$gn^Du)DawLrdZD4P;u41ZxW!{uoHc{lK(7y-57OE{clI_O}j3R{jck}@*HG-D0f zX;`I7^S(com|EjDuFcd0-(evVz@2MMyC)=v>H{kv4j2!T!8QU^3zLW_6i&#vnTy32 zlIZ+JA1U|W$@Mq^X4v>RWzr*(wO%4m+z_!=aIx#j}vvm-_QNPGG$BrQpPO}@y zI^fW5zJDDLYF62mvdOrMfHmTHJnA4C;-aZ~U9V;N?O z8zl4OLrB5Rh}K_BsfN&mfpDt`Fuk@kKhn=6V&Hl4q4V3lgXcEOl1TIe)s+0v3yXYl z<}fWO+yeVac~b>HNj#D72D_;Z?Ii`)6j+P$;z1-oh7pynVl{aEUF`~qUYjHkp5q1J z7rq0)g#443mO-F&q2Zrs#Qr!PRB@~)MJ1sptOOa36RMs$B@HF-cVp@#|%?m zAs6boklO?N#Zy>acIPnQg|Gj&!vR~;MjVz1wU!OzOx#W*Q}N#@SzcOwP_@(ed(e9R z-4_{fHYlrV&Uz%*eV(#H!v>h4Ja8yg$#ka<8k&HMgLYtd?8rK$uK=I$^56+I|hC4jIbY(PN^ouAu*FMn(%D37k^jk9dKtu2z+` z;+TL%RIuE8;mI9ddD9%1EH$5OZ`HO@PNw@d*)5ftD)D$4c$6G+j%8EDd}lYr{o9_o+w*AM6V z>Zk;N2?(o9M5Y$u#|0EQUSs+Z0-hwGBCrR*Szqx{|!8x$zDUK4?(V&F1E6>tBcp7|{*K&@So&3isC` z=%9MKo?@rur|q!-?T)*Q9T-A^i{MpXOAB@u=xXkfb!-*fZMK7BByz{md!SBFJ*eGN zTnplBjs2sLBt=v4gTnxoE;>g?qQI_Fj6pzFkD6%(}+1>x@k28WM9R=;A9YxH;&~N#ug^993u*s zzNft(!*_2uvvtG?48#ebMw|z6X@vu1G72Mnz`3`Ya)Xsn0vTGE!|%T;r{KZSG6wNI7L+);eJel5Ozvv&u+eY3xIQ(-Khf9hAiaC}V5Keh28Tvm)e zzu_TIel!Nx=Y}W3Pv7hq4!?!-BZvgwzL8(_DQ*c{gXbIWef2Q9Zw4^3Wn*^`h<%<> z4*y`*5d4G7J}-mpNq^$}uoR8m5Nri8(t_Rm-u{@B3Dru1mwcGt{Z${msLnYd0nk(v zD#8@5UYx|R!eIF@v1rqX;QCp$EG*-k;#f+mJ1fKsFl`-0&z}Cx3lg&$3jlKvO>V?Q z1ve1WWmX8%h;ZvjpGKrrxaf*<;M>VVa^Jx1QyOeqO4L0;hq_-IQ&@e111G(bdXY*KLXFjt!29Y+IWN{ak0zf#RP zDS2EI*pO#vRhTz05?~|-;A<3ik?;aXP!|I;H3AZ#fOSd;ti&J(`%SVhg#;(iZeCrI z0&EeIH*sJH1kq>%OzBt?s~%Tw)Q9yN95Vv62NI%Ckc^UwTR;I*1!Ke5pfK&U{q?`@ zL_GCL8cax*9D!FvS6n(vEeJDWeQIv_tyWI!#-#Q|-2xv=*_WbJsn%TUAadG@>=WV= zEXl$JJe2XTjvY~Gw*8h*r>bqj9&(bv_5oa$u;fe(WN4(@2JFIZ`49PM z%KnOP(RWCQKn_jnG?)wfJ8NVRvT2EgOb2o4@cQDHqf>d20~P688)>_EmF62QO%^4p zP)<~c4$zzb>-$kcC@WO#1Q*7WA=;yfW71IEGFc^1kt6J30)IdLbjWGsw?!Fky$%zw zhQ@+(VL&jk&8kZgC*Y$EB_Td!p!H~9o3XGgw|?4UizFL57owdWmc8`7pa2{MP7e}a z^c4j)v*q9EK>`2O{^wAr$@f3PxA}e{a8m zaSTzx-8*8zqTPFBuXsRvAJp)%`Z3w*Fk|63pjvHkpADNh;qj3v#hiHmdWClMi~u*o zfZdK6mB#+9=!(cMgioUFh2MuC_#&W&hS6${|8-!zHIM&wW|D)^Q2b(sbQGzKSZClw><1Y>hfI_C_+Pss?|$xM@|nm(9p*A6y@pmf zNfN;>Gy*)!CPQxMV{`=2&qd+(E6Ej*%Z<+1g~OhwObJrxW|=R4Kno(uBD}y<`gYU= zcyJIJR7$#{3NLY&M=248=9gXv4Wl~-*B0&?6cyW}4iyR8r_y`m&Z=x|&10Wdmh2hcQ+T(r9#tGu8a;&w(&l$E*^-0O`nt zK;vy(3}5ckVvvr?U{wH0!yZO4Tqe}r&k-a7ICd~zQHQOI{Jd~A`ui_{jGS-iZFc4* zX-AG(vT*jEA|A0fBxm7vQzkL1ANZs5mmIUUpE70IYpRE2;`Royk|-4AnF- zHmkYNDq#x{pGJ~qdJb8XptEgZdl0Whu5=thVidNekQ7)h2%8|Q$e-rXUU~H~?}J4Y z3`78o%!|MVAl@%aM) z5_m9?*+WuTozXr;pjDVAV7OvgLqo85BP5;C4zcM3$#(n*SHS7kG$G?|eE@#03#xSDb?=G$28s2KtKMMRGvBC$YIOb=q; zBzukpnJi_^a0vCnw1Dm5{`USUb7cvMmu#7&hrQAWWyCX*VA{nx8s$kb$=c6+T1AOG ze?sA*1o|Q_FO$)0W+(tY;#O`_p$##%s8n=m-n>E?ZYM!W<+|2RkvKS5!aoML=P>pH z=nj=|8X&9ssjtfhhS_|{L3YNZ(xw%28Z#FQiB@wb?70SU6<3uCJ}p@=YLTI+`81Ny z6;V;*k7=~=9{3efLB~p1YXnmfLmW~9Kt+)JNeXgk#40TF#|;3mD>)9OCb3CF$b{h|Z;(NcS;Exj zKYaS4R@cX+CiN5dQAk7L#!o0AXw&pKo8QD)U0Fdkm*osPW<{N;Oy@A zA@^t}t~z0K?-d7&*kr3te9Wkw5XJ#IB}a0=F^%5_XKJ5CwL+fO&E32M!Hsq0^4rRp z)#oeSpW%j{Dy z2~sG8Bnq;nAM&0*&DuBd+ok}Q1&&JO(scNPFxpumN~3cFM}vhE3{aX$*z=38F*C#t zoyWcsWX1Z?9XFa1b)y{6mWiQbg1#Y%7+`vb&4?2ez3(~PlLE&S_sD7xIUEySVrD~s zgw!L{C=L8cdU!o@_rNcqS!tft?gP(}^5ILSGnKtv3k0jHQNi;N(7(#R4 zjji5=|3mKRQnha;Fbtw6StFt(X;furFj?}Plw*iJ{(bnTmI<)!Rl#3kvkXbcu+xkS z_n!9|K3s7Y_qZ7{I!DSfBNpe9T-l>!x?yx8(NUdT0WJ2; zLb*|jQyStvyK2eVN^I&)?`#b#X5gu2fc0UPKcSX}LjXO|hJd%B_oZMk$;WmsdgU#K zntX2G%Q=pZQ|h$K$U7_X{P^ng>*4<=fJDyv9E`C;#d7osj$s|*qK8NuXk(p&0@3(P zagoH|h%)r7gLLlUO>I`AXjbvy@O5;y%EJ=n~v;%eX^Lvrh z{wN2zZa;D86R+gemGW{`jz0iJF8Pf{g(FkljC5yT_n6{&*)qAdc$`%RJ>|&VEG;dG z7lzzHcy%8kCAWo{=3!s1`U7P8Y-qwy`;z@ql3;6*8-0 zyC{2R_}|@>#e8}27eoTLY|}QOl9bA6MR~GR#$!B-R*fymreE68khh} z@FCDMPzGvj4DbTcrh9ZV#T5Lm{eP65GLw}lSrHdS)o4`Yi@p?kD0m{yZ1>C*dCPJ# zjiCy`LXa@&0Iu=*<6Srh1hkP+CIUsOyN}#hV`IMae8p>fk<`Q@5Dkqr^vBp)|@dOY=ZOMDkERQO8 zbj&qmN7iGwF9NhyCe!nheg+0FY{)>nCc;X8Z*;>)O<=b)63|FaNEK4v`_=zV*nG*eE3l_5w!uX2|M5wXgo{Kt& z2(($y;s^My>Sfh&NNCjarP$&oGB?z+m|DmZAXxXm=r z_cBYkr;p%W$#A-fS|Qa3c~Kv-m>F#Up*HCczczzUKtT+^AJfGZYbD06#sOqtRxD+_wfgut zbX^QJ^7T}HcVpBmXGVs+&FhSlFqCoj5{@5e1Oihb95lf&69E?~>MGpOJh&B@DXQK* z#;M<1R>!=H5Xm_t81yitq4e00!0_-rLvZl%Fw{^G;P_-Iga6(O3_~pZiz=uc zUC;?A4W?OzVr8AGC*8{01+Seb{q46kjfZGjwOp<(WiZ6WA3IUwNP7 z=xRZmJ z7`FqlQFO2T4$9*=i&nXpXV^?QAh}F)<(2Foc}`Bsi$7JE`rRoUa0^N*#2FkP@ae;3 z)xMKFa%Y0NIB0k!wQn5BP&azU+&O~p1e-nFRFiu-G9-s|fIKh9EV^x%2C3#H0gy(d z!yoye_0bT@8n%+@k%zEXv=ARy z0;^~o8!eGUH+W(zsrJq8pOPc5Re(hxT2ewyIWE|=<6X+}E;QQguPDZ55`=Ek_KP}< zq@pHCR8(sMaIjev|D8GIEr0NuKEJPiJc9$MIO`adk^U$&1Jr8eXs@!g4Xr_BTPY3r z+6a{i_Tdd)zYnDbX%GiS{3XPAcrROv1pow0y1LH>sayYinSc_?GrY*x3$O<0wxNlq zPtev70sB~PF_s1f3J`j5v)bpI&jt-qy-n9u?Yf3yl+-FI>d42wB1Vy7oQ$18NJ20p zM0@Il9PCh_XQ05Jdl<6tDXghJCNR2wE$#c5K31!kKd@5?n;uW*X+eY7Q1lJl(maU$ zJNa5BG*P;&32g2OPRA7ZGheb>0?Zp zl6p$|y~ugsBg>X{2$Mj=5jO77gE5d{&jD<58LLipALh>x8 zw12Q}qYm*SvV9kM64~26aZ#358Q0fiH zG{#-ZRvN1Tiok+_5DxO7HfLMV=&EY85B%)zVKS?UQEy!L;o}9RuZ_IQ#R`iWikD5h z@Zp?ZD+R}gJ&CC#Qz~P+g0pKFSQIQWS@Tn3=QvaV#zqkhVlK4^KB1I4#e|s$*{d*N zz{`bQWvI<{zpV7=`82jb!S;~UK+geDD1=dFRV!xoVjARB zn1Fgwb=+dvD4sNKPAN_$cb?)`HFRBXe_8mN-}ovVp+LF50CUXqM^uR4L!3_)q67=i zgN?*_y?QrvcD)e)Jal6NE zBjBtkP${CCLw5*%{ssu7lA{g;D%KX@t6DT}(Qu?S|KT6A`Qaj12QXp*A)trSjqR4J znm}rzhpMTq?m#*48@>f)$QUIUbFR}ULs9xbjGi)d&_Fk!EuaF__ys=c-18Rg@%(!8 zkH0`q8C1}}Dr&m=)-sD$`!Q8GI3KG99tuEf6dd;id7Io2xQQY+<{>dWZbxTDegv;J z549G}6ygcN?I+=Bcu+mrrm)$lGVV*BkiiI$kKSPxAuv-w-3Fw~XUGk@=@Udvi>4{YR3f}7@0z!0vHcns=O59C9jwhV~??EY0 zn*FC+&+^8P7@-}ak`qMLz%h_}u0gN7nv&=sj0mgfL?E9Y7`ec4H^owp3-nzX6JKk1 znzEfwBHKkuQ4tD6#K8d$KdihNtiQM!r+$(hH7HH^-(UqP^r$ADI1ivQ`&zb*x+Eol zU$hF7I8U}ylR}VjGE55?vc94;fEzWNU%I)^?pxJDjoHW52GLm8(6-vO0roI(cxDujAdRblw1Uu>5SrgtMneo}9EKhYXnoJli~OEq z+KR|x9nQGWBaEb@-cT*i2mqXVDg#yzwZR!3k&|_LL-EJKNfu{EWYb`cU;#tlAdO1} z44eVH8YbLv9rK9qqwQcC|7yOj|J!d|q4Z!(MREj(ZknB*4*XqdIARB3PeYU?9o|w+ z{-|i@_Mm|ZR0?PUngpkto|^R5&{_rW6Qaen!4sZRgIVsA8U&)Ncgfq_;~!tHU< zCsoO*;W^gYE!a$Twe)rMS{;b2KEKq30U!cTy1d@u+~v3V1O8?GqbVF?g{_R;SO`G+ z-}m5^#NaMl->1Pb-UQcKD36w5Z9|X968+}B`iCzs!v9wC^sW8!pubiCi70VC5+!Hi zXWtKsX~>@AMuKCpB^jk}lO#f;Lh19dZ3b7T`!-lfa8*E8>J$Xsjqo%s^fQqWI*+)M z&K6xM1+qkk4El6pYI>KB%!chN_uC zzh6>$xE4<(aD%QbBg6nbF(5LugB?%m_$MUmC(um@8PldipJWHi7(F%c(6rV;(|7Jt zT_1P|Ac|g8YTfC+P4uY+Xo5yoSR@+y(I{3@;rem%lSI+UP-!{7*s5?e^2I)w11h-N z#!=NLO6Naroab;z`fP~g5X|EaS^=*4TT-?C#_?^GEHk<>m$3+DLjF*kkyOLxNwIR+ z`2I72r>g=?0u_ErYF2$<@W*h6p(3X(pd*W{2=>7;M_8Ya4e`N~rK34GlmLz^=5dBW zODKv^pK&M2Gi7iT;>+MI&k&uAxb`9NbpHXBF$M6@*KT1pjh>ZxKSPs*^6>l5FD(aIIKF6X z?A-DUDXP1JTl%O#`wbvq9+c;v;;jaxc?`PZF;<6|$SF)6=syOQ6pTVp#r6Vc&M+8X z!d9@Mt}qUAASkt`;QOCoE;Q=Yh6_vOWo~|%fp$y38UC@GW_>6%nt}Pj^@DU)q`aF| z!&8op;(RWq5GtN@o52)FqLaZ8=1EwBd^Hrt(Lfm z(Y2^d^l(3ou2PwX4w~bEDf)g`K;d&`0%cqg1#BUZ1oR3HS|PVPfPR6#c8sQzfC9lW z4|4DBbv2dFIj6pm7EJj;o0G2ZahhTC1ng6l(;TcCqQp)iP`RoDq@i#iC|C~$&iHa} zM4|m$#H+b33@?AJ@$`Kc94qdGeS}tpqmGDCHSJVk52=sMFI8^F@C-*dAmz7~b_j=EM9f8}Iv#lvRWKdySzt1h#k32QasSpa*sP zialz>aWgBuvbvqtnz2=6eYFJJ&D(CFWBfEiy#>8^!dT&0?vu`t=LgD8Se9OPPy|PE z-bm3%TdPhQdAJM~rY6rbo*52su=rSEZK3M%lnFs;JLNIvTr<7 zOkEcobPm4RJDJ|=)pVS64Du(P?7ddQ@a=02cx0q_X75K~+N%9R0fWT~;uP>QpwE8V!G{!h=)h3Wdx{s7cEjk!S8;19 zO!92z_zo+}s16KozZV~`faS_#)7kPS{A$-52W@Pi+Ax0#seD<7kD(oN5UKL8U9}wJ z&p%jrbiE-C?7g5z#n8w2fkR)#@kY=HFgu-q&#Lt1E<^^NR19&>PN;ZH*I*^uzUP^q zg9WE_j}2^4Mz4}`(<4wxU@IE}-fK|RjB9jPg@VEi;7rApvU&Fa_M=v5Y97^hWsD++ z>k(9f0gFNEYrYluhP$lKRv?RvhyD|5jBCWxFv+;8Esa5NbRM?j z>Imo<-bm^&qg#H#JkLx&|1Yn$V6XJ%bRDNXvzeV3(ReMG(*Oad^yLXi-EdMp<+M4} zpp~S#B2_?m$F_&j%&OIJvPLoU=#C)o?|wv#d{$e}ue)W_rfnD1!{pUux1KZB7gl8s78Jaddme&E-YV78FhbQ5>~wl%cJ`2?tQsml zzOKtHs*r;f7=7iQqI%SIFkf+^b`;FAu5YLyRLH#8X@)u%8g}seXBk-*&aD^Jx4y~v5o9Sv=!^fbN zgPNtG$(SU4g{oDC{y-K^ihB}T^*mR2X9m7g9r6$z(^b!?rY=tfW(qsmgw-h^MpPE9 zHd9;$In`$@6C1rACz3@L;FTy zeW8F=(Z>?!KmG7BM{%kt&qXNBL#WZqsttJfYYj^vKvzy{`dkrjO~uOj)j) zEod2S`wqqQhEulum=ok!LgWLlb{3uVdd0KR$tI#FsOc#-RF~6rA;^lf{f5tFi&(1i z;0=Q(jaXauZrTG}vk}3>&%RW)i=mf>mc?;GEKX(`&2_l{kCj(!POV3TIzSDp3f=<9 z7OP2K#rL0#RjPv1BQ~OjP!;^WZykCjUrZy&xwXK>^(aRE)`HVFb__j(KEx`iqCX+v zz9M53h;1@i>Bd{*m>UoR*udxvUXLe__=jqnQwOnDX7y2z(~~fJcjne>Ha5W1H~n|D z5A`xVobZ5O1=m7sKO#KGaXgH6odG0oWeS;oKwM}xl*2k`*Yx5yEHrv$Y6PU#%9jd= zB8_Eh7~`s%st#iSss*Lsss-d|img?llFiM(v^Hw?;P-R~I~ z>+LUoDdlT<`*gWsjPDydQo69=YdG|ST(!L1m? z$8?;pe5Y5qLUS~G^%F-&c0BUN-qk7bDSIfm(&$Y;`k?XLBkPQP=}N;W?E6e1xNU>B z!MjQL|I7N8fjf-HEYaB4FY*Jc@*ngzbO&z?YS-#L z#lfQI7}sO{usqR!w3@rd=xyZRbC;p{<9j_Le_}<&!)ActzS-Gl?XWH%v#uzh)3gGpC*;^dn zJZ9Pc=2Y)*koHZGP{MpT9!vN*mx38#cR!;e7L_ylYs7 zU#$LHa0cNMMKR>?Pk9^G`@O@BLhX=avD^)aW4MDS9c#4z`ga$XA6Zx0bhqX_HeQ0Gw{_D4_oQ&7;qeB; zJG)lAP$=A)^Vd9B*y@-5$bN3*#?rg(t8zFBecX7+e!QQ+sS3;zRhJlPDcsx#o!zsl!))Wzg5f@j<`fJmw>eg!pJvz^DkB?R~x4QJ(#Gc8#su zZ#2A(Pu$!8A#dZ3Bejk8#vALkF?-{;bp7aM_JQH8mBTCd7rc^sAm~-IgC`E#eJ4F^ z;6LDu+1Y#T?i+n&P0<%)ute6p@Mo1%J9Fs+!NhXCl-C9kr~>Z~l9^g@42L0*(A~d@ zZw_=Lt&~sq`8X30pbaHoyW0qQ#F3nXLwbI8@ZMecx+dag-Tk9$#;#tW^=M;P=gZD> z!&^tuP~rG^)xrC=y=u)1hT&i7tSN4N#M}4}LH`Hwazg$>vTts!A6IQe4K{vj!v=&Q zPTWvT_tmd-&_J9RKoi8_++)Gl|BmlMJ8;5iFl$Uc^ z4yRi1(|?k|FT5cvnLqv-1agi+{Ni{;+Ytl^4mwi!9^XU;^RPS~YaF&W{%+1%^TGyW zU%y`%DGt2rvfREK{hn*JTKTKR2iId*UFm4X&Y|vSt&|M`mAWj>~+?F7(yk%D(aNdtPvOU2U6RU73P*xz-CWp4dKQS=|$Q9@}=MPeM8mXm|(2 zl7}VSt(z>($d2V6Kf*H!>;WIqScI(l$9FmGhE2nu!45gT9G#TH2ernoMmMb)%N?-# zcQ6Y2N9#Ykp||Kj7+0YmgWA{dd*DcJ3zWzL4_6=mxiK5W9P0pVv;)J%0e{UC^?u*) z&JB*DZ^m+^ucAgD2E*00GC$>3>&mKBu2JRV597=sUSR1-2Yv7(OUocVM}9ud%3%(| zNrnSK4mJ+-M1!9ACH2nSPWB!`Q=W-~BtPTx^KPg7{<_o?@9?^#yYj45NH3msPuAuV|`SR11A4 zyuzjLNL{Z77>-}H5H_oqmLW#?(+EMn24PmSq3u$!YWLlXLoF4?!VsTRYULF+3$E<1 z_xaFZUml^+jw7pY)n(ANy60$v_gZVTL4-fio?V~B`6=|aUEBJIzwukx4?lc$ZEMB0 zZ@?5J2Vu$CT1U(mQYfjAYTX3yTAzPIF_dWEXsxTDGz%MQ8T&1{v_ObVOV=_beQVu8 zNX^ip`8TVMrR1O30c+141g|6b5P*jOa-W6*Wt8R{Cr^xJ9{B4*v=&B$8^A{BE8ol* z9p8;W55ZY3>S;t58a;da>s}A;Z&rgIU8L_5R-v{@?d}(~Wh2^hb)Q$k6fv&jTZLxo zW`TVKMAQ!Iew7DhG{bNH^~bjdJut$msh(lW8_)`x@0h980@H3ab)SHhvc1uh=3zV_ z=m}POSkv%Qhk^7!ehEVKq+zX$Y1v`>Jbi-}n09LQQB8Bqbfs>mYh1~&fS~})=2B2B z>rUEVjem;9dfL^4&X%Ti*M{ zix>gJpM6;VMR*_v@huqOe$~n$K+uJA3r6_^*kD4bU51SJ!DhwWp@@cW=ixH#h0Drl z1wW{5=Q1{WnCCKKuDTi9H45n}?`@i(^kwai z?HV^=aT%7oVL1v^P8V;}Z?UkCBCgP@{*zl$fxr4{!}WI$E84A^@m?*BgUE6z^++ZBWc-4H>YBU_!E`E>NaBTPit5nmw+c?;* zG>o;5S8&G*4DnT*t+0m`*RfJCWrX0?q%oSVsoL{5;^+n=E~*#wL5A=@F=F9`Z@02( z%gkx;?hawVxT-*C$PFuM+Xat+K#4tz;RWy+aq!0RRdgmj44?nJbu0Qc@%RSQ1C7h8TBpF1m>!~MeO%@q#8ElL%#7wk*-!M{vrpA>k}Unn`F zfX%5)b_2w!7zJoP+`_eWU%dFQukO(^zAJitV;qr^cNWvvYp%a#0N)-0GXeHxYpN$~ zL>4|^<9v*h-YyD`u?ZUK=^OMThM(8#sRQsPd%ork7J9u~ylU!=!6tE=QvgSm6iSMb zl---VzPKB)v-I{yvf4n|AH{n%IEDqT8jA6#u+qMH*Ya%Pk`wr!8`c`;x_Ym7=AV8U z3x%KY3zpFB8oE=pxG<;)_@&27V-{kI2yCLAMH^>WhPHbrbH>kzaWD8wyWxv`IrGL5 zKj=*%2%xPk;I){04DZp4Gb2MoT5!kiVEb_6s^Qc@LlifC-rrjG%~UTwP;jsyaE2xb z9>AF}V^p;RS9i3!vtxK9wI#hu>=fm4uXy3Xf8$WMY|Al=X?JIiVLSHNLA^VjJ!-8? zSL}4TQ1B3t^Oc6H;`0i|VW%59mg1ztqhrUgK8nKHGGcVtF*j8B?R8bDrSRE>jIs}k zRfE>y#TxUITJ@T8Z$Vct)nDbN{pxy0@pQQ1zNKK20$u2E+H-JjCyga`7os~DS?(4? z4aC8+Cwf#*1_S~eU%=^TltD;?|3L^&qMNA-Xa)#M2&5y3hiM@&RfILv%?KGZ|KPNn zX0v)OaIk2TbDSeVTm9~|ja!I7(XgEbHK~xcg+Yat(?;~!)SdIIft4#1Qia|l7%9W* zmZA!5^3)QdMr>zV@$GCKLQ$2XQMUhLuR^Sxl7Sm)&qtg~Dglpj3ixa#TK4eRc?AQ}hHA00vxwb?G?r!!>vlv{ zDVLRE5jZs*o>I1p0GPod{_WuGjSNu0H~4HcyJ}13P@)iEC?Zf3Y#%S1&a$f7yd!T8 z2WiZ|#<&C7BK(@&poe5|2oWeu94jn?ko*)uK`BDOK#uffyE!U>vpS5(4-zzyQp~X; zKKF+~%je8tZ^W@--Bph|b`=6>e)k=ypoDUecO5?z7D;wOHB150PB2yFnKD{gWHWPj3qTOU}Odh)s;n z7R++u0fA{6i;be;EJ@bdnp=}LT-$%J*qI5o!-^ewWrXO!bqi}Uvxxr1Q6TN-uLbKq z#T}Z=|q&kJA%6x(B4RHXLayST&J6>>6-Dw=fuv2$gR&}RDXW^pPuPdR#<8estu6#Hv+kHf%!i6* zs$67kbQe0SpzPUt9_5Cb?M|WOOxN+ zU?s8>7L*fMagCFfxLAs8*?h8bfrDlVRxV?9ipT-*c<=!Vd2eySQW1 z&Q0(2pR^tS1ERb^JXWu45XQbB_j$uJPFO3f!s~Jy@%rs&)*tG9oqbjQkY(@uMe&~9 z&JC5PSZ93ZI(K=O6@TJ=5t-*Uow#Gu3*+L7Vo_W-DtbRKdP4MOrqDs1-d3G|YS+27 zO$ULoJMmTU<<-R3*Z-WsFal0TIHq7UjJ7#V%*lT|4|= zDE1yIW}n>TpAe6p5ZM!>a0Z0gFb?L{8@W}%joKURcLl{A`sz(j+SiP)R(qc+R;Dl7 zd)nHOFG!?U6nj5bTq~{^7nKd8*nvG+_d2l7RutP1qrsIU4+bq9QRr?(lKVAY9di`X~95u)&LEXsnMA0YmSg98;D!;(kzViXv*q7M;1 zZZ%>1Ob%EQ^XT9DzK2~z8kZp;9gn^q9`kx@u6G>_%jSdsz?BCsR#*tW8o2Vy#$d&- zZNu?CG!E?-Q8o(oK@boKn5<>?Sw|4^L+p;nV{lLc0OE4zj_ryFD3~;~EV)UG=@AN81avIjrw|w*hh3|jytUb%2 zr5=9p)~;XPR^jOugdk!BJokw>Ga?qt^#L%M5rzgiBmNp-R+e(Y#HXUg?Uuq_pzNVj zzK7kPZXEj1Ui@MPEkrvZHHjOOr7y(*XI-gPR4y)UWdm0O<>Sl!flA3AU>wgFY4Gb90aM|-~`DlbhK8g#Gc?)Xek5?DMqBB=1>DP%FrwRHgQ~ql%Y&5ROsCC z5{Qpe>%ds36&M{5Bh-Q;g;I@x=@?HXVDzA}2>$1tfFgSOXD))u27pMdAZZ*q&d6>> z13)x7drnNyQ>J(&H(bBiLXu19F~%}GnFHydo=1cbO$Egp_FX6sShhNr z%=+V=@Pv<}DCkKjOuLon>1`FShE{S$sj`>I2iu5_MPMP>Mios&H?x7Nmv^A>TKB}8 z--;jwh7DMaRSCTUZBxWJA}HbcR^lXJW;njhui*>~hbIpwLWw9Qwi6?gHKD%=AVTa<-Ip>%XPUfoUI7FkMOwlw+(xSHQM59#RHjZJ3 zNfFFF!~BD@o;_?x^CUJ{6aZsGBHi+pg&&A_@%+o(x4xd zi~-0Ogj+D~LpT9W9pN{?k^gXBl#PX>+g2b}fPae&|CnAG^6){Z?%>&fzcAno!-*-x zh!#Q;U7|ElIqu`|vyT?G8_CJgYmARK5PhoSeMbW$U1K}BQec?C;f0JiE?cd{3`@Tn z!t?RZ#eAe(y8qR(l`YYNB3}4Ff4K&ZAeW47oo$3Hp;;xpg2)%fS2b5?QKgcyQ$e*Q z5iTMn6;T3;+gPFJ9oehK$KUiSjubh^M~#)!KPIo`*h~~9s3O%jA>3Mdpxp384R1kr zagL-9L11I3G-xYzD#XSS#6j<)vwioFegm}WtxzVs%RMZoeyVU-ABvBz_XLh9j#E=B zcN`<$k_fdtYM5F|L@RFmHrz;>~1!Km4(#Odin3&zcZQtw)aMA-3 zj#>lpVst}$JpVZ6x^XUOiIqmk7rkrSDh*D}$|!V6(!}~teX|6A{j6V_7v*Fd=+&DTgCw z6|^=2aDYFMdiJXx4x@311{1e@9j>aJ7b4xwLImgmVD=lL;f+JJYe|v{)>#isV}L?1 z&}Aqtr!;s@SU9neMv6*WF<{I?0t))O+V6L-(=~&W!+jS)C)uabjKn3M7C{+y56*ra zC)I=%;Z{`eRP$Ac2OJsrb||o5MnX$5HJ~z-rY&Bs>Nw%3qP^IS!BFK0Gxj{kZJ`?0 z&5$=VLpEi=KaTw{jMdV%a+b?ya$!Xyv5aFnT#mEo80di@E~p4S4N|281afWSoTY#m zEry;w=?{6Zol@9PeEid|mZn$SWX`XkuMeOfD;caeS;rzIgm-2F z_XIw-(rsZ%r?f$lbH9{#Th>@FF(9E-m_Tsc+{@#C1;UW|=aX1)Xn2OGY1Cm&LeLp6 zed6T^oI>D45-U0j)wY#=+0T$h0GV_0uYxRA0PqaTo*b!Yd<2}ZpcT~Ul3JP2_1*xS zYA+66gieOUTGH}9$Q3YF0L7PDst%g>!#eKfC z4WTF)QZYcyIb)La=F|$kH)RGB!@rH8GFWHN!IY6DIq0b)wE+QBaqwi#rzH-vF4BDz zLP?TAGENkJ1`%rPVS_X#NKQA4_3@$;mRgP@{DV4^ z6l4SmW7=vHS~VaWqm=pal}FvfWbl5-@~9)>7tCpwf_W-O@(1Y&aPfNZq)qK5N!Tg9EgxY|J4TXI9M{0ko ztzZJGi@5^oOB4JWogT^!pGH$DLiSu(mLnSfDmZYnO*Hz@b7*P{4K!(Byi^{*Sv7eY z8ZmgXkM>GM!ZHW@w_Q3?TnHGQLb8XTN~!LN@BajP6-^neW6aCqsHxKR8--m=`=O>v z2P`S%!TNDXxwdV*!6jL#)x-8yL7a;`TIix1+Qd1Cp;DMZvg&rvgE`yW^c8R|>4wE9 z5iD2Y_`o<8T}%fdGhrr3Ai0LNG7@TVlyc-?q^js?jC1;Mzz;K_MKrXIFlR~}au<{hyd@irDPjCLQ}G6PG+-e? z1O&jdoQbLEF|eJ{k_&MR_}9^vef*yhiGg(kje=^)qU;G2)VI~gF{?D(<#-n|@)~76 z7{dHX*9W$$I0Yj^p?MB^Yz|f!x}(sK7F|vfYSf z8+W&j;!T79pSAaokK?@WJ7-5@dacmPVm!u8VH|}S4&Wg~{i0cyr@HmdV3t}?paeju zips1-(4Z`~m8mCRMdn6DPj+=h+`vCcXRXhClFQXP)o#{r%(lKF{c&1?)Qn*~B*|wkcHNhuE{BD+9%#`v?2MEWN zPn?#+StZ2k;f4%wPrGPm8z|H43_Ah_1kAG9)DD=Xl2d9rD@MK$FJr=#3n|C#UucmS zK)mH^<%Kx%%C|?gUA=FKAQul+P}^1piUsaqfXFm42z6sIk4uZNu_k#(Vht0C$OrTm zOCT9${#NxZ&)eqRLD`C@KKu)1>H#8EpM}7MN^QEEW~{7LB)M6#=uNzd0ZR(1Gi}J@ zuReta2SFefV;p5L2K0w#7)%;O#DLUH2Vg3h`al0IT*Vc12ZbF8d0%#b+kghOOx`#%6P45Vafw_66SY#h`A_5u5ShL`HtI}VK_IhOZ=&;8c>)-4yf2|_;g6JV z{!cV*Ev_n+@A?6gFFN`~iv(nJvAsb&Gw5^+%9^MU++R@ny7>hv2+$cZR^(jJ{OBe`TNli)o7tmq`Ho9Q)dKG%Q$s+cnf5}oM zhCDK!UXiR1Q8yncJ@m^z1=j`%<>njz9X9%v*2WKtvCFeFxJ&%E_IYg8DM zpg>N(NENyMWek^zTiQ4wRxR_=*S>xol?3JG<}Mtx5+|I}1$-~^T-2aF$~qub#q9ao zXotHF{kol^?pO&GmWu~3!1511_W|Q0x)P1Pv@w$|OY>j(mnAt>g;YiNPQ`p#j&Vk$ zI4tZc%Q3r(kk26WuonG>>&-pBDd;LrmJ)TKZaN}~89vd0v9vP_-7EDcr52`=+`*Ta zhtG)~7H6PgU4~~foUttG*NIpPt#hRXCT=xx9C*qm;S(Tt?=rqnS_|DhXR3s;f-9H* z;FqwlNNUeUDvC#iawbT&Q)WiJ0SKHZG*Yu5t!?r$I!ehQ@yRHvXX?1F7%DX3$hnP5m(`fr^RrAt8(2!?Eqbm2e|&!%s0j~ zfw>kGY44CV9w}Wv@ou?w1u?S9x+Hg1XLd&7Q&EntD6->$`IIeqrrs!PjI@*XupVx( zByS~+R*VRiW+eS5buru&wlzmd?MUg>3)e|WCA&RiEEUEDY_Q5v^?a(Cy>%E3LW(fT zvL5S8qf8~wjqsR)5P=?sK}8v0_b+))*+V`ppz9q&n&G$hZUt3|UoUNiF+7GM0?;qF zw0x#yp*IXB3Bt1^kYO(2bf+?6@=HTpT10~sZ}J&xwesvuQJw@G}_?-$-G57aW7LL=y-xo6%kAtN-v%w!ooEPlOd% z32M!&XV~41ax0+#(_0hQNNn2LSR@nL5K@H0tZ>2J4rG;r7yvp$E(%!#Ue5R+rUkW6 zc=Q&N$y$H%w@e_>C!>&c)O}yBaWs*f{GcyqeXEI}lijlhRyGMhCwCWDWQ3LYJJ7a= zudz?E`dkTN({e(|Ixju?eNOl&dj14);(?--<5Ji1q$L^OEbKB=fzT`<%n+ZDK)N=tSC|duvuf*r!sxt0Ihd>}4-@D;g@p$#h+%>mXPC%sB`96~ zk4LBjBV9$$v6Y}$eE&BH^<&F}` zjt!Yk9>L?fW3TNmgShd0-I7U19(d_EVy#LW=ozQ8sZ^XOMXW=pOhD;-OAl3|?kfYN}e$v+eUV&BfI4yNb~LupnMQFhJV)V{jF zWgr#E0=a$^ujLegy1{fG1*OzUd&6^>G4yZJbkQrD zbkqj7gmD>QFmM4X4)YP|rH~%Ea_&L=46N$X8?^yfGOfim{Do5o3JQ)h zZJt82n6{}AiHl0~WFR4U_mVpo1!X~ebQzKV%G|qi!u@V+iW$Y4VKHejqqIt7f>d3l ztweM%z0)10GH$md#i;NQ4l-R3?g6Bn4$+Y_qGnbwUrXjV%Z3A!ANtI@v~mX-MJ`>k zZNDKkz04RhG&n&IDaA|jO#Hkr@daz+r96%_(nc@$84p(IvO7{f}y4Pb6o zhHx)T3B;4#-WVq1eYj7_7EZ{Z&7;hEv6x-s+{=YJat#FZY13+;dFH z^dFR2JWnABiA0Bbg=~n*@StkG_HTwbqBT6syn^HLVRMjw>gAu7aYQG2Ie`ovE*4ji zP}o${!_|HdQ{9QNT5X8biJyTC&Lu=dUK3=Q`hX&J(S^{*@dpW}=JL&dXpXks^aXl? ztdfHwv$`qX6IkW_xtj&X(HSg?5nZB#6~!0CRx%G1;^cD%{2sr#8WF*hJO`#-du%%30f9|xHHYgtYF@JDsk?CMGRO_W(k)aTV?h=LRc=W1V9Ov z1bq`#;jhF5QNVh6(eoY#b>+%!pJSB4rBpp-p7b$PL^E0Kz^eLCHnFwZ%IOwS?Y082 zoI0z@_9huaWXP#yhCv&X)xAXCjy~5M|FY+??ZvDMO0R<<#N5Vj>)1;20hR~ZR0@aV{_$h`>{c4PP`P4UYl8=**Ktz2pRnA!kzR9vI^ zN$dE013$O`H_r6OtY&52e5X_ae@$00ZSjUX=DiXEH*}`fe zNM&g)WH1dxN)FIlo`k0m6LM`zv!on=vW=7*rL?~PlV5+gOcX-ZB?FPOO}Vp0M$%)}4+rFy*%{?kFPs|7)lQk`&%aE|GZBe` zu`WolKO;(2)ClQ%sCTB_rdyY^q>4LWdAg*sUpFi^W>6FEUy@lwM>L+vaj%xoF_bxa z0uMX#D!<~$u2zX*&PxW7%t02SDQi}Na*oRFD!A#j0EmBF$mb?R=nR!aWyEzlV4H&V z`)@G+&4#y5gm++#V&cLKvbs&>5ng!lWJ+ zD_fm-je(I^36TVLAQqP`K#2HQjHQ8Q2`>1TkA52M5Sh)e@@&W~+PIZI2Wbci{eZ1E zvaRSDOT4z(TL%`ZN11R5!@eoG{Jskl^rg*YcH|ze|1+mmb?Mo1^8^NHvCdz73&A$r z>zXG`3~)y7~|L1CoI4U}1@fkVwqfg@I&82p4L@S{>-{R^n!oEP$GLOn2#GK8nm72~?J8Jz8%r zx$@|b<;YD$+k*<3;1O1JvDhHL8|@U?`shMrR!L;S6hRMm$U2@n%F3HH2cddKY08@+Au<0wMgqmJDSJJ3=IB zLVJ1_$jV9>$m=>*DY!EE1zGNnpmyAu5&eXStww=ZYmq&cYm`nIA7vtNqNb@5gUkc5 ztKl1pI$#DRBU;~5z(!@hgX0%}=qL--2t8rZtVD^$8QNCV=G_I;nYO|)=75#2=rpcQ ze>Yr{Dl+t--9=~R$E5r(qlPjf{QK|=tcgk#jUZ}J3<8b6!6&QNAn+%otd|M>cy-y9e|+k{_*2Vl2t}A-cxsW1=gbxHOIKh zVr-70pbzqEk-w;*E$~j@L`IzBRW%;4(=2e)wMWQ|MS3bcFP&np##Q${ClsJwRb{y& zE0T(O_y@(5$bcWgu{Q|>TT>JdHf;}vLGfOuA`ebeMgOrYKmV)7(f1s&;uKD8U07}5 z@L2BW6`XQ$y{mwhGL0BlB6w4CkWn{)G6{!_DtLA;V|L^@6}x81UCC<+PvyaD&)Z0h zsX2GdEahg+3=@&l|2TsQK!Tw8V8GWYk>G=v4y6`ZyiV%^Vk0KXZ7GuN0XM0W#sxOe zXIR)#W_RT`BZ5|yM!V4!F$r8Jf^E`6Hp6i;jwwg?he11K1K_1%L> zje)~8$jZ+l5&%`7mLym{1-U_A46(Zp02A+u-xhnB&?DZ<84r{$@A)raV7zl~ZZ(`C zcWIL?Wvn{X)uD>F?tE%)!F$Vh6H<}l9>-w^%l^M8$F0@@%BNi z->WZ456r5CM#XSw;k0_-JY?BGpjjp}6g43E4|&EK0XxT`8C6A$u{bE@Ref`a2-aHk z2F>gQ3*2`lIp$&P(vEkVP~H@eI#iZ1nk}jvlWZD~3@iKgUx1av;uba;F5?F>D$Yqi z`=AU=pz|AORjT!?D1Ez2JE)T|DFuH1A9 zY|w9z`wXUNN!m~CXR{3GW201pb#F&Fr&?( ztHj$d=(Qa`MU~(9It6&T6mEzv@R-Q#z-o>hUd?6W%z+DZ@b>90HuP2<1#HQJ2B0z# z<|95s>4GBxO*iJrpP5RS^1DIpnkcrUnMEV51 zHHKa~GXPUoQE;{8`nANwEXeZj)_^!*=TTWSzM!k8QYW#O6!)Z!p#{qLo$d@664@73 zCBu@&-UXkbG`tpL{i#bC?F)o~AO3lDV2ZMv9vz$J6zZAV$V>#$8-lOcF9FR936POT z)#vz?O4ee?=DpHEaimItOI&uDV2D z)U)eKp&#B97>Yi67qF!-{GM~TjUzfabbUd}t%A17YUW18zwlv{jb>GrRUM*P#_e5F z2?Rr4)UU@qBSfg6KnRL5{($|FnnEI3+6t)ARp7hVhb5_%jAs|1bfS`NWu+9p^#GZ# zpd@9)=!~I(u&$G4%Tr4WF$4YEi8@Vqrl|H-?VwhN@T)^VjX;})w66LY4}@uQ=nrtR zHkA|bF($P$lJ16Yo#b03RTKe3CdGr6S9xl6>k*q&yePh-HT2VSrM`EY6c2HW})yatGz)$Ag}fJ z>-b8u%+O_^X;gp=baXcti(&)$RBpLxU8uYXHMlvbf8fVFp$=c<7yoQDf=)p6%whMM zPCNS17q2t4?P6-?Ty?+%6|{s59=WP^q=^U$s~FxVID!bn$h)JA2mCUrAR%f{qJE7nj(*7vQE(u zbU<6SRZ&Rq2@@~K`T)G(`k&MDJq5$!4zzj-s zQrW37kfgnH8QZ0`r}e&H--4kt*ll8{=fEMWmJ^7xDXxkIR2dS)K?TLqI3g-3i9xh8 zLrFfoButnwJJ^XOul)EW;b^*jIyY&iTG&8AdC4rh(-F!-)~jsPp{dtc=Ke7SVBQmXI>gl9*OS4GRM9s zYt`;JV`fZz#N!3mx7i7f~y$7Ukm~i)qyN*g{NqB*If*u zwz{5??*s#c8!g&G**8G94!&$qxMdbA<*Z2}1tVxz6;R`M9E4iFN5Sv_Xc!G_X&m}m z%w^liWODTC1n-iU!glf19S8%x&w0_Rc{Hzptp4>8R_x4F-~y(ghpiA(JORo)J!nGI z`qou+`YC1-VQk&?`7QFSG7a6Bjfa?_3BEWBg?ibA4JOPzy&Hh9fDtwysGIc#KIkR@ z@+ADj8w{FgvpNILMrpF?IhMbL@7;gCv$h8s9i5daKt5>_ZMUq7HVh+vJ#6s`3U|O3 z5w9cFsB&iwT7FOHDzoq34H<-n0#{T{#uRkXs7G&Qw}eTCA)dM0xCSQOR?e}SlUd=^ z2QzSSq=G6#f};9LcgR^OM(Gf$Q4Fp^8xvK2{i~&RKX8INF?Z zhs9l>y%jSZFtm};9n+S+_Oy)QptF=N%S=Q!yQ;DuI;_;k1mq^0P?55C5kUZ%iDhg{ z#N%_a+@(9|4iu(}kIj7w5m>wxGP2}#ssf0)23e&5Xm1ai zDSrzZa(=?AlH0i}&p)`e+X00j_mgnczG$;6z2I@E*z?T{B%M{Vj%~AOY&RB)UlW)L ztE-ByJS!W(?~i*^O9_F`$d$ij3Us1UkCSp<6;F#7IjflR@0;i$<@|xQ*awva1&F`O zBaJ!d=gx)rDvRZV4k)qQ|Jv)<8PrTkr6V8EnmBo3brzAO^gtBA_`N|$kJ$=`IZ_C8 z5n|+!(V%1usEjDu(!U!6BX`7XfNAzcpB#Gh{q!^=E}Jo;SgwCH-uof-D;K>1Afd&1 zC>2(SNXntA3_dvGWs!-lb61;B{{a(LAcTyDU8G+_F(PfckN(y>WQY`dcSRNU6FON1 zJ@E_hQN3(~O%2?w3Od@V=9#YL)n-0{mKj1GQHD*>;wui;=m`gn4i{3YkxNgPKvY`N z7o7}X^6xt1U+s#hs7ktnNXrQr`N8TNA{)FrbQTA@m5?6Rj3_ArX=u{s-He>$Kwxp_ z7G;Em>WR8=ucoY5bDE>tqp+1na4T0)n~g76T_X}ktJUDp>*au4vU!XHTd_$!=nz8T z6l{hdye94uvULRUN7uhgVRsKY7PJGO@&sp3>Yz2^404hI_zL2&No4pKL)gK43GmiZ zSHv2KP|bwPn?&!_`4MX)4R;L95K(aw%d=Ze_}al6d&!ufT5PZ^MO;x+^Zg-?|2O($9OO2g(TB8y*WYMJwyH z$_~Vjoh??f5&RX6(IkfLs4l}heF7tJB0L0C$AKJv=aecO>@U3t|4>j6LSS@38m^wb}^H8NOVF)-#9~C3=RK7 zcrriZdAgoXlvU&=!?8(W@)QUQOz9#zEJI0P&L%wxvKfo%nS=%<0O|&0kxuuWV0ZT1 z4`&f2;ueNe452TBhFSbmMMS`+pZCJ6DV#dkpA74ACgf#gyJ)XrUbQ)X+~(Ut>0XK-a!&%PL!PsxYe}snGb^Bvbxv1iP*}MfE(OFmu;EtM@{;H15 zZr+ceY9ci6$ojsVK^7JKfAp=Ayq3a*Zl)oJK?IC5<2;u(c8LjHMdGYzkpyg@`-P=_ z2%@lZL(CzVsqh10@>+f1vXbbizW5xT!2UxHF#RSS5C&m{qjM(`hvCPuwde{Mof*Zw zZ`Nn{9z0P6t zj7}4k+77JY(gqo<&N^yQoExzfT09|T6{*n~%mAoqVS^aIcQFZ2K@uT2Uf~Va7*)%3 zfAq6DDHfeI0^Qe5p+rSoU6WUf6+G5Jm}{uq6RVExgV21mDag2YZd3(@ax_a-vV+gB zUW1EN*wztM6eqLVMOGBZjn6r8@@Jb;oI_i>Ky-GHQjASWmL!2Ef~J21m&i*%r*K&( z2h9jg;+J36X;UgUbj=R}!MUkrPTSC?R;=$;HUdyto8(Vh6lftV_4lH65Cx=S#@;1Z z7(z6eDJ8~CDAc*~#vcJwmc6SX#Ou(bT%$c|jid>W4$YCD-Z;j-ZB@sy;$}G7vxk^p z6Qe?f!DOnlDlaKUm(Q|FjcqD@@!xZlvnfD9W-~G($b{RDeF&+8f4K&% zKPLvZ+XbCANC}ftF@)qw{{dcxA4Z~`#wqtEK#~9r4AW5#DnOle>-+xiEhbnqXq$U8 z^pCm9z3`gyZ05jB(UNx^FpzoH&Oj5@#(kKL(mkh6Bp|CHKz!4^5N_EZi~*;*Jtd>; zKf3ptZc{@KEor?7$GLf48O##ktMJlyOpH4!6N0>BmsOci`3DMPB!DUh)V~RM z%Nx$v4M#%xf7~ErW13&Fke!=5lp+?J;q1^EY)wB+(C2i4WJno>Pb5(r{<|kf7_os* zCP*E?l?MwL)9k*-hEwC7N~(0**Y0#Xj7=abhFwhnLNboAdGaG`&fnW*(Ida zGq&aqh&A_fMiX3VgE;o9TXSOF3q2LJ3FkVb(=;)Yy7-ho>qFDXfq(Pgz+e#N0@mEf zd|^i0nqAxA94gL3$2BRh=_@ah4c*@G0SFOlVCC(Xt=;zh733NDZZdM^jzzN@2Z=aF-F!4Z74s;Xi zT^qP4v>$?)g&3)l!g9&A@;UyXKxRPmfT+MfsrP=brh1#@K6>UlyeV_ULJ>W45=LPQ0G21e{o__^E%l(OpdiYG+eJ>sYN*L!bMA0&GR&J?)hW z3ehI*`zDvyMxp*7Ecl#nI(d6T@{A}JRfQR3daCasLp&@pBf)1Adx5Hg)Ly&kD~*wPpeXvQ_yscbZkFO8 zs1R0MHOhqh8#C!nuKGaNDM%90FyLYV>F`c!$a{z5W+=&;M~WwGRe}?tO8TT1tE#Nl zzf9o^Lt<6r6ZCSIE2BTlc#4ooE4xHQX%Qc}VRLK(hZ>Nk4-lBe0tMEYBS)>4a?nI| zAgzfKq_(|F_%W6e>x7a#dv(>E^3XVb07uTl=0?dvGD{4V6s*2zM+-C@sO?G67tgm_ zSVl}su4SKYeNQ@x83d!N3dPbOWZ9w=#dg!+Q~%-`^p3whqACL73{gO!3Wi0mULNuv@)Ka9E1g=5 zaT^-9i|?ig8)_>`>45a1w2$8W_(v#dE^Rk7$;2WZ!Q13844}5LSF*I5tYwDOzf6S8 zFMFQOtT;%RIuWZG3qlJ~a5uGrT6RLK4T#g%{sA48_>)a^h-InVasDs6DOuhlpQg(Jj&50Cd*S8g?6fVs8Y=){4T0+SJ4V`IAgjUQMoIhe^Vu4 z(CUwmFcLh2GDm={Vn9p0h8UJ4h#Ds{EaxwQDngf%Ns!hyDm$hs%8X zh-se%{l|ZUwj8ZzbnTH$2UTR|f?qCYDiZYzMGQvnuigJSC>&sBQYL|w7E3IRG2|<8 z#wKX9NP$@CbFva0?t`tl{(T&Dqgc2rVi*{l26nn=v3FLk2XaUldMFTC5I>R&u`;5= z|Bct{R5(qYQNff6VtNmNiiuo-40PNsIc~|n{_8&tpBcWxZAqrnqC(8ei|7{y=+(Ip z5u7*%Bjqp%3p%Ufs3)Nxeh*&@t^-b9prq1O?RIA`Hg68PeCvO}3Gqil)|nl~jG_)2 zmKE(hF_p!h4b=_ucL^)TdewyN7}6zGHo}E7ksdRxLHQ=+!WJPnjydVYykNn-@n<_! z#J_ausG_=sC!&z+v`}a>rM}z=GNQHCBH5MuGu=?#wncdbY_p?U6xzF~SbQ&`0lvsY z7{wX*#9bc|Y>32qJq2f5zG zw^&ak3XC|y0f?9V|3)zlLhlpOOo|Vxg@^OdVd!wU_h|>wZDU08C%ku1%kr#p?OnhjIu+hZPtGJ z@F6*q#;)P8SZXEc?5>RxN{}EX+8Ej}{sd6L0H~)2lb+Qu>>D#d-?Bw3VjmV z6mU9{CejeRR56itPJKlAd!pYNOocTB1FKUs2VOiNO%>f$cM^u(%ak@G?x3Bic@r69 zvL*j_v;rq7>y_;>^r$OaSJ;eYELl(TJ0pRv9x%Ngtc)v~RC-`Ag;8>k0NEt9xQuoi zEf=eak=Sed@juXhlFpy5eUg1$pngT?F@frU*v#hE&Jt3GXm65(1p8{=Kwv~4FM1?N)Ald z&?b%@He)%=2h$}Y73;3om>sEXUzkY!4xwsICu<`bt*%aYW=x%k^!*iwlfBkz;09OCf``#0E!|>%1PvLHL#huu_qQ9nICd+HBlAsRX{_5 znwJC;$0`POVoEUWBFiU2-y#Z-h?`va=iUjP#f!(dK##z9ua)Yd#6Xphd=Y^$2ZjmYLJV>?tL?#B$$w$gCw2 zFm@pmf6h*1B%ZtEph7i854>v*EGT+BzN)S4w7c-=?fG(irZ?Dur! znB~9!->8+LKVZcfPZuV}Q`~w%;>RbOz-H?ihaKwxR}3tnWp8Dghh>i#cMz16l^GEZ zjy>hAl>D1daQX)v(H{d&HylnE!Y1zkXYN;BO$do;v}Q3EP|)n7Q|E8@N@QVrE{fkF zQyq)JUOWH#aRJ&SFo!OL+2N70l-nfin;2P?ppv8z7pt+f0_{?L4YG1G^D_wb=&fH= zu@OwxO~B}jKPH6LEP5D;u7~Y$UQ-K|H)+anvuz13W*fyIca$X;e>(A|L8fn0xeMUT z;Don=x$*D&9|=VUdWeY7Ka@X;xeY*K8I4ssRg@qA=0!?p${vP0aETTn43Eko zKrokJCC5Exa`}fx_)X7V>WOjt@U>RkIC4vj=w2MdmeJ(t>5y@UDO61-h(cRzN+!G* z6p1iA0$S%WurlaUbKngxS03SYEyxf`+Wi<631=trwq|fOT38ZzWEIIkc?b1FlohRZ zQ8Ly`L%|HrC=2liq`{UwRBW215Tk4oRW-}2#o_UWG#(Sn3=>vJX zl8(kbwb`4POsD1`jl<$Nm6Tt-|+t8F}vc#)?&S$o=p82o~by; zvbeB6xY`U#$4)#jW3SoP^wSxQUd5Zg`#%^yvH9FqlR;dpH4@|`w33z787u7zo~oY= z^e$v~>}a|g1wkIb7|S}?$m@l5GRVg4G@Io0&Q|@T$LrOrg`G|8j@+~LG%e;C$G>AL zu9T0Ri0jPHjcZ?s@*)lket7lQ?W*Hf_rT)`?~TN+jv`QnKint<{>n8g_Kxt^J=o-fFsx zWUXZJ-qq4@oHt8;Xx$Q>&BmND=h$2CUl=P{E2WTsF)w9~g;o$CC=#_0Rp75a;8o1& z*-R@_Tq?ry8t8qtc_1A_^|+*)v7hQ&UIcx%EXP~fZN8FOB zilS%gdf?EC8AFc{v>A9~4)j4@!JKhoix(R}TQfs`S7?S0{mMK13qhW&-Wnf!+7EZ_ zn#{MK3gURB(P*Uhc$(TvIJB9e<(BIX`#;Ec#YesrOa@1ej5qU%qs4R4$!IJpieee5 zB(w9BEFL=-@7Y6YcY0~xso}}>DCOVDC{62W{T5l$X|@=aI8uuHjxpFNccc+K8`Srm zPA7|r@MsYg&ao3SoFZPQ&Tn$`!%Ng|I_v8d*3lIlK2E+`q!)>XXiJK{%?7| zdH4GYLdv(<;4>e7&6$4Mn@-EaPe;|_Q_%xS#dc@xFb~g$*zDYuBoc?{MHKb68!qy8 zdOOatZFinbs%1j-gHf_4L4gw|T3HX)c15&0FEx0#LmuT;IvaubnFu_2O}_DB$&4T8=MI|1T@)i6~pSHKLT>VhQUh1m<9f;O6eZ z?N+?vI#Wqhs&kHFysyM-tkck%A9EUG4t_sL-O#N%(O9XNwmk{VSi_4QWW2Jo$n84s z#a?&op&RZi)w3hZ4Yys4wMO^X$Fevpi>X1-lSZd_nrteq%I z)%AR5+AhXQCzD*#8|Or0|9cdaTGm?NH0_aeE4@n5H7n5(dn7BIwZBrIYDcX+s>Xbr zmdEUL&&tqpaj<|5BoKbKo;LCo`-odKyn4L=&Win$zxeL#AODMKfM`h@hh~qE)vnXgbS&u*2S-sgk(m4cg-C*=(fq&K1+Y zHpX8Vwh<8D-?6Y>`|9ZY`o!>`d#OHOm8JaqDZmEVfnXf0e_(^fX+7>TQ zyqtc!xOG=v{d9CbJkm(K&@GCpineJmTa_=))^)r!g|5gwU*LTH_CGq%$QBuWxEsfIbne51X zeP)-Retn^S+d^@FnUZs5^>5dsb9?gEziO1S>he)PTWi(t`)k*35A0?4U|@|8V`nP< zu_3>Fi(6PL4IA0#nt6w{9FCVGtGd;v9=r1CU4HpXCF}NZ!pWwbi;?Rb^LED-XY6#c za;Oo_)~R7so!qKd>&{H-RJO{zRJKkN&2vqxN>43Rt@z@XnTInL+ADTtzCPQKocpcm zUC~%tt~h}ot)!oih6`tWYkQ_0Rx*3V^(c=xm1jZ@&dtj8N>r+phaGEqrL;6oK3FHL z@Y2|g68ji?rFhSwduHjFQ;ByYPK{tW8~Y6}+RI|^j+Lx@ zDLoR{r>d*Aztf8d#>VEtlWDxP)2%xJki~8tomdtvL1I^Ik1wV>cua9 z^}2{sp6~cpC3RTmw`U8Uv)rswPi;Kp%2OY-#)h)uRA6!7HijiT7=%$sq1wjI>VE2=(_=CkL*6@`Ov^ywIOj-}ptZwkwQT(#mi}q~#~xd-*4~9e44{3(;9uRSrKv3U(xM zsalj)5(oc3H5XO3hSu>BCK%)oNB-$R!9vx$ZlzQS8$+o*b9XxZo^xJhHRTMzu_5=o ze{2d%#1ZSOd0Nh|T(tcxz?*$lP` zI^F6fc!qI@fYu7CQ9_#QXL?17Wlh)^Q6kx~0LDbsY`z}HzF$3B!gDye!WITF(Kh4A zt;QjV2lUV56$Jlsd+%6jHnb{sez9KKQ94$-(F@=PLQ*P%l_5uJ=X0X>N=d#xN5rUx zIwo38(xNff7)EEfXNeTMQYJW?1;O06Vr#;ypNO~-rCjum!2r1XQfU>WI$mO51NbZq@tD zbMLCAqy=q_p9e?^1ciFo#jz5G0;@vVziGEm>A@`LVd90!rF0}cYFlAEk%>ylG7}xM zgUpJC)AEE5t*4=OGM2Dw0sn=B6CCYYxrTJ1dgboDR+aZ1lq~Yj%A_>rU{VM*6xWI{ zQ3N>ISc3mlM7uJC=%6O0Xlw{ZKo5Jz5UVk~z?~ z`R;F~VadH^xV^mbX)n!od(qdEa&%-a0CVX)u*;P>&M6R(F$=_j6cKi)Vte{{#t z?x+^t>a~j6p3$kN_B=)?Q6JfQXY`}sP#Rr$I^3JJqlcsN#Gc6dx;;Ev|7_$x677lh z$JvqB(^h;oKK5E8__VkBv6Pr^>mKh%zjW83rR@0V`;*tEhZZ85ptdCbTFXE76neI@ zl&s{Xowp(z*<%5YdwYvl6Gq9@rG1y8OZB;(Pk6JDf2#IM{i#uc4%fSfaA6u#F_kx3 z(fErKPq{l@2wTyO!ylYqAO75~c&w3MiZ8`adBfK|F?`eL#!E=)Vv(lXmkuSz=J!?F zJ8y}snNQ=*lVWOW^plPKelh)0@$}B=r=#Ocep~c)*ZV>Gls9ppcw%?HtM-|R((u9r z^E_-52OJF&@90vVA8znX5zM8mrbq0sSctd-KZdl;3>8ZpeH{72i}k}c|B;eEnDEo& z%2I2?o($$DCQrMJITD`p4mUn_q`7;-A4xeA@)Q-l9Xxv?noKE@{mxCrX*WCkD^b-e zxHXAGDpA|wrWYN`o6kgH!Hr>&$6>n_PcoZBji&eF(3@X)dUpBnwsU^%K(;-hYP3F7 zy!Wjg++PgC`D{6!od4m+)04N>7Vh8v+NrrL zxnam(-fnL!HiFqt9(xf)lKJN*ZI#+Sp%FAZgrFT}fiZo2;8zk3^9QAe)$UJ?`)VbgR&rm{F8 zV$US*6YlpwuhfK9!;3!*MuIsod%}yVi_!AKetn)y2$m3bHt)TkwFBR6JntvYZHa47 z-klvDZp<%_OCUEs8ty19Cq9dC?qjE({cPl{uUoa>sGkTY(|f{w^OPo?o8op7PrBvr z0zR8OJya{~V&g(Y4RhCUeZ4d9*N^=7y7DZ7YzeVox$W zuc^5}oH90-HFuugK9WQlWAe?vyY0Kd-0}+2IALx04nkWiN)i8xTCo(2m&erlC!w#* zuik!Y+@3w3u;_FD_BomgSrDKH`&tNb*&F36JUF?1ISRtsLU`MkhH9A$jAbc*4afU* zSS<&$GpCqV=FYFynC9c$nS~sW#cSG~N&8?*oiXIN!T7@5S`4Kr5`5D`9Pay(vz$Hb zFYX-o+^egG&nei9gORIBn1!=C`yBr`j ztGMZtL(OgBTn25vJeOK`4BZm40Cht+InOfG$Xrw#1}NL9s^G|;hA*?R`U}=R@-)S3I zAXMgo9nSi*<%j)O&ePb{9IYs^NfnfnGVQM8_bY&e+%~tMK zvGSVGF06t#Titc(+9GG@>abnOnyz0C@?wWm@Zl5uYmy;U>^CYY$9Ejcv%}3pjf#6H zzqr?l*adO+a}>D4L#HV8tTgV9tck^SZ$~MLto%l1i@^VCv1CmsQ`N zLKn-4luj1^Y!MT|`1qAc+q(YQcefAQeip5ihz#95ZUqN;Vz18~?kCF!3Eqc&XRS1D z`?I0%&eEEK;~PsDDN4pJJy`bJ2?b`;TRQ`cu7 zJMzSdhvFBLr6W%?AB~?I9)4x^^)^XpZd5cnF>>nwebiIex8Mu2a7hY=Uj59q8F`G=nmvkG zlkp+$)D=>%%(Rx*+`WybShK9SMe5mkd)S+2t%DV*<0!+ip?YYKFBVzF-j$EpWI9#7 z5pFh~puQF#e{i_5Yo{s#!!D^woU;^75#DN@ja_Sh>{lPi*MfwUKY=IS+rN3^Z1_ep zHNP*LoiKS`9{Jzb9v5FVcMeP%w_m5nv zlg~PC?AC{iw|?eBl}BQ)NS&qGLt#)2?WG_YhXu1yP7SkuPmK6xYjcs6Py@0mlz_`r zQKsFk;A98UY_xvdt458xC;cdiv;4gdaX_{+`FHCH)vEKm!{TCNZfBB=FBHY?QFWn# z!AsDn8OS`ELUt-E^$Y%rW9{b+Ghe%XOLKQr?6i~d(S_Hi=Z{wHsFvPaJiBXW{;YF& zJ}#CDN?Ptt${*gfZ$J%J14o^(HJp9M-4kaATUGB&kZ0@u_?GB{3&qOox4K_&i}AgO z!kVABzuDpB~vhH#PopGUeZs&W246 zDlUQ@$wFw|7~G!UeJ&o(?p$zdhZ=v*-4jkVO7~n>b&@=3O_64D%7B{qiriG^YUS23 zd-!}@qfgjDzue2uJ!{RBqAAGfK&kEXF$J%z%4b^;v6+-C+6rf56qIGMQgAk+5TsH? zQB$_f$+crcTeEDOg43Z!HnEpo3e_~SQJ6?oHZpGxuyC>>hl^R2;;Ua^|Ee}={aekC zqev08jOCh*dQp~e{9BWFx3ymJ?I^39n4lJZE~Yw?H%aVqe@AwC*}-JC*j_@RO1HZE z!(=$cviigR9=|fOZ#7x;-L)aM%cP6$F?aQxTO7+g8_%DeojDt``6_G~d1puFT)~u@ zC=#=yuC)KhD>kxgPuaPug4J_j=;npR-|970$`~kVm2iK(x|;Hu4T&{BdyT~1JroC4 zG?Ym0+d(BStx@QktA|!lE3*;-wiMJp=vfGIl0#~iKgTF!$u|Gw{!&!g+pzt}uP&!y zrD0FFJ|(+IA6k`bRl0y!7%vJjg+Dv7QnHf3t=N_NY6$`oeqL!mdxUvsn2q* z%Bak_lBSg0R2&;iod^mC)NRKab7|E+=@u+Uj+AE)6_xs@V`KxJh{y@Vcg2hP*}3U z+BMZ9sf|zN&UJ*@TsSJ+e#U{PQ3}d+bPi%w-`f4NRqtAB!dYS7RfmTvyRGKVfs*ik(1AUEvB}nO-%e@=7r-!Q;<1+-l_7te6Fr zt+4j1iBAd@$cYoP#L7n5NDe z=L1 z!b8-;ATuTKDxx>0OG5$rP(6?AB5wp`a|Dh!wq-%#Bef_rjLZLheIdaaL2BRJ*OtlPt1n1 zjaw#f3Bzf7haChv<{QEIxln5zl+%kMNs1>TRtfek?0jNZ^hvPZIk)~H_tHf2ufv&3 zjkyQkOY71yyX-c+*6l7U5Pmy<;)Br-=XMf4?OQ0SMY_#e<)rBAZT& zLq(QPpUaNq-X1oRo`|NN@>=oNOZEN1^&frLaeryg)VbZ*v09@Q-V%*Hjqsj}*S=kU zY3ERTSIv&YBjHPtyAU1^qw1kBikgKTw`N{SsbD_C5+zHa$HUd^&)9L?ceyJwIuzAJI5R8 z(e%1!pN_KVmZ<3z@yhU;yLH;x|3ESA`J=1nW-gTW9FM18uAlSHW_vPr?M{8byZlRc zt}GI}XNR8-`EN^@eLM`}ixV$|>AmNt-9y3nZ%hzlHbQ#K*zm8vHOJZ@(uLh9jrLZw?0m6}J5!PscB< z&R;lOq@SL7C3}HdVeO^8r^CmNHCNAs-#9h?+wXZHem$7`{*E`}J>N){w_l0ljXPaR z0pI!AXnE*~_&XoD|Ay_&&t$)oy|C-Q`XjY}6i)71ZT`c??3WLJp29+OxOgF^yfDHO zl(sTJ*6vE*@Y?alfo$JBXPT=ogu~_i%eVddGp+ia?c37x_4x4*Pz3$Ud$#%MzW);b zUNw6z9GSZ3{veJHzu;1>8ULUg&#DBo;k`%&a)e?iz8rYISp~H>dWKugztD!PM}!f7*Y0bTqjkY`*e?x%(%n zn0#mSxuNFbzj^4;({6Jce?t5gJQlr23Ojv!Oc<@F4QZ`qF+x+6>-aq-{*$5~j$-=T#dC?6hAG#zC zoPbZk>kabB^6U@47unW!*Ix{&8MdQIyLnG!ZTwyu+8ZB=s`C?5rF8>9W z($)Rp6Mpl+@(th@O}?}`cV_A2&|mpa6B9QK<;CqObz8Ujm&4gJ&wdqJeDn{t+eyO2 z4`j?Uo3uARo6$A!*77nxhLtnfp^;=yonP;+ha;sVe4ILI>A|=D&0qV9cWW_eZ}V6% zq8@WkwgWM{F}y*g$acmg?hL}F+puT9A5-rQC1Ka@fKr(av)7krSKnB%H`Y#v(fDsu z@_dYbW&Uqne9!3*+%XjFcymwuSYC4`=f8Z|dGg5o84e?!n>)Pzfnw$K2eyqoyLvio z+5z>_KVZmgMY5E{PZB0vj^m5jdY1D>(&Zvkff6Bl&ll?Z)GpH=&#np~!~J%1*;K~??LuY@Wk?sM(snHo z`@H&@`}YkmQezMEZ?)e@p9_n}vj?9GztwuazJC90cV{&oIKui#_TX<9?9(4UeXAAj zp&WZEm|YmTW{e+qtfO(T(|zSUi`}cV=CBO0%3SAGL8iGFk3SVwUkF3{E8&(|%6rkw znb5i%1hegvq2Ig~oU+L<$++pCg?9DDi7my+(Ar=8jgVlh>7S7qS?5Mb-TVP5wg1)S ziM0M=xaD$|J!t1ow!a)!->%mu8_9u8>mPg9@4x;Rud9tXaaP;H8oFJZ-xY^v;p1E3 zUMtvP=T8)3YK3ST5d)`96lZn92=;=vw`{A%* zZ@hBI(SpobLeZ_&884;2eT=O?yS7SnSC%BYaO+Qy)6gxz>gAuo=)X5er*!%D=hA(hG5GnWzj|`VWRM3fcQzbr(Yic*&fA_Z z1y81@f@1-Pf8FTDfdZY1mU)X3wPWZqfGd#VbVZ8aDK0iG_`sN?Z5b|~} zljih(_)}k39P5O&`lo*Rr+%dR{pehINByNJ&FrOvVLUyb{zv!lwHF&xsrw9lum~pI zjc3DX?dOO8W=I&iVq3>=D)O~#zF78;xG@p5m3<;qBXAN8I~R}c8rkk{Nh&rCHn)?^ z9LTfQk@T4`e}53=mA|($_3HLgZAJz|HKrU)Q}SIZkk7=&gLdWK(|Nvsk{aCqL)`lS$#LEHo!!kAJcc28 zrrDab=eD5f-Zz8Z#exEs2y2f~oOwNO7QNtr++D7a4Xsmlm$MY8kR}166qd>tPtU#~ z7UaSNpta2NDam5Bq+uN$Q4CAb*_UKzIj}$*5&@d_;Zm*&K~WBqC}$91d~zy2-{&o5 zTS}~|IF+g!U}yi#O!xc$e!uVU`}@9{@;DYNPzO_)jcb(z!q+y;i=~4Dhiy35`_r

trGRhjiur(irVOUD0V;N(dPY`zz*5jj(%s1CPz341h@#DnQ(_NLE zjFvL|t@Z+_N3Pbf+gm{eoG8CTeKb4$Baf5eyvXdcrnHu#hwO zXPI8H^%Bmm`S*Fh`|`khf9KJ5;q!hRDza!#cnb+`Xv8<&{eZZ7cuFtXNqAp$K*XE= zgAqX0;E70;pyLi4_Vv_Z)x7P>R`KxklX)>XABm_2ie2qT(O2RR_l2c?)vvgsxMKtM z)T!jd>Flr^p%I@I6He39o_5ZNwznZRgC$%fA{q7xso$@}QlcO~SiciOi}RGJV|{VqGP9 zpeF*W7~3Kb%QUI z#_Cu`tY|{jZ0k>camS-xxBszd&wOL+j#mDBabBDXfsWTjBOUnp>8O6KdM+N6|ERJV zJ@i&$7mIH!c=0Py{9J22o5;MGEr8rq^@VpNuAf`@VhGE2{)=8*8^_(8aKxGLLGL*) zm>iKR5+nA;p-%sih2*dPu8<#^jASNH)y2g0NYr=&vL);(eR}+gkoU-1dQ9&>c4c&= zS6lAKr(LPDJC=2GTAoVuDNk12KlxujSat94n%5Sbu3H&*zc|%VV)J0L04cl}G%pvI zl3+Aiaw;PS4%SaZ8{zJe2b1T$J?qW$)!}nuX;fU;I4z$jecs>ejgIO1bc)Lq_9MNp zXF&|l%lYTr55LYL;E6;`CySn2k-58`#rWEy@>8r+uvNE4lA_zV9G|6ca}&iG-6IF$ z^Kif9RlTqU6+26Z9@1x8@)fTyn#tJ52u^(YVdJj`^2&*_g8VYT`-V)zz|~K z5KiBDj`{Fxye7g}&m|dqetOVgpjVtpcJnAdK=gpzeMjAV`LE|)@QAt%`cnaKowp=1 zevGR^Z5kI?$hmAj+aIeimZ!ZZrx@g_Ybc4)%eK<`?6BM~D(QFvdA9&& zk_~w~0Cb|dcUU>lCPAvwWQ%a@Cv_SrDVM zOeLU;uy|a^uyfL~60WLZGEiW9|M9nfk4z5bGA;|VQOy}i)Op_nKiMAzF_~-3R!cwP zoGpcOyh>zM4dO+Vowi*sI0$MlaM+CP?Fr+d&Zrl*m*AL6U3-#n-5Ek#ppi9)=*kW@ zB$h4$B$N|#3gpqGk69J~>btl8PYX+I@V2H6{zC_r9RN6pkWuDL+}{a7brU+uvYrlW zvFvcXjZ)=oUShLb)d5G*R%Zbs9T7xPBle)9*BlX5Q7WXGvW5WMl4D>wtsu-g{E=LV zaFh%z`E2W><1ULMxSBM^VAnnEjB-7*oint8!A|ImHSK)B!dDw`GKgi9iODBYcq6ri zr0lt|MX0UjM-+X+sUH}wCAmi8Y)E>;OSltnj5J+Gmx2Vlmf=_i&!)+nf5pL)wW69T zshw@_L=2d_+yRkxCu3rAXMLm&m4qIajQZeX77@}~rvN-$bHcNuGT)I0MLn`3;)%f{ zncZz=!-mgk?iYgg!OG+_lPP!B45e)Q1xrm_2rcjNr*Z~w6Bgl|yq~yq4Asl^Rfxx6 zwQY7QOBHu2J{K@A6q(CIQ`L5&7Q&2#04t;mg|OBzjoIayE>Rh%Q`6Yo1#Ufe=JeAV z(N1auQcpqt_TTz(xQtnaAY0if@h_;%Bnqr=!mis0)>wd-zHsUWqSs8mP6lfisF7fa zRTHCrIC0J!g65$G!*Rkc+sdmQ zb}DQ>Bty(4T`xyzK*Vdgh~b*aHeqPiFFs@nuJX(8{lm|K&gYXSz=0u`YF=mZl38-s z$&!N+l1vLV+#5ZOmGVTD&Zp{G#3v`R0$p;}4_hq%!YMmJ)8M)ep#pygLyc7+%;#D) zkNk8dQq9$bEd%Jy7DP{8W|p~h!}XuonRj?AZ?I(4vmyzkY=%>Ej4)QK!y+?-wPxcW z%<4WdhFoRDhC|KUEmBvkIHu{t5qq#Ou3(r4B`;WZdZsbV62zm=4e4>y?N!Lm@usi} zYOYszAA8CzcRX+WdNi%QJ;{a216qcp>v)yUmS}DXDMqG`-LboPJ-RW!b=Q5_>s7lt zE$&;N4^M@UOr>>iNBFSQT*#u0Iz-ZW*|e2>_*^k~yyzV)?n(CDHMS>uMs5}3!Q_qP zK;)H14}L1#?=3zs@_2D;xVU=ou4%pR;6^W#QMfX_Af&E9FiUrJ0WUet&u?w1SCRoX zNOV)Bv0v;DO+QI=^uEyZTT%VpAS&YU zmR9eoA1F3159E(64PT2tH!%3Eg#&)|@@Vl1Z#o_s#mnSy$cGDKu~_Pj*0XOV9Cv*v zd_;=*us&5So)G6nW8G_fH}dZBhO_yo;mAEvab}@ja3A%UrPbiz*rCDQaWCv|4mX@= zGOZ*k@gT9M$F}mj@=Z7cvR_Y{GijA5CPs69*J-<``UTQ6#>axC_o8GH!djBfJY+Se zs(62&irxoN1)gDPYT<|&HfZab2ih95)h~987dTTT=6`w70c|=c0>kPYhGZiG@42E5 zC7e>;u>I!mV~q|<1I?y0)#3RI<^#tAIHtAP;bxS~4(D?fM;o_#8=BpOF$&_l{iWyG zm}&#NGS#qGjt8si_IF?E=hX-};;C@);HYkV@}axyv)^d^egUAh_1MDb=$xvbPekK) z$JYmc-h1wPaxuL0$)~_)w~C7c;9=j`U0EMI{rY#Ns^OX7<8CFJzVfML@8C!X-syrv zdG(3cS)Rx5!uLYmNi$TWS2fU{`ku5m-ZS+ zwgh1Ce3)Gd#MJUP0y%slp6yj3i#FZ?0z1_oKA&_0v3~n+{Y3X-2QqBD?gbiVZ7Dn$ zKJ(9ZKuXjgH&^6F2*qx9@vWu%mlun$>+U@t2_1uNPDOi?;nzjs@J84@`qUZ-`iGv5 zHWt4cd9yE6iplIFVHjUJS$!Ee60MYX7PT!{OpjK>;E?RMr$uE4EWX9(3$@}`y(~F8 z{$^;y5}iC;aW6gaCG%7zvtkFjcffz>fv&J*b+-|jwI~B)Jn&j(H#>l&%jd_)Js6Yi zTfhC-i@r3ae!-@Nr(CEDFtIDHUg?ZY_gJ!4SUg$X7!?~(dYIjh3cg07n6LWypzP zM;#GX_g>C+06~1$FD^QzFBU30fH0{xg{Ceuydn|#wULSoDxUx#e=czPT^eU0)PRMo zC>znK4)AQ&1=h-d85;tcZXsU3y%tA5O}8N8Lh&n2 zeMb!28q#6oGgWNzr(pS3g3iMHqI)J_^o+)r==dUIq6P`j#fF&vW|QT#=>R@yN+ zf05>)- ztBU2yPSbETQAm#HSTAJdj&!3{_7=wb_QddTIQn=%umyMYwi5tINr+WR)`(%M<&$`=b-@0|~%}jRS zE8FoMW8HMUaCcpO@jx`G?smGr^w6+#RYln~l`9#e_Q>vvi0rU^KW2XSlcNNOX4Rf3 zw1%qL)J1EPt$s(W7ptAbjfgiplLv7Jz_t>SU8gksqr;h$@0s|!h#o^UEmXT6UxF_wiU|@LQI0%lCiFb+-~AI`bywZ4%8M3$Y&RJIKjv6dw{%&b)acY=!gjJEphRhC||3qSXaT#)|`I_Is(@H_e8 zFGfdy+TXKHsr*4i@+AY0{v$)|{61!;e(Y|8u>wgx|7V2C|G_`>J(}qIgv#y9!0;U2 z=82Ack0<)WQP!V1cs>22CzFq;;|I5inLq7AH~k~%rpNv3pZ%wo-b%jzIv-I#93iC` ze*xhXxE0pw$oH2fx%d6j@cq7RoHc%LLj2voCpy7y5p4!%1Op4lJjMXuSeeER{NAJO;8ZE%x4`2KC^>j8LWhi;$hJ}2M%;m?YJ6aM0-f9&F(q_Kqy zu_vjnRx2m`(SgZM>(%LK#p{opO1_h*69>2MT5`^gRX!swkEx2@iVj7Mo#f$fibgDd zws`pPzYymKDx3k?j5RACe^Fn>`3-#l<7Ot zUs+i1gZ8(8`iuk-S}U{Zku-xDnq9dq>rWK=SbAPL+Y++f_p>xOrT+H89n~!%nhuL) z?QXv-l9CcrZ^;ax77F;FQ;7?G3KmMWkTktavcwADiSfdKADA#2eoj}pFOJwNav1Kb=@zea_!co+RTlks~&Yi&(xg>RX#Jxo^R)rGoNL z`tL|*9ydvAP>VRP6kFJ&W{K^pN2K0_d3n0vlYh7}FHiUUiTbMFeFM=J{kQe5LlF&2 z(+hjPBE0kgm}Ef$KNK`(1;8m7a^S678zMg{MDb;j*_oocIGmLoUe<^&c=^?!`()(p z*dOn!0>mjDiP7q6G?uM6?ZMHg`fLIRpVJ)WtlSxffVB4SulG%V1 zgI#_(`|&-Int#<3o~PcHP83$hcZH!?n(jo(8oK>u53n%a_c6V%X!S&Ot7-{VS$1$~ z21qf1An$Hi<*90`EOR57chDb{D_f&YZ$?+2hR%Ae41+BnpRe8( zpilCNDy}3x?M|x^T-SwkR-ZoSMpqXK zt3l0oCkoRELu#TI0KYLtr2qNizkWSRqT8J!{F!GDmZLkp&8Xpo-iVhAq|nVRqAHss zz;wClzlaO7&mD<2FjN9xOn4`v+2o;}LFIX`K6Om0YHT0sWLf27sv3Nu)b-xkVt7qB zEj<5~Q97IRJvAc_GVkh0)@{jGy=Hu#OwH%j8)+1t_w_{aW4`|KhI1ssOP5Ll(4&sl z>Ww(W>YBE+!a*+w<##;{CE!M42w7keQDlI!AXojRKtn{%!q6&+>Mrr7D2&W(4NBIE z{Ko`xy^}1K#~$}wS#vYp21hfeA@V6tb^s2Q1PH=Q6z|AX z@XJZK=|La!dR8n(+lkY5PCIPN&>G>Sh5|kzSRreyL$1EZIxXdjNn_V%l_!7h zCb=nq41QkO1-+Wc?$=pNJJi#I0zjzhx8%)V{v%`Owr^I|jQl!H*OOisLkZ zcFGPgs%70A`UokzK*-o8J2NcI)ZNFrp$Ipcc#8)BCM_Ak*K({j+ZOc74nQ0=71j{i z8$rDg_)joQceI^aKhB6cU8Zk{>N+)-6KCA4ZD)B#if1+hS>vN)qpNcy&n83!apN4KSGusBxGOy=MHW-qU(F?((qkcr-`p-o_6rz?tV zRUiz~Ws0HV=66`2hxyeJk2H*?_w0z(O&D-YK~K+ZLETGsjOw7)NrLfZ;xL;&6_}Tb z%^i+Ajm+{(rQ0?Ld*R+^DNN02nR|%552G*NifXh0f8=j9Aot*kmpDrfnCLMHcXuQ2 z0}NJYVhPL^Me-~%eO7feZikWVgvrQ4Ql+ddE5vTw#U%jjjY_}FCR2J=)^b+d z!z;^{-0w%3)h_6QDRw;!=VjSm;&7qhY@o_E_co6|cYXm1HLXq1!1ra$r{wi(Gm1cq+uNhY$nZ6l{Oka#}V<7xpwuH<{B=wr73T{riA ztLAb$W6G(%E;}-$qieqB!0Aj3OMPd}X>_KOURZ;FU=brxgDpH{UeV? zvVUQ-DudGbf%>)K#0i^hQ=mF0y;Y&q{E?`ujtaT2DEE!lr{WA15xH{P(3F;Yy&&5c ze8cO%Eov$`HorT{>i4I+M^4Z8vO7xhNa-$h>|2M{OXtb-DvpZ5*S89tkLAeuSNTr_@-%bVwPDW37 zKixYLl#lZRX|`GA-p}|a;)PdE&DXzDdR?CzsUGW{lBe$%B%K^uIuZf&W?xPY#HZw; z3-VWf`^gL8U2kU#sAVO=&j#xJfZoR5HfI5wZ8dXD&>27B>bv-TxSEl%_@i<_rpScl7k=ee+X5Uc+ocLl;Ir zJ~O@tE^7b2q;vnpL+ZZdr3fzSw@D5jek-0DzGLHV5Yonu(zTWZdpa_pyzce>lnT6G z7-XL=V@>Am0kG$H`ba55`G{k9lP zQuD*5Pqv1qFJ6MO1zy*k9uF=hZzb!|Zm+SjK3WGZiMtO}p+rtEi#4*wKkw;o>GRR# z@}Xq!=x(nvt%h%(xd^ee&^Y>kQ=R1KLqpMTD|r{pax%1!2RZn>_TvHw@Y37KOWxGQ z#f_+RBb@MY234Y8jT$*Y9Rp07Do~MzmI0~o^{M6d@gUfB+JKcRdv{>q zu)FvCB*HgpMS9}R~uRsS?2iFf!N#R@?fAYeejibWQ0yjm8 zrUD}yGo5=Vxw>qJC6dy8=a8(pr?Rj28gA_q3L99E!F*XlGqu}%j#PBGt~u`;13XzI zxo@b#AKwWIwp|SoX1zsF)_BoNf*BI*b7Uxc4I^b>K(rIV1I>u@Fv=dC24zsK!xo%L z_7=4IXc9TyC&Y&7js%34l>82G>2m=L&Z5FaR~yk}t95fM5G}yd{lc_u6B6PrsK+xZ zIF+F&(D1>9-!K2Eo|RYn9N{&u8^9jSS-XQChF4IZ(rxKe33;FL-xZboObcWW-)QC` zB9|e}P96{O!n+Bv(L7NArmCQl0Ou+vXpA59sA6?`SszNgk-{w@?p`l!gf~rcJS}ez zM>OJBqt0|odBgXUM};WCXta0q>B3xcHw^BdZs^x9PCYdUJl^mf*$Rm*0?jGhA}fFs zOvP0he(U5id4YWvV+^UQ6F)9Uy~lWR-WI^11}1GG6bkYM6Bx&S7Uu1=s<=-_P*R_c zF6|28@Lp+j>bj2Uz&PnE!yov2@isbhcCXjur$>_Yx>rL-nvnk9N!y_ zo+*X#@QtwGZWbHP)hjkb8Y`9zeEQxpquJnY%Sfv4nl#q(jJo+hr+F9r&r6|VkEjSG z)uNY9t9&pkNQLiubn{N@8v|wp%Z4!c`vb|K`L_G35s{WlyYlHfa7er96GCV9uv7D* zf;xzO7jKI`#G+D#e7o>?oKBC^FMASVo@^_DfILv4%j;7MVQD_Iu)`EeD;hJYA#Brz zld5m_-kZPri?tlV3c@N|Fk&MX#^uWAKu#MwYX31Bw>HAb{4?FQ1%sY&$TFjJ=%eFazwZ7w$rzw;;uJ4c7;%TjtfPWb#>geU|?TwEl0_8)!Mxq zLZz8AHYg{?N!fl>k$P@*uVZyet2?j@2>K(}bGor(Qk6S4y*(XAnX=9%$g-`4`jOn# z6e*7lOZVm3Agkbk`6b4rHAK>#wjr1@wrjianMgj5Krly3P8n2kyj>XAw_jfvtB#RL zy3ysFGjgTdcDI?`KD$z83HrT6*fnN#PnR>hOtYQJ&iLi`K6k!G%7xBEOkVXoKlrgG zj*zZCgtv0_Fr{wKXWSB=Xaj?2@iuln5L33K3vqCjjCjq4*$=ljxkZO-EOzFXvc>E+ zK-OUyJi9O)PQ?>ZbnVm2XvY}I7)~xWz^RQ_ zt0|>_5do9r^B;~;Zc{=3GhS-^*7%=el=lNw8eS@i^xM4D?d<&bDWHE5FO`h=?eu(u zm%e|Iz28fSH}I{=%m2%8GT8Zn=uo@ibk9v zXrk??leiY6WBXsV6MgR=Z!nC|^Fm=2Lxx%P_KQtVVQ-s6E1Vi2k}2JF#rHz<#_wYa z@on2DG}Fl{yH`JtcgGJ5Z;Ov^Ki;=t1~Z)my?ltbLN<}sg^wFacGwZ1)+LXgh?;lEmnL)^D&5k|o-oMVL|Snzn@7I$5tcU04b0ED)bjU7300Clo2|HPq= zr)WWUFrwLIzWK(nBtcF3ursf9d$2bGi4;TIBAFBqy_+N?g36)>-Lb$R=e=0%S1)+3 z%JQwGo4hTYeH2`uUdT{c{Zbg6Wf42=o+%P~=k!2r_g+!W%VbPy4M8XY!_?d3r3`ZX z@~{0U)V$6Yz4<+zybJ%)*Vc18@~YJ-y53ND&STHB+OOk~k`I{fs5$#tpt8af!AU>Y zwzIaQ;$YjYeSffo!JNeX1=Dpb@|&lH#7E35rF0Dy6NSSrCo(d8?ciSMRo03A+TX~b6n^}t> zMjb@1D$#rxgey)>r5)Hh0qMXR!LWuSk(4XC-98$5UYDJpXihDKz4bRtDKT@ zqJu_UU;W(YcC~Y5dfzdw^&FkE->1?@ZO{u~Ej-IE#kDlLxdstIiqU{(bdmT#)2_h$ zb7U|}Vdp}&5 z^3iDTgHvcua0DY*_+5&5#k7 zZE$Y`GgxQTegE8^h{-D!7Ij#3!nRG}oL2kJxE29?w8^t@scP!9KOFb`jLUNy^f~qC zgkt);lO3J$dTdLX+Lu< z;5>7ZJN=|RIbpwI-*b&Td%)47320@$AB35Xs45DRmeguL_qFs{vXZU%o^U^^0o=L8 zI$=5~;Pw(gob@vRKp98sg9b^hwwn^vG96&{8bx=(v9uIx11K7G!+`s{Uor&@*`s)) zv`q4@X&heP^udT2A$`-X2AmGO({|J5P>ps51XD|#$HAo?QR}cJ)*N7waR&k|$@K>8 zV=<9drdf?#MU68})XY#l-z&5T+jM<{hf>y>up?mLgcN4e^`N^CW4AH#_($*AEF+F(c-g)o<_eRt?B;6tVbJ)R*p zp!MXFEOVwO%$>NjRX*>RBP2S2#ND|LKf19|5>OJ_s;c$b{dtcI=e^Q#YZ{}}3>3c1 zMYxXz1l(o}TKXfu{y{SqJXZtLngSSnUsJ7`!{TBj{O2SC2UNUhK2Jap=z7AgdC#hh z;b2*5Rxa%16>>F_w(qESpP@~ure<8U?T`G2A2Ur$p<&}{TSdLRH{g1E zhmUGdEmCN6DU+ zv_0JgKINV+EZe6fll2u4*IrkB7bsJx*2dL1_54vx{mOJYe}!1Yv8_xJhdEiyWg`V* zLy}m}j99X3%9Wg`IG@zz*ZeJE1T>@vBKK-`E+!M=zHAF=^t!z4@7Yj;Z&X{0jigV4 zxGH`&Js)h0pz|L7WFmwZ5i`+vA8zVkpIL4=$4WB}7$>Rj(a1_+*U%yJ!DgN50Bd!ov@u}eqCx zaA_L08}LOa8lP09cVGa}ZnWU;@>S%P`gQv&xj% zWR%V}(xfcO5 zGka~6O>noLT8-BuMuT{)^|A+u`6;pY!yeP+#qpP0>xG+4D{+$fx$TDCBku=Bpb)&AeVi$gH0DxL?LmWg?G8`HHk&I5{V28Bka zJwI=CQSQz)bZECjd68@tDcjOzkD(}&Y>{v_Eqcal9DV4p3+GVSsx}>cG}$3SB2)y3 z?u8|~8~Q&FBQR+OPaZVVxEUrQNRj@^9x91WqZw*f1W0K2fha@<_7knJ<~w@vljEfV zvI+*Wt(2l`s^Hxk8$07uwPyL+av>F2fiNS2vo8s>+^E^ zLO~e>*!mksKfvllBEjp+094D}X;Q#*f(-I~OyIJ*I8LD4x7)teE;82=s|HBHXLV zF3apE*CUFH5nIa~<}`)JoY~Y?eizsv*S)WA@7Dhg?CFk@;T@7t{n_p0?4KDmiO`^5 zd%pNz>Pr3qL+>wxTOIm-^5R>`_p=560`$wML6T3st#SEZ#}@p{l;!({GJl{#$$yTa z9e(NEA7`O)O!D*w_Frl;b2RXPX;;fCcj!FxFy>|*jaaiB*eE7q)hSRV&QnR!gx6!f z%%*Ps^I%QHlFM|a%jN^-f8o3T>^tS0dGSAcFxarVzyD#}-5>fW+t#+XX8pmj+gd_a zW<+;pAqyu8!Ss4JiRD8b-MH-Qd}%n7iT6a1^o#l8LUbyTl03VLTJ8n?k;3HwKYdIc z0ok}J@W`B3r-T(pNR>}Tb>(QaXKNxn=iiv{ZdJY0=LW1+SM~46!mH5-Du(29UdgVX zQ;lbR{x{DB*5-g-u@$Ba%F#i(EH5`T_iM>H*?Xn%-T!47*8-z-+-dff^ImEatd&}l z4$WL?UC3l8McGlf9H+CwSVZL&E-yZVaRM;4VT57A?-xK#FzuMu1}d0b7j%sBcLC*C z696&FI&9N<2A`?B(gJ(S-TdFq=chNY&Ob!IhFzy3>>(%fEL|D4MXiJlD8!m~1F0Y< zzk^d_uXz2_#{&&tLrxSr_DG1rUSPF5Q5MRBfH{SuohC}0dH=i@AhK@VsNEYlLtR@6 zz^M{PdRY3^DLsLYVE{C{&$uF!65lF=bAyRnR0jw2-}q}e2nC^)%}K9m3tTXb?<};a zahs%b`?3?XJLef=>_B+Ti^k!D)sRU&C|?Nko$x?x2H3cpl=aCOc2Bq6n@-9k{?FAR z$x?NiLaDMH#~;pR`zdDGZk`A5a5vFJP5#q&4(<0nnJpE5(-**6xN@9sVrOA(8BI(y zGz;SVHvFMAhC-sUJrO=t*^VGT=2*udCw}eR$py z-X73p&rmhA5m41+il@=m0P=Y^!i}JwfkF3Q_DfKnadNY9|Azv7Joez3xq zk~j3!)`K+jT~KT#q}iwD=hI?WuKTc1(0L<`_rsUH!?!CJfDD02W>Z#de!=(dkzU@2 zGj8bWC;V*5(Ua+^1i%=r|NhNiI$$OOD~^CJ&(>lsVLlb@TDr4LdZDUCxLS#jzQcga zWf{t-a>Ij>6QCgCsCf{mB$FdDT?Iw%c7XEiP2boYo;RnndiEpCKD7%ORtUlcKqtZi zOL+U-aRm)qbPFE)2To;SeiDbP7h2}HG_zc829<*xUr%2C={$wH>?%=RNNZML<2VGG0I4e^PfvIdoXA zd59Vp0o%x%^CnCkti0h9@m5)b)TpwX)jwu38O)7UIRiimDv{0HMc7XVgM?Z*zN_PP z7Qz^C$6NNCH*x5sumPV!Tfs?GBVxm^p~*tt(W@*}^G1`=)n%bR5v5=$d2wDaU+YqV z+HU!Zm9g#NP+LNce_^Eh!RH}1XPC6NB!WAf!~M| zBD?h;PPTJL3@;5E1Jjy&guFv>-vHU8sO;n;avtJ}L2E&BhU~ChNSu(fjz*}sWwlJZ zIaayVCvF+i8{u81zoFM z^SoWf7!-(73>6%qG0>C&PyU4}o%fkTB#J(Yc{(nyba3 zH)w=Y-CWIP9C|5H`2&Fri+1 zz-H=%gkcc1=1?@OxGc*UVDun22G`p*4!AE@n2h*q&0!`3nc^Xg=pt-*-!WlfnrVU@ z=|t!b-<%){+UE|k5eD&g&qgw4+`xuhasKK5Xf3zdCjn;X&3bC44zoa*27v}qqjbR> zD~@4Jo)Krqh%H$wy|MOr|KWsUG{MkDm@63?$3&+>>s2S+o*!+57MLY5ZJZI5w5=ZB z83X2j_6O0AD0uGq9rPd0o|grvrkeY2KlXW>UYX!zZD$yTEOKS1RdWWnIMPP|^s32d zdqZoOIm>KFQ@Y;@9%tSWXfqGJJ%wG<$oi}gkvMYd3GB4he^r+5JVrb{Lq$FP{@eKk z{J^Rcg(yPsA!axGa};Khw$I1lMs+8&5~inFQ1Ta(N!>_R)kIgbLbcrq7w{M{P!K~3 zq!>xDRX*Uec*H?_D<0)W)}IXj_?~0MnRPN|RYmQbj8>+i8YgTOpXFR9Gw1Ri_Q=w2 zN9*3us5dkn?T18|AeHOQ9)1)l>;Awm*IzkTJd_Pibq23>!oo|2^^M_K8BO8S%H(LU!{-D)8xEJ48e1Re2g^ebNSB-z@^9Xqt%@Lmp}_$?jyNho&MQ{FqB z9$Vt0(IekVj(g5tnGBwcTk=eD_*%T$9RIYsw2(DU#Kv}w*VD#E&sI5}sq zP6{+<+-zT%=Lpgtq14MF>i4$kfqI)cA%Ei5*ZbwE-$3?`w9M>`2mEv(_yzc(UkSX_ z948UFL+`Orgr(yvxV;xSB<98V6|Yj-8XK{VzT=tF;wp@usUb3u?e2F)lisb{t*}P;NG*5oDWwN)F$@oROCZ2!Qh8Ok~n*2g1YZ zaxnf?59Z{5N`O!x4()9ePpBESSDp&t4Bc70bvOlb9QeZ|2}gGqKPAFbnFk_6eFH;f zG60{HciWeCnH<$CKp0)b9f4fnvEAwGo3SZ{``13Xc5cy!N%xJ?BKX?_5eUvkC4!q4 z(?P(B;6UpZoHpksS?}x7-H`3Wq9BdSGb>4YjDMG-oCf}qt=<-i!LQU@9B*&)EP(=Of*oQ zz@c5qPfouUMf8lfka>bET#!>FNV7!}*t3QRX_TO7x&rJG{$xF8-4_-*&==#%Iuwl5 z+X^;5Dg*>Gwf8eY9+-1+_wx~h+jEW#Jo7eTu{?4CIWn?0Mo}pQ@>(8t$QN!;QDhH% za`aS|q2kxjkuwv2>b07O!Z06ZEvLBnHY699K*CDkYL*V~PNt)^(26H7hTh$`rxcPu zgSeq<#o038mu*m!kZ8q0JuCiWOl3}H=dd+n*Ek}K?yl$73Q*Q0SXz%A(|Ybpk(qT?;|s}Q7nBO<79r6~#ZgRl?`#5$ zP5A~l3OX#?<>v=Uy^VPLS^;@i<{u=#x5 z;$;!8aN3JgWW){~U~4of=MX`kg2QN@?;e!iTiJ0=hT-s`oJW6e!*@v(+OkBRVI)g| zv$4j$c_R2)5bF2hxR*Te3qc>=YXK5t1`9s3p$w`NAiQ95-jkvC#>R*TK+2-p{EoW!v%^v)kC^h2J+ctf3RU~oO#m9p6fU(Hd1{c@zLoz`$#Xy{JjV6FcjQOKAU*K z;X#Tvl-i3X&<<4hyqh%qBRWWkcEy+hI?)!K5VE zq-fi~Dn(v(IKgHE8;L~e1;EYOs4WL}=%uNMWa(9P*uHw#hR~A{hX#yW&j}r#yKMC< zk)H28n#9#70$;D=cWiS9%^pW>T%wwsb+hhy?G8!Nj^+P@Uz?lQMkH~De|RSJr{6zY z<_8m@A-@@Y$GnON9Ft}Ao+6oXEKqmg|}HK71j(7z&y%KZ1ZRv zrvpnJJ6%KU<1fZy-zHLu%*AIayKUF}t?eZIz3gISDi>!O%NJh;2!-{Li%ja7dybh; znoIoo;|G8H^S_&)%(ZyP?dLy#%HID`J@c<@B^&5*GdkoI`%|Ib=Yi{J??_;YQ&D@= zD@OLdz&btHD@Kg4yHf4x`m=tKy-!{lh2S=E`?JMgsb3!B?+p(1RDAI%N(sGYDvd#-WK3po{gK@8FJ>RX`FZK$#_&4 z9m`0#It!#inQFfBR#n^Yxb*{(p|NC*Twj8jT0%+Di11M4*#R(zRUiB!g(t*Bldx@! zf?8I;HS{-YEtwd6cJ7>ks-M?x2R9YM+6yoIX&gn_5i@+g4d|C*dICtQcM){Vuf?obIpd(`l zDj?3|8VE@4v~b3AC1MuI!XQU?sH{74)E^#fa>*ZLUX4 zNNd1zvv}p!ukUHk<7j)q%1kGFoF$^>yP?>Arp z=ByXgv%!%3SVRMOB~DHv8IKbfFZ)q8of%%`9f8hdTmvifR%9?1bLKlfD9*}ufy!Vk zWpUeh4zwlhdY7B06X77%o?-5s#V+-*Jc;yI)LLRgXxhb-qb{Rz7!mZH|8+Gq2QIxLc_G{)pYYReh(%Ah)EZ<%m3JFg(VC z6~38dHWHc45nisp|=2txLSjPmHLx#BoTm(4@<|4)XNm)8!XUMi~(2v++aIre}+d%ZY@D3G4 zU$Gzm^3EYcR^+Of`o)K=0>2-`?;!VGq=h>&pXeo=ITiBDN%bJDVx-3ZTe?=U;?U)a9jY9?rDW`yfk82uq*k72x<3Z!v{jOGr-lv~yG^Ed{jLPBud8 ztT^kN{;}rh13qRk5==8PEnO4u$)bqSZap1-`OuG{X9FFR0ZgxAvu+YpFnQaX9)J}Y zvy5_8H1 z6xYyGEmJRZ2M%U8(}=%2EG^c}8+Vske`?MKYlITOvlK0dx*YH4ur+L)Ceu*9S}?pa zqtBz5EK<=}xeNh-8Lc+eM1rv7gaQAoY^mcg{H#p|TH+;)C~5UskC+_TO{QFw)0<29 zd*drV^+Bs0+KNWb=MYRJd9G>BQ?Hq~joAAEaaj^fAt}1~W<_NNSrR32nnq>N=qPfO zMpKnpzyoZXikXe5ho!(QH#|#fyNL?vN8U*mCh`R1TKu2sjzj1ed%K*k$ox*9y}K)&f`kEs?{sDdQ0=@Rxp1C2sS~mrxh~}Rca*)3~;

oHy^^j+QB-cpZ4ZDT@Jk-naiH`IN9suGf6n3kGkW2t07k)cFV4ikH|a%8jWB8 zGBO#oibXzE@5O%c3qHprL2NbB^9>JmH;;k(0PhF5UXWpS|hp%1+#qMF#=I#U}w?w$F=6D>zSGPOJqQ zENY$-9G`DHr_B-Chz@Qu`1mu)x;L_EXn~&E{)*U0BnicQJtNPl!x1?0_ESQ5iECvO z5i~=SG@LVXh!P~WKbc-A-2zRUIBU*4mx=rVzuz7VYF=SPdzwT4F46fUc#2Ffo>`Px-)N6u@0mndLMZo>u1Uk!Jb%sIjU(-63#UUO$k{CGncVj7v-R_uFp6O}(OW zHFURme6;cuXHPds8PW~6hE`9*4H`-?MpX1K|K5)^jz%WYHMC6j^QEQ(PK7n{bm2n# zMrd32^^D}?AwDxnVk?{jiU*J!nBl0Mg}Kb zD`bRNb7mLk4~!gh;B|v7nim>P!`TD*?ORzNuQ$$UP!+*cjAEIj`Hg6;PXN_{MP-?dg4kwk6m+yJ;M{6@OoFD+35FN5dD1+GBz<5w-$3Pm^G z{ZHqZy^lbz!js?}W|?2e&L#%*fL^FWJ2WJ{<47Z6>SQ(+sKph*)IcdYrx{`oRJya| zoLSaYe?wR-#KBb*>dl&XJh-f5uPNP4Jb7%^1HQ6qSz4k7{WKQgHhRr>zBs|fRgu|V~$e6o+fNx<62#8o<>Fno~b(xKq)Y7+EL;r!yKi; z=3owsc0t(|tR}0~W?9E1Vf&^CoHT<`pJ+0Q)NqqW4UtUN zTpb*OQH5;A?j~MPhI!uLjN&-Rbj%T~{~vpA1LxLt*LmNoQ4%F}l93{&w^Nf`T`T5h z(gb@P!qgO^D{B(PnKrf?z(Cnd+_acdn!W%nux##;&NQ0G3bs?jnii<+xDP2^sFMaZ zyvCDGwg%EoQVN9fQpaU!+1);wq%90AOP=rVXzWPxB)0a$=lSe@KF{@7y1Fmt{LlaV z-_QS?Q+q?%n)Ne_^+G#i7g+;n=hhm*kjLWrU5#TwdsF#XoMw08V%2hyAuFqfg^PQJ zd5Wpt^0_h^JX<<1d^Tcs)e%|7p})=#7NI=v&M}t;FBJ#%%CYpeoJUh@^ZtStHGS`9 z(mcu;J2P2}tP&L1CzI)EIEjTet90E0$L^f1;bkQ()MHtVPd0y=zmBvqin2B(WW%no z#XHMrc--G4VDDmUygRp9W6T{vxm_xiOet@x>r2UP^kj?l#dd=zB#2-+eji0jB2*mt zi?)V&nY*#|o-$MLcUtf9$I2m7sMqJ<-`s42aM&pFIEyYJ`OYvCPwn@@hF@vzkG)49 zSc5-O0}8meV*Nr57oVAG`1Fsg%@W!3OkBrz*jLB5ZSJTu3B~ZVoShqM(AMti&2|L9 zNYF&VxY`m7|PpR>{60#I`lRHn%STQIs~u6x%T4E&X>UvwGgOfoiZ3J~%-z zFO5t@(vS5z%8eAdcyla~qFM1z{#Cg!dJh6&!gH zwFRu{r5S>=2IoaNuDPS1xX5@FVv5u{%{C6#6-8t~d+ZL^4B!Pv1y65vgZm{$@KH>m}8S_V2|6Q_9GYZ%r`>d1KVasqD>=a5f27}G^n&9tb|?VN@G_-HzdiPQk2|VTpV39S0lcgM!S+N)wmk7X-@vm>R2%- zF5)O)@f+xHF5C1;zKj!sXvVVh_v@01WhRlunj)bmcZ>}^S|z_(jq^Dw8_TALN~9|0 zM!!O^kO9nG(>zu$%x4g@dkWrogaQct=#9aK_}HDF{OUzsEzK|vSPh{Px;cvH&6eXa z&aon4DYg?m0Pg72gXj+mk(-ZIh}9_vQ=Lt=O$eQGPCzrEi|bxWR;0GMr-XFGGwQl1 zec@eKcvl|K=DjKI!CV$i0^v}rU7xA2$1K)GmJN-H@+bMj>5UZS@>1*L!}4Tuvk!!L zo-8;<$3T1)GFJDMExvP;!jc3RIJO3Ag9>UNEuXIjc=M4+baV|(6md}FY_g$NIYMaJ zx$tz7A9K?dVls5mr3}!k^c2&E%PH}$gtSOcR1Be|nSJE|fgq^~0pC~j(y#yicVgOw z5#S}1DF=Fs@69G?Yb02%Q%EXhR_sEp6G+Ylm514}mXbK|=V3%zixtrQtzuIc3*nbC zEo}liPD`0Evpxq0fS2mO{Ke>yqmyv^14!_2r;CQ@j9i{Pf02P1&JDn z4;Q67tg1oQ$oY2=Vj>zGNCkuIIif)X_)Y2?g*aGlOe{5Phz|myS3I$2#I7%{1=|UW zimp+&W*CECL}9f3aATQ1O(;3fv}>d|ajp#kL1=;tNp{SFXQY>lbJ59aUXn9uspOmV z5aB<9C!NAE0#!kU5WVFe>s$-l#B=Z)mtXskBNOCUjeJ*;f?^e9sj3;!C}A$N2D9!H zY!bAH7tdGM)*U$zF##-BC+Nk%18cmH7ElJ%w~uJ;2$<9jg+RQe`#(z$@+%|C$Sn}{ z3lN;9LAZ=Jd6m}~@4>m+HwEf2RBP%(ZZSLs8of%)aBGqSE9mEVA(-GbwXN+FpHlVI zMJF0>Z224S#FE#f9tct$1rg9N1QEp{!ILCPp*OB32(Vg?K)arxJ`PF3ZsZiWjJpK+ ziP(>^uUZC>LO)pg*e>Ry@;Y%(#S^&;;s7}K0maNKp_^JVT?HFpoIla(Exh*b`J|;yB?}F>?L+NACj# z#atT~gv@Y9qFpRt<^*01G((t(0dU61Rk*8xpv*c119^AY>DeK++;>BCoU_SYSI~w!s6=w3s*E01K*|WC&>9wSOGV%To7Opc4G>rXCa$h+Z zD<3M&Fmz4c)^)|1jX^*PHL>xr&)2J{gqST1q+H9}8tc9(xo36R1_~f@|l0~M^ zCNuu}>xzprhCbNRxQw0F19Nk}MNlRn!GmRT_rES;?~47!TMBcv+7sn13)itn2$N{< zy>8~&8`uzHYW{ukVz4jXa=66u9Lddh&}9VAirGb$?5&E*!694&0nQo* zeuDNol%Nf!E|1$KL%W-IG}_NL2%25a&Pux)drJFa85n_?PmTx8=U@LHj@fButJVsN zE3IcSxl=Pz@gr+DH#QbAmTpRtIFQq-Z5p4dkuj2xgjOIvb%$Z$(*oHJ1fblbJTU)k z!pfuC-VqmaE=R@-*-LC9X-#?u6>~aYN?IoytN7njBaR|2J3jGH zV3N4HjLTmhv7M;7-PFdO52i@&Vqv(AwfO4yG5_(6<)wpxz4k~nJ;M|$44g25ytcJ| zF0PXNbD~trUcJkjPX4C(W0q7(6wt)sP507!aogYgCG%zzjll?;KV4|r`*w_TGyg|! zQtUcAr%^&yntSTXi{)A`daN3^v$pwDQ#+mr{m1{gOhUQljnafgCAnGBk~Z&X5f;IY z+OrOymgKv6|3u@>_4E*~sn%DkMG?O1wPjq@c;ba(FukUe2juB2bFba}I{bBSJfCCI z9<|RAqTrkPb|)I(UfD5RBA%EVe;=u2P&Lr9sYB~9i+O4C;kFhrf~8-0FL+S&i?^Og zHh-yE$ge5c5$*olVSSB;qigH3o&7z)NsbhX9VJPzpf@^&N2n*5LG57>rCZ5FG{B zw;(JWp&iPJo2&aj{UmmqQkE1=8Qsa)t~YJTY4eDJED~=ah^0Lbm}V6Pvz^JgAUlyE=S#_5 z{@iV~@eG?a6Oe-5Y4F4jyWqO9vU7&AEHO2LF9OB@mI7b6tJ4BW%*yuaDoDrsZcxjNZ>EE|4C+udrYZ1PgNbcvXzCIW<%lJteMWG z>?n+lXYM14O-QM%xmU;AU_+r!E%Ap0r%&{@NP=5yL)Fh9D|TV~np;v=k4Muyid zA1SZ@K=AqBeE81lzQ4O@+sCf#wr0oH z7ry*>hb=DK8`&kKjI}=h9^xQS*2JMw^v3wwVu6uVjT&vqq*3PPh4L1bzK~@aqm0{y zI;(os58`qV6(Oo)bi$W~Dqx$~F_R25QQzsq#fX9e#zo28QT(-^K7AuOeYVO8Lm;bM z?Ou`v@C z%mOGF?4mdloKXGYV(9{g zYZ>^`FeNxuXBfK>$Ls607O!fQ-D_{DDLTY-OnDK!h__Cb6MP2VyrQRNsJzj5Iwn+e zW8BDuQPN`T&Df9OJ?1CQC#}*5=_Acj0Z%qVU)w7_ccHhwynxXZXJ)GeWQYv}TtV8)b5`z>6Fy zR&NrbVJ<(=emK7n1o<}IcEeEa-A(`xD5eO3GhouEM_hZ&!VqAuda_y2~-o9 z#8U1Q_c7rvSKV>e0C!1Y9%`8 zC&Vx-*|3`ZbSa;kE9YBzd&eU24$o{Z;@!08GVvJ6ZDBO>_FN>vO}0*jq)WeF>=HEKIU6e09+AgKypDmfYya?xC&^`7ep4~??g_CCT* zv!*gdEEk6ceu_h|9}}*Nn;CC?mQ}yk`puDeelnQHc-emaYfnOE<&A8Y08(p0 zJ!FklRPeT_Bf!g8OuKPSp>8jIjC82TiJBlx?&G3?GeRFsq;&Clvked99=Srqu#EPj zEO3^)TiE)2RkVjay^sbR(AZ#R6qLVswf2TIUr0aU1IMrorDlpt@!R8GMY73-PQ zA14k*+|6V?Z|}3`fhVAc$|Yx6uTB98j5)QDze;uyEemJWyanWk^}R$=+||IeSv%Xn zn86i(O(+2Lz_e6n4AUhs z#MNW0#^$<6(PaXRD0+NgHVz?ro*{!VS0mkXk_S5_gRt@Tfkzlz7}0W$9;D-7gW@pV zGhVe=z3Xq7K72ERGmMDW=ssxBp@!-pi%N^!tf{e%VLi*PM2!U+&@LP&+KSnN6Dtx+ zjVWb768^%3$;8Bn8Y3wy1ki-j$6d!S5hZmyhcop*UmlCObt@w1Qp}NF$aO+hM57WD zVRY(2^aCGihB5ny82iyIWZBLb1Y8dF5R#FZ`be!7Q6pkNv0eJ=AFk9)gFvT-6sQ}l zb}ti{rfv@iK#UG|JtEsqNKiDzMO66amxOU>7|o+f#~CmD%e7pu94sgN97p^q9xB#w zx4A`m6lfDwz=xv>ag#gfm(z#%G@`}h$b*nT5kl5@-qH8NC&hzwnxQAaBhDb(s&=tS zcm^tfDBV%fH*Mf|p$Tagj$t6~qKwc_N4$tB03M)+5&_)sjY42LKKa`t4FqeM96dw{ z_aYvY-r$}fhMM@mP65Yk0OQSNYpRNzlTwB1Uqf}H zx%E%~PoERs7;_MV7lx>G1)EZU)Tl&D?9~!=yyof(U5j2MjjS;!v@o(5^khtIrufgC zUc>ZetRFW#qPN0KCHL814-XPMTCil#ES8fg^pwe9CygD9n*;_*)aa3;fCZrS8)w#7 zLpD>QOa>mZX3-R)ByJ`_P&^PXzA+w)GuB$Rt_`ri*c5fd*vSo3tjRn{5Og2vGt+!1 z#-fXZ=9b+JqL$6%cub;Qv%o?ddkS!V0?60aPZYlX&CenZE-$l4M*S`IxpD~|gKlb0 z2JQV$ac8_qh$UnZ3W6=ddXX&`*b{7_M*3InHkO)U4a=R3vyf7HUGb&{OVX9+u8ZHu zboBOR_;>}Z#2_F}l(f(j%_tw=H#M1>hl0bU`6d(8r-FEby)O*64izlJ9uYI@4hHS& zRLsr?C0O5jPae6bmBi6pR?#J`fffbh84LqOgV>^=gpAebkVd0f-N@xWWnWswOnb3b zinclxBxIB+d5I++Ov>IuxC<(QtzrWL4(nK)pMd~Y=r`$G4Oyy}bAoEtnrqgCY~!V= zJ@90H&wIn|q$91nDe0`+6EQg_$e+mL15TVg#PUT~Z{L$AI`Fl2Kro424G{2aD!GDf z``*)Tjk4pl%1_lvL?VM~Lp#mg8$6zyXASn(HOQYB|6J?7B6_KGlGV>L-jN$~SF!gM zdt3fu{$?@FxDaMo1}oe1-+PaG60R>UvLJ(Ba5(hnQGOplSs+ zb#hIhwG~WoSwn*Dar|a~x-96*pKI-C4Z9azNE+`4B(`05&604gZ4b6Mb>Fa+)3V#+**qX95V z++l_=^9e^%?!>qM$Md(EX*T35h}37$q{vw8ETXNRx%|Bv!xTA_P@b+wC;0jiKbIXEBBIk3h=rNH;8nNKl0}*hdhT@O@!6ohO zGm3g?i8T>5b%Tjff8;8W@j>s4w!cWQa2Jsgv(7vg?4~@b2B+YplC-s zJiN)FmOQh$2cr0LcrUg#nq&|pppgh6IYOQ$I!5d&5jI3ohc`h%5eT!Ljh5Jw4PW@n zAEN#l`ZDzs;(kpOveT9@ zZ+N({z>I#PK!gxu@9OFVoEWjY=R^ZBhMCY-{0^URPgF6)Php#J|LR@hrHFZtPY7dB zzojLn6TS9_srNI4(^1F8sYyPW(dUQz15$Vpd2J>dXx1n*Use);s-X1=xR6xD+=&Kd zG95=!n8*|2lr|L%c~LWvw7My6MC`}vhm%u8XGT1xi{hkuln)XkV|Jdpp>wQv zkTQC(sxeyfk6cTX2+ly6>DkA0mZi|xXNH_pQa??Cw8jizYXHw97mI+;GsqsRcoJ~o zKA3a_bOKt5)fqkS7|$^#MEEOy?mI6fFX`(^H!7rv4pE`&AO7Dap!4qw!>uf8~oq_l#1lOL~{2P2RjWPb%m2N+P>ekb<{%$uHG0G-nv3Nh0V+LOn z0)tgw=0FIXKHPM`5EoMhn~ua~Cm>cop8L7n z1BF_R`(z*CeL;+7jV4vEm?j z6$(a24A{Q#p+8A4%OY;@xt;y{TS{GNC6518Q>?j8YXI#Fys+| z1uX@f z0+pAYxBd-VE;W-WPX$KODpe25YY_(p>fUhuy_y=E;;0B!tcf-5RYnJFP zCpHCq`|5?I-}(nRe~g*Vm~0S`2jAWpNAv3rm!hB^1+%y`A!wn$WkUkHP_9MMT0ZfQ zn03r@>+8pZV@$)wKJF3fQw6J8k_5Ot>n3aGe}yZaMs zQd+4WT&jQuPT?SjCSskCELDnX6^9fxabPXTf7aFJ9TsmZiZqv!L4AT!bQ2|Vs6=6K z>G9tKe);cdiO!0mcIp07Sh7Tysv>=kL?5ZoyPh|R)`1NaYuSf%->F-jDyd-*JCo#S zR~&_zbh2*EjBI|5TodIBst^~CF75gR)hlQ9&N-!ywLvsc2pAx4p#q>zi8El;2lrxw zkuJrf$V;=7!Ke1Z$d`kfma7VH?XFGL_V=QXes2`J=}eH z&Ol%2)~6ek6o(lQ91u0$AP+{J9A?Nt@`(~eUpz3v7gB^+t&s$_EYz?urj4C~r8HM8 zg@&qLoe3!Xa~(yux{F-abpb5gfV5~NgtsBV^s#*K<=^EVkGkCsY}oKq15MIs+$-Kw z$$+GqXd8z<-I-{RBUJE-{zI1Vi}wTtPjmGLepL^2fAKY+LB5d#;keU{%}DUjZ+cM& zw|G%=zyL^GUI^G{JW z+@gc@*Cj_$Agx3*tn?eDK};%SrBSZQ%>24*%d1?$-SQ2+2bDSU4l@qDgm}0|)u#@6 z5J*oQj-lcyp~ZGYd_t~1Gliv@52-fyD#U1b%S1$JA_Be={Y0UOl0i%gfA&<6y3#32 z@}A|Hc#j`=(5Te*apN{#zV zQ}GnnG81MbUB`nosPt^%`G-C(GKN?tffxF-lg~+8A6LTz@>6l>Je#5jJ#$ zEkJOnQwrD7nuvcwXlP1wnQ-Dau~7`jS2H`M`1|3?$#@(y179&S9%0Xq9h^$(Sh{wE z#`zAJow35M+xJ|I^fKwLhBs9(kz|Ec6eO>eEsTO0Zix$ixJTCKqGPdv8+1YcDGQA7 zL4*BnfCHvMqv@}+o;V2E56W-IaDOEV!gId7I$|xHbqa<0Yp;CquP#wS0^{ann*^Or z!O!K2x^UzkJMqxuujKKXHh=1XKl8vQJidvCrkaUHwL9zf;$3gPe-j}SEll2-d|l~C zboG0AbJH!aA!348OGcWecqoal(B4j(%)*p6{&Y+}&33uGTXHj~-M8=A1gbaRpYN<= zIUc_Al?NHWA5WqzGsnE~jrN$u{U%We-+Akix8Lwb7j(g$09_Dh(@NfY4eEJ7L>Su&fBLxqEBEDe#LQTNZ+In26Dl9S18iG&f7N= zNODhUVv!OP=w{s_T?Ine>~B4C=WDN%;Uzq?EIThvG;r%;0TylMqeIgHW>es8=Apej zndieJR+HNtsf$3xCYLqjYE+(t!T%z>!G0r9ASiS-dL1o*1Ak87&O`Hi@FVHMJcC4l z0~IhCty6o)-~ahl9^%Gx_6|qgWEN;wfis{1 zeA*y=YhCBrR2di`MA;;g5}-lR3gJQYuX=R_i^S_(cA|Ks_M<=OymsO|^4E190l4x~ zOlKXQv!Y)P^DZ{Dtpy$#rtXSgWw_vrFVLt3;yj4=)X+u*4{)#ZnQes6>rIS!MafUibG=eW^8}S5UB%US#xt6M{9W)(>d8D-q z!e9n~bUbqO|j*@zHog&$wI`WHk1oa^zF*oRn)iACXC>EqNXW0I*T zm&TLXMXw274$@}oB*l>*rjSNpyYEruE~r&4+T6_0wW2H(CM~Cz^q#VoZrFaYm0FRl zP(*d_Xjys3PaT)}BNfQX2Q3#ppoJ^R7W5XP*D7vD=&EiA0?Fm(*%{!h%IQ{*PGl%> z0zpP#VuZlNF-yZ;nOA)EQfnlJL%(9Eob^W)b-w0kaS&;rFBeI#9!QVUbj^QIn>{Z zQg{kd;q_6zg@$}1C+i^Zr3zp!sJaD|LVMf18mp{{Z? ztNY$*3k2g;|FC2A$D<60;|v@wpA zU>-;nj}s>{P?8w8U@16KMXcX#HF&y{dL>n2ug=*lIU!``Fh468j||WdlkvlRys<7H zjpLK=dX)@%-f*-OW@(N1zsk2aj^^|0VL8f#r7UIW1q0{faC4TPAEeo;LKzeg7Fxz+ zu^f>|<&0Oa*3&Fys+16awD848*T{9?MFJfJL1@{Hlvl7@{rbGW6{oN2jThrg$nZcC zH8a%aJWM=l^1)}#jSP?MvQ38Xg7Nc%(3Y~uD6g8(@|&+bRP~l5q=JbP_NRWhdq`*n)H;E%DMuR0bKW^+DDS z-JUiY8O89(;fG2Z7I&knRax|~C4EHQRVdJeD2y=KO?9gp+<~I)doRDh+hWwmiU9CD zK#~Z^@5og7bVs)%IE|o!(Wm$pia^*xs} z%ZMQi(dezY1XUCvC5+6(Zr1`pFCAnr6II~g=Ru}o@*hC{lKTfY$~F21jP>;_ zOZ4yx@gqMyZ!5O9#e=~0ec@rA=t7KFWO#QW9({oMW`N0(8brBwQ8Yq^UpOt`;20sg zR+ecs;vRRcndH2BXG=)$H|2b#2Lg3amuo`=bk@7|_n>WE5D(T>+6H`-a@HZ0##lh7 zJ!}h!=S8AaOoP(wsM5vAkHQEAO<8za#sK z7e}w+QkhrdcnhVAI(X2ILa-?g2zatQNSocmT=mAd8fJPPHmA$*xSCpZUHEkMJPgew zDPS9qmUiSZZ_Cy4)iq}C$4iVpQ4{tw_8dc9CiANQ1^ES{@IBR$-d{wyhnk-RF+CX0-FpXd53<^U!=vtTRxW>&WTNg!V z9Ns_xSFINwE~i;FpF;)E=U&wPWwYMiA6Nd6#1i$rr(`l-Wmg$H%5FFU{9BobOQ2iH zI<}sFHSuWw)NRXcv|;ydCFkza2RGhVrIszr7WjSB_LJI-%J%ef_cp!fZhQ6SZ7rUC z^!6P6m5TZ3FXPRYWHYK0;3SxY8=;?|=(-varaJZhox zTQPT|;Oc2`e@r-4?^7s>D)~4jLD=|IHJkeBNn+nDFvtV9zMcRJh=h{egu=`ZR z20*|?$x)_Sw{x-0dS85BMsR@9U|$L9IL0Ge8|BG15=1d$Q0na~@%?NGu*zAn#L5fa zYZ_S=E#h(}`m`>moOT~)Cg=_ds0!_25zBGOJWnm|sCQMtsh|3;x=YmV4o5VY@a~|6 zI)IA9X)jgLJ(eDt#C*)oWXS!G;a4Z1Cu0T=B0K}^8g2yiPFgDQPCSnl+Q^OrGom|V zH&C*(14)LY{wx63n1SjU3KxYT#=F&ona}*BlQkGO?2lKqhFc+wCZOs9EmTDWh^Uhk zjrvxCzmS7R*TG6vR60V9P9%-Uo*&|I)zPRiFXM+)tzz56dCJ_$RH-NOzW2x8U3y_x z@|vjncGg&WZ;f@sYadvwPub73W~OYwI)0C^sAK*4pcKD4zqwJ`pIp7=^*^@q%E{XC z58n8~qGd60dsEwP`9S-)-O}0*T!a!#ac{oy-u$6;LE$wIPafFv>gZd?4wRzuo9sJx zmv5bUW|)fp_QsxyT7wm>J$b64qmu`!>mS->qv}cCc=UTNMdQJINqC(_PQgtqYv&U$ zge$|W?s!#*%pfY!rn==9;e~4! zk1c-sxMiLOxwtl52=?V&?IUlBcE#oDRp-0s?)rvbOS+VIZlARp8E@p?F}F1F`rage zZD*^(IG82Xqq6+S@3S}s_&w)?5}D=1a8GSITkCsE-J{_Xvy}W4%f$56+V|j@Kgda| zu}B1q^dQmup_vPqt!7BKVZsixw|@B#x|^*KnfO|`|=mGT}<=*OSK~#c^5<1b~FTyDXG~ zU~mP0v2p%z^o3Ilxz=E|eqd-i>0u65<9YAj)K}qIe+ZirkjbdfJ3s>l9LQkye*a)U zr<}D|-hxh%jQ#DWhcE?TGAMx6sebh1L!toElCS|2140aYmuc$CF@_sHI_D2pE+_zA z1OwdL53oTnGOt`2h~|^8lgo7O2QVfH=&e!gASFOnju+UR{JnoRz|v=}fyU8i5E)Xp z=V9_{3_WH&Y=`C2yL#@N!>K?NvqB0aR`$MN=`*HNp&B6GTmR4>k`ho1LT@G8&tRwo zbyru|p7?C8|88&ntDQ@ktpH2ey@#Mx?j~IE)ZSMO39GP5c`#T%i_upsqJgB{)>``h zzwWK>9L~E^up)g^o9^c@CZQs|HFelqx&kuj!~0o_M!Y~>Ksybi^*$|S05`BVq6&Uw zy7%5WoJ-J3eTgK5<(|WFE@PUA@ww&S{MrDs^gOuQDfBum5yo<+*iL;A%cK?E+I{<1 zd-G+l!316OA`_5{1JDxTgC(SMceZY@YX+)(*+N%t0IUd?GHV0S(tJyj4cZ1w{L)XH zt)Twqy6BPKW2Iib{o=BFblzR%m4na;Sd2A53-$|Xh50wlbJL6*3{3zF2JenfAuWCC>c7IqVL13%u|US=Az}6{;c1; zI!lQv4fj2(l4bezJ&vsdu$T`hqes8&4@U|W^%xDr(;g%&$BJ6MVz)%{Fo%2^y)hVK zQSeICg?yANc>CqUnq}Ij0$`lN>;;Iq$6DtOQDg19riR3={3*B#91M`GJ(!L{uf%y@$&53?x|mO+!S1=98qehv zaYv^K2?G|H1cEbhkmEZa8xpyrL{^N)Hw4T&?x;oSB4eO1yDWX$dxD)p2UE8 zD7tk^BYF1L+jEMUooksqhixwF18~)AXMii0RdWY#|1q}p9_E0(@Y@lMXMpRhXaz(d zh8w;eSu-5G3NeYxc&%SuDM&1LRgT~%U+?1{4Rchom`Vr+#BEB^LXnTyxeB*@@=F)e0cRtB(0d@h6nE3yV(UHkJ@ji|V|pK5rc-xQ+Cjypi6gxX7rMGCJdT0zrXL9DLhcD`@wfC{r z&Yg+VU3Q(4`?ZjuMZb4ro8QM2kzo$-<_4=AKKcy~WV-&zj9z|%MH7c9Rv`hT8PWm4 zK45dmd~_k5(cF_C91>iITe^BRa2->i=RNzT>X6W45CA8f2B`Y#IWTZ6KM_2mxgU74 zUo7=Jw)!apR16|HTely#3KcT=Ro7r(WqIef4Jeb8 zcK_y3QU_ty9hFL)@_eaKok{Sb;y}jIf8IPKw35AUya&Uq_W(>tSJ7Ck^Pip=kaWFI zu6mAqlqQyX>sJRDdRhfeaR&RLXeW2>CYGjlGb5HR36D|oIlb^N!JL&jNLl$$bLOsSt8Tz_fg zi@g`VZ_QBPYEXjCVh%kB?k`majvM?m=EQB6^mpef4e!4s4J=p(j0QzkT(}?PnooYW zTUn_8#aI00^p32Ce&w#r9XuWs8Ud^ie|%V?MFVz&SF)xDw57}@)Vq9@r8hk~Kz*+W zt8U`_Qr?Ok+55DDD-sF{KpuVY;(qbg`{1hQiUK$R4gn9m3JEC0KzQ>jm!CHrB}mAM z5+K`tPr@0b-AtpouYB9cK#i+H*mF_bg?@yAL(kdz?ZsL+iNOu2oGCbpG% zLs;zJ{rgvH@tOAsD=26V3Pu%l>^&${>QT%5zxefw2Q*#pgR7kbt+T>pO83JG7EopM zT4nk1zhCXum%oO+EiVeqGYBfyom0GwsLlQSkb*|t%K!>CX>ZM_ad<$^iJ0uJBNy}| zr}xoS&oMp#bwJD@4kcn}J#i-U`d6t_SABc+i1)tg3f66&A1Kvn8t0)Yn#*X%^4N>g&*xCssYi%iDWEMY%#8w`x~^~ao5nXJ6YLGI zzjOdwy^pSPF8R{+AY_R2ce=*WFhS7hjQ{=HA0KSiDy8*Yl9?}nfm`Dc{mmt!jXvw@ z;Qw(;&s*nks^X*uf%XjRnTR%NEnU5K@0G+N&Y`^1kI3JlL`fYd*ZYwnzQE+C2h9z) z4(CQlp}A<53&0Ly86CkYc3sAM^ye?^XZF*;z08S5>r|kWe0Suo=RxbD=3JOt{zG>5 z=(%&&Q!pT6&}cr6RX-Cby9sg@|3S_lZDxjy=12=8)X*8ya($r4eV2AaTCi%>_E!yu z3@3hEE=Fy@I$ask7eO!o(!UvwE&x{%S-u8fWtdKaLc#f;zjUA>eXUyMhtmYkbm;3A z%A)B`3}f_v=F&mYw@OL9mt>K-$az1c7)>t8NrA{;``%$~b4*gFE|gf=QyJP)LoyQV zFvg?5JTfe-F6)2}?k6r$R(F||O71(xd+Iy;DXJ2hJ;lI|m3v>ZVqPeKzbCJ}U^r+o zZn`7*qj(g3LIqlNCWB(JOMm*?u3vj=eZ_N_7wsmXVIlnMzLJsZXg9`u;IgG}eCKdP zi!O!*Glr{2x?~3NB^do|CHCIe7=c4E z$nJYlK^$lLTjpgpEMi7qb6^Ha`74k{f3dP2a0M0n4|31lIbax`QBwbf)3~5u zWeD^Yjg0~?L&<=C#qx0K4H}bnlYz1l*6OKPN&*K{w)G7!YP#$Y2lxe2S)!n~*83t* z;=O8&%{%&P&Eh@xLm5df9srJ=Io10r2A~`Zz`fz^Ljvn;65zyW?MJOJD-u&^^U0G# zh6NA~A~G2eitzTCB=nYS5>Q)N{@mXTD<7aQ=d|=wF1#kytC2wD**n+uBIg{=9UqAC z`+7@?0%yf_nl;b;_C>=n#EM_x(hhoIpgc1t5$Pg1p!m^suNrV{dmmrrT&yO)jsG~W zy$78pi`|JcPJZXv0YAL=+11V+A|z|NKFsMy6g79rNQ%2yd+Q754@jibPrmGlN*$C_ z&LMKMF=Ul3AAZfCk@>Qvb#I{EFrLtIN_Xn#Q7BAG-VHoM8+U*85;5cuhk^|*xs6Kt zJDv_)@#HjSW54+yhbWatW%|M$QXB5*eOp0OF|*vQoO$i@Lkb=V9WU-aM=BQIUC zSZPk;9qLpo?uvvBhS`B_ktOM;8pC1_<^?zkh=>=(@u9WC&@fYsyuHOjw)`}nclVPxU7$nZ60FbBt;sW^O42WL-g8T>>kOb!WkKF2i6jKjrKz7z=e@iy&5QlsTqTR3p z?sgx85x$XLP}uW|WH`$lPl_B^fJZ6L`6ddPf#^ZK(49_$v$Fi$(?e1N3fE19gxaWNpx5CCgd=vDRW5A26tS#D@2#_))BY6$2XPLf`>6u-ii=6I{M*)Wq$ZmQ zMuXU7JPi_?ycB65EVAYQ^2Q+{M(Dz7{HON@ZB)rJnmo6Bb8+ZWAvHH6K?%2gXhSY2 z8i^UQRQ0a`R1 zF(AQUWa;&R_b_jvu5R4>yW(NXg?#BK+UNqDJrH-NdysI8gXR6h&kXHPpnFm5ZhB}x zB2bZ<@S-Uh-oG>k)P4^DtDfrdE{Qp7r0*FGhe`jKrSE-FJ3uhBA^EERG_e28|*lzDZJ5V#xEJ@(UkiMq^sIbMte;#&_*rAs4r8Qk0y={{)<1qI3CI_(J`_yXZ zD*#JdbjO+cA9A9>d-3~6`unv1p)-F$y8O9GB=lV0A>U7l6HxKD{)X@9rSJYL|A2O# zY;+a$nPn72M-lqq+KnJ0y8~4~ZPbvqp2bKl;A}uEN4;E zExh6W;Y6hTb}2OR@?fDZqJ@MQ&F%fE^K1Pr>8*XWbEnf_g)@8!9`1G;z4qeY=ODk; zUVpi3v|noYWpF@5M69;_@$VV3$0Vphgrbp>Xni6;{HtLQ;o+`>7YquHmxFZWx|j@G z#AObg@hZ_A6451BlIqDts%2?m~cf$=RIO25@7xWPy>I1_?SZtRb zZjnJh#398l>jtXG_EQ69o@_{Z&VI)e0}OMP3Mfwk60&jmdC8p5OkFi-%)2avKm0HDp22q$qsXw*3$2nUhuD(X_k zjbH}7H~jYhLMoVMe86yL*dnM2_>9Qzb62k!k|Cf1=h{d}4z#k{Wf~>_V5RgbD0fYm+chXz0Y8b04|KYwD9Ks zN_(GL?OY;9tcI4C9_oMD%4h%$Pu+R`YVW@6HI##5E+C=eCJ4%e=zo}!Dfo)F3~4lA z;3A8e{$QIP`%O>H;x1scQHLMqKyaS6eim{zjIiKl{l{dE`P)kML)v| zT1Ew((pWlv_i$wF=uBF!NFOR$K$=KcHoZ@*F(x_b`OAfyYlzIzYkg~oP8C3fk%_YZHU zXm}K&7ODq$LiUdl$2iUZ=r8z#b@#%t$~o->ST_rLV7x_%^;*!7;3rnVbA@3=Xib_# z+$fy%*6i}16=0SPf71^SRNMRHYUiVv+KdVy?i4-geL`Zxxn7=k!=L+SgfV*`J>$IQ zGL>NwH#FaKTP~M`+*4mrxS;38*-t?&0!dcIz+^T?~iAO>o7D33`@IemNqL%y9D-5YBziYRt(=3$yeahoHp1n`irh@D$Oj%t6Be zo)fruU!2_VqfC(LulFoP1BrY^*;fOmwiL8Tg0H2wd~8U5_Ml2i6{-i`q_{4X8SlA| zWzPcLz-?$!*6VzzA{W!+~}YnIE|u;Ev|fDOntl+%+o z%CuRPdI#(2XJ#8GY`z{>gVz1mRqNgdrr63Ot_QnKJG--f&1D*^XNh$7P`Eta8Q!S* z0z9x2UwvOa)t&+DplS>o=z`zEo@$*{`~AvPJZn?mY|wJjX?3cYR&(i{jVkNHo9w>0 znVFd@Y@W}I1N*!GuQglP24UW2$IC4I53%lUOc+*`ZyvrQ zX36Y`{YvU#=|H@j8pA9Vw2x>vjD0~p_QJ?ytKoU|iE@_e4}y*J3v8cJe%2l?vC#Vy zji@}rfZ*;qc4uL6?0U;qTO~iV_E4$7P8jzbPR6r#U;Vi+Tv$NfAS+mCJIutptJ>W_ z(cCo8irW5YOb>MuZx?v*ykm8)`y#P$T_Y3J*+3=BWp6_ro}NW;RqCxw6lU1jFiYQZ zr^lAMIwTnfZ5$oq?SkjxLuGPK4s9Mgp2x(SV(=3abYusjKW%NQ_OMmlMAFbDb z%F{~LG`=j#(UCd;yrs8Yy`lK&{K24|KOU_AifODfQS>XxJN(@f_DD63s;uf_=WEFD z<3Z5e7|fdX9ZmLYjwdHd%?}>AZfj;&m2FJf_oTg8pD&{M+vW~4&jy>#jq&{brEzw* z9bf;k!tSkytJOGMf2XZhtM-Z8tgS8FTO9j*ojvy`wmlg)4Lh4o9J+GH1ltqXBjp$p zfHceOauMv)%Hjuu=GT5k8%_Qz9e01zODRG0A}gf7!m)y`F78%)?%jWNVOWUSDl5Bw zs?N43rUb>X-ur&G_NiX~l@A_D*r=v`=#J`+$vxF}K4{&u_nNAv`?h%|}O)Le#vj*nar7=)PiD`uU=@ z#{xP&7(a3yYy!`>{_pbEQZ>hhfWa;pg*{Myy#cL^wN5r{hCPR((Ad(5jbCzC zTI<=Zhl}(3%b_`PWn43bi7eTUm&d6;Yj*BV*}H)~ZlWVaZO!wh0QTG(-O(7&-1IACwKSgqr{u$ymX_Xj(Pok#R+j5~#9p8b*NgB0FC;20Cx!%3t)P2;T_ zgV6^Pwrq)Zys4Z{?q(OEFxxH`>k!VdBD{kUR`9B9EmDljnb@R0TZym%M)c7G7ddHP zal10j__EdvtLsAt2r%TUR_%w6S)^Z_#^xm22m;0vGi6{-xJyvOr+~GuE{1eJW3kqa zx#x!&Mvda}I`7)`^$!G#v5hC&$#KApj>hGZAI0gW zvh{Xbqzn?N(J+n7z8GP~i|nN|mYc8Uj5c5jqI!DYRNRc-+BEFdNu!YJ0b4m$kJTAR z2n^fyXxo|jvD`$tyuQ#XMVT90W5M#L4$uHp23l3SV$fA=RyhkcEWMLv*!>CMfK;!u z^9IdPr$xHfDOEe{@t0()nk=WWeYJr?F?D^T;?ix+}4c)8qA zKh8HNgC>}{qY;OhI6R*+>6%W}k;*=HqBWq@^)0*YRoG-M2DX%oCRk5j#IEp}MKxRSsKY{7n%nHD7aQZ=?uN>|rHSA58hVqB&D?c8L<4kK z_o??bR(F^(JEzSJU>8iUAi|Bkd350yv7K@6zg@hP3k_kH4djXEpSt@{3w7cWl z3vubrQ$O2Dy|j?lp8?Jg214eV*}XnKTK((YxwVZEiF zn9zwkdTyM$#5Wr%yI;K7{T`t}HV9wo3deHP_fnzWN1yI`5o!iy&iex7G`hQ`07%pC zvTE!_1fbbFO>NZ1=z?Pwp=|Va!MbLwAc9EW!C!YJh@7#_cvOJsK`w(Bhe=LBgWwL~ zhSQ*NJC%f3c~)rT04w0(U*4;{mxQMw7XF+(;Tz#Aaz%ZzyC9{lyrBj`5^;14>O|w( zNnn5(gD|sCySR+y+P;<5&YtlB8+N4&`bNtcSAus-J!B`Jf&y3S@>vt+!9hWczyqMh z)usB_?6-tX&&I*7Ma@V(8%69&iv8~wrm&OsJr84=kP6vemAUm?|iN1+#e>+jR%4VUR zyxYPz^4RV-N*>VGu$vEK*nFv3@Yk07iReAS1e^W7wdto1{-S^FkrVhgd-9ds;N(E!$I_ynyh+(PI8oT$XUGVvh>92_qWR z&9Qf{h;c%_%?7fa2#^l1JGEJD}`zD>)Pv< z-E+rifTi}M0o(VE`$q$|PP1%Q>xbMA0jU503{Yx}QsdT3AO2JCgZ&V=>i_{14B+LC z#-|a$KGo5w+M}iqWdu~7YDZxHAD)C(O()s_pQz@Is+s;!IcQpSz)Ka^{pbD+dWYs~ zP#t;&*}^9hTg*Gx!Vc*Q(k?MU`cwle-wR(sEU6TeHbbUmu>AvUS?rBJ&rU+{ePNs( z(6FoA`xNusU;5Kx=TQ_V?_q+fVYzgBOS`sebJ$L_!v9njtq6(EItUZUv^_9H#D7f8 z(C34PL>|1xUctQRxMykarhh!}9SZSFWPYlmiU{6RHcrNU0i!yx3Ua+Q+q{oDo9 zYqLwmVv=sJ0Y~K!odI4Bvf~rhaLwbUeLZO6rG7lP295n5*nY zo@J*bbj2}<%m6{iu2Uvsy=HQ-3UjfM5uq`<1}XF-joIS_lDvSpoU3}Jh3Z15F0_5I zt28Z9XSa7n7JZi;aZ28~W=@H4uCi#5W8F7KbHx|`O>XS--}P{Evhkka^VdI|>}t5Z zd#}6xnfmevtFJ9y|IE(idv?78w9VZfA08Wf=BIx5@xz6&XEr~~*X8Z!rXEh*F1LK2 zY&;*>yExg^*qbbWaLa4|4=f};Ql5A=S^nAT)^2O~ed_}kH^A@994S=6fk7nm$Q@zE znMr)DdKABFi@Q#r3><03?P@!4wf|?GpRx;1A4|XR9Vy0#UprQ;Vshbd1*q;Ij7m6A zh=MSQQNV?q(G4^u)j|eX9#OY`Ss&<2yd~`p=7l8*F^;aLKSfzyyv^*r<`P{$fL`Pv zT;iT`9}4apj11nV)z}-Q1ap>MRQVy9*fNOxN}NHWQitHDHb==X$;;S#^dwGgh>#q& zv;!-9AD=O1K$yq8mQ@1}Vqs84naA`5AD&Rc1XF^SIov_Gk8>;-@rg>u5Uc75?h0aa z`!A?tDq6?}RtPIpctq7; zQYq{J{D2Rt3CC^-?0hL7CvnnBTHr4V)GFz;Q5k`wugS-EG$gx#sOca5uwQ$s?k~1| z)kxr+xFg?LYZrNjN~NTw$n0#Dm9X(sFoR13d#NyAXCFbyH$Dj=c#upR`?@sZdEZ~1 znu0{9u%DCNjbKkn4XX11o2wFb`s5?*Wk4cpy(kp93RgNx-K-2(eb*?}AwhUrxlfzb zZbG`CZ9Frj?gT|4u@DQq0L7U8U4D}KiIC##OUjx}fG(-iNuwfbj#Oyp2pg#5Qa*4V zZP(ltZfItg5*X@p?tt-Ud9hA?lsmfo?LPq601kZe3v~pGeCShJy&TB(*%HbW2Iv|BOIR)~yb6YE9}DyM2CKQHUe z_~;d&a3B{TY==2?A*>*DQE)5>@VQ_-FIf8aza$CACg8Y6C5ge%vffx00s&`^O%;gZDxRdI}F7f58B4r+mV1rk*Q36AKq zHg}t1hOXX}{&gopHPJ2ZdswOX?mFW*x(mt6&YZkT9hIUAq>RL)L#U04c&(CM058IQ zxVYEaQCGcvz*hPk*<`CzeK6nKA zQE+>^yNfDR%qTp$;|Cv9PA7lV1BiE$j^J!~ZYC>_0NI@Snxh7m2>e6AY_p!5&ucSU zIu@h=gh)p}Bn|l%Zb0et|Fy)46*J&M=?-Wb?VaabfmqzDx+z4jG{F_y{UMhQ+??|9 z^&r_!e@S$*c3XWWpIb=M{9(MWYVW}8o*|L51xiY?pgwA-R2 z7JwnmKU2>iK%55#=hREsv6O$KMMzb_ z78n454BCJPCC@2GG6p_c0T|5^4dcir)&0E;(*f! zs(28!Y5U-T?X8*C^TputHS^CGi;Ld$+Oq%IwVv4@73T}}?A%Y`m}k9rx8;^!9xM{L zAi8>wNfaL480e`TEw6l!-B&d@HMh2s<90l$=jQP!ubpc9n;(m@lqN1KJ(i#HCbmTO zq3C;BrW1XpbxaO-6C=isU0As1eURlN!S))OZG2-eS1kKAT7$Dtn=TWx*j}H`BChtD z2ZMZeE|fjG|2A?VL1kuE$eA@aJeeSZstZ&lolcgri=7Uz6g!LZ!q09W<7v;{PgKuVLD5s1%qj4 ztw2^FicuD)k+(ih3#b#aSf5KFU+RN?QUr>+h3KXp+WSM7GJ9ZI-O9~zVG_dHzKBPj zFCGEW+iHqDj6c7&IJbtg8Xokm3()J%uDe@*3*@~GjR2oopGjuyi8Dj;roPaRhVm|Xo% zev7YuDpU?_)UU3mpXZr-@U@Qyib=E~p}oX~uZbP68Nt+MsUiRar#Yz1CaAyb>kv3+B*QbyKac%^Kb1 zl_zqA`D6_NfDOUD5H``pcAh8barXd`0G?1-e=K*Ru|EhOn=+l!WW_Z7c+!}wtC2NP zY^emH0Wicty70&fitKBBgYookdB?9}I}qAa!L zo4)RO3l^rJZ571eqV&LMZeHHFD+}&%qdvUhDq?s7c;Kb}0qu6PK@@jb8v6n!0CuUVWCgA@AWpi~{nQ5)caP9_rl^|0 zA=KeQ;|F2thcKNMP2^?4X>g`_gdRZ0d4;97eTOq$u_du4a8Fi_M2$Q3Lk^lSr2{Jh zBsuF`KjTwabVPbIcr3t^)4^iVtCpGcTJ!rvJ_10exZj8OSX-%Kf8dHXwZcCBf=4_9WCZCTjj6ROijuU z9J*ebCqIBtlJI30Fi4M+cjZzqrRNA#Z6F{}ij0Z;^^7+)A%bY$G|YSAWGXfayZNH# zpp`5?`vv;g&1zBGs7v%j7jTUZa%ihjNhJp{oI2RCUZ)t%&;^AV{S;OS;QDfb9SW_r z!P0k+fh?gvaSo0vp;F{GtxG5sS{GedFEoDFW5+?G<53_8e_8#`J23?YXjsE~!<{eBc)S;6ABP4^mLZdK&!PhMN8CHjyeDKzhdWW zdH;)0Z>=&D1Z)S8=$^1bScuOqO}e5erc1MS9!SB2!@at;Kz0gAByk33I8dwAn-T;% zS-t|cuF=J=WYlO)P`P+SVkuF7I`p*y8r1Vh84UepNts&!Dxcn3kidHJ1KuO`HXZRpK zz)R447gD~cd*$FIespO@ahX%i;Wfw8r=C*-0aUHj=h7D*##^;1~h96d?mI-E*hxmZP3n5R&8BqnicLNy2$@s?|hYXI@r zlv+4|9)DBAeLNP+%jMvCy7eDF{Fj_|179Le2RgT?;?$`g?iSlU(Vlqc0cN->Ry;z$;cq8b%ZFOnfN>G~tX9zkZr)#x(SF7D2 z($&i#-8Cu%WRi%Ci_Z}HAzTo7sEa0M;}~++O&;*bv#?|Jf1I4&K$vYIvCKHA7uNzp zVyA;(?OLWD&@MMyXRf%@F4PqHRc-2LKyYFeXn=$6H9$0k(6%!?u*M*Q z16-%4Y?9qJ9~JM7t#?F@KMvLwg$FD|rsW&L{4*`w0S5NRx8!C^_t>L_I}N_l#sg+1 z#sS_l8Q7!ohe(lX_!Ff<% zv+GjrwBnXIh5+Q|tq>V2!%Cg=p`lm?B0G8fH)6z1oESVJyexbM28*%$-MD=z!|gCy z$H;hrazrq^BER4x_p5d@$26Z{hQ2@`@)MuM`E+#i9McdyyI30q5+Iu$)iIW0)wkQ{)zV#MoVycU?(Dc|6N#16OBxI|Nqsz{WNSgeINfQj*dn z#5mAtG81aMW5%R}@g@VolgZSEB$LpV;caOePg-XCedpfyBfTfBp7i8u$M1SA-FNS~ z=bn4+x%ZuO&v{Q*(y%LzpoptYrHdJmLAKthgbii0bpU*5badHk* zmXei&E(883V^?lAH7-BJ2H3D539cl#lXt^h%+WQA^XSqz!V(IJQxHMyqpO#^1^1js zpnRima)5ug)iPOY_8)`?c#LCMdgIH*aoE9o1NQSA);v|ijk_SHV%S2eNV*&|VEp*T z0Zak}p?503OFjXBdJRV&#$rT7XHuD68Q6J7QBDO)z=57YEPO!5C7{(g{K?IBy_NIX_&-8hV4!~c%yT{3dZ)Y3=Ol!iUEA3U4FQ2|bn zV6@*4QQTkHGf_$*ss`@#D@oXr&9%K{hBuhcaz1b}k7J09ZXp4Yp6-~id~w6N zBUw8FpFUV#!zn%X@*GOxu*a0cqh2$naVEw2s&n=;xq5cVL;`1rwWTb-jOc?mC8)XN;I+UGN?U7~P7nx8N%l)>Avwx9lh~42IB0>W%y;LY3L@ThrSWoEjPf5i~%qZY#D^o%oTOYcZ4u!sb+*b z2$w&xwb+~Whfgy8SNA8KsTt*>wUhRwaUg<;G$N}49)(_3IBDC(LbY>kvq}n}r9oLD za*b7Oz?=m;VMl}Z4HeuiDjH9Woo~A;H5~XLN&1F@LbOQGAmmFiQla2{A%PFxgkG*Y zsSEDLMBoln#|^E9yOzQPdlnw?9Jmh+nsLtP3NATnPdk_wesCxm1kMX`Z7OP{W@>wp zQ5`A?U7$|$N_fD2L`_s6R0!RqhYyjUY~>SnjrHm}$(FdQ0;r-Gtif@GJ2OU5Qt)Ez zRB<4q_`*Vua;RYVS+j0+%>!Hu9~I7{E)?e<&51$k6!RfhI9HWfQ=m={*4O^UZA>MR z^Q>8?&N|%ch8zG@=K!acP2@6(gt~su`FWXTUbu8XqBx6ezzQUeSy+k+odcSplNGcI z)J$B_^pa}bcMt&Rnp~L zF=HLS!@cJEfvA!KX$UM10*6#@p){3V^ITslS+6a!v+_+^1O^{sLV2(%^ft9NR6}!I zSO>rE7O8OyIr0INz~ay;8C8KfktLxgRIvwv&Gw>w{9Ad4VX_u%I_%DxuUXDBh{i-Y zV#;1uQW<=5B-9a9fzV`Mu*jR&j1>t}`&3c2!sn`67fjd}=0iEkI=2#8fH-xaxyJw= z`h^uv!6Mrj#6gW4ZJ?7El+0rSa@beM1AE4@cdfL$Fost%mI$CcpT(+|n*&3yh!SX! z_#rt(1TJMEkTGbbFoDO;%Gz`1g;S~&pU}}kq52avz@+{Zt%99B$efZ(gr#<^3)D2* zqz}&=zm^MtM+*}AZRIjFA`Ro%6!bjUPpLYMh75K!TA6r2$Sfq6V)tE>S`Xcuw+Pg# z)_5>w^akahW&k%rl5w{{?c|go-(9RulncpaWus7FYf!|VXV;zQj1D?EO$PSJ|6(YJa?=!u7Fx3tGB!%o2!86#4q zIK&HfMIdVXw90USqWzG5px=*Ym>?7?S$}oA;m8?a@W)0(fCMhcoGDnDBFUmB>5;}6 zW(3eMbqg2~c0>MY>}KN7z6H9i&VHFjXDm7K`CX zvflV#ox>M7qnQKDKqQ8bm{~56!Y^YJ@=+shVM2iUc=W+p8pf%et^x@wUZzh?Ek>Zs zH##=i6v>$b<^(rY9#V>H^Z`Sth`|1W+%BYrwdn;mf&hv~5RO04byy7>BY`*pd$x$I z?Gsctdo&n!;;79NJaf$B@QH}VhF~o5Bx2bXJVh|1kr~Fa-QJ#HyFY}dp^X}h6PY+n zF&g7d!i;Zj=u8g6>vUM!#fJ0+l{SyQ42)@68D9mbtB1$W+vyn<7i5j2qGAam;AExU zREMM>JX?D}9e5Pb1XDI39|!_;rCKx~fAD>z)Df`xV65X({Ml1rx$KnK3Gz$oO46H`O` zC(w=l=K*#QI2r2Ecy^0s6)54^_zfIG9Uo@0%-Z3RY(1N~cT#9g%^|{oFTh^zF?w^;Rb z5hootVxRi^(S$-fRajdA1!E`vIXPV#DT9L4JJX7Uq21#nYu4B9UzeGN^KftZq0g4a z%HyL)9=+_b?8EU#F>`)@Ts%Bu9yM`7%ySJnY| zv7pxj>?>UEVCLvojQZjP`?aopzcV>KXS^>7aC}L`N^#QSraQrvBTBlcQMRRU(|zYu z?AoAjps*!BsG4Qci|*?BYZH(!Ck}SBb7_-g%l69T9TCz`+YvmkUj>!DaX zD8(4WWQq%s!3{tR(iEM764=mM6*OVy@QGQ0Sik+=JiGBzt2l^HjnKJa24KbbU1K?W z=)MHqJUCmDK4_oPSuPOJra^!}g9U;eK*#_qdk9KEAjH7oed2h2Jc)PkRv5#C7I=Xf zeV{as`A-+XjOFGEmSD!o9o3#3Qz9)Q+1M3!J3QbF8Y%2(NpzpTiz}}rg8`5D{yCVy z8UQ9a1OmPD*iT4Q9bpWrPYg%Ta$`>{6;h*;HXkftt!ioo7v~|S{Q*ex|<-GV3K+zb$lBpY?eqMTkTT^ucBe#NWWP69CP%M0W#;lzMkjB$w5>;$q3fWL z5IYI9=^0OKf5!#z8NzdF4@Q#)v|KvMURSNS%9!v8Qtjo|G!XDmJDP=A#uHZxe)U#7 zz|sRM?q6A;fM$EOSZ#tyfjak4{Sm@R8oA3R>l0;}4GaQKPb5&rt|=7W*${Hrkql5) zJJ}#xhJ#&YICtt#V6C-pXD~`hJ2C;Y6erlju#^QqALa$P>sF*!kmnd+v~8Y>!?D>q zVFzr)@RPLwth>Fw?~yEQ)*1taMXpJe@(xXxCvnfo(-#Clv!H@%*tlp$+a- zP>d)KhOy||&tHf;o~iMUqDWOBBkPhtPU4>G);q-lsFX$n@657JUZu4Gff$ibfl=L* zNR^<{7@QvUwfmHM@yoXaIDe30p&}+(^nChmn(`Gm!Su1z5 zQ{-j>h|@Y1;_W z5fj!ar);>0IToF~;-*ziP1t1i_H8Dt?~3{FIP&PGYhgq1%}wIJ!(N01AHGRCKlAJN z_C~O`HD@RyJ{ZOq-i!>FnOODZrUNH0*&*|TybYMRxm9szZ17Qe^=V&#UZ4`QXd=LNNn?bst2O>DDqdJ-@ zPd(RzWzv8PO7?+8-u;QII4}hsm-!)Qk6##I$N1rbO0-_>R1GIzzwa#_VQWdXgA0~W za?=_&0>ZA4K1b}U4!%unaRwWls(AU}tAsKZZ^AQc&54y}Sg9E$ zmP@bh%#LX8G3c7(jO;GG?a6b4`sk|6KLK5#QH>(j7JHziscFQ7MXJU&k=ny0Mj4rm z87B|=*HX8%LCC7Dw%5=&rw=5s>v>M~d)CO?DDgA@{makKnQua zoTtk46o#aa=ess1f`>jkd13rYPxlR_!LRb@>%LkF5LcfXl&AXTOWV^6C>af@(r^{x z;?yS_kFNv#@4!~;45PK_lRm(pf%hAcK;1;{KrG?#iOR4R%LGKr0ZIZ^3{7==Mcf>y_`dhX_+U$Seyp zj<&E}iGM4M;8 zWcHYiVw8^Ev*`hEXnt>$MXmGnMvbR+Oqhom9c5#Vb;KqQ(13F+__(a+oBPZypZ4&e z$7~cEn@z%iPlrurkKZxJI%S|f<*FvO{=VPe*y&LoJ8N)nTQB{skAT2XPal*nknmU= zW!^oBYX#qFc`W{&a>|Ay$718w=0-=~XN8dU7LL@+$0#@K?AD9VjY?t-y2#`6GQ6tT z^BeQclNa_n-crJHj7N+(Xq~5i?fy}o{oslVV!!rWe(liG)~Z3vowd=4oF8gN;Q0+p z=j;b3sMcR@>EnsnoZ9P1Gnt<2OTQl?F0kqwum=;OZZn6T_B!3U9=c8y!+P_Y&RV;y z_QBh#HGbFm-+YyU1)UIWoqQ>FB*KP%S2j=3+Q*7_q= zVdo~lLkNsAIvLXsC3o2M9AH?MktyoNn@jk#MEK{vx@xj@&;N6HQ7g`ZrO{3H*|MQP zZu;XKHa3kU`ik89LdD>BIPkGZ^=oqBj1gwN4CuN&Yc`a=s=4zQd90wHQ3c(zGa@_Mc-i_Y z;2_x=zoa#M$eQ7q-#g+q>6og3w>n7lx3&2*F1B8CQQVC5yOHtowu>Uto;c3yU+n!| zM{@5bi?()bB5|*oBRzbPH#(>taJR@p#ol`e_@8JWiT7acElV{eD&zU=IrQp{=ib&) zta2M)WGW}O4eP-{vUlwvlCX&5W~y^f*&GHlCg={0TJcV;@;Q=25WMTrxWLa_RJa_+FXYQ#!p9nafY- z>RVSoRr=_T-Sw@-4v_TuCqo(QV#i0ciojs)*v3@?#YH(Ot zYY88<5cXBeoxjLq{XEvsqh4w)*L2v5K_rY1dmzwdX(UlIks=fB4kF72pRhR2Mdql} zk66e$<&+H<701MVH2tIVA`w^>nYjLa)lPh#_DI@($8j#g9@y3SsCatzJcB-emFT`v zZqB^$!#Et>6D<=M=+bse3RB7V?fMq(Xj=@}LvgU!A`rt`n~QdDbx3JX6u=Ou*#-u+ zt3K4#1E@8J1aD^5tAqD;ExEf*FYf10YxJ{!{p@{LU zdBn?QU$41=6j;WFSq~oRb!=|?fgB~ti;}SP)uyDHl=s~J z@V^!1A<74pFf;M-eIbT12WUK%b1=wP9VN&3n-;zfx-E!OUG`PKR`Jh%%l;MWBg#9Q*3r2WMDRLC z>w9l-Bt4!6Yi3wCFGsGTukb2Nx+>IVb*?8#8(y-KQ7ES0o-}mU!5Kb73=GFPejw>> z?7Sz(TNs=#`HQ#RjbFI3A1;>a;UNWSO>yB<@! zMD;_6^4fqrOTjOZcj<&sDFEltygG!o<0z4oXYUi^ES#z)!4JY8dSx&TSN8}`5#Q5i dAM}o%=y4j)8^WrP#m-anjpNW?&&)=a{trVF&piMD literal 250984 zcmeFa4V)ZDc_&=mYRuTkc&0sU+KXa$w^~bUE!&b1Mz4>=-LpON2(QtuSjfH!ca}C1 z=MXr{4!I*AZ#+HQYwzNfwQ`iifdnK)5Zysy<0v_f+{L?Q739RhGBIzQuNT<~fm{L} z8Gebvm*4-tdU|%gcC@=1(-Xh=ugUG9zp>y2uQ-|1^|XN0>f*eHlBD0UImhJ<5KFMj7!R+pRvqF zd`b9f0N-oNqrWzcenM@US{mplZkACCwP7rWki?S?;;W^D@yUDQGK|3Y*jJw>!B^|D z+yrXF=}+(`@ku?BKFBnTquUCSe3O7y@x()D70+w0A&%#02nn=X<@_}J=8BU;o8o+P@!xB1@@{+!j)Hf+BahZoeva*0A zKjTSz(pIMcIRN8l0ZF>VWmp197}F0z4_YPzmUTG&o3kM08i zlEO5X0hpI^Kw1)LCl`yg5zDUymPd#wil1>v{QQFo@GapNSxnjzNO)fBlK-{9xZ3wx z8t7-cx1u2lDyCGb%I^+oc| z@hG9KmHQOn0D$tzc#cm=7{ea}5GQ#&P=PlBu518?CBP`sKp-8o2S_J}kB2J2afjnf z0*p)ibpN3OjbWsN@KY67TLVe?wJzx=Bw?h3{Xi0j2W7UlU)1)~R(>viyZ~VPCqQ~? z$Y|+(xB{eu2}nNiFqrg__qF9Mm8OJ-M9q`0i49V#W2sjOmsEgpeCJs8PC!ouJ_6wT z{|BHu0w67P3GV>VP3R-dv>y{X$Y1)CzL7A4@6=y(X%A7S5!lzS0?^j|B7prc0ov1x zL8FfUI{?EHIue)V)j~pBLKpe|TL7j>_{H&ud?3)D0N=@%TDQ@k$QAjJ0Q*2P6p0*l!$uQbzNnc|+h7SX3 zX=2#~_E)-$qf7h*@`gY^ZAZE+=Mxp6|Gxt0(ysm^0NuX;dIG#6X<7H z0t`#W8PdZ#(tci514;WNL015-0VLsUx7z^>BXIoUJ9QTAXafEJ0T92%&szP1(TQvl^E0lqiF=>~WjP#aWB1O1e}q6anWstx@47?Y(wB4S`;DEx#e+ z{IBKj)amx~XK0t=p4YvqUG%cznrpeN?DdH|Pnd!AVRF5Yb@5C~Q7Ph?6NpK`Q{nRk zg3?-1)rAxw&t^VmHYYV?o=mHlN(GLv#l!cgGE0(Qx`8lNRBl%e2oAdn&-Iojb2Le~+$W8sn4 zM3D)EYxgQ%_8HqbW}}pzM{}BKDdKP*c=ZoXu8VA2(I-pm)P7ZXBaU?#MdY>9g-d}0 zNeXa8F2i!;PDUzOl`61G6k@W-EGguf5HmSGnR(LGkh$a(k<7P>>Y2~KhEW}X70DTS z9CKN6x~Np^Qhxq}D#?8z4gF*xe|_=;U;RJY1zHGeg}!}ODxp*=t>k4z?w$RY zttelsOfM73hV)K)|9bfnayBJt=;@XC>skjl<*p4dI11H~ zREaI$_{G|E=kd#u6F%{!LQ0jQGmkIVrUJH@I8y+m7B+0Uy>(LNmaKMej z8Zs1LbRViixU?5ZWRld38%IzGnRuiFb*v$?8gyVj4va_r%TnU%Ny!)ns6wStX|$Dm{OB zvi^O^Z+b0Fm0SnDctvT+2&xHD$13})9>iu}ddG@%T~gIr85W{^^)D=2>f*-K4K$|$ zD#1qh7a)7!?&S{CMW`m$*O02pfS2z^Lb4EFdisjVg%XzJqo~Q&SYTe&mp(h*4XkUa0WPIDkV;% zS1BHm#Ky&l@)sYk_?GNcD<0#Of`R5p5Z>FkWRb)y>LRnOHXjT1t|mWo+cLGTY!|c# zK_>?m{x5WcJ3qsDAnBHKWeAa|$k6!%4`+}xu04g=JFyt zJ?_VQ^mm<`u-{`nefR%7c~nQ*AKTFXoPX|K=4o*4tD`%&zHGDGDrvU|&)u7QtNl1? zc+81k9=&VXgTX?l*NysrlmS9{_i*f4?sEb4LeBF4{VU5`L_}7Jr>MwrUN^ZiARiT^ zFTJqb0U^$46hp{Ah5d5HpT^;6*64uz7_h!!+*PM9Z(tQ8yV=K$Cp3y z<^-{H8w#t7JKsx2yzma_jv8nzu_h|J&U#N#ubE?@+fT!H>k>$<{7cci^vS`puV3)9Fo-7_B4W;+ZEuLVx zF<2Swl)%EG|I}rZ%Ozlu^l2sY-5*0-gLS@OelO9SKYs@C*jJW4P%J_;hKZ&vtwocb zFCwix^3K$9jrT>7X3Nh%v1sJ7BQ9t?xuLWUvP_7Wup&mn<(ueLL%e17^6!6(gkua@ zfpsq0+VPKlLYj{k19jInUx97jAfPp`P;iyWb~TW~vI5|i!p(Q58r7w_GHf6qbKoB? zStcEImQ$QJ+3DDKe}b$!HJ24V4}In$#@oYR>{>Fd8mD5ewusvIspU?F+BD5mpI*`G zVhgf5I>IIDx@9@b4&*q}F;iyW?{KN7GjI_GxPSYEb*{Mj3=` z*$X_27Jm9+4nrNYuot!A%Rl$PqBJZ!qSH2Mr*z3HYaV|wj9A#mkOtZx7s05fqPZBT zvp22oE{iXFS$xr6@16atpIkI@*%8ncjh6QkaJ1S7Mz-`_Us>5l11;=t*jIn>>=H;> zVv{dIz7ASl-VOXuCZUfl&xSaY1!PK zlDYXexHI^DWqQkn>X!9cNnj_Z@m1?-tLTv(8{oMb z(~)%wxh$PNdo}k6I|M7WusC}pB#XK6iupC`FXozSQf6Fo%V$E4X#6my{4?)vnPF*x z4JF4PU^i}xEDcpts`9VAr73L10V{3JRt3gJR&^_+Rg$HLmFJ&cdgAk?L@hhlIp6%@ z^A)%B_|CI`>1DS~*(Izkds;+xm}8xj*G>&4vd-N_?+$LDbuI4;wQRp1EpPAa&)#J6 z`)~P~_v;;-X84xP{9yX^+0E}dci-51thtHX-J?DFb)Umm;QV47pM=LBeK)%H^YR<< zmuq(WG-$8;3cT|2`hRYrLRS{#o&Dv59o3eH!{riEyXH-c(yby$pZ)sl%!=t-4LqL* z8LF$^v?PmaHAnfsf4=H(?P*^c(JjD7`&M9n*ZeqX0TX;@_Wqy#d}WcU@4Kz8(se{H z_odSdiOVg2(ey8C!aB7m`N|qF7j5fhMZ9e7((F_JEBSnGSruxTxTDK2ou;fKYdNyo znXmD@kwrfh>DY?+%k^__`E60>2K!ggRGtI>Mffm;QhAY9r#Wa`4AkAPy*vH2H-(@h z04?4*T^TP`bcC)>{$i!`-}82$it&kN<@x&d%chncTc_%N@V(ID zOZ2r8j3s7mP6mnX)DA}XgYRbco;M`@^lx+a-?7#0MNRqcPc6^e7L5ry-O(EHulT(} z*!P_U&QXIySf>~3yFayjYbV#eJO0C+$rVd_L&l1>YzST9>&u~gH_sYanU$PXz8Di$ zXp+{L?lqWS_*zG|wQDe6pm&9}Y+35dK`t&jVXvsAs+QbbcHqlZ#`uxovMaM_hECQp zd*@Xz&-Kvs*>}!?1B)8V9W%#Y)*qWJDg(=m@RawxOa<^FsPc(MS00N)S%e%xQ$y9m1Hg6dYy+$F5aiY0nF$+52Cy+o!jBzw8`%C6zP z&_+x2Ny8f#m9#XlLoe0ZBu}SSnB~p`%f3Hq(Ta3Z3Gmylj%}fdSIVc}5Q65frXe#e z%-dxqz1>olnWJg#eQ`Q~{N7Fw*|Ny;?O)yHe+@V3TyNaxzYlKT2aIQKJmLTN_(!XLp0=pos6@1~U?2J9Ydf|yF237aDJh}r{4_02^TXeU z#I6X;8m?3jJiPwj+})?mtm*Xd&|Ja_LtZh2b*`7cQU=r&N>p>USB#7`SAB&c;8^G6 zwbRF-z?NybG`?ax9D`re&70ENKl@K#T_FQoWb5=iv11=by;3d{fZSZTE#BJ9eVu+$ zk?*1%*0N;UZS~))EOVyt(!^_fp84Su{_~}~q-8!c3PE@J9 zU{9yD@C6f;Am|d7aAK)$r#aTKUj9lMP?y?J=TFz+rZhXI6>C>i&R>o5q(Ch@CS%JV zN!kzxbHAel35=nxh2FXAzFs+pFF|m+COSImde}mRo zP_@zH=ZUJbuJ?U#B_BUx650yx`5zG24#B_4)w-~eIpyE`YGcsK-!H&?N;jEGci#W< z-IZQBiyCtNn-sE!I(E-!X=2EE1LB@^ahBSQYkqpU9~Lf7Z&!e0-qek03A!CIz{v@{i-m&^5)wiZms2a1Vr~Df~ zy=dIBBNiQ}nn$7YWkre8v`CZ?^h zo^eqRf4Z}yd#4sOUO98zB{caGlQc=j67#i6hVi6xt7e^^LcM$`D>j+Wt(d!%Fdi_B z*?#xa)6RW*`pP-I1%h*t_J_ZJzdg;qFr{|4wikBlFY?xQ-LVY*QZydYEN;p?c8fB( zL?rdexP;sYnX6Xxb2GX8+K5XW_}vB@d>LP|HcTa8c7F1YP{2~z)kI5uKzZcj^#SeP zTJfniefcX=@^777UWRt5VJdda zh`xEBaHW%6~%G@rwH*HTGdX`xq-q#cm1noqwo=3mV1WDUK+S8 zo4Mc0-1pWETJ&LK?c=4~1N!wB`%`u9i7<|u;?8D-rcbk%6LMXCR&iFztcFN>Z~si&(_}(92-Q;-)xFlE zE1$hXqFwqUtix8NQX(k7WnDW&^_*#!l5yo{|6The_54~iZM?d1`dtfBuxQeF2uF0x zq@-z@(_iyDnzhp# z8iShOncrK4n!42G|FrDQ-^FPwJ3n8CyxmJ{PSxbt_s&-@Yc?mzT1=k3vv>T*8r)0p zwxh57<>!|qYq7M35R1j-7NmG*f9e;PKoOJFQME{AZ@B-}lS@QbldJ_YUXhhP`}k(| zy=85`3{B!Ehu-LiA$IYdSB4@E?E z%~?ZpcHi@>YF-!hR>Uf*3^9xL%ohe;vnY<5hzc_~Aje+Rf6;2+^QLygXpEH&F*G@W z2H}73kZlvQl6b+5mT*J=($DoE8;OXLHW_AJhyvX88kzm0Rn$L1n}Pz`{HFix5u@ zX!V#lYg+&i1Wf@Nt3l`%u`)skb|k7XW=tV$$jrml#EW>+vNNIfUJ3<7X~SSCu~MpJ zspSfS9)7l+x+D)t2uESbOnrf_I6#KhQM2RE+Wj6if^8)+qPiZalbRu-5BOM$tT$Cc z!;pRRV{PXO9K2#OP(u78hZIJO7=T`)jWFF7!b}>XeA8Ph2^MiMhRGP2xuK>FuSi#Z zW^NHY4Z#v!O<3iRJXz-LxT2FCJ4o`XbNZWz7HhU}`GWTK#_w}No+d>TzwsK>e)aAYN zjqSd01LM5{dK)n=aIFqO!%I1MQ$tAOYlXVDR3&+?|3usIFEahk>WCd#AjNo!Ca z4V|=+fb0#|wC%NISL0-hq}4V~EzXaAzMXEN$^$`RD4U{!Mz-yu)fL%?zjAqP2@U?Z zZP~gM5#S}oY?ZcX%eQ{00Z-j4%^>;LEHAAIO`kpT%M`7pOeOBaWC6zFzUjiL2<9AU zok*F(lZFTn+S&as%u-uhpEZymH3*Gyo)b>azHg+C^oAGOroc$Ukzl=SfBK;uDm;sZBJG^0>^IO@qm!6j z;3b@RD2JEI^a80C!&e@B6IDP_X*Km|O+g0C!Q29Eh%ySP^r>k|-r^R4E(A6F=cCxWIyrO-CtOq?-!OW<*X8jJ`?}7OH>o*ojvg1;e^Q}`Mb(CW$2*TXr&TcHrVXtQ z0lTm4#No}~xr8)J;9P<#tQI&?i5te#?6jL>v@J83s4Z(8Ll8TLuFG>>_C2?%YCT9# z$eGsycm^Ovk}FgT(*|y@fbAn74!ubgl(UE!d^)C5v@C_Rr_XIVR*9h#%ATH@l#>Vs zpz3j@@N|WNN^Nu*SX?C>MgUzL&%^*zF++uu&qqe;>R%&ROjer-*jxtLd=TmoQX2!G zE?(#bxpfelECMIl!wKPoDa6|Vl_1Fi z&<{7p+mKAYno1m+Eq~zKb^NHoE9a)HfMmu3&x)Yab(7Qno3Vd%f4tro)6g8Bgdkp) zCVA9c{i32ngyiDDQ*&3KE-|AP>((R^=-jy46<(6mdN6eU6GqVrEoH|SwOR>VsA4QR zK*%7J>k(RBriu5Qr*~SCtA@(;^H(l|>Nr z8K$Aodnw>7s!c?imcrIS9F)>aFRhYDiA_pM6)in!qX0V-+(R$7P1S}rN~di6L08LO zX@kMF0NlDMg$m9`zj-N4Kw0u2h9)sXamCPaPGKr>kGSe#yI(!Lw!ftGAGI@{ zGLdqfT>pbP(XS4qu0PgKHQxHmxn3oGJQE4SJiF(MaYGr?aC5T=H zO;mp3kSGy9TSZcukjpHXd-2H!U;#TzXniB!A-`fK zq8acOlP@Ex551SP%RgN_{Hw1OoC*?T9TG|zK;CnIgBlDbHmWCa;}I?lcuZIY;099; zXW;1Knp-Z5aUx>_U;@k#*et97Z4^1}z_b9g$VxV3h+lh;pjlA@nEv^zNG@13k!s%j zKzGDk19`An!fDO^X*t)CyEHSH@SxDF3##fqrX#!SP#w-(Z9q|$pZGIbV*{1Ry6_@b zR6VK%UEebb=r96vCzP0cn%9I)iXI57e#K`PgDI$vT_IRicK;&|e2iq1*U?ay3wu8c zXT(tFRBi{o2o2Y?p-8!nq8nRfA-ocJLTd~O)9w(C7DW; z)zw+j7lXOb!t}D~0L2%-c=rNM=(#GPl0poIn3;w$P#PDe4(vc$vtm?SKuoWc2M~LD z;0jI#Frd${EKc>{7#Ou#E~=lbt5#5XF7co=QNv!TxFyTMCd{IA@EX`oe^0KU7gdxa zlZWIwfm4!ZiIY*)hYeo^CkTR7!I&LyzFd+E(kjiu&q>n4desKMV@hS^$6ab=)vmk#WOVZ1jCIB*f zD-dJqQ-(oPirW~;lDYsFNrqEF!o}AC(psDp&;+VYFK)jRDkWaH zmc24gLE4BxYCh5kFEy5IEy;&k%n4Sy7|qe8xHfT3yjcc%*!mzAWcD=9;$$xZ6&Uy=+$A#tI!Y8*V^9Kz$jnlkDb zkJjw3&X4}xn_=J;QhJDwaVAEmxKo3P5avjs*cV|Zhs*)a2s=4big=xyI|%!7Vhj@{ zY&~q8js{cG5#%Pu@hQ+SN4W!;phh`(ASD7(-{gkKZHOHK~JNrWMj}ZZ*9tw@LODiM`5Ra& ziRW{Yt1%j>j!_B_6U>IgL3U>cQb_+K+dh z*d8rrVyxi8ga=)-2wv4sj(UK!{7Y}CoR!ac7*>xXOp{PKbU6}^W(EPAD0!$48tYkV z87a7^D)6CsS`-dWpzNYDh!qAQi+Sm%y8A`v+b=S~a`L<-ARN6NJVz3#of75IGBpDC zUH@9-v1+4Dh``dIlG0olN?-V=D<YQ+|ttL5h?j5qhv3 zEu@NL{vs9u<=7be1^O@SGsa}DS)2UQBsOeh0g;$Yr=}tQz$hyon}8gJ(8n+ea+F(8 z68L?QO_cz{iWHzc1*RJIxbZDmK3=CL?zii)1rU`TsNC`90CgV+IaE%?&~8N^^RtNfXF z(1!{GGo-}RJXK^G#f3?Lc_d=121Z&7iayfHiKL&_wyUA{0Z0C-EA*Kb(*YBNPh(>E znH@1ZLx!T^So$3O^LRz|$XI^R6}KrEId6!Kh7aBtso6DYBb!Y{0qJ8#=BX4Aw-iLi zD1YO>5T67Pj(9nblkcP=2DWQRFLf4>1@bNCPn?2JvRgoEUigxnAn>IEz>Hxcl5fC6 z8;i{b7BY|uIuzrIry-7s;DN&PWiGs$5-(?nw}0I^5HCq&%l%kPu7O%n zmcc+7#^uM8n=rtXz}FycXyJ5_)oL;)-trSal6Vsr$*sgsF^a{es7xs+05EHiXbiMu zyX2}QHpxQwkzbHptqqdGxX=Wit8ly*QhfaMB%0aFPAbG1tj-%+5Cp!DAkrLjp(3Jp zzK4oP@+@gIVL2=*h>MUElWGaFQx&m&#kWcV8R7C-;a2&?|HC&~y*Q`isg+7q^B^Kl z9`l45T7guCqWp%>HAJGdtZIt|jPzJ(Kv5Bq!GG|Go`{rEEw=#g?4SKmeK0B>6X;jN z)2AfXn2vO63L81FiKxqiQQQr~35pOf53QJSGk5r*8D>sp4IPDqo;K&Bi=qTscT<)kxd)T%(+@D8#2?ZJ zKq?DPfDAH6goW>rM3ELWa{$qTC2v6gBh?%e;;#lbV>vs?T(gB{0oPg5*r~$LZz_{0 z>$*r-Ryb5bXPwFBHO8uWjT>1oF#p(y2Hhi>@DT%(5FHX}nAULK?m1$4&YYg}uk{Vz z--wymP>|QcU|!@$0=+mKG+q-1Q<`I}(C=BxFDGM8(xa6yk6y8qT@^UKvWHC$_X969) z!;@(3P!V~}FhISb=d;>S5STtYFnF2OJctr0s{o92!VPslp+QAzO7KBj1=6X*nF;)- zZ9fzg!{HzwS&G!XvQ-i23ly28hg%Ukl<6ADU zUj7~h1_DSP3L-&?UdT|z_odItrOV{qv1ngdeDy|{Ljv0gxOZW=PMOL{O^+ng^*PG= ze4cy)-;&gHW8OUS&e}l9{++gP)~TpM+`nWtP>*Fla!5>_1%EJkGY*9QXkbhYKN;xz zjg#*Rh9>6&8knQ=9Ij+#s^#H(3BonGRS_J#RCab)pt$Z{ORUq?`WS3p8BeBg2bq^D z8%9s+^rWBa-DFIRj`Y5LGQY+-Xykuw+l+B?_0S}Dzc6&NH&rm>=jEu@Y{d2<+Q+uM znhqFWoe-^4QLY&36UV9qd78`??T0Fh%sG;5P-sV7gB7|C23DZW%67uw0X@=K8f25j z-1)87(pjh_UaH$>6xQk_57SAcLUB+Gcm&z46sh&J5Gxr_CFqE129zG_odZv&x*J~S z+wcOf7KPS>-(tz1y1(UE**ZA|M*^C$6_k&Dgu(1#LpH}ukG;(*`s{KQz+4LBkRGC| z*$_oN(qSHA{Du)TCI8v;9Ki8s1g8r+mevBdlGTMqmOFCkv;Xo-3}Zp^zm`_{FIFCL z>SUSAWZ6Xpma*dpT5K5x(9%##2(J)URO1?9&-hJ$8s`^4#w5gPqI)F(ePrmS?_w~i zB_DG(knbNd%-m{8edvqR5y*!LnPfLr-6JOa1ohUU3L^4Q4d5w!!hy}?h`>Q{90xJg zLGR!oY6#y*yCL$KIZYTFvtm#kR0F+7!qf@;3{EcS?NNdG_)+Ua@2w+B=|a>MNdlO+|!J0YqC8N^DJ&t^lF zv7HBl3sYY#HhHVdZ^!w7DA+g2@c<-Ya#9eP_7N)r+Sr~>pF6P$$dII{fX7&_(7Y770^YD6ozX1xxN!y1 zo`zloBxpxRfz=9jPzV+PADqgd2qhy%eupJ){`xjGZm9=^DW-zD)5?(L$DJ0xoRbM@J0m>&(%Y^ufhtJPXFUy468Q7! z)q6Zc3q=oCnA7~dENrR)#jlvPVkS$hL@=PBg)P-Z6(eE71B?TRLbI~$6q=Ij9&D3P z94epuB+7&|$f7Y$V>1Cg%mVMZq%T`8Wc_2)xu~$IIqgNm2)O}=AaZ$D>NOM=R{>qo zRFUZbWR+n=I{i1G*Seq=FE3+K5!r%@=++xd13vj<2AMf(aomJHI}o zr&VAx!aVRpU|3PPh=R&_^Dq$txnCV)0R~^vT2!#C2Abnyppif`{!k%L8_2K;wyV-e zN}qevAul(ti(nQl0UiZ`5v1nQ*^wyP>nh+QfArXs1)qFOwUp48*??eN(Ycr=Ok1f` z*VwL#nKfJhGN5Nr8PH9tC7@E}KY5o(@9{yRsmy?xSm>Ixpri3hAwWH3tspk)E3w8Stc1;F-X>tQj#%%orvhN>{OvUcEHLW6PGLdmG1PjWEv!b99XHjvxt z++sm$eSf>3!+L>#QeX34KW_}4S`+0BN71K3c1ca24warYn8^+vG$yn;jyC*6*bsx( zrY%>yx?#J0dmi^z4~BDwjjzWK>+bbvq3aFT-WA#gDDh3jM~h&JfnN@KMs`mK24QDG zn{c4L@j=Bs|NT`DWW~m8QSsAz=Fnd6_VbtR@=xE989g>@9PuWpvBmbx)@(E|2ZMEX zjgig{YG9EMLpA+S)(E`7(01kY>(>k_yRf#hH4=6NN~ShBx*p9MZhrFdtUX{I%%&qX zK(`JFJ%@*~sA!e(j7u+E?7|`}%CwH+l-$NSVk+{%8R&cf_eIw`*Z$Pi#>6?t zx#_2((aFK%ncmDX`~ElHn#m*EmDyu!`_w4qaZSRtA*6kt~BjhwP|J%7wTF#ZiA>+AR1w`K8mzm1tY zNYPWb+Bbd57!LZ6zA+TNXVMPGI`D%@eug{vs5$$2I7&RUmXhE10iV6Yxmoj?f1XY75fZWYhnI`bM#8I zUUYPAD9UTrkoK#yc-mKIeLTHmZ@dYb3BC#AtGT0gFX_z{KyNg03_VR8wtrRKvHPx) zu3nwR$Z!;&LFV7N2fW*MG~;Q#&soEF_+niOpTA-mLwq))ArN$8vJJ(9B>)Y4O=lf7 zuh};}H#Z!dDeVL=r*}>km5E6^H~QrDPVaLNj$3m51K95D9X{1SVY*78xYh1In&C&k zQFPeOulc0>YBt;d;<5bDp1nP4fSLQAy&un@)g5v2YHcDFKs4s_+SNL@;`31SA(mFA zeQ~nj3{PS;Bh3Mr8e7#(TMx7dW-i{*bMjjMc zSXXlQ!#oRxF|LaHLbIfg!dNx(QR!0#)<8~T1y4MZRVu3;X&}Zz5;Xe+^c8n%ui2h* zEX%`0MBh1?&*^G@=NM*7?z+o{j&lsbGE8_|7IJjb(6BCq8a;`sO927~|KtW6t2g*I zmcIc+3_p^s{0|_&!%ybHEbN~@d2%(^Py$d*03Tq*vHW~I{VwnQ-`;2+^t*voqoK{~ z)e!3x6Nhr%=zA>A&_e;P?lVS$B0me}um42w6O>|YHWGuwPnuXE;Rg%&s6xkgyuDw5 zik?XQgmzzu{)yw^#7T75d5RPe$XR~+*R}!c1U7NO5V>;yzQ4e54!fXdn;JU#gErPp z_0)BN_*hOm{nnH|g%2mBr46INAL&(nnEXGXahGW@wK409TQH9JesNPaz?uv6rT0vZ z%VhXA7tf){=jxdOMrXHT;EcG&kwVRe3K6*Mr5?HMl4!5Wr4F=m5UOY&R==R_!cjoG z!Z|VTV{=i9LNQc8^$|U@jbj+F%PRSD@R7Kyo6zJm1k?*bOaaJXgk!}8_)!t>>@WZC z?l$!KwxPj3$_qd@r9~_K@-+_Td%ED3R9Mx~R1Uw(xC0i1eAyk2ZUBr8i&9pk#=y1SG{~ zhnf{GcCylE^Z!g41Nd;_JtQOAXly{4v}IU8Bpl8u0ImwjEfSe&lbQhqRtY~mreRXc_6J# zhMI~CIsz1iKk@LJS9@4b(9?cSPdQ#LWjNCchNN{FU8QYnV|JNN=`)T$x6$E51w|K8 z1Mc+#Ezx@#rn8X(OK#SIwVylW+-ePsp*n`G50tPwpo(z28rZ-VvRFezJAMT#{xewRKm19f597iMZNDJUkIS$&5>l|sDU%enJrXj%R!_U-1sET=1&hAVVQyR?Q ziS4_vD#=Fmw$C|NJDbgtrP{_}5G1BHJF|{!_{i;mPD4ze{gZE_{sELU zlnzK8=g_YAhxq;sYxA2O^s4mg^ct-PvJ_!7vjStC;aX%WYZt~-(kTdsJ-yrSDWo=M z`!~6??$_?l%{Uv~?fMCK&M?e_MhIgS^WDBwKlr=V99PV+q}We=>++(CDVm%Xfm`Un za=OAP4`(dt)NY8lCM?Wv(yk&?M5rLv4v>k9f0!zuYMiMEv^knVaL~c@3#?Vs&-^OZ zzC10&FCtJuEY5Rn!Zt(?7SCn#pkypyuum9}ek<-~HpoB#uw!3a!;+XWRa%8<3g$hw z=zS3DcqkSnVqFB~ZAM8-`OE(q0%|I8MYyyeDUt+>dGRDFjmo%s28$lW5bHu>!zxKY z9-nw&o^D#Ij%AmO4N6(F!5qG~n8n66TGt0PX;(EBptnEzmv772xd2lj-*SO+Y86BP z3rVQmS_RF^dgfCT+OhQXst`5svX|+eYuk!zIbcY^02jBrg!Q4=G!ic1~j-Hlkg2fJT~#HfMFu2A3UZp>!$_ULiY%RxsZdyRnX| zV>_*+QvNZEo~9>6Glg7k($V=nNE^NxC}?5efhWjb#Q3KbcNU)dg-h0=NwIX6b5+3! z*=|f5GtL;BX%DDEC9$HCPeE#RJG~m+LA5uhw>tJZ)xs4V6J2`6Dfl2gB z3IeDPaZ(|^@pmk6e`+&^39jjRlurSJNzCfVf#3QG8!G_l0+9AJFcl>xJ4`SI8y)?z z$xCs^llr;R}Z*q0w|~gf&MORIF;jj%IGi_Un#7Puszx zIjyM5+z^~fEPjM2ac{5~EjC8wD1v^3%_sDyZjt@E!UO1C)I?xI4ogx#_=oR>sHYHY z4?+d2FwnN(2C6<&zj(=|>VOK)_?)#tN?xG#k=)Ss_HwK7o#`+5=xLU=_X^ zX=Q#uM_wn#s&9-Qh|v0V84WKOBVWA9&Bq>yY!FXH#eKd?&TXui8{>sB0_qNYD7BG9 zfc2931K>(!z>8EuIUBqAp8R3m!Foyy}3mZD} z1g8Cg_nA|LtlL5xuGTIp2~4`JoNrl3SVLTn5ddjAKd;;e*w zOoVVx6IW5poNXE^SON!trl|M=!AP-TQvj{9D@Q~TMw{|4@cdZ_g?9;dkzjy?7(A+g zTqwuI;3L3Xv^5IH^r{+P1XBo1R|U-A;JLE)pa(aZvL(uRGuUmZ^A}%*r+>TxU5Oa_`mm=C%xMwcQYf<3wN&X+(K zh)8Ek7Ct*M92Fzhgt`{LFSao=@*p>e$7gOvWUoRd+l8r!nsMla;P5-~sATz0=%;Mf z7IPn@=Kc;=un_HT#p9YuKKjjXrxS!nr%%R=Iuu zh-HmucI#WSKWM)@%#CH&Uvk~pz^~;tM%T^%E2f;a$MAb`l$}G_FO1ZE$^n5`Ih^;T z_T`}N@U@%EDY|{yE@AEY(;VC7STj%BhjcmCoNS9;n`O_TRNS9;n`O_TR zNS9;n z`O_TR zNS9;n`O_TRW6Tz zqT_9LjKK5@*)=;$?hLN8DJnQ`8OQjgbsVy%eoS-CF+Z4Fx6>`ER)}-<44iFVRLAwz zI9gWk!x@a`&Pa@_-l^;$&RP^#J2)w^sPYWlFyNukyGl6oIh-!73PoV@q*mA4vbCt> zg5Q4cF5C4Ac8J3fgMqv4Z7K0FJ#`z-LmbFN2e-O7VfT!shByIHkLH~1N?*ycbE73O zu-g(wUJZHjuhVB=sT#blF2dP@eW{QqS@$?fWGa1=J8=vxjp8f2Dcn`!>Ag`-cW)lVDX|-I6mbvE64Z-0j61DbIBPKUaV?h?A|e8oqT)KH>Uv0v zLo0>1!N;kPk$V_tP$~ik>fsbeFb8K4;w(!KCl4w(>(d4*4W}k*I0O;l>2q)XT@wd@ zR?Zp4NwGMp5jTTrI7Ad^kRF9d>A``Fp@q{Pd3GUApG9^7*5ORV0H-cgK#^BX-|jh<6m#~(Mg_AC7d^|eRzbz}Ah`$4Dg8GEPW% zqd|T&;@=(qZH6%w9yIRPrykt8wfCsy>T92~9!Gi|H(agH1>4@~oXi>93?ukJ_6~o3 ze3KCx*BgCzjQ5s~TD_&U;katsL15!9qaciei4ojA@|HD&el%x{?+&N0yrZ;vbFaNN zJc6qcY@Qh%1h^A)v@nkgU4Gth{3neN>25srNm=)fJZg0m4&Q78Xxl2tYmTaK3!aDn z0Vj+{#>5t9S{;Az>fXC?6MzmGGPYYko=c}Tl=Q+w?h#yGtng}H-&Dv=zxei_!5QxQbA#wS_LTLY zvCGn}4fdvNzokae9y=08O!cren=P)=)47LidspcQZV5Gy=<_xXad!I(C1q`?6t22u zd`b;n0Y!q_iYF$*&0EBPbtO(Z?%y45bknDtpf{)CW|nyz*z8ZMxKM3S)i+0afGdJF z;$+hh{UXw*wglld1D9L3SOOg;^m_~yx4Wf=?7+*~s()-8w_`_oHutEZM*g_UTt(#uvs(fYfiJepMnGrSl`8^K z(bhHJ#o7EgS@*@yeYEC00`U7B#TPsNR!NtXbni|cG-@h1wAwG? z>}_1Zr}x+vF6cECeE?Sh&G6EmK+HvH$5KGbeSTWsl0|O5W8tjzlK(g^t;2cj&qN1t`fW7i4iy5liRRA-;S6t~u*7XR;&sLt!tuVI zx*x-cvwfBC`+f6{mo2Oo(XO29Iq2o!9hzlJ*2Jmp!awkVD|Y3A{M|-LvCfqI*RIRG z3pWM2!_h>f^x@K499@5{KA;E(hqmU{WTS%)nCIcDBHXjRK|#%<;C=3{-J&nM)eR>` z3b=y{Hz-Zwgwk<;&E$aQJ+uZFcm&bdT(7<-H!u(>x4BQK{`G6d_2B*4Q^u5Sj}6S@ zY+OUfY22p^wu&LBr1l-t%@=>;esI;&kMLaPJ-$WR^x4oAC|auE$;lIkvfC{`C7{&o zT|Uky553?$A^78IpcO}ZqsF1IXE5es0QCLzq>9tWaq)Y~wYTFeVqu4~8*s3Fq}rnV z#qU5kF#yfD!P-m?r`Q^K9)u3{{fLc2dciUaFB~T1C8lsk?nTh95)LQVq{O*XIgHn4 zDPw3wAIFHh%C6i-9LXOPOPg@?Gmcq?sMpF!BVr^v3aUzO%D2yADGn5JE!u z3`N9K_2pP*j246_g5S8^eHZV>KpajoM#mgi{V0fVu?tC&V4|8R^MxB>;-FKg4lV}3 z^#`7wMK|wJ*P*x)L_du;GzpplFrVInizcTP2sAMV~E-)G>HE zlK3da^g#gnWhBG(`8XQL~saY3CM6mzIh0_FGrv8Q^r z57O6xC*X*W`_>@A!ttrFD(S#G10xJNPKbLia1BNnqWT6Dd}>Y@^D-6qudx=05Vmyi zG*w)}@l;_IMq?)O)Cl?Mi7{KG6MY8w*_@S7j7I2-6Sz_d;)}X~K@gh=5*{BkztMqU zN$!&@WCe?GbNigG?+U#fAUl%Fj~?5zC$9%Vb{<+kfY9RN!tKL-d;Hx&(38yu*&tH3 zgOq$>v;a$PZag!o48ofAjXbW2&8)_a2jR4jvC+q6+>t)57!k(x5cJ>x>7mHZr{?-_ zt6c$yaDzyc;5iD~%=Nvq|Mp+a;zPJ4BAVNXdram-kcxSYvCBt)O3e=y z+@ih)44Tj)Txb~CPw0~v9*R(EMHLegQ+FiG{@+!)Q`}^%gD9@US>YpnUSXuq@UoFL zJcf(LRBxnD1bcaX3odEUppx|fhs_sph2G%|uHuAgf!4yshS5|;L-l)bffs|XHbPv! zgt^hAtro{h)L=fYVA|r$y0|3I_9C0&;MzUtmpHUMeRklzXDt6RT-FU;`KW#*^N#mB z@6`9Z{<=qX-`1`j?j7D^J#t97E;6p}v8*ZFgZ773Ig1O}a6grixh1zI)HQE&;PWay ze~tFJN!(!7`>AY33kQdz^t03O;9l&h;i-YgGrhTypls;d)|}8scuCjiCZoX=?&HEG zce#vMv ztvQwf=lqkr66;KO*BS%&f6e*5cNwGeJ{aQTcCcyx5o0*=(Xd1FbD+id`yX_X8+AHn z^A0<&!0YdZc+ngf!u#cV72MedvlO?H-M;2>orF!IIdgD>y|{GD$>R#V0GE@!+k!UF zzjK?Z?9Cp{4B-|v5QrPf9EG>a%?G{V@N|It=AQHY)xEf?i#MJR0>P=6!~nLr##{gL z1?~RKJgz;%-DUF=xGYW&j@f;fh%qVexJ!=?g07gxK=7_XumcbCDCR}w+uv6lwjn&c zblm}`c6+IHxKc0(dcip#dK332`o&LWhXaAD3pHG>V}L#bEY@I%3{Cp@KauiA3Wqc3 zF0(KiaowO11;(@wjw|Q`;Y3!$9SB1OP!R-RAi_M{BNzgYHyZ88fp_GeU!_gWM@Mb% zRWD^PGt%~52CjNT0}lmzdvc>r8Xj-wyDi)jj~nG2%W%-vl6xYi3zx3R+Ylpi4A(M% z*Hi-xJ!vS zw0mH}?B(#Ep}CV^L!Idh-Xn{#6O=-XBn$;bduJeS&R?3=)lhgC$nx(*z4c2x@C`A& zzJ4^bV|ND6-r?L~JW$PJ8G|=7f)$4|^B@LdFJ)+WUf_~P?LauU23Ow|f@7Ke(44&o zWn<#@yZO8}?hk4x$5_qEp$xJKBcLw{0v52FEVdba!_TcghJ_AXETpSJeq40|-Y|zu zhcoX@_2QC*UUVB?6=$5jLJ9_TEvG?o_ z_fOpJ9_{IQ_Gka+$)kGDvp1c9bM^EKTTl3KBf8I*&Kl93@QjrX_~-8ZzC_|4bNZk2 z&pnWPYg`+=?>K%r*eJnN=wL~t=ASS_Oa4=6jPZt=1z`H3%u`gcumw|(%UgKjffoQ8 z%RBq|d+23jNsu-Z8;20YPVLi!Ehn*>7JLan$bi=318~ptBxkKEj=WY>y*WGd zR@-%pB^#3m*PL_uw6ych50z-~iw(G|7}_0cxW0}FlZoq$EMuY+qT`yE3e10S*&p-` z?7CpPvI|`r1{!V(3s~V4YCDDc9)U^%Tl&xpZs^ZNn8u}HcZFy@m`DRD8#a$`<8C%z z{FnD)wgHRUfqiTHxivZ5=M1(wo&~IFbrzS@V5}=D>G>Y4GB|VDi81zJ1M`&aif?AJ z8VDNa3X1Seg)_PsFwrt?NRJ=lDnjr|qyyfUF8mt`uf`lV44tSaXXI2a_CPzqQ?!Pm z(_r6k#Kmv=gj&Qp6RZy`%{sLALAt|ofpV=HIutPkfhJNHVipIL6jDWmv~#^|fH`sC zY2t-Z)a_&XsOyMm9g_M1Z0Eoqa`vQk3ym|WtX1?4s-t_jsu^DYx~%o%imwkU*JY!? z6|*n^^Xh;SVD$;sa9}Du*%Fq@Adb9l2a`_{fVQ1OeaZ23YEXw+83fLN4oeK)4A2DJ5?vWDg7Rd+fwpUsbj zSZ~q8XrG>%#)4zGcI@$dA((s+RUE{m+V_L;&F&+P0+l&bz)dikJMND}U_$PW(og}c z#=2uLpWc(B36EQ{R4hWBx$TN|Af57t>!mzQtI+e%!6Edq|xgWJB7)5L7Rrf zJ0E$69AH7&u&?c#?}TvzfeOT01%lwC>*4ag-jwGc1+_-t=}-k~k+ug`*^c0HI&_4* ziV+k09LQ;4%@%iOgBIMERD{)|0|meb_XNd@#7e@?{QYZ(au#qv>M&iB^KT#dFa@)B z`{^uthL4s7fJ?dq2?-)fZ3In#aV@%~#F_OpS*wSb_ol#JvJ{SwFp!T3!MdTizH=wu zly*{1{xW|()&vpcB+Ds@(nc#m6QP*6A%#uCF ~${s8j7p24RLUkBMD)d1Kv=c8c zFMy75EZ{t71QW_r--%IAXl&Oxv>Av9AR}qqsAnrAkrFe*PHN!|*OJS%s#x30wHAb; zY)~RcX((QlF{#*K-yMEY8CT}?;IX${ifEC(11O3_Dt7Zh>%kFgEd}e6s28LVh&z?TpLVyZ*>=3I3t6kI1K@Ac=B8)oNIs)6;w>Q?|Y;h;PFgD{~`q?j9uA}FnrLbSkyKN~v; zV14kOlH*!D>0xT#2cnz0C z5qXnXH0{UDruwA9tu5T&7@_VMMp!s|D<()-atknqV4%Z#55`D1Hm^i`VenNYkPI$h z(hQaWB}O4oXJI-Qt7Iz;$p{bz-s0XxR7@6(m2QZ)4P*~-_=A25NtSFdbg((fIQx}8 za{GZx#U4oJ79+yiWCX+0fO12Xu-c=cj`RuBkH9XFzKR z^&UA#7*{gCea9Jp_<3>Q$neg@44_(8%QcZBGB))2YG!+{mDNzwJ%G=(^!ytN%em z+;6|b%{@K2^U2~)H|JhEHZ;Bc=-SUshJ(l7xWiYF_}0w4dtm%|-#=zO_9K@EyFr<| zZi^ny=TGQZahcq^SsyB_+pG)DWB2S9mNgVu*oz$A#^omA?c7$x0?)liGLD15C;i^x z<0o}(DwFE{)SAp{tQ_Df_runHcM8id6Qcp<-h;)RlUT3G8Cam1NMV8DWC06DSw}CV zY%kTH1IMRx$80;AaMftOFT#!SwlVai-MiZl?CHCVLFdJ_N5$StdU4;fK05DQo6-Gs zH|ilDpmJ!>I?Zv!j@`a$+?v4^@R*6KLwk0U%tJc(Zmq}S%*jE_gx`Z@qSTqWVJ?7> zRf1m+9DthRE%nxZisXot~ZJ3^1gqK+Nzb2LzSEQ6&I zwE1vgW5*8H)@QQLi)(H>fQ!Aj_bPftSXl1HVr#n#bT$@i%?yKYPbMF zG6`|C2$PMg3a`lm-Le}HtY8(61;C(9J9aE8I*F3W8TV_v78??1fgC#FCO$DiLk^u) zVp;LdWV169G}VY9hqfr$Ibmj;Sd!zcH{*;cTA66~WHbAFO*-*RGAFY;Gv{oI1kfK< zuioc<_x|ty-g1t4u0OXF_!}umV0$Mhjj`7~W+Seyd8G)X36x@qx+Z!)h}r?{?PCXk z!pmm$?Y2_qoxOu?o99=#C7ItD0;WE!}0+jm4p`=MwfEK<$v=;e6S5{#^D zUI?Xe2ipX&my*m8YwKKe&@x8v>9so-#^Fo6+!`OO$?H%2U}LG~S?z(KjoU5~V3kcX zp@W1G8B~z{$moMP0096E%z&bs=NnGDP_>b3z3^Zw-3T9VUB^@LK#U^PE`ar=b7Fio z%_Ap&n7S!RCo=)qIpRhKuLkfJkknkqX!}=%)hh;!Y|{;OO!!@3!L;qvrlKA8f#eeBNXy8L;^@7Xm#X%HX@ zzSF+%z-{}LsDgd2Wo2_I02>LC+4ls@Q{%-{V=y4L(@}N#6HZiZ(SWmul9{)sS31w< zfOP5k@NhQ;4Pq+YQ>S}#dBNG56{ADWmk;R<{))H|Zt6O1G4zdIZ6j<@O%!F;e=aRK z8qRc{3U@a0cVyNThQcq+iBYR`Q~;1t%=HI6dJ}s zUG6OeMX%IKJrJ+zGC<&>QPU?3iwbpg(=L`ls0>6l`sHlAGM(Q5oY1g064z^Lc2~2hEs)cgicP$zoCU;WMP*Nqkns>sCy+rROn*fpEOorZr&Sm}W<&^60FH{)E z`t*;?r++&6-$i%$eJCj!ez=b;y?gnT`cVAYbYb~a`@``kfs*E4Ylk39zxuf!9UJA( zB!6D&zV;C=?PDvvj>zWLv*NPQlf8{8J;eaM$MWcZKXSZSeseAmA|tP}$+{nfu4=WYgX(;6MZ zMVHjSQUA~1{H2vX4y~Ym=csW1|M>Xy7Z10vgi^e5-jPD2ReF)w@(MmEa1b#j%^|Eq zjuA(MX%DyP0asM*k<^Q?U+-_^tcwC2KHo>S+<*V%>jQ`o+UKi`Kr~qyh8#2Lkm9f& z6^6p}g;5gNP;)JzTUHnWT#t&6P&+^Z6zD|grz&&k0gx#+i3tZld!IFx$7hry)+66O z_4PN6Kw#0$f2au4!@nmq9NhePC8qp2El$u`>4(G)nvNf*o&ZVm>rQ^wQwCLw*a4@s zNuwXZj>RkninBR>6w$Pe%_xf3qFTp7i-utdAf6A~hnH(3Gj#gAbip(ECSD7I%dP7_ z{wVhiPdkmwt>*fv@Y>pOjTO3Frr;S((-?E|&}^o8&%JWNY-~UUfW23;k`}|?qW7x# zm-F<9?E>vp{X#I0F-FG+Z7{!j|41L(8L;Yp*F&7?&cXn_}KA)%g*+Ih(TqAFda?s5I-j%dThD@r`md zbJM_m!oHG$#ra|+oj{I$Q_g^JY!5bK9xePRlqtJ5;mMjc4oT^hS7YM);&^LclnfaD z3R(pt7WTTU+2B>>=2zKBz#iPR$Nb%~HnBdL2~4u5$wxJH%3xB0aR29oMtwUJWTXk@ING$1WL7|nwAR2QY7(n zD@KC`<}l%!uBB_hl=@)5KCrwBY0U?z9HiB81*cgVr|2Z+7j-exiV1VXO)Hr#lz;8p zznPdtlQt9`yPD~eG~!BQFvNuslx#>s+9o$9O*lT#b!j>%DiXC%PHiX_ms0)|S0ZV! z^1QCsg`a5gj+G#dNlWSxvJn!h;=b|=f6*v0Jp}>?xSA&JFqoEo;ljO_k>C|`4X%vf zT}X{pL@lwmQ{4Miq!)q$4410uBh>~y&wS@}Tt-^TL3IR^q21I@IN#cH3tBNV4DSzm zb^%O63mh%}7(3QSQ<_|)5^<=fA5Omj7$k7cP6cN1%gXVg3rT&tn{qC#PPkoa7<&pL zT(*SjgKI@^ifU2s=NBG^2lTG5chsLQ%IA$|3Emn<9n+`IVnG+8k3A^22o3 z6N_dgS-t1s_9*VcE#5R!`Y+}jpkU6Xy?p=(JtCyK3PcAFC#O*&cS!~URu+8;{Y+@Famjvo6aAXpLS12v#2`bZ$giW?W|@$XLv%JA(TonA5L zGu@n`dwu)=EKWKOHPS~TNOW%*#Za)&cLVm!t~;jRJv0Xe>(8k;i;D}O>ug&@yu>)`uJwlDQ^L5qPgxc zVtv%fVAG?Q`mVH^$pnW$#Ch6}lc)2t%?#`|Q|}hwuwm!t?{6%9*?Y{HOx>AIRC{%| z)AoNLe2XJzI#nloF#jK&5xeSq!kP46$YPf5V@^3Ol(qrx$DDE3|J@(r+-_X+o_3$V zc%nXV+amUQH9E06cd=fm9on_rb)(8Oq#Rj$7ckIDxYvoH09-uT6St+RUAHIm7qS9> zrNFvv54dt=+8G^>KRPSC#^q6~{WEn8+!1^0cozPSFYizo-4C5!mgVWzUcGsP^ zPVOpCpG>Z9PcIFbTZ5n^dwkdW==bfmK3XvL?=PDuzxCgtM|bgc{FIORtGV3fkR+19c7<8 z?<6237^j-<1e#%`T6~@l`F}^O_L!?PJv#d`<}#pwwHC#XIeS)GGaay`EAE(=SSefTs&ZSd(7xSzk19susyc;7@|?ta<}eV0yO=s>&#s? zfT3(zwA3*l2!y(6PmKjI0gw$P%fC^xLWBCPJCjBb1G`$BYp z3!r3+t)*)C@|gngqw$47qtV%8mQx>ASj5UrCfQA|#13y+H;s-_nSK(KL`7?^+|sC* z1lwVdMH={r(;|({?Z!8%HdO+AtTB>J2X+C1RG; zJ)QQzL91r3TpujgO4tAFB6?X`vyFG|DCSnf3D8YYP^y++%6;n1RvF-9YIFd~l3`Qy z=hhd~7uTI#jc>LGkh%>4e{H9RAh!neC{hEZ(E$i#x<-3$87^SrBTo>_82{s-K4mkl z%*54u-ETen`n`UTyyovcRH52D1j0FkQ4RGI~FD_dQmsY6Af5xbe* zJ8yX`1Pql4Q~dJzFahn9Dn7WQKa0-a*`Gzv9WU%1EI#eO;+L!L3!UkUwWnP$)OPmk zyLar_z1!Mz8o+CJu<3#wxhI1s=r3iIu3n@rMl^KVm&blSOgv3q8?mG6j7VO1f5H9c z^o2^de9UGm1PNU+VcJluuN;ab4yjGmuWgNr=^=YMzGrpM6S}^tCIN^wI`(6Wz;+kH zD|viD&#Vww>EO5h(y{UIt5;3sdBwT!4OY`5o|_+8#)h=i2&9Sg&RF*m6xm9ucX2jA z2uy`q%iMy16fviwZ?dxm$-DAEZRMcYgFBV-;>M~-{IB#WN=Dt+>#&z5`2cz(PCjWR z@V3ro4EB|2_HHbxmB4%;Hy=3)cNCfwBXE;NWPlgp=+(Fnrkv$BG~AURL!U&*x6dBx zyBNTY7P?)2K%S%l6kE|;L2rycX-Dm^ug}$J>)Tywd;BgT8a1q8M5Y zzj-Y8t%(1Cm{hUWg3yT31#e5kEuxbG-0Od_>R9sD%FnTm!@>$V#n-<|LNj~V6-PeBX zy?mLx#c54PxCyJLL%E(Bv%z{azkbT`2LrQW;X6l%@hb}fZA1lh)iPbTYGStI56Ao$ z$&rGnb*9hCW?B%9RteiGh8F}Tj2Y`GOKH1E(-TCnjK8)^B-`tS?)%c8{j*;*zBg#Z zEaI}6lnZX#gEPSC7%bI;icQ2Yf>6iMFi_ZSAi72pr^iDTU@XwT#XL4sU@jn%o+n(< zrPO4W5T&=lTQFJbN-0U#Nnxy>j`aA0Y)Q|1yZ3UNQD7R4PG?t zhcBn$C4XN_#`N1`ZZYpMC3UP#pU^vZ!CyzDaFi@9`wU~9O_IB-UOH@tw0Efm zKnF~N#!3x3*bvgV_5;XrQ!Q;U;yYy2&N|adoutKRCT?o1KVn~rt+*R6Plp$m7czFy z7DFi$=wApb0vYzjF9z-yp#gmpgRnMuC`>kVF2vYuG}Ss2Nm0rbj6S%&KIE!e#|2#D zTe?ohO_}EYdV1~2e~W%^zB!QVZIRpWmBoqjeA<(r==!o$e#py)Wd^d-^U4QMyRi|8 zioD%lm##lLoey_D<5^Nx>lW^#tdjztsk8KR&Ch3#18iLd?JA_Ay_606_Os@)lP}3@ zh~0Rk>)$X;ea`Hbv7$-t`0641#1}m@_E)Gaa(6UpCF~ z(<^VP`nl`r^8@OfN)#ufVj4h-mO!fti^mCeQ!>!^inVYEbl}Sx(w8nv;=oic+>=y) zt%V&+f_mLNxQBKA`A#kDkr)MOba_b}OTWK#_4=ly7N~de zwXehDxD#lxu5Z6w*OB`NNj3g|VT_)iW>EL!d!&YOdRV<8IBqG|^{J0@aY$ z{-kPD5kT;bbkp?3jfh_f!Qvs9B7Mtr_v6q~`=}e$3h&Tx&!)ydh|Wc}Q7P!Q;4@OV zx~C9bS+hlBC{Hzm(>K9hHWS~Ope*^uKtDs|WC<|F2LLBI4bMkVA-Td^&1GhQo7@59)*84BP3`+NqK z6&J%&&@?098&>K%!yl@(;k7W71n0#Td$`$T-ZXjbQ#Y_msY!1r6B17fBCTIj+MxQZ zxKvlg2qnFpbYy@+#as2EBZY|%P%f8j#Ye5{d{TT3$723Nw4^K#ksUwg-tjyY>%O<*fnka6&Dpr1f=iV3WH!b;}G;!so{U2FmQ zyvY2;#FoRH5$kBPMcHm$aNQ>?e8Nzc9BXwy@TtVX4BoULMU#P|bBYCE00OueO+({J zGTe*-54Aq%yYL7&%Pq3g8qfX90ybgUdhR8hl2_}F+nWCRo5S0k@}%YOb(bMKy^3YH z%l{>}dNu24rp-SO&-k!$S}%02dxOUy>JZcRcqb;|_$jviM+=?-o#5h0 z6^?jwow)pp@)bNMr69wUQ&15M?g!tyvv%*xker@mv#7oOf5c?wki59q zCVFq{k#Jg63y&{@DtI#;s8_om8*1nJv=9(r^unEwIqiusj-J@{09r~^Ewou>{IqfK zrP{zwgbuEHyqns79JJUH{+Kdkh)k0Eg5UZ-ti7HH zuK9yRSqY-k?Gex{ABxkQAPTS31Qt-um*n))NUKkE37<=+4*^TU=3$pAr!kQ%e*0p` z$uYKyYbiywnh4(x{{cuuCGE%lX?i4eOhe5%u5E-&#`9sA#Fh~v@XC(guidCy_`d7^ z?)!~2XnbP{HObltMy5Lvs>>WWM@ zRV@laniGnKfJ^|iba*?v3AOqHmc30aj4m-*y7MZqQ4K~*R`kF(fv0F*^ZJMXv>}!> z-UDSO1VUqakd2|!N34X~CJa>hlD4j{!xCp3+NyP5T2bYq8XbdU;+ z(Fvc)1CW&HxdXNZS!&c0=NX}EF?VFApin(=I#yCR_z6c(9QM-6^@k6>xUOT(_7d3G z(14I{+{XF>MATTL<{4e&WvZdlwtr#&I~KrZ%D?VAmQ?3SoN?ZH%mJO#{H4d7C?GTe z(muEAhgu^MsFkSUO}sI8h&!ASDHB+vnir?ZO1cyIL;r*ZSgAaJC-Vbj#?U0Cc474T z@Baa?1gM_hI7GOoSH7o1JYf6WA_*FkZCph!g1+&WFaxCz;m3 z$c2Mnlhd78(+ugy2cLi4MjPJSE*K2p>NioEHbZ^tk<^IdP|1<;xNR|24PwkHI(p0q zCN>{wTE_f3b{Z8SJlKn6ifTxJTu&I6iCXO$HAsx9b}vaH$n*|50!CDDAIGiEL_-;5 zu)jFM{tjtXGgOTOF*>H^|KwJ3m9^-1EEOb$2ocQQbwKZ5YAr+L$Cc-g+@z^$ZVSZg zz{%y1$pj-r5C4$vhCy4a4owz(Vro5k1c9yt*qAEZ8YzDN_`u(d4K4l`U_*bug5BaL z{-ZdxKk}~2->=9(?nhnJfAzop-pYck-+0~sz3!GscS)(UtXU zJ?uBxL{PDz6@3@y2u??ly&vze8QVzUnMi^*C zH`8Xe)>e&c&pxK5WkUsuG+i^XjI?5y>_W7zn*TFA53I^+Zmkqh-av2Ai8Wit_2oLn zgaRtsIUg>|aXFu^F2DwwRcHzt(niUFb0h>iUNHte0h&bDJluk04{=@s#9-`J%yE># z{p4>U-UYYnjXD>7ZD~9e1B$xIu4rCXX?38xiRqjAyI85*d9VnRnV9gBExK-E_l#}{ zlMPQhjZNpfqMIrWCxik_q98M&CXro9T^lL$Ekpg(|3GP?m&BPBwA~yXhlOQS2R3yI z9ackfb|YGyi%Z^wpB9%Sh8nwJ)5MM+GApbfG;pLF+*VhI7iry_&#+X`iPwaZ(%DB$ z!j%Z{A!bj+=7W<&)J9skGWI%LaW0Kb?CNijDnJ`HWSv+xi&w+b{>CPap{yzUxC41e9wX%p!qNBNz0?8ke!drf?K$xOR<*MfvT+o{riq@NOB`!$5Z9ef}N!kN)3Ar*C3ui%b9_C=s-6{Rz%r zF{#aJqmp;H;aY?>jS|Fu(q96}0Dlsj#oM=sS%x=6$c;ZO#+a)hNi|I=QQyCH@lAk` zcJWAIOs2a8gTj?bUmjo~R&A9wGCg=xWLsby8Ne2L7iBY>L56kQ3)4)`Mg$X7V%`ViYZj5T0DPYHN*V0_3T({})fxh8>@Dco8IaSqbSl>dgq5jdI@p^t?G8Tm5 zb2^Ou`qSSq1t;KUXOx1#P=TJ|98GS>R19O@Xwc80abrl4Xg0Ex@z{(4j9iXrVhwB(~tT zZCmQXIgmWMKM~SB{T6X3cIlHPnwh+k00}*MBf3qOeWT{O z;BNqG-oUqHg1T4t`SLWVq?iSmYvLv zNe%|A*8r+WMUl29mLLh3Z!GD~LpJe=jVh}Qz02{V%k4LkkM+voB>lrigqm9U%z^Jo zsfRz)+K}trjdtm1E~-#vKs?$z(H&NupK*_d-S#1IZ(jN(zuP|R*B*8k1F`OpI56(w z)1JnZj#(q7Kff?}+MP;gLl~h7X-#`-B*hZKuY0hEj^c|bbioP*QxPsCy{2Q`knU(B z(Lz_1@{W_k*8~Cd{nx(mXGI}I-YOLDyC7d$ufFSeH>wrVwkQ01FSYN@-O|IZYZpt( zStP9`0Rl1|ktf{FLQvoDw+|g~i#?A;hi`w9h{@!D=NC(U?hSNP7hTB7J@2S$i@`Zo z32QLVkE*mkCS9S2q+bV{-a~{aLzMsH!R|GJ9p+#8Im&R|4~qWX#s`t8|1=i{1JYHc7Yarz-X-2?1V%& zK!Y_X$thTj*AJb7!UQ=6tGv-eU8ytJg~m2ylv#mXBfU_~*1V88RtZ!(SSWZWKx=5Y z4nPA0`NIeOYZ{1M_0)>t;wpg1abXi4U6$c1+7HGe=zMkEgskv-c%o@A)dB|;# zmrY;~&>9&Pv-}YKbFu1z&unZRJ;Wrn_PSd;8&N=abRa#6TFK2}QvqoBIwB1?=|#jV zk%^>{BJECJ@UmhBQJZ@IlG5l>SuhpeGgwLwAgm4`7P8>*c^kn4D)xsTraut;g(?*g zK{9tSVpSC9!eci66oQ}d;pr~b

ytDvn@AjPG^(NqA@pH^})&114K+j|2L0y`#~| zMpsvWi{;ipGPersqC@z|K}0N|Z@l#%Qln10Oh{u4uc@}P|AvHG$xJ6`nhTr?*wsZV zC$LO3O+qqh1GOeV0w6%Q+!i>fC0Ru?bBDR{zeY%g_`OmVyuvQi5WHDA&WlEJ)QuY*K@Ad1qZiHe5WcY{4!DJN8rrsyCX`?ht3~9)39pXgu6Nl)S{S4G zxlE7zjnq6CnOrB6c7}PPQbN3H%;L)hV~fFNxBlMKo2wcUB;LlTEnCQ9a(rgSQVOT$ z5sJ~Nf)JuUaEmsx|Jj&+RJ{j2}q(gt1p|8?%6zd_a6i2nQ+=_nQx zqpg+Ow9Vv(N89f|K3M?-gRY=J#5gp=R!;=1DXC}PcMh$-eCOb`zMj+cfAjv^ceeWK z48!xEJSr6V-3KVKb>p-6uRhjJFMT}znOhv4!X?X&+@(5FXg98#3`{xJ?XKpkf>O7$ z{H*Iv?e|U*YMAE}zWac8^@3AqBWyI88YZ+dn$FIebvKD`dfGwnOR_&`#UIInVw7s) zdmr?`45vjUgbj)iw{Hg0oN^-5HEwLaj-Xntsx33UC?{y_Ut?^ru9An^Z5ZMP|jk6C*WjPHHS7#92$af&+FOOEDjHNKbM zmk&8*e0FjBKpZwRzb?*2>EZW;Y_`WF@GkXY=LH{_fjCYxtbE8TIWY zmOajBfjIGS#)zg#CQBzI1UydPy@-0Z85#i;pk?P_jfAK*f8W^N*pFNxo2}Fu$_Rez zQGi?3AUJJTFaXkd5Uwc(&7*sa6p9hlzyo?6AcoP7xtn^+1&OA_5J&caOX3SHt-f9X>so){blw4`!^~Inmgd zB&W}OYh_whzZj>XV)Q!W{wJ(?=|U*MH5vvG{H`G9o40CY8VXS_DplMB%lB#@8}u4Q(~6UuyMMjZ}U#$zJpRWhT{K;xELJzc&7 z(?D^AqG=V$A}!?M7V%Ave>C5VgvKYUM<6T>uu^e%&!>jJahOc}-}{GnU{D@x34%qF zY!NW^)+Q2b*^<}DAz+B%!YaAydn8p>#%2^I$|0pMK0{JLS1b%EW0=&+P0KAlG-0iI zy<{=w0@&Fs=dhIfsDJqu6`6w+; z_!wr|pl{pPzWOB%ZRnDESQtPeu`rA!0}Y_ED3J@?L9l$=woZzKQ*TO}{C*8Em;$7# z+DfM2W`(c?qpX=t_?3YAp#bPAfNd!#gbZnGx=0T9;BUCk4Wb*N2Z|s2C)T|0fiRu>X5uu4#-EgP~46|e})l?$7 zAy#9V4T7!GjkImaqUAo|qk}=|V_c1iJ}b#+np#MPafK_8PaLFR>oXrySQb6~fp_hf zCO1)Ugxb;`{WNG>bYv!km5@WMu;G{TnCDwqBAB#N6lo$)&J30yV}K$<;|V|kGzbnV z1k;n^wUl(vgaLt=h)epHUVvBvK*ueSOxd!j6TNHMRebd=zlB7mF;g?GB0B@Gfj@G* zP}(D5HZxL&k@SCk$aTlVV7cqYRXeDd>h@^tg-Db0xcv*tnP~-0haHH{FIp?+a@KKT zha@)sTD)qKtGg8}n?}3|VZ=2yV=3DQ08n8j0v(aqs;7+n-{1Ory2i~csG%(Y7TQ6& zVp2euZ)Sd1{fR+V9Al-Ov4YxB=P>YPo!+OBs}>WN$&NGx_~3D z1}4xrTU#Hs=Aev*gH#4?PUX# zrivKZVr=yxHby5(ZR%3g+z5UDer|9U8v+;!uRl1Xt$?_w03v1Om0$VeqAIi>xd9i! z9ZQYj*_f4*@T3(Y4o%&-#J)R5t{D3!$O_-3>>+b4Vu#nIg_TLY6LL$Xl5Mskz{eL` zCO!%7R@?S&P??y4bgR(yYI?67ZZ{&$H_dSZ=#G<3jkS@IND_w3Bymfw%5q`VtXd0S zkQ-FPY%xtH1}AsVwb~CxpiOhpa+YZbCd0!cjHmnzakRnRD=cDFVJqJk&krF4=o}3^ z<0ZHKCbB@+IhTVE{eT@N))IO?(@SXap)cFPiEExJ!t+dv$1{VavYh5@t#xaXr$e~A zFswMwV8@|9Bkk`PGf#y~OkFNdV9}C_Q6~E);1zRRh7uve^mzQI-uRIapeH?M$zRSE zhRd@@+TKhqtFyy#x;mrU_eNtn*Q9^Of8w*HmgBwuJyTgsC>`{viB%U;h7khWQ*WdPf%)PrNc<@Ql?>N~-f|?-rx_3P)CnqLW zPWz)l>q6kGV0k&%5h{6Dp7IbxavY^!z8L_8a%C$Yu;jr*41%pecddDeX>JU7~_wyZn+l^4`>_CY^*f(gK`_RNmAxv|>k zN1L%f>KtF@Ap<`%ey)sA^zZ82>8}Rs?vV9Zu-c8~Fgj`JEBPeIK8xF|v9>2@3W`>t z1S;qv-OFbO|K&KJk!!-4&J(RLy9s`2P%kA|j;+~HZJz>VQx~eUHF1@U50WZd zEt{Ck1r3bZ*pjjduBE>uY{D-v5C)rU=Ro?7e=&gV3sr%H!M6qRDW52*m6=nA7pvX4 zy&aBZ57xIMk}y5-o8blLcfPNEHM@A{m@|4|h$ye6q3iwx?5ENTofp12y?EPh(($}g zyxH;FKNJ1a@#}v1bL3?@vq&bWFAlXHTs`t9*~Rfw<@Lb7yHwk$X-~_fV-ch4?wCwP_rcjSfIr=m_i0C#=>u|`@LP%Hiva`OwM(*AR>w{-uN^IAo zS3c&qzmaub*4(1Kp1RUmce3)Ur~e|ERpF@^#3YQ{b4w$a;-1DyXq0B|w(kQk(GfgH zHg=zKgP1EfMxLH{DFEpLRXO&w`%;Sp)Fn%PaClrN(77WbjnVWMDMHe2u1}l1ENPx zn&av1L!<_ZTiNXPd2kxH8NK?B4_y&3MBgXlu91x?SuJzlTu7>AMu`mXs zS3mY{5VQl-`(1~IAj};rA?}EORAbayT?nJE|KzYORS>ooc=uNsdq{^^Hx#4 zp#7%JkUue<1`1$S!gc4VQ1iNmA&5B#nY9Y=mgG|?-i^)?+koG-)eqPMazFCw(&5A! zVlF+|d^)y*p$lQcbgePEm~&AyI&<;s<6$Efl^5#FsHh0XZjmJCI(E=9?g)o=Y>9{r zP_bU&8^OVM2MZNPcu)I*c|KINjXTHTKS7@gBz!8edL1O7ZZvF}IH%nUOna000L7|& zkEr#LYn|>%-#09iFMMN&jQ^eRy65%IJMTzSlF<+PZx`1d{#=3x08$QDcSNJDY=&(> zyg7`EzY!{T?$_ev(jx|W{w>9JU2`vCJsRyJPhbp(CdfZ)o00nfd_E9^+|sak^Par< zHMzr^D4WPr^;GzXrNZ*p;5fxpmAr{)8RrSw+flhN-mc4;PI@?t8{$Kmz3K=Ej1WDG zvvsmu&EW|kXaBYP%ZO;w(BHen3=fJzS`qfuZCO&jnpyJp)yhm>{e{rqkVA_tNK=~0 z8n?6MpNMn!Y)AlImiU>p}VqDb=(EgfP zj=ieUA|4|IiK`1}sFReZhc?_zRq1+ZWua?Sqn;* zg7yFjjZ-bd{kj$QY8FqQYvHzH7Ujnz+}pDuPX708xQ*lJil-hStncd3?>Ts=p;Q)Z_0%XZ+5g zu`xPTE9haRg;f`sP0WKBvjwhp-(tFPD#?xc$FRf_`$3~+USsT$GCHOIC zTlH43!(V_aAu`OJmnrZfNivzcG7qzMh6YU+e0I93nP;Cy6S2Q@S%={MV`cNY}Vb22lgG9 zJJQY{y)n*H+Bc{cw`y zreB&-@)$n*wa+<5yEDUW4Rg20n*V`p6QDkiL)P_CM+-^I|V7S@Naw(y51 zXMBYjQpYjUw_psOk0_%Pe$NLaWeBJCy!O5=V)!D3HQ%1jQh`;4O^pR}#08<0i9Z`B zGm)CI?hdEIERS~xCRmWf5BdkP6oN1Eq8E{O2mQ_vT4_deC>9GBlr!PM6iUe(-h4PCRn&bp4EpugG7O zD!fw|NL`-7CE!$fh55x~E=oy*tJ1jW6{SmMoXh^|0*sg%Yi zk>d?Q3QH!Uba?hiEz7S`s9d#z;=|!=zMRd($>?HGoEsf>3&ES$(dOq=(Gw5F)}(n@ z9u8KAY+>}I@uD)B^Nvq!D2sp@WlNa>f9&&fhzWj_M!_CJAbY-AF((pVopAk!hjtR( za0Od%r|d?Q(LUtCk`w8u<9e4Tmc!}M=K_qY)l0(!K5R#aTWMFt^~I3yeg7W={Y~JS z?E!>lwyGWhCJ{y8^?{YeOG*wipq}@hptc?VPB1*UJ+?|#DZtIpUw*08jZc;2WD7=0 zs#GJdm^?sEg00r_j%SzrF~^(8S5u6x%U-FF`YB7~&}+xE&;H45m$AN-N(PThQD@Wc z`Jib=LM2w(85VY0SxiCq22^tqMgTrHrHmk8%(T};goc9t682I#+;LZTEC*?)%L7s~ z7?ny?Oj$AKG?H-$pNgw0UIEpP_aGv#{fmzQxTOj730nbwSi*QQGfC|h8mFSR^=yj_ z@bfL^rAjUYL-66eeAlqg|$`39)<|8=<#Xj?{HGi z<#HY>pMXpP5M>wbl@Yga?beGGwn9UtRL(HgQzI}^8EwFuq)Bo!j$|{mgp5+&Y4in? zgXtI=VRJD9*elxWQOcf)B4!ywt^I&5lcA-BHB>zMohI7-%M>}+h`gca@(=y-8-ZK( zZlK9tOmq6s72aMEhl?#1IN&o_Co>h;YQmjwRmLgR2thgwqhHNrn?xGA#tKUm{#iA* znSGR_=p+qrY3iS`9PwRd%yXZQY#4_-Y6BxSYk5?pF@ICFg~$ zS+veJe&dk{nM}4*E4>A`~4PjQ6e(x zkk}7+d1z@6o;Sx^1Ug$r%sqp|qV*Jp*~1n}-L6Swh{ zHB{52eL!ANKJ{SH2Exh2JED`I1G#r!ndSl%Pv!jv*?HR?bpN^LQwz_M!~XKJC_Ij{s`4dkv*%F8UX!q3+}i zzO?Rw=YDo)tJ`gRZ_mo2l)q_!0%p{~_ z_se;9&hK4@o6IAs26OwF>AWojc)yq!lt@6G900hB$iZ{Dl5+K|zq;Wyc4Q&J~m%^ztJbhEX5H4Q$To|p~laVvv zQ!}HXTA7Jg-Ce=2hHIf*VLdlug~Z{AEfIH*jYqYs`Q-K>N@5S>aZp)t?}K5tcD&1q z?TKzTC9*{-bZGihGBMQ}!R{j8&R1CZ$5HxTdH55X(BE{Z;&)Nn;8P%+s`rY}t!5jJ zICdd?Y`ioZ5vq98q8bL~czD=ab>}c*JIszJ2y7g!WOJj%Le)|ynNu>==~52&lcw}v z-uQ*|3wtjW>1FP!?@UO7-t_oCkKj@(c5*JyJR?)f=I&Rf(uPm~g#$x6S9=YJX8pa3 z)#p3eeeY>pJltE$HjW)V6u)C-y47iHRKYbYHTrTZJ1PzW`dxGgBAokFbymmOMI-5` zOQv9@!&*W7!ewXcxI%h+|p~13Qsz&I(Q12#AM}o}Qy*I$Ctx`k_6H6=7+;N@GlU+QH4C}d)-)p{f+*Ih zS~7w42@(To-)fL$8rZcKS)58fb4>Sx4-TT_1sd(;js+h20?!U@Ujeh~jASlJNtd7R zL{VTKiD0>X`sC|tHZX^N=H1MpMrT8l-4uMSI-DnO=L#@q*@0E20fU!Y;BcP>f|-fQ zbS@Q)qxKPU&BKuD1j*c^nui4EcW{s!gLG)JAiqe2y}L{t{kNhBdO!daNHlUegEzG5hcwGZ_u@=+Z&>l%w5(zsH2CBEgB9@4t+^(m8|wm zObyBK4-UB4>Q*m=VRTHv#H6nXT7bmW=sdA2nYpNI2lJ}mc^XS*Tbso(h!vaZAVuF8 z{n7`f>v-PuQ~KB$1mTh&;b7a+T_Xvo#HllZ@dgH{`1OJ=Iw>@JOqBNq9|`ZLgK=!rp$BP{9ugZzjA zN$Zwze!O~r*PzQSAH%rmb#pow?M>Q{-i*FBaV^)Oni9*U`#VzZJiy+A? zfC$Y_$HdZ+tPvW}a`~l$7y(~-$il%d2_698)J;ft&!y8$6(uxmsh{eo&fg5H3K)vWYvT+vc%M5{rvB@2!w)R@;)JGPeRd$+Mz@=%ET!243 z5!Fu8b+-|6aa%@(0_uBeHPoHRLg){eX7klfhBM^(4;5=>r;=|AD_upP?<9|!JTW*| zr=qa>PVv|fa?-5trvs%VZW2u46cz42gp__gDUG-OgB|RH0jM4e9^2T%L2x!}#Jy(t z{3RX&bz~&GN1@U#8F%=y8j*1AAr!Je2R<5`j~FUK43j*endU%JjfW<5E<>f^sahV% zu4*nU$ma8yqos2mszwFC&BIt~N^^t-Xq3J|=)+09@uLIW|HHc;0af!b7Z;4iT`}5^ zd>;mAvdw*J*1Xj63KC0HF{@HX6F}&z5*@i%FxCylo}e)@#Jz|8fdd!19%aOl1OFDv-9P$N1??MMN&k|lZ2Ev5K$fdB@njZR#0442L|h(^D=mI5flX>K z4gE@Af?=j1oxlFCKfZy!^48D1&dwFI<=nB)i>TDiwVp^dy@}##B z;P2Jb?!sDxu9J`sD(or@ijLJoi&V++!EoVVirhd$7&0Z#7M$SHWmzguoKW!m8NT>6J?AoATPf`tNzn z6S=@_Vu+e193i`8D`k>b?J;yb=3bu^p%v(~Pj5Zqb%^ zYo)tJ9GR<#dO?K+#_}i1lc#-JGW>R1=nlZpk{b-Fn(C67y;o@k=>!R#F83{<<@EqP zq|`}yrkyc4oz1uATj!nIeIX2^*S4jsVi2Yf2@cb#N8sl7FlXfL?3a8`3)iJwLURII zA>o!-o9HF6(~HuilXc{YsBkjN>AqEyYp`s({&{y9Oeb!>QvFQ=NDxM~7_V%+yrbK_ zqGs|`)+YRw@fhnsY{PYO{JPD+3b~s3Us3x(g4(k=`D3i6NxqaNoPuC1vcaI7LiD9w z^e{DP`t{HH+G$hzak=V*&hb?BiuhTU7Vy;bB&azxd1;Udyt0yGfs91Wo%UTdpguG#iXo(c=}r{8b5hD*3p;Bzw8{BYh%)_bxiRQ ze}#DbE^8=u{B2*AK1KlAfS!k|ZfCzgmrf1^9O=2-l^_4Gm8i*cV|_FMn8Y%!sNLs& zNYS`BUE{$WtW05SdU_`SbRpylq@<$bcI+N7YEjQaV>1@b%nqImfRwdWjOxWu>$z{A zM+&iyc>Wci=vc?%tCK&=-UPH2;~48dC~;5BLSl8u`OG&W&hJti09I)TtWC5kz6%Ue zb(&xnogFYm++MEWhnbs$4BX~eGN<2-EK1Z>>=yhFp3Zi{A^>kOnzxYCjWAY!B{JXo z)t!2ku|C_Dz?Lisg>1d#ht2&S4h=x0Lu_R?}E+0?q0Tfwc{XXa+%diFsJbY(w;te5uv8mFkNH z;)O~!5ypM7Q$*iMgl+8ebjPPFCU;FC7_$+RQ>`L-SS~dJ3rl*w#<8L81c(%!)dV5d zG^O;fJ^n&7-3n!&JJW;1T zNx8+d-lmr#fCb|Zlx=XkCMdpg85fo?^cC|TeLn-sXdy}k_o`Ub9DKw!y4;MimfUBoL!z5lzX=mOndcxocCo2dea(%%S5MNIJv@vC3=CA_v&3?q}j z^{qFglpu{BvWy2A@ipPGx>AWP=`TK|fK+icKa|I^v8gyBj`raZ@FKF&>kXo)g46wt zLKK=(nz$EWn>j2HLywkXD&7CyHv*x=6^oLnTfa|eQb^g5_IR(T8fB9&yTAOP*)vxC zI`bvuRK7xh3tnpWTK`DS>50KP8g#yP>0kHfA0?%I5UJwY;6L7Wq?ACF?hLsWOu@WwIhXIGvNJCM|2S!Zc#Y zg_-hBevrQmkuFFiY=?=UT!uC^MAKy7qtQ%?f@E$$+7(J)40^=MLCdvEg=a~Z1%n8@ zj5R}eE&wHoWXuW+0M?nW)GD)F-@~}$LzP{JTj}AJd=~pM9~{d>y)zz3_Gih1^wd-a zDUkgQ@Qx_^%5+{Ftn25h;AH~77loA+0LT?}lZMVJp?b^dfS8=lryL%Ppd7D`_(p1} zQVeT6#VM1|<n){Ml(X%3PodNBfRaM5xRlPPtW$RVHuD{4q)H}y(#}gCJPjp63qZ_h(EOnG z+PA*EscHkXOlS=0f%pcPGzE;M+sluvWP<~e&5MW7-TdgUzDo5q8g$|etdSen{?%U{ zJJiqiPS~hQ2YIL#LLZ8GWa=;e`iX+nOdBc96NCtBgA<0sKId`&4GlpOo%%+w`od}o zd1Yp0GSkoWTjA7h|ti8+crv7#!%Q&T4dicdUP|(SDHNFG4KA zNXjQZMvP?)nQOZeO!pq}A0~wFMy9Qk@|_PyOPIKtCAAQoROxN0yH)Vt=Bp05lf;@2 z;L_-PE;X(Q^8tTuB^-Rd!!u;!lWJ||Bqn?ra@wXU!>fAA2k#)-67x9!;n$2_9B=Uq zu$7tZCKL}Hhqg5ksx1=0hwc0|es|%tVT0ET5}*fz_U=LBN(WBg7KShpb4*aKD(hzw zl578HXCIM&11IH4S+hw7gf|vSntM`i(Gqgq2I+vd*1=g4o2>TJy+#LQj_sR*`B0-C z8pVQxLyj(Kk~oQ!L-hDw-#?#z{C8h(geO}`wAfMts*Miw1i=X9){|k#Y*F zQilcVd7{A^;16IhjWm$433eTO32S@PuRIhL=JODsB3!o8aK)#FZOUzHzDAsVOZ@#s7{g{W7qZkLS! z%Mlz6OO|@oucVS)io2B#+e!fT0YW-fV(;1kQ+su+4P02N0PR;dKrt&aAE{i zt*qpm@6S_6T+KC-a=l3L11$n`R_gQd9c7(K&-qVTw6xZBFS=zOUz%VpMt5qV1x|6T zfl5>1aL|{8u08fiBPwbu8B@xjO%PCm2!Ni>l>l!eQ4Obtgnnl6!LVR7+9MJ5_R1^o zoO2$8kCKwI53!Xn2tyO-1o9+gikl?4JC9027d7rcJRASvAYeWwB0srAswWT=38NT; zAbB+4S1|`bCF=$i7|=@htU|NYV$h9);YfC~gphSmQZ=;#YYi zES#!?=26!OG0=nwo$L85e0#i(KbhH(x5B69!T7~b69MxTHhj6sqG7TJ>m1Vc8Ji+j z?p$oD&IKGtYkn?>m`lslaSxy0gVW?vs)>SIw_QHaY@hKuZk2mFNQ847PS4`tFUHr`AXgv&`5TO_-jweD z2sVH_hIpD`F(s?{^(61ce26vWS^7j%sZf+>G2<7)R$$hf-YqiNpO{oeS+cR#lF_3A(9a>0im`~SY7 z@W9IjWE#k$0(C%`>0|v9Gglv5(?X6qkOqx=6gh7Z9h^Z`M!g z*ZF=s%or!}Klo2xujhFqvj6I@P=B@Y&+Lo9ByF$EuQgWk@4twc_=r`tAASG5*SB)} zvQD&tw;Jr)5k+y2@}S_zvWd@Ck5`k8Y`?F|s)*ua+&cW0aa?U1U|)HpIX04$hZ@nL<0(UzKlpsUS4urlQo43R#wd-8|5r9e^yV-twvY=NnD9z#z(GA6?ZHbM}wZXUb!*b zai9OpZPg&DMoq2Ray8pM^Q{i99czD$V%TgV=(rFLOIiMsmKn**#J@UYVM&mXCQQ z&!110oM-Aw^~GoE>s9pFTr&09%F6QjVr}3lz%juEaoU26V8t!J!Hm%T@}Mg0bHQ?^78rR zs9K3YdDvy(QHR>q9?Tt2R9>lmKd*eof0|v2r@e_dpd7Owk$bP-aI&N(v zn9gu)3qE)y6C;hTiSe+``7k&&isQS3Xgt^Lku7`>G-JGSg7eoip}G9qe^+txSjiC1 znrUKZ*>xa{3*1#s2lWs(=Qh;~)>(XlQVJt-Mo`OuGe(^q8U2`mx)`8|gM1?iGbH)6O1TE`vz2`B!%C z_H$gH;2I!R&ZdbHRG)5|r0O|NxaYF#k73?w#U_Co4h2aO#Ce2MXs()vtrBv@TP|{i z+ff2Jg5%lOF)@QiDSq)QKZRHzc+n!xAo&(C_asQ^k>68dbI#PTiv==>6jyuIum<_1 z5+j5;$Dv{+Of>#v=SfmQ2&6I4N&aL-R+OZZ>Hv}m3W$$YU{}C~+~wc>3?m`^sGO~R zv6*!E{r1>%OZCcal?t<=SWn}3#u?*2@Cg77lss{wB$FTLk2dHmUnRWdC^>bF zJV--8Y)Fv9V2}f1c+Y9Z%@^PF)3L!V@0e?5bi)2_$P7{!slK8^1o|TqO-al z(Uh_!izkv&bvmC-;!26Kcpi!wauoMt)A4QUGSW`mdx|o3CJLiG1&-a+&1sG}j_kEQ zK}XCzt=J7{q)tikVNn=&{=%1D53{g-VFh*%Q9xCSwzrA)_C%X4ydfe3?0x2!Zsp92e_f7}hYnP$FC~rO&koh+Z$JIif#sX(=IL_ukh^%eeuOI< zj@B0^bKY6+%hAmzDDqzIQ(d}IxC{8o))yn<_~#RISi9iXAVd)7oBdj`SiG1Nc7)Lj zNnxp<_jmgp;33Ws*zoha{95Z?ToB)<0y*D|Kj))VREsRI4tK2O9*p)}Xbz(Ne~|Zo z^V?@UH~Db6_MS3uf4%einucVd6O9!*E}EG*flypz)Kz7n&01rE-f zj^ZZw%k2+h0H64rfA~m*m5XMYPemt#_?ZkxAH@5E>GRFZ^iIEZqF5uF`#nC!H#QtP z;2!MX>a(SSyOH;|A2C=i;b)kCV7ZJJJ9}P^H$V8V+s$R7$0-@@DN3ElW`-H@Tk7-W zhzu(ZeBY}g*tkJv=M)%ILQ&*Z#7amMCkZ=)zD^C3g&g5k2l<-)ZW8h?rId!7WJiFO z#~C4RVgRUg3XUo)?dQsg!DB*;zTutk$hzst{7M@<^-1owB)G#`&COD= z6d2e{K9|g8b0EKu1qPr@i^~(473$4;()ENJEml`tIq!$B6%{Bojg^Ms2 zDm)9&lZgm6c(AZHiqdgM%D@uh!#O1us-Oo}?rE@J$`eboN%Qts{_o$dEgfmsw=XXr zs2t`rwC^1zS;oZq`SqBJ&kxkUbDGhXw@);-pD9qT8{+k%`x*%-$ROgJz736-<~c*3 z@tzGqa!X<4aSA=6O<_ME5+lt4s&p{_kxp6r4oiSflJEtpJpq;y0X0IDL{^K1asHn` zREmg;jkED5-t5-=WwHZrE+2U5Fx99_6{oXI>eU!GH(sb`E0fzMezDTnp5}6CM8G=eSaYB6MqiB|JV25kMbrnbYm)LXX#)C(I+q1b zIKCZjoNY2D4`$@6aAISDfkw_z6V)$2b#Lu`d%|N>9`7PqtuY3+rHatTU)4Wbo{6Ut zZ=Sm1+WdN0ZM=U2)YZ>_*RVubNG0u^2x?SavMcDYo|SOo9)FDNrKVRF?qwQdV3Khg zGRa!js4C78Oik?cLD}S7kpl*#i`)H3z^+CY8<#&@*zd=xf>f5^bN$UY{?78Ywe2^+ zt8EU5PtKHU)FrO%BA0FLn^RwcU>nnAu6syI2YP==;UwK2LqPZoduB)(Wx~hnfNl{( zxhq)S47ehFJ7j|Z?ZVhZI2-#D*FoNo#W)n_Bpt2*Tv$tIAL<qi@|_x$rS}5LfZi&Y_ntT?DRDRW0ZYMZ#Q9s%)xBvapVH%h^ghYT_mcl5B#{p(Ib% zWlDna^`xEYJA;U{`$$+BMrFp|O{QNdI=Kf{A}x)-YfAregE)e=SzIQXHcHf@&o)l7 zb9w*cL#S-xX!NmZ0IE+07vA+)^pD?lv3cb)^|!?L?7BFA<>;Z0`zcuV-97jC7eD#U zweKEEbFAKObF8+IuD8TLs021e>n-uGSFTW9xcetnI=-q%@h2r~QJeVVleInyRQ8_? z-rfGQ{=4j~erzKt?DqE&vu{6kdwITmcX>HGgD3aCW<;pC_1}^+vm=S(QYPL7tN-7y)*l>=PiGe#sGa|_Oq<%mEX zlD zEewLarU$~(=!Pbqv|Wbts5yvLTXy~%JgVVeML_8#9ky@#ABkAeh97&!w> zPg2`eiISw2VLz8CMJp$KGMyYs;&1?+;{lQlO0;Cm)KX%Qt(apt5)Lam$Y2G8wliN1 zAA9fEVl9Vu@0ST`sx`NqBzI+zR(*`{j+l)0lb}7D^fGLdQcst0+El`5YZs=NAoxWs(63ottVjEzZj_)-npOAT zK3U4vD#XlD|8Bot$;g);BV(wxKFOVq?aUyK2?`I~0)_I#!NyDy4U&9DqjGDBmP6LJ zZ%_niaz@)Xp&_#6+R30oLOI9$lP&-w&Me(7*^prVT+t~RlUXT@UH){8qU(sU z4pQM~PL;C9D&=Y!;5UvY#|QqtZ0roo2Dc;5CgIumso5qcm3I91-C`aBoB_UqjvX%- z)Hv+LM2TaJcVm>hRi@Y7H=7vJiohb=aA{C$krjec++9o>--Z>JDPyFHG!L{xl$v{6 zg<8zj<-+aR9Wb4Xq4qd!c)gi?@hxLJvd&%g+~lquojvu6N9kzAuzDuC;JneDs&aU7 zHXon#&W#gqH0QJhAD=b=qOS4!levB18I9k=KXSao)u_3!Y|4!3A%8h@YtcNjXjTfp zxoB=BS?XkQAGN>l+BJdkI2!{={(fd71O`ojiF;wc!f9#bU2r)5(J&}wOg{L*sbee_ zpg?mTg_U!D2`K>)Ao>R?1`XlRwV5iAfH-ibS=%N6w3Pw;w2?Ji?aVR^9RNoj!D1wU zXplQ0HUx=qsZz)vS*f(ODNtGrk#Wv6xN_o_cHOr}BzdQ*)G|$x2f^*1*mjFV)Z#2u z2J!&qFcI)hbYo^xc*KollVoRzIRR*~%jP@45*={9n@MvRCy*4x>;NDVttStLoRt$s z@KWe_Wv)`vZ4geojH;d9{LnXmWv1#)Z>di7?3_mVM?al=F|oi>06?8Cr_Dc)Nw(bDh4vgYfzq%=y*iF5i$a&vXsF3a3Lz; zv-m?1n8MsL>cGoyYeycdv&=lShVl<9`KsIe)BRMvl`BraJb8U9o5YC|9tXG7L8(!t zx+r9-lH|ALxuPLrz#OxlA|za`%<%srlOO=sb6*6wVn*0Vf;XDazJw2o)?h@X*>Zl_ zWYRvFhuQO{`{sYDovq{->QxYpHpTreU=kU>V3kgV!_D*Vq+3HHhRGxv|INFLuoSgDN zCfP!+bShlE%^%BUKw@)jePS6XIl zE@D)7f{jRI&V@Xfg$I(5QyO6bznh`VJvv9g=lhzF-`UNx$o!5e;W%*^7IbN`+b$+9&oirXrUn0O)jB@drN6 zxNu39m}xkb1rdUUpl@8w!e&+;**W0JNq&!^Cqlw?05CV5gdXmPA5+Vy^yVn6oa5SC zgB&SqrPgX?o#WnFJ#!AXI#aPvZ~n;#Xtx~0uJq+pND3C5$g7rHs=4|Bc1(Y#N3!&? zQQqHH0gPPa-F(0@FhsWNumx@ef?0`^WJg4;mIEq&W`9vSaL7>bNLI+ z%2DfwLVS*yf>e;Vrk=qhwY&N-aw|TKZMhP*Og5 zi3#DvsUCYc_P+SW*Tjvn znY$)kV-{7q5aLTw%y)~(y*75sfwK?2OhMyIhjXUE#_CJ@I*dK4c~`C@YZ1bcHA29slpmt%K7Ur z2NQ=~4ykfx%3yW3XA@JJefTuwgOW36!Ww%LdooY_!B0hv1ez>`GiNK|%uAEx0Znq+ z7Q9>IpfJXNrabde=29m^k1H$RS9bWGnb{L2O8DXtmc8=}IliD0)$2j$Sn9@@rQ??X zmCkv9F$^o)dEb}9i3}he{WlJ9q&vuxt`~r2tnpVguu0_*Mo^h60L=Y`=H;bN*OYqJ zJa7mkHlF-!dF8;;Vrb(1Qz3<>XF-_07v7Sk(lE=$++ot0=w>3z6$4>nCa*U&5(Rl@ z8wrKiLrZ>PY-^A`X~ck|U}Bjy7q!5hi4o7#STq8DbM~-1^Kcj%1DrP7g$Ed-)7ge} zQF42_AfcNmc$_6yumAkZ4#VI)xf{y816``NIuyq=-d@hu5b_01>7+Ec+8Lt|746A^ zWev_C5+Diva1oJqhjp!Erm>~+6c|)Ko8)f`^2>eD&2!pCWPkh!<&Pe5bQU6EuNV)I zu0`h<576QEi3+e?`<0DfC3BGEHyr@D+bA>5o8QG4NJRTs3{n}9IY^Dpn*4qfwlk4V z#Zn#MXY1q;I&YJ!hz(EhBN*Kb$Nf6vxhBMUIM#=NV!>$~Nbn?BeEv4_6yd`*)OxUR zj$up`veK8?2MZ6z&;9i_oo_%x;8Epl!%f;#SrWv|Mvn2x%V3Gz)o4Mvh`Xu@zDtA3 zPTUo4W}bT(uLTE}K)H4Rh;N3$w?O3*a8a_xmYifMOxSn~ak2c}I1+7r#*=wiAAhIh zKDUCKlXQIT$Sl@!I!7iu)BFfdtMj(cI5XGBM># zc(pmXQs<;RC7(@>3x*tDki9s}Wv6^4vX>ZGIIjfo7vLe4&L6Q=m6bLJi@oEQufOJU zNZ_-ZmM#3Y>!#m7mVBamHKXe$i*k*yvbUy*VL$mof-}SN$eUJZ<|ieF+4Qnk)=2f( z-upheXf&7T-|wE;6krGBHfkmX0e?JN_T6%d0KWAP` zQW6YD8VKSP$lt@~<{zLDuZCj93FkdqG$c-A4YruEv@2q${HYx>PS-jySNLTihleP*L@tH$k@#m&s;bB>%$XC+3!M#z}i%>yzzdhC6ItA z`kAS!SjMTIRareRCB!N2a`~CjF4xHMYn!?oDGA32h%l35(_Zez47`$G)Iq}~9Y7kZx`@_{UY&$2lLv`&X*IZIpO4)-^d#N5f`X&Km zT-~>vedK@4PM+Lx;~B3~DjcY`GiS^B`kqYhvs2usk{H z=*#7uS*Y#oi|TRUf3zPdwJg}@)!o2pm7{KUl~CKCzx`m{#5ZBkfhoV899V7vzzu3D zgnZC*qU2z`?of*A1|~dcqB|n;`KB9J{_KBn;&N00FG*@kp;s%`N%)Ecqkg?g1aLZk zat~lBaA_RR*TE^snH&S7CO9Q`a=DKLAF3FKq)s3vaGn?(%aDddapG!*Q;&KlIOCiX zC!Pf?0O?&l=_jS@BJM3{dR@!}X%6IL-4xfhJ@@V#&?8x4l`~Z`!^)X*jR+i2A{)ej z3ZT(Z!s@di2X#$P#G;es31Pv6SX&iiQ8UNy7z4Ouy*f(aRM+`WInOUwa6H%93y-$Q{N)b6$G*F_c#E1{!e=^EqswMeY!FxXE z(?&ZQbE@~F-*{MX@}as@Ephf@6_Achw+C+AR!g{s&2?%?h7=&al0UR;LdRLudoC4u z^r^YT2!D?EYjbtDn876QJm0V%DkZc!zK&G#Sv7jtr;{@{C6i3C?Y0@w<<_J6=J2I_ z7O-!ItrtD%Zz*WLPBO1!B`ejNaJYTnDY<2SXzI%#F#O1QSXJlK-`u7zm+cd1?)0ZB zAA>;&Y@ji{N}0;7WV_ev+sfdAB$kT$kcqN7$14Al->f{@jRSDWYlp|8rdUrfitJLNC_@U`{>L;oduV9Z-DJ)-x9uXspxy=CXe`?ev& z_Vl%8>$yLD9h4M#^C8Vu>U?oJmp;wI`G9vhv(|N-Tj>>E6l~_06VN)NFPCl`z8$Np zLK(_m+Xl$3=n(lZ|B1U1w7I5a(pIs+P5W-Bmlv%G$SYfG5xSoue_DfPv`FF z($DC#8|{NX_Os*r%5OYfc53DL>vfk=(rDYY58m^?iC%5ZYeWNn-+CzS2@rZ$g8cyysBmX1BDQ)yE~5 z7_3`!tC#=P&trU8{?W3H|L6kEgv{vLWfR*oq8piBx;`>>!`0Nvx8ti#)E(y?AH9Kr zIE>PnI!#lYSb}HuWI~@3uQu-!H#iC#bYU1jjoxL(r6g?=`xF1 z*V@+4CGE7G%Rl!XogCu`8TN|j3(Hx@d7J&txs;d*L5VU;oZ9)q8OCw^)gR*GwMA+F z&0+ONKVDkS#lU zli!U#56h#kr~kHHzI6G&`y(WlLx|cqJ`7Vk%lh8vVSdzGdTE@Ix=&8qfpfPD$T`Xk z3siMvsZ7%zRaY+YrFvcMPPrd^Im24>hHa-^W>cDv%lv-Liy=B_SNo5CK=rbuSvC~) zU`U>17AZ{X;%A!H^xGA;?l@n(@rIu%zhnGlSYG;2{GrO;_-*xivbUQ|-`87Drn@Id zAL7h;Q~YjW`PU1lZw$P`TkHSm-tY&9qBoW6-;LKlv*!ncgl8&${~3RKSpAv8yO+bl zAC*r|k9zrda@Uc{ovn-IO8m*BxjT8f+uEJ51^Xl2?7X&{JzL$}J=l6=)f67*V6pXZ z)Qx$pe6l|E^+QRy{#QKlpC4uoreKz+0tZlaY+xZk<5K;$LYa>m_9KIKH59w!$6~3S zH-y<*_F%a#Gi*O$iS0vK`^nuEJyhEDs`j!T((KaHC%$k)WzUI2kZ}5BJ%6DduP0Bf zC;9bWjV5K?oo1jtoI|$o+}@!R_eq4Upet1sDo%Hi7{diUTKDG-~nf} zO_<@tH}=~?2s9G|*Uxq12KTcEXvT8^SghhXYUKEM_~HZppeJ}!SyYe{V?5v_jhLjo z{Y7d?CQfj6!~KOnPl!R3WP z07wOonnY@7nZ{1jegGAM2|N9Ui<8Svfenbas43_Ac)xF#J3 zZ88*D0U*ejo%kdfL@JDU$vhfxKsE_=90cEGv|vA5Be4cdKDNff^R!qa=nR^312(H- zFPPpLP$RoN_~OFrR8F4QNYjpkyNl|Og7wuP^tY(ON9w#Whi6)gKNnClIH?SiXk z+lB4LA?sn>m2qy{C1FfFM^#3{kGV%UYAp23^vR7s+PW4&;U9e2~NM z?2B@OSX7GRc81jeFSLm!PJ{OwF7NlDK-73)y|9psKOT^dXUuc^U(=Zz^f|(AiwJ3B z?4QCyqz*C-EkJ`QxV44u%%G7ogVyOne?M`7p+(Ng4pqe_)%X(Xy6o;~h2T`Tw|$fh}~CMBuA^ zr= zl~4PgVR7UA{vCxVDnTUZVFiXM^C!}>labt+PZ}@ucKXqD;XaN-*uNk6oYoXhrV|*- zzo*Hw^85|i)f`i!{}?5-7NAHvt+NF%j$>?x=DQ4Gi}&mTHwGkT;y5sY3GoEl8^qhU z=OpwSt+^P{aE_YQ!pnb45X$_wmV7ArC}7AZ3bF)t9zee|-J0u0jfn0EZ^3Mp%4A*B=Vwoa zhLT##wSWQIYUgP61kT+kob)^QfwHkH1?Y{6fjai36s0=~WCJQmghiCp@&T+G#6@T~ z$EVvlgXv;7^Tb!T0q9%Xmp+?U*NgzdOVA~A^v&ZXT1VrcYbd&ixxIU>LWYbW36qq0h&%d~Ie9`5CtuPkhhCveX=!#)V{O zal3DVRdRPf_{G<rBXw!dh@6-XenV<#9I|4?7z^Y_-&2I1Ur5#xGGIw4=X^71 zwJqk4k&uBOYuGTHte>a`#~|<`!%VCHRN>yBddU>3n{S<_?grZ{fLOTxJ;exU?5O$l>g&0oS*0bzdDF8@y_inoYE@3k z&e&(1CzQnk9I~VDC&>zK4=1|FBe=TmmOJ)RrK3f|KuFViELf`M8$RckEwmaz@q1>` z%yc;tEt1Uj6HJ09$LWEDY+oOpy+Zea{E!Nl?-Y+ zeZ(C-AG-{n(Zdqr0H!atXW^U9^G%9k$TjfBbKVn2UW@!PA((FD^&xVSJBZ)LpVA7* z%&2wP4uyxm&|_n8qY*5uf2**)*g@)#kr;0bD;17b!OGe`Tn$#mdZerZI@d>Wu-&`H z9(A{~%lCrb2?+JY|LmtaZUO<)ph!;dRoTyoqFTe1vaQ*55}JASZ0k<7(r^a9x~ zl-}kaE|Bv#lE4UId(20#qcl)mEQKDm{tosU#J+PfIOazY+j6LuPaJ-|iSWEZ5$3xl zrKFZXL8v8zAnGVe4Fw!;br51v-zgGH?=tQupmQwpBYSE1~uY3lFpt{=ps|G9; z;Zl{wz;)u!fYBmoNqSbrvCyUIW;ey_xa*XYtWY>7>$4} zcgUb2w6(O1VCc<~gW|w9!Tf-lvv~Ye5tqf_I3dxJ)X@kS!(2Qq{lU7VGa=0#&*uF?JRXLkOh{Fay#?MzV!UPxWAo(!`c< zw17vBH6f5$F((51)saY0s2Vp;vewq^5hKx#7wWl8uYY^`!FUC7_<3vU*IH!Mh=HoCokx6U<`?+ydi!r$-$BzU813=Aut=U z?*zC`oSkqh?k{s6O&=G9;t@Ya1PG;2i8P@4SRB_PHHPamasPp6R@2`dc<;C?(;*;` zA>N|D#L-3{G6E&mSCT*@*5_nPEUCr#KKtQ4O`Pvwgh!pr=->fhxp-fZ921uYc4r3B zV2%9fxs7N2jT6O*=t{uqZ+wJ_Da&~2*D(x_3q=4$jD8`y{>1~)H z2*=nVtE28AvAo=fZ{vcbT1+O8wU6Td+E~ByO3~jNMI=A2ESmrI-%)02!=7sd&PO+3Nvl0Poe@VV`FZ?vCE8F)omm%JNKE-J z9Rj2$=E4r}A7l})$T+g_eoTp7pWfnUsR@l6)rh5k?$599z!B1aqy9q@V#*8w*|X|{ zj#&n^F3&E{&SNdtXFHWho*ArUR*fhy?A;n-x&A!cHaxEZ40YcO2*kn$j(fb&+Fo3R z?KwSbv;T&CljHoZ(LR>nQNmDFZZrSjW~dzz5f7T(;^rK__m!fLL2Di{Y^{zQ7E8eq z157{aqrPNQE$edf2u1)0yj4W|k^V8!54hU!hyQ0LmJt|H-w8#3{-AT%aH;H32L{$|9IS(Z@+xj;4PvR3g27XYj-w zH$=$ZH8E!x+A$D&wT;0RLP&mq3B=}-P+CL`l|oYL z0*-;N2c29iq$AFYxMV_3qy_sJ@{9daFs=xgNl1$Lh*?M#F^~Z|tmU?3i;18nHvieEKH`w9mk zfZml`AV!9jXaGY{T!_H{&Nt7;Wapm(!no<}a2KADLZaa?SPnI%MYfQXOdaE4dDRxS zO^{sFVM~5LXPw?(IEaV1Gr$ z1xu5JWqn}pYiDAU;5Ck%bVm^y&C!CjG^~g0qm7NVt^-2_WMg5lKj=4hK~^cb4C@K= zifnqxG{`f6ns5cIEq@)x6g@BxbKOCIE#`FKV(fi~jIW7%K0JoLi0uAT+Jcj}5~5$2 z3V&NiL7eZ#gTz^jgBJ#-A5e6Tbl$DAN+gEqOLOHJOS}@C!k}avTo#neLRxbzyu`*U ze(~8LITi%Z|B=h|9ULV7wl7I$J!_YQS(L1gGXV>Ea2{VERAGbIBw!R^&N6Qaog<-k zvDAVULD<0JSu$Eu4)6oh=dWU`iMQUPYj6dkYV*T4yUbn(1r7%QS8521!Es6&zUB5d zKS(*V00Vr8L6MT+d-17ugDVk>@~Q0`?c+8s#9W+-IJAdK8+QQbOvJ;cHRf8+N@fao zajWk{;mX;QPGq>0pi7}d>J`*rt8@esC?+TO&h|ir2+q6K#G*JlH4{wENc~;IhC}-x zU4}?lXj6~c0Ga6nE;I@7DZ9NNzB(4H0BQZo6v!Y@p-lj>(|`lzmB>Rh7)WtINiIeW z{&B4m88d6~7zgZ4WH?ZX6q79iF#ou*%a5%bqBnU|lSgHP4B>(;;2Z{oa%(SBy9+{a zV4GZYTmuwSI(@mP_y@8NviU>_ENzK#STCJeacmH=7xdIlKUob?&PLzi&z2zKH?agb zKN>S`3k<*v5FRlBjE*aUXZURKTLoMT^uP!2xy}swIt&nE+SdpdlNdor*>AmgT8kKAhE;nBw zqeKY777ZMplNP|TU>xLQ!3j*lMr$C8F~eR92o|EJ4He69K`H{afBve)75cO+p!iDD z*Zf&K9MHar8oPsA{T|4Abmiz2@jlcqBW+z6)5AG z)awiw!ys@B>Bq^mN`%-5pF9Rlnd?PspYx;msRDFgW%5XfbwbnLt^5lWV@QgNC?K)M zAi$hp1NO7-jIS4FI`=)zA{7KmL| zO*A0PwgLbLKne6*!%gC3dUo&>%0JBf*8he0!%OlDMr5F8>!C;pX@l+=#7O_bEcsT` z4cZ`c;U&blI@^^H+GP?Atdt?+d!xNj0UBTjto*|Ef*5nmw*@{TV@Ys{f4_PDw_p)~ zGB8rLpddX@h+u(2Mqe_v1(=G~1yF++6*&eHJLs?6!_mQ9MzbztT+%Ln(`)1=5(=aq zob{BT5M0b>Zo*%=crbkru3D1{#rvYycd!Ia5aX-XxRypqT1eJ{ambojWyX4T9Wwx; z2B4OeHmef!5hL&=xrulKkPdFNl0?@CA%X~8vd}VXFASnreBT>?xIilS=70D*>=axe zI@lQqG(!~GG?gW=I3T3NsSp)N*@}UBP2Po}EAoqanFLutU8WC<7j+mlM!;y~AWygv zRsqH?*>7Xobx`TyDZ$a2v0&tLQO*d_<eq| z{TN+bTQVJv19|W1YzLnc?H~E{&vkO;eX!nX#mR z0x*FysJU#B%@1Z`9i!D`%Trq0zF2>!wMZ<0NMOfUKr|7~Sn3|LFW+)GHD(Dv1f=h4 ze~TBGUCfI4q-^qJoxIo58jtwcvSK~L_8rl*%UO%M$a zj-12PsVBr=U-K6R1L#iu(QXFzfBX&U`Y1v4;|R62ZU7UvD#-ff)*3N+ga{27`v46t zEPOW*6BAs?uq^Vqhux>^&IXKdT==$)7GhKuGN!Z=IcI#|Dva;)+2(3qNq&p%%08JW z!^vOmK6R{R2o$eEbfQe*-3y?$@hLx|EQQ@QuJPE7u@hhF1)hl`crQ2-+zQ9pQLtWU zKy+{f(zwuy)|xu|C!rKNGqL$UeVLMz$ZnZ$iJ*8-IzU8Kpvp{aETBci6&x58QIc>{ zz<9M#O-UfUc1Qx2zZS1LE54w$91UxqvuS)e(SiX{Z@xQpvupUZSuur31n7-U_>jtCy zC3doc*1lMmg2n|-5!zmJ?Hwp)> z5{P0p6X`wOX_flnXznC#1n$~N|EcJeBDTXkayZjhTx_+Uod7~$>2LiA7G9f;Tl0sJ z5)w5il>uxdY6wwyON=QHfgav%v7&5MPR?bFG^5= z(*CHLHlJzdL=Z3bzZ0DFpUF(?Bm(onOBq!r;0KS8fvW@HVVm^<`#cg>_~ny<1_#222*u?@p{MV1WD>7#U-ZDB#K=3;^~s>-ko$F@OyyaNYc; z9_|(5p^#ui2pnQTrtm*3C?-G-EcU>^xHA#6W$j{)(&#ci;-Z{Chq{Qq8X=sL^!V(Irn@FQ~M`1;7VYpfSINAt_ z1gaUC!!QIiw}A=6KpjIcKmu7Mra&_QObPBpGSga7#*TLmnsUInbjN5McG4H(^YNv~ zNUI2b;|tJ5+MUIMV>zfMBI~06h_957)y@C;p93OmO|VZxf}kA;l2Yve9cTrz@B^|5 zNp$oKjt!d)2P3io@C^x+atNmI81W|DX~RS|HA!@`4-3Y`IkIw%f515kxFTRm`z|p` zY-?}xU;GKu%>1@O^;at?NTTUzb?m<)JY}Ddb`9)m5EJbN!FSAgu0=X(62__Fq=V5K za%%@Zyq-pg^g*g#C{LejLD|QOegFPKkU*<eUfx40ekI z3JJJlYnOFcc)AVs5~KB2hr`!7pOD1b3Hfabo2{7i2OVHTEY49jUnW3(nEd&t>3uAJ z4Hx3Aj*v^{%*^dfY|D}Y)u6_K9JZju0+5x;6k(N7-(0N{Ps@LhZdLE>2FZs-$@gKL zq_c}t{R+UAW@7Wpx5)?8>8>Vy{F<0uK?WWJqMQml!ex(8R#x70c*%$>fs=Hl#7Bb=Xiz~tLpV8Fj8f}{nPgW8&|;1P)1Zrr z$+PrdtGqVmS`aMdmczV-+*l1WX$8Tj{BLr-9*6%`GY0#ik!qlNiB(fOg?b=%GFFz+1wa=r%+Or({bKOd!anXX z4$Su8`ya~2s=nL8Pqh@ZgUcXIC=ib^*eOEF0snW33*E-<;=V@%vXp2cF%Dy}T(ACF zujj!pTkvnnN#aSEh&6=ZgE-o^7zHfh3JT!ge^WvQc6Ze>ZK0QPS|PtQfQ+B~1gt?~ zaPo+riX2GL9Y=38DQ{++TZ>>(gA(hUJ}*;ZaRW_1jzB406K$7Wy*qf>Y`%eFf4bKa zY;i(J)S|!$lyfU&odjyy7k`+G#FTJ(Yh(NU)!F!41y0F3Vvr1j2&M9; zHo!;}CQY*>;wcH1HLDmP9Zz9^6nl*$QRAzeNa{WCmn6=zpL8{fx@JE^T1{{ey`y~8 zHu8VTYaXsq zmfm_WzC;lx!Q?r+(tK0%SO3-w*gXZyjk1km18W%ZEL=k*)p?nO3rdrN+@F54Hiwv4 z|J|fbDBS`EP~;+gYjFy-j^E7;xRx&NB|}?O)QcFEnCN*a1dl zE#(~HpdgZKK8gD}rnVYx?)YpC_O@vz!|q`?I}S{PBwa9;)$X{NierFeIVOI-$rPeb zu>zfLhVypGze5A1)Hy0YX_-*J+ z(wvAV1HwHbQE;W|r60VW2v?xe$UCWx_PZ@4LK}pG%#ktRU!hmfq6?fK-18cD!3Ep7 zw2aupD#wz(l+v3TTwD~9KnBX%YhqfBAyV2#Bfi)@A0t4$!tUS`e=UQdh<@ZFvb&g~ z3Rs9VRv6kSI|w(P!9XMgAD!l7LG-wvDQ$A0;D z`*B3|_uhywDna!;vGG%JV!#uH&DhrvPO#|@5{PTa0EHuxVAv06`k(UW1|9)vPZwoL z7Dx7hzy=2upAF)}gYr@(3K|ywb!-9{xXxbOOj!w%SblA+@v0H8zTz-3tK@ z8t;Ms@~2rCWg(8{zm@+&hnl`Ysb~tA1!h4!!DM=?i_w5n+c1@AL#s6`@uZ7Es-=t} zN!u`RD+r)EynMH_FrWp3IuAf=H3a8T-q6Y?OWG1T&cAY z?@It3^@~g~YE9eriiuO&6Rv#_#5*YZNfITXGOiKN%j}bCV#5Ob%2CHw_=yX0orM-a z5D7<@jDhE0{5F;SV^^olGFQ@!Z|KaTN%`0{gN=|o4qPRHm5mtAJ+FqBI2^Rg3`JDE zSZ&{JM-`D8I<*Vj(mrLOKE?s%I6=3vj3 z)~M~i&1m~7)X32mG>bc{@rzL~d_Z7H?z}XXGtyQ>d2%JB@hp?z z4X2BO62Rt0P7h1(E0|&Ua4NzqM~;|?s}o3r>IW;Q3*YaInMjc+yJyA@ z-wAo8jxgp@Oeb6*^+g#z-b;*^f*;rHWpIVrN|i%?)EG32JdLYE5LOfl(X?!D-BF@h zIm3~2FuFs4SdaDF+#=LC*(pyTJR6c>kf0}w3fmZAWdd6UOG}5#UhF}=61??m^b06ygEsm{9Z zVfD0=H?jHW|450@SS}$GSI1!0Ed*c$nwxU3hl7C%FoW{{n}Y@nWJd`_aT8g1d3;w# zA>L4|L`QrCKf(_9AKJ%zzG)uyX%dl`*!-PJUMb}!xtdj=@U#vgLPv1C%m@4^RRCQz z%NlMdDMGopx3ieE7eNPB`J^=bRNr98S^>Ic{1#}E`-r~eb^$U*dxZ`EX@l8b4L3jZ zS70f{t0qS)K=1Ow=u$2i4MS0h6VMEbw>CE*EvDJOqY&eN9yQ}H8=NUvVM`a;5in}D zA1#aU=+Nv%M5Y{oN<4vYfFDWL^!T#?T33@U9S2c>7Zn7Ey)xn{>qTL?*ejA37BpMb zs*n`26741+Vfm026sD$bU#X$MXJG+(1Np%lfPIq=aq&hG7M|`C4Po>CclNb8k7El+ zzg8Il3E6qe5wxu^4fb59-586G``it%ju*~uQW`addn9LE{ey`pYbkkV(=SeQdjIagdyAJP=Mf!_8cJKh*%Hb1ENlkZ zH3a=mg&ScKdBH_D@}3R2Q1?{e-O7|pDKqLjJf+n~>xkNP&8`8EELWnEO}(*-MSMo& zUNPsN1&ZVrB8k0yPEOviTO0GGCRf%Pu4KoK@M(dh}T_a}mhd>AQX6Ddk{zT3dFLoVA2Z`$5`8v* z`0M2813_YPhbX+ws!!DnE|e8>D?d^q@UJ{)Jaj4o7jV!`%Vfq+QB*2@C5V6&>&oR|OKrY8VK)EG$4Tvn3AArI;{6l|Mc5p9dzu7sPO3e~>J=&3 z2;l70e)4>B2}1HHgV7#1+-d{DbP!hP>APg?a)=YbA!d>R2k=fjTHJ{enb>^Cr)HE% zL>p)vlRk*>*;w0_CNL9$Pbhm$4pI*CIW$K^xfgsqAr2tBTzcu6>B~#u9eFF-UxGoA zLuoyC009z3;u9xGiC&?s<6k~TsG?mHUtNbjO#TXV0#<%2BSR9iw+-feg2+xx~WetMt za5z8zFUgl++BvMxu;*9RlOx5<90I0OXAC56c3k#8j``<`cq*WtP!>05sFCgHEvJa? zFpbp<*f=s45@%qLK1$Q>jHtHW8MHV5;Fl>Y@F6+cemM1O&lhMzKG|2=VkESU2BNSq z!N~w=q^u!~*agGV*bPzJxBg1qnZ9j@0f1AWt0)ix{&(>h+vnL$ zkfM{uhu?-Tj1Nz?(J~r%y4MW@_B#tb9=^~!PgtbW86M}F_U~WZwgzts>`F}f{Iv@q zb5AR@!4IsX~lOj20A1U~!` zPCyd$AYKv!lJdlYxD8ki63oTNicjPG-^a=yLybJ};cXpD1L3Zt8H8d2dHRa~pn~H- z1lpiP52t;s!69!!kCPeFJKH6y>S^@Cy+!Xacgw{*hXvwUK<9;C!|nICleJ*lo58Qh z07>&G@ESeLzi>Tk&!VcGjAl$;Ints>#uBc}_dk4HpY+46luhgD;osYd3z^aaqWNt&9m)MLNo4vl#~7>-?owP0 zL9V_ud+{qzh+VDU!+ym8)-s5|#?feFHle6G%)IyEG2ZCO_ZWqFIJhC_LtDkquuCkR zvaz&s`VU-=%`18wu-m}Z2io$mACJ1Xw8zp|E`dQ=Tq7F^b49S`Xgw z&tGE(x&?If+=$Vvw~{)?sTiRZ*W=C$ z%|2NHooeQ5fA;!#Ks31pXk269(HU);kab}&2ox}Cj(w5DJkQAo@oJ{lO{b|YhA%7A zum;X=x=?5>ZVG&(29G zKkYhe1Kc8f>5)%3d^YuN#0~noovt*I-<;d%bJmN;4LMQn@_+pt*r)K?t@JN6Pa3k( zPn)HIL*$O(2!XZ^V0f~~7Dy)G#6)5it4ObMlw1zWV2X2iQZAh85QxgyLGMr=)*ru+ zoX7rmx|?h7OEV9zks&tG6|$f{v{EutVk!g?Q2?gpgqm(AzPOKGa!&4TdRfLQESLH~qZK9Lhd)eOn<9C4)C~_FBmE6Xm z@M3=P2c@{|Pj;PIHn{>|I~^|Ax!B}l@zVuC9JvZ9(W-KyOfu3Y!YhYfJg$)X zmnszOz*5Q!g$Y_MKQ~B%09OnnVeA(eMLGrkR-%@CYP5JLEt>{GsBz$J;b$A56u_8nOT9Ol3ka=GA%&%Xx$$~setTGcDGM}@Em$egSoGhsrksU3ilIS9$-*PTYI zm7`2}g=!x9S`Be1?N`!PhIG&xmJCj~(Gp@#wCh#`?*Mcf0G|PQv73D5$@_`5kik)n zHf^AHkOdLI&P~&Y$`V8|6cOz_vgq9HTcn2Nu;9$7Z`NO+C2|B=Quo4&Ar1(Ivh4qY z8IgiJEew@>nqPhmNAo1KIg*J{_i_%@3e8LL@scqXWY$?|Qj>Bjcp8ux&APJpL*)&`XF-J?eELT|RUudqwCMCOTmuE*B*Slr<{I7~m?Ot(9y4#7mK zQDWY=DWods*q2F?2e`YTE5$RKzU-+bmIOn?PPhBy$&p9FkvQCs0EPla$N5lS+UJnZ zY|{=$4t*L)T2*AhQ?(}vu+Eqp=f|L7EB62{Iro!ZG74m|qwTH!1tf|ViUXyJQNgHg zz%E-T97R-u9b+TwJ=mMo%%?v(rX9dh{xuB9i@xPRYVg1!){8~=*IZ06F2E^YSKqK_ zD%9v|w>&cBK>Hq{8(pzj>zXqro&2e&5MS&*8f5mU*dudOAwHZHYu(3wA2JEs)F zwesEgFV4j#A&KF+=!Abx+hbaAlPO&*`Y1#zhj6Q=?JDhC7z{^SFnf~U{jYK_=W6C{ zcZnX@*^xhSjo51zsoN@w15sl0w5>Z9ff}?khR-#q;Y*AO$CXl74=Y2%qBh8+N)F>^ zgEeX{CF84^U;74uJR6c*RVJft653*=7;ze}Scey-35(9Hvkg|5BVDI}swQPkwKi9K zx6-K~-wK-eOvy4ZRzFG_u04`Qz;^P9FK43!3ESF~Qi3r8uDwY4s**BHEt%1Y#x#cEdPCpC@{}iEYt+T~q zrCJy@U5l2edabjiob?t2p|_H?Q{=>vEJe_ZE6P&yXViUL%AKV~Ez^!Rj(8B5KyDTL z=?>|z{$37IiMpBhK6za~f!DBhqe@3wvDytUP>~{%ZClo|k=Ct%ca2LGI7?xFv4ou) zSGZvy4{S9WfX$47$*f-1yBavz{Un9tUHCodg_o2My!nUk%IDU!A%K}l(x+`ouT?G9 zYFK|62C}{+aR#6pn~FrY%_8|)oML6myk4zfwOo+h!osWyY8^XYb4>)X32*kAy#@pMYKa(41v(`Obf4YrpZ$x7Hv{46)1577H)}{HTmf&3J>zvX)@iMW9+)6qC8cIQ%?Rn}yr z#E>>fH(H3vNT(2Or!xtqPDc%gM6`|M4<;DBWGRs-#x-I{g;QwlJ}JnmTIbGX?}6Xg z29!{I6+?&u+AO9aXuxvd(WDZA4ku_P*Sw_H-qi_W>TV;q6-?5WTyRR)pXpmGK zh5cAZ0ei3iRCn7-?&s!Uk2D?1md*_FEecVt#V$q}W3;BxGb_y=K5*G2!%0J}G{-oU zM#+nw{O*xsk#>J8&V)Qa0Z8Ii1d=)fO0XJ)s&R)(#fE#-^fC{aONp&E1i7(^oay7E zfXC)|X&EKhOaWE|d$cav!p0h|+lDgaPk@E%Hf^)TbhZ^ppb$;k$i_>IUbAn2dZ3)* z``G4(2XwZ_qx-iRtQit?RUYv8QiTi|nL6`FC8!CUUJg5Dr+*NSUrYec2qO&BZ|h6x zi&OcSv#cL0Vy!jimD%fHGT5)%x!3<30OBPOyot>>pO>aZ9}rQDG~zHhA`I1@%|C+t z8iveY9-YwbD1)?l!Jg1I0R#mrL1k-g(=q4}QVSqTtAf0xqems+YsnTaGzTqeZ!dv@ zqL*Gg_Ij=t#rrnt(@v=L7}S9sfe>kIu%ZwafiO2F_(udft!B){G9H()o0Leyr*HKm z1cyJc0G*38siznd+mCUK1h-(#Cm_FJc+Wkc;Qm-m`IqU_B*{qySRGJGx4%;nLZhQf zfO$ybUn}MerQ_5ZbwhF|CH-Qz(JLNLLe@l76aK2OQ*MAN?*TC9S{%y3(F1e9KjhXt zPf+-nx2L)@ z$|X!s+&y~9JLPBjn<6-mpfzEY9-43M!hqV-Ai_O{>sKCq<4@zbCRaOV#iekNc91e! zDKP`GhdmZJ#EK~DUX~xrv(V&#hG4GuTvVVcm5sKe z=4-3?wnhJHhVV7}l2Ve=*0fi18GJ3^{v;GWaS8dTCpiC7FtwJ$zAz}1bmAx>UiN>> znpj;l)M(Cw_!wz$oUy<$elLSD{2@uH$r_}-CfFy`x3#^RkSxEPO?pRON!!Cir#(MM zs=KnLq)tckj-vLX6u&cX8;4o$*HS|1s0KVdw}FQzbab!jBVQ}w=I`C6d`z0zay$MX z;@$>2j_bPfd{r#dZ5oNYORY}1gQ2U61*ia0GRZI+j@D~;6$(@nhAjZ5HCRQl2^y3c ztrdy3PvXty#Pu50V#5M0QlTB~j57itNYUi@LzcB(&+g6`pnx@Y@NNnNpwQjx8`Zwk{Ud~h*TC27 z$=80k1DeWQ+iQRjzvo+vf;XO;aqGl*L0MrtiS4B^{J4uqyjV+WpP-s(XjVQjnG5 z|NPSmi3Kq25kc07m`p@*@R_kq@1Q4r1Y6CNB1nk%T-Z2r;dJ%l$$mt@SWU6k()Vs7 z;1+QS#a4h9yP8l|#W7bBt#$OJ=2C^Rrvv`g8~OvTbp$PtN}A zni`huFNM$t>5B{~9RmmGAIFrvc#=pvEXvN|h&m%Lgzg=psbo-uf?WZ^ z@^^>`I|aLNy}NP;AQSm8SZsHEyPJ~L- z0px^5#Ark*vK8n0#QO79*}{oF0O9Ma{{jS077l@UZLJ)_@aQ@tB9T-sfRXT-aI_|Y zRrtAf&YPE+TQe9k>2D1Mv@%>w%_5Z2eoA1f5?25=$~IWHG;$^RvNxmK-;>zI{cmJR z4ykHAaWG3wg&o8d6{ta~hSoiulMrmoJhJr5g*Bg)fE(ZvYA}a%UL=SKL8=%25$!2` zPvAM+@Ac;ah~86WE@(gMZ9aUJ-4!>)>f4%kjoD+8qojJK1JiM1KFAoXlTP1c>m(L_ z1PN0S)EBYqRnHrB8f!z;kthh%7@XsXB0Exib6<7*YL#IlSXN^mlFhHMcdp?}n0rPS z8zr<=H-pEpF+N#Vs2mC4f#ys&MmD&MEJ0&PaR7?h zPLfK+IZz+|H1jhu%%hHUxBBa9&n=`GWJbetMrP?8f%0y?c&2?2McH%>VKgks{dBaE zkq0CmH!4ejenU7afdPPCAU^hkK2vquL^y)0AN>jm66kVSq13Hk1&pA{h!oI+7$|A4 z$ebYj5~h@lII3kl7iKUc-b0o~sm>f$DM6v$A@ark+Q>U9&v1s8-^3D;AdfEAHgHp) z7nxsTH)^fEp4zBG^e;B&mf|xur&t0a^G1>9mQS}R2eVZyUC2gREX`q4VDg15cAUae z>x!pb1+7TQ!T&HLlpFO6qcIrbudN9o+Sw}!nI25u-~Jf8&olc8AM{4zCj}oqG9hb4MM#A{cmhn9pr(CaCbbf<46TpiyR{LMj41S zve1P6hFqc?bCRFzOJ>#90sYB|S0adLZ9V}S!WyI)+&vBtT)<(Jd>!hYyZXuneuE8} zg8#3w0CO1y;0s3?B_L}tRFSQ+m|z`;xk8X)p%O!yEn&n6%f@8~9~QNXg!ZJgYm7T^ z54s>B8qPg?SM`Xh(Ohi$?y{-quSzyG#^g!f^(z{Fu{lx7pFzO18Dj*=ts(4Kw zuhT^|p^;(e(PH6Cqd=j2H(LTljOa~w#@E>NO12}`Z~yU|m>Xna)D{6=uU}fw9sXWF zNH{_ZV}oVx=u12WKv1IwS?U@JL2fewW{-t(wJ=qSLSk_Effg_bij>819NzYcgpSob zjL0wk@s>6~BXk83LBbS828~ARj=j(UE=CTC@nD6w*ZcQYdF-m#9u(g-HMtQnYpmny z$N)eCTE#GuYbTgl*0*lxfA<2J-C%%Pz3tiUctQdK7hByI9Uu-rFJ=U!UvxoOk71N! zy+H?<^3A5wSUs#RlFj%z44()UxC+f9nffN!W)_Va+eFSfxD*YtM&QZk`&q+_3DjnD zgN0WiL?TndwPll%zmTGjC=r_y>s}xbF$|FbhMDyO7nzcuXW!pm!ut1621l zfuJSQ8XqEvMZkDMBnh_3+Hnay9Jv4z+0XJOSKt4cw+N&qfq*Sduw{eg7DGwBQnd6B zYuLfHY8EVqnIT5zUh1%d7*h0FQ5M3~2kog{Spp7&otTb^)i1>bo*^L{yOc;Vg z^o=4Mo0#cH3; z1SP@KGp37muTdhFyz12+y)G~+LbP7ZYVveUoEKyiy@GmzuhEHw;zutapQO{M$9~P4 z00TiVwjc{*s6wLlBd^*lWa#f0sP&(Nc@X&ytsvOMwb zFy%3$XaI(;U`~bJp#C+sEMNZyY2jEpyKgUhnDV5xZ6Smb8|^J55W~C6k4U+Q9X7%! zVN|%7y;U)9wmNTo$R6npGm1ENqeUXHMq;4=yNig|(qBF2jlY-yPBYcJ-~KP(j61=i z#p|ihEXC-HaxnU#i#&^;D_Uk9j0XA>smoXdLV|0X?W13}78oJM8T^&4`?W}9*M)>{ zB+lgZCGEboiRQ%$n;Se-+5G2s$wXPgNV+ypl3FhHiww|mAE`9vB0Mc>4%LL2#Zn-) zlVKA+@mtC)r4uU4Audy6Hu2?N_!g}oH%VXyGefLp1pAI8*oPk3>3#CuZyt4Yp||EH z6Q44bi@PPdUBuXot>^<1@i8t`93Y3x4sc&G!e%@|JVSm_>e74`3T-$AP7|A&Ucpd1>z)2>sBeV-_25JU zyvo=L6nCBb2P0AknkeH2)=-w(JQ!(?cJ71G1(h+sOB=AtsSX^VU<9F|FIvpp&g3Rc zM2;n^!JE&rj0(wh=dY4wEl+bm2g#~NDFYo~f&^*LU}AXbOIH%HlkwUyS8^-CYlQk* z%;;;%7%L^q2o816A;O|I1S)_5nNZeP0NoU0c7`1Y{Hq`T03L^MzrGE<0wA*~FBsRJb-k$*>)Y_Gz6SM(Lpu=JAu zJvQK)Re2FOu8mgNx9;@v#_Y-uStsvSWvL6Zf6bs=x76fG-2e7lV|S3 zFc3i$?7|y|3a1iDvllL4A=_W<0=Fe{FZlKKo^ubZV`3ApOR6(vNw$sTA8@hE2Uv^m zMw_wE%4Nh7FNPsw_^*uzj4zut3&4P0>W1r(6J%9r)W&GLw0^SsQo(>cRDq=44`14$ zM`HP{X8SAS4u zFKu{IY=kZujF#-i6-)zq2qkQZYHE~{#zh>5GsM8W1nmOBjUgr7>`o8aIE>-T*kEV) zj4J1iBTXs=iOHiZ6vEl{SQ&Yeq^A%5&d(5-6D;vs{Xq~!D&Q^&oSFe(ER0<6irIOf zpLN<2v(>~1#d)~iEXqbj?|~hlPXr4xhP`2hva^Qz^PK1O$?6G~UFh~F_5hKwB5I2P zUQcBaIWy|OeCX|HX5=E7_i zToYW0xE@hR?BI3v(q_A||4o}>{Btj{0XgHbWtf?;VKKuy-C2zkHTDMIxVw1Xn|l$Bb-`;t>eXJm`9ad?QC5=nv^6ebOKoMDfVhduzn-kq(zr~1t+3Cp?LuW`j=zfQ(OA04NjJvC5HWFyK zM0z2!vy37}l*kWH%8=wJ);W<1u#hz6X@u8xo#zm8$c$_9{mrN_&!VIpFeM%%ZQ}F24pH`B8Ux)Z+gJpCiHOuoJ*D!{vmt7iF#vw16UvCgkdi!Owxhi@!J!y zF0n9V!eZDcK%(!EkUq%M$Jkxk5!8S4@h_AeX-2Opz@4(bK{~7&63damYp+GDm%z9xQw@uz|SE`%Ot3wu~X`YGK3Hiehi*#Yrymu^oa1}G8KSiFvFY)Bst zTVgZ7h5XRHRct;GKNha9ZLrY2%+3U&1pnfHFfKKru&qdxk$(fbLb|H6itmimV736E zpLEpB541(hSyH~n&sGmZPZu;^BMZW_<`B|%Sz`+s>fJ3qff%xcpwUO{D)?f-97n2U3}-~7kG*~K+}>^f9( zb3%)2%$&j`rc>_u6D{elYc0|&P_VjCxA!q!X~?$cwA zY{|A2Zx{6wbVX6>q}H5KE$Et=h0Xnv#DU{WPp(^y(i1Xc&Pzq6I^(<(D#>_AGnr-* zFZ~D+WVubtMWW@AH(6X|x`_R$tp=U+z&p$#BvR!*Ip@$u8bJ?xV=8^4KFHansAxJd z;@I}3+$1Kf!W2i`e70UuNDaU%$@~Vl0shGxS+P#+pW_=caGcEQGjkoxbH3 z2-Ig5#{ylj06Z+RQjbQ zCkY$VF~xXUd{i$6>{hl)j*YEix^7Rjipb0&?%|{~P*Mah0=Ho|s)Zd!VG#s-!=*D- z6^^XADp(Em&bVyny5g4NM)lq>gs=btRxuttLUDS*CTd?i6LIru&rVdzk0x!p|X9QCCy{!A@&OXcubS}Wb^#Hz?sf;leW*7;DyNu*}g zfW4jzy{HVj2EovpoAE6kY}&;@DHxVafk8VCgT@ZE*Y)D^9=m)#8tk_lrNfb>e0xgI zx5DClJRdo!igPA7H6Xonp5I1?cq zL5>A61Il+--92lr7m!E?U-C=+$^`**!QM=*QFM)NnNTWIAS#g^|dzL8ExpSppEU`)poEwR!kn~&en$vP}LpDLGv^yca2&d9e0JA*H`3UtNc^=vWS zKdtUrX+Hc;%dZ?fuo|f_(5z#wg!cYmA+*Q$MWJ*?!}tRw9pGh^$C|b}<02f%3_8Ir zvYSE`joO1PJD5*1kqjERaNaF0HElnQt$}fFaNW>9rkgq}KT6_X;oAq*YLte@^3YL- z9G%ZOi&6bAZW@AkgT=lB<&_|gV=+vNqnQ_m;9|$ZRd2PKsdWaUvAt0W)R~%5a$4UM zL@=w%vl!x}sC4W>2j*UlbX3gN2_QA>btZ)Q%5S=z^*FD__ORt2X-(hUOmB6_V+#+J znryXs$QiS}WN&rz2Y;c)-Ry=7F%^-Up1BTesLM6?NOPXuQfyRI!=7c&HMJhD&sR`3 z$zcF#X3e5I-VG0glav`hDWMyE`NA&OK_SAMBA0r>nvg~3Q)=?DdR`h800 zwi=CFlaZI@kASnOiD+T}JBp}rzzyeaxHaa9s)IbWPff(^treBe?U{&4TUXl&IGY<`Wz3<`!iuR>+Cc&9S6uk6Do#v(X96VbIW0n}2*2 z?bkomipP_pm7H_S7a}*Pu`Af@>1biw+T)Y)sbtT$;u|jPDco#+a_sX~aVeg}+AnyR zoKtq$77Nb?S}=WJrsij>E9!Pw2o+kBWh+c5%^Fmk!)b}3jJ-GZt6ysdH+~{^V`p^7 zVzjmhESY&;gN!s>Lg(ls6MqxH0DSg?qhmOSH=6 z_>nkVKU^t|-EGFKeg>AY~Jknf9;w5(?ViIX8xBS`x9T7qNd5?% z@2aIjB?HaSqKw=bv5@A#w+E|*mBlONlAl($W5y_b|G768ajZsL78BrD>I}NY6vSjg zjAS(v(n{Y56033ukf7FQMa0|)POuwJ+b(CeBncCOR9-M(`_`5*RdYKVX_{$OvukN{ zKMayEYs^{WmN!Z{n~%MJq8MpL>GooExM9c1(R3nC!rTtxqt6v#ZedjEhU*k?7j{xM zvOl7OJJVBp%R#4NC%cHsiZ#BL5GGHrUNk3i#9bS<0i#ZVxL{L1B4 zrBwX*FSiGzrC}~$NUmZDV2$mB zrWw{2BP!KJWbmvt)lgu*F%RTUmOFZ)6+o*2CruvnY~tSmk%Pg(#8#IgE*$hqhtsUN zBMh)PaE+wUAe)J>+RIL);vk?hwwvb%{xk-QU%^fbxz@Gaz(cer;=E!v?9sHk2d9=~ z))3w=dsAsr48XQW(mZp185(d;tmdVLs8QP78OFC%nI5DY4J4%El(W?HU?ix1q!q2_ zGw1?4aHUz*9duO(-WiwqXh`~J%$=nu51imo%MpF%uKN}N?Cn`F(aHkxrZ)f8r&Jk( zaIk8J$qbcGGy}S?0)*0c`+~;7YF;VL(1P5~3hAdXx|fw5-Jq-J$-t+sGW^7d5)@!$ z&NRz$)pPJM)9uIYpjapZM@_3FR1 zs*S>)cOAVtSdhiq#|##zgQMOfRZKuzp{xSx^WYi9_%a>SUpXdPtKN_`bgnt)j0X{@INgxO|O2aOD3qShwRirkU2(k7O-x{*Y5%WPI z9@xY(yl^nanX@T|^&w%VbkrcRU|p*aS)oh3>H-bcXu=LOf9sG@O&iWM;>&f8NePfu z)nEU2+hzufN1~CbTfTWVe0=IyH27>-dBlwdzg2we{BQ3G-xH7CRb=h;cLX;Nhu@rR z{)&t9KbVz2unaHFu6@(JbGeazJkFT1qKUC6gFy~$U;9G!^NAA-?7)znh>L-=q$k2! ztKuFDTpsjWbQ`v7Ht+_9N4<|`&k!$uWqn_D*G9JF-rVm7bHy)K*Hiaspzp)~JD$1+ zYpK?s{AzNnIrwPw-2VOZ`)?U~Bpi5Y&vQ{QGL;5D41VM<>G>C1$CBfp zsDIo&k_Id76!a1WJJ6J0me;(a0jpPPY3nRw>JA*l4wz=3SIU>eY&mJ(6y3hkJT>#& zzW(&)yLB+|3Gd@aF1OB&Jy)Gw(_hF2rtdBO=zx3tSn@<|;Xr@;rF(abdk=Lr57m`U`_=@hBFVKl4T zFAc5`3T@sWt=w4qjb`=Pkg)yOh=giSrzv%(QulQ8aP*_YUuhg!AKo9oFp~^g-oSGB zaO-`?XK$|5C&!O}HT)AEZm@oPI{ajXkiqbue6Bw5t@Kk@t2^8OB%Eh!iGNQx;{%!7 zzMNH@`Kc?%vlly4-yd|^pBV3Jw(n|<7SEiU{Qa8?w>|a!z#UENQ^Xf$U9#gMo-i>V z?j$#D+d#`o7n4&#uvmGn`K^?-OilM0&nk#Iyf@vQ>0-|T7Bhe56VWTxm7slBxcmLZ zXy12&$xq#ptyE6UKfLmzblv^N%<-?L2fW*7Mt2_5F29U^@E>jGsSDx!tNqmhJDfPS zyYldyef(B;;+3K0U0TO=YvuULuJ&EayTZx!E1^5P60i!fzyCN%4cTmPs(JKgEo0a`fO8LdrqbQH+8RXe?CV84s`4;)G|DreXa0n~G% zDE3Wq0P@9vrXk_uSEy><8a% z)Rx1+=@SF)t8v`E>(K7Xsk!!Dv%9Q~LtouL)ky|dD($IHFVg-_?2g{~1E_l>-DLIn z2WA6EJi`he`SAS#n#eOO?t1ySQ=fb)*zB(z-?>~Fb!{GrQFm?r=tvxJXY{m7nxCKC z^(i{juG?}sQ2M2(CPM~cW#AD8VdDe$1THT!1f@-{{={o+IoX+v>A~|m8Gz!&*~xo? zg%<(G$DVy>Y4oG5=vZq5TM{ zayamIx})~f{S?w}P95W=S+h6pJ|>g8-f*`wxfRE80qw@rJ;B6N1MbT@soO3zbuD&v zW=du_AUrRYBF``wSk8*JyPS9%9~fkKC$rI&_w$JBQAeLD-ktf$QyHsZX2yy&c*J|Y zS{?h*7ye=6&NPlk-)o<0O@w(mm3kBF1E1Z`9z0HT{Lcm>_QZTD@J2uI5nc{K-G`UD ztv$3iUKype<29I90$g_;3Zr0gKedkgmCV-BfX%G~_QN{4D-76QG?WJo`H5>2V&F>i zr`i|X-I%U*+uQhe;j<~*lH8r~^R7d2&8DH4 zV(x789ZicGu3c-os2qYb5@YH9$ulF=1K$6bR$(059sRZRt5a-bk=0p2aoe1g(T|59 zYU(hE!5lo`Joe5vW%;qzPH!=c+ojzVh&Q{tQcuQK_B~Wd2H4_gawWL!PrQ12VOccJ zV&#r2Np%^uFbO6P>RFzCg+SlMo6^M!smfF5N1Z)My)`*LursV237=+%t6<(L!v;;^ z1$!4l=jt|3%j1LWhG|5Q6`BON9&y=C%;Dry_ZXw!vmhjc21LD-AweN_jX>q3HDXdg82dRbx6E(DrD7%*6Kr z7CY|gf|Eqv_%1uMsaVvD6QQd5iS!G+2A<}_HaekFQC zQ_+IcB;C}w)m1nB;OGU=m@J4xjW=+7&ThX%rFv+4p_$Y!-`fG>up3Q#qB$e5t2gb& zd{k%B#&nwaFCJryzvFh}?hxml>T9KH>`sJOLxmOO!PP~U`L6v=%y9XQIqgnNX!Pv3nk4Jt!x`m{G`*^>pW!?|mK6kpfKYliunLFM7 zWc=?BovdD-eytt;YV~&gg>U@Qp51Qk@@>yFoY0HZ(_o7t#I1?H23neOe=DGSd|Gz_*>@W?@ehgXaLk9tc5IRFYi$Ry@^Q zjaG_m* z`@N|q5s79BGA0ni4A_^aee#jCwn%xby3JkAki|pof29asBoFnyUN)a}($Hd+U>wZq z=1?1tO5gCKw;uuZQffYI;c1tG#6Q+bia}OACt*BT3ULZ^cdQfu8R*8C;{%jo+7pO4 z?}ZrAM2t3JxQV=yiPg5HWw7XreD zAXq=X;Vnb~8Xrkj*$JlsS zM>?5HkBp5A@R5|Ic?B3=a5Mn=@Z4RN_!;wONkJkKx;JH&;J%7?h#ObN5~4J?C@$Sl zO+j1SZ~sqL?nZd;vUdPZRqXDu4pTx9dBA|Kn>8G_fW@+gTvXuVltu!}570~rUY#V( zCgqaE;ww!D(95D|ocNhU{Qkq$5*2~``zwhs4RPiovL5*0I46T>!NzY|jo_nFp5nvl zkz|ZuC5}2Rk^jIyYl#$)!HQX;DWP0)1wY^x3OlNO6!#ggKyj;us3O6toH&>;E+48m z41f7!RW1M!2TE;+r;>&$_Po`qpE`|Ja==@Q$T@Dv{&$tEQz2!CRW((r%JFIyUM;yC z%og-|)dP<~SEG%I>?puV1y3$mO}9u3NGtU%MPAxOuhJ10_OxoR{)^A3@s>5{Hb0fd zlVKQT#qmFg$k>ft8*Ur)+_YM9&(KVWSPTO;tdr=+qnTLp)@+2TU$2H^=)H zNaKO#Y9u0QaNj+al+*1ifN!;Z+GW+K8Kg=#fAf%=ix`E=p;|-)A_laRQe0j>=7nJODoQ7HH=Q=ux&{ zeUmXlNofY1eH$~U;V{;Sneqb%FujSuBA`&ke*?zJ9ly05M8sYxbO72Qaq*?#XiE$B zr198@P>95MltK}iZU`^Bi3-Ls!ugEV#BLPgaObP?|5sopG^=JvH1~|I>kfTC;LWV`k zE41XQF8Ld!JO0>2rEMQW2e2&(8dLE^v^PxJ&Ol6La!0U`6q>=LV5vfM2o03ZP;LM; zV+n03&cwn+9q`$-BkhhL*Q@5{f8J2hoDE61AOaGDzfzmMzqdz9`3Z`K-R&%B!Osdo zlUEpAcwEDqSQ&FQC9(MU@njFS0wLFv82%>r<# zBDcnqZdW*u5Sd?HMU=uq6#>uzY(SqzffgdGA%i)6U|Oy%EV(v!az}me5ewC7da2i_ zO~R0x^Mb4Q_>QreY28eQBrWD$Y1bv1VAn#Xw$% zUNnkS1oWXa1D?$T<_=Kkt55vbxh2X)7G@Du*nYUx3R7o~eYE8*;4RUMys}5ed4&6r z2d8s|c~!Z&){{YBpSYpTt!|6;yvDSOVXd+!Vf#z>ASilt&L7@A54 zn~y}F508af?+%JE2xe#Z)^;Uql%Wg7 zofvJ7HIK9=S{v!~iM{c_qgdpFd(IEfg?4+tw=;h3w}*G7)2-k`!P)8=@0+Yt-0Pv< zbz>H7LV&0=U#XVnWSxXxiLn>iP1eOoa@=oPNitqJ$AfLW+IqEEe{KeWgoAumPOc{l zfpaQ3L~nt1MDPy;qOQ-XYbI9!eO@eqJ6rcL+8 zWXCK%)f0ylXbMCWvTx(+xo zwQ>BAH8Hzu>Zxz6hbO>QcG>^yTibsY7BTMs?*}ZR09W~__nPO8MkJIjvaCV?tIE>8 ziEPtzzmbieOXn-o+0&n^Tqtm<)}HoG63F&8`rJ$F37ew5|6o+RqHW-a`ZuyOY0>U` zpeT)(PU*HhtqrGjVR5w4SC0VA?&P|~q#Zg#Zy0mx`-=7j9-3_t+&c7B_8o0CPyaKv zqzX^9W}gl}8iQ5r4#SN*v!L-z_((W$Aq=3=73BMJ|74RaLedq*KW1@90T3ZB@!aIa(P+&}vNLo};#4J`` zNUSlZ4Ky_NBDv9HMcZO8e6nm7Geo#k-Wn-Fcgvg1NmUi<> zb7x^GOJA7Tb~FaVips62ivxDN;a2S^7_zglaaS1D1;Ke=hlxEP8(4A)3!n$K#h`dC zv1c00qLS~dRr=~c4g3bms3z9QVUSH^xDYc>h_w!K z0r?6HJ#dUnon~NFd8Ohd3GhUdQLQ*2m`R`HtP9$d9X|z% zhYh3NpY8_@sarsil-`KgdBmw#N(|XfjMO=Po5#^&mQuwFYv8KIm~$Gsq@1NhY1nmb zTFN%3o-xeDsDVALEQUakI|5BRV|L6S-Lh^)K_ji(>#)=JgbSFI88Q&3g;9r{d4*OT zb;sHyYy~^Kcv0$1Ttu-Ljo7^9A_Q1ur(m=mmZMKPYMqB(j1?B#l+>*;dEsmxA*Ebu z=Ksa4`JewoqY0zikm?ps^BU@bIa}WnhUHFVN34!3NSfHum=5U?odwF7$W)>4gReH6 z2iV=cG;uM@S>f(@;A5b#D1gSt)SUL?}8|BrDn26>YsY8T$%| z841EeQGpRS&a@`8tN)|;LrmXcmbbPAdeBA8_033p zI|Gv`)O$Q4>_xU0r6w7D`93hDcrL+y9bzW0TjNF!01}IJMh`PW+i=Yp@I0NWai&@U zVX5Q?cOKQbt)o0)E&v%~KTz1{T&iZ#*$=AX2?35MJs|{%4A^C-aW3UPIsqQa3_OAiI8 zv&pd@oOEjsc>%`(PWtKzmVAH$y*wxY&IcD>4*xa;=dS^i{%$bP-?fnUUBi+Fx>yn? zZfQO|`r2nle*VBan-6Dy`dPrFcQ#Ld=95DPO#0K${4_`^9W%IAywU^5z>@y*&jlEQ z) zq1C-Pkhi7o=SByM{-+x4NN+rU-%x*Ns?4#AEzQh>m6+klos7n$skEIqb;Uj z7Y`L(%5@Ja`0;`Vfz~Rw9TY`<@hPWGz-`HWE^p zHR!gUrNiQZp(XKk*!og?G}Is0OMC$cKCR7myLI675TmX^bR*9o6_@b3qFy%}MEu4m4Lwsz>e~@D$_be)2qW$ zCGGGqTqUK5(GaQdyozsW86z*oGiv&+r9gV6t%KgM4%&0t@gpk^b8Bj`>MsXx{|gd> zNEsVE)Qnwn?aTGPWTGg4WJD7Nr<^&mf*=b|En$f|eP}aVqW&{@6xOWv)AjZ_uaRY; zRd+$3XbXcP^&i!=!CNOwBi8Ad1pA!LOdJck?_CL}!1=mcP(;EM7Ecw3jfPFNP ztT~ZtdJHcbwp1CQx6p{;%fzxUZQu(!mb93VEIgao52W&27BL{dMdpkjMx2bl_*<$) zSzS4uNL2tbb2K3hC2eGqN(C7UMox?aSO5sIum}n;k_W4*%tlqxjT~hmaEO2(1uk{I zQcd&7T8w<+K|YNHk*9i9-JIXY8%Ks~E-+Dm7hO(EtE6c&1t5x~o8jCeFS!Vwwm8NAdt9F0c7nZB<$Cs7b8tJCl|W_C{b^a zApX%risGO{uz?w(?iq3JQ4T8nt(-f6%6auI zp&OXN{8(-^T2?^v9ZS4eOArw8b=o$0dU)K3dfDq>?SoHusnadG90No+1;g2;9A_D7 zDbnzY?=(4Ko3HfqpS}ShkY8L9t;~y$$6gHdr=?xeBF1Zu_X0^m5dsE7XHmMu0zfUt z@h9mCB?vdcY*LRe>emfb>f7;R+Vlg%2XKRL`>+1nzm%GpP~d=upu$WF#3)GkW6Y7t za&~|iq@0EYM4g#YE;KqvDlp%8@wFYsO_;jJBmOoSwAk=n7x|xAYJt{x}50q8} zLtP~p3tJ(^*uD&q&ZX-RO2Y+Rb~07K1!!5*o49CFDJ{1{PYu)0s0F-j$alxz-yyTi zOc41eiGv^dXti^)YS&&GJ6Y`n`>G$-hhJ>%>%U14v}V?h|3UTkrMZ1>#DXDU6IosJ zX!SH3i8qUay+9pZzJNVmoeQvw&s0GNJ5YIiHbFN)Z{LE%NP_FP!nxm$>mh77wiMM@ z0!{=g?rkeU9?UJz)T7o)dSZAbJ=i>PB9wn|SpDRER?Dq*UJUglAtkqVC9;UWZJn;UG| zeB#dzfB1WSb#~y|=V38ID;`frNJ3s}Y^5;-xKk_0lo`$?!zl;hvANWj%@_s1xuQXJ z%<|LTyUT-Q+=4}B6}&S6cr*>`{+D&nEHGO}%$8l2zJ5T-~(4%)Al8Y6H zLEZXSzX_`IW$%5NKl#*%vwKpF7AHT)CVo#t(hP4^=e&aLX0#bod7&uPh|4Z+M%uwbsTOp|=>lv$zp8SY*zU_UT#VlOR?tRb} zc^KXXnQ|LJg_WB3J{hV@UxM=3qc)pQkRufcns+1`5-4NT`TXP;MXt~I|EZ$B-)-0g0r3ErTjL9#wOMo5Oy(_e5@g7o^_Ah2w(M+tU zgp}{$2b)3*6*O$pbjZB{bFxUVb2sV^((}Fb0QicUd6*BK(10-vQR4`ZB>aq`zm!d0 z%;DjQ&h!1FgmDYeP1K&#F{)%ZXfyTjA0%e%$zP-QfG$Fo!X8^WG|WrJk6*vru3?p6 zxVDn%i{zmwb?K19%GOb5wNM*(cbGg1&A4kdaRJDH+SSJ8LymBU2jq~0jIIL{jXHVK z2U@O|;n6eT5dtbe3{0-hVt7!g#?q`BTiKfiSJK1eG>_=|3K2KqKx~1KOS#Y?Owa#`dH73q_tx59SFr zk4stu*yItq-*)`UqbU*3M16WSf(>k{@&G*uB@oEF{QuQI_2%Y3JGGnaCu{ye)gV zwUutu>ZRM|_o=kWzY}UPlK1QWsp`AmNlE4wc?l?;@%q1gMBHkOx~)6j^^dK3TxtFz z4=a$2ifrI#MHMtWxWH@NiI3+t9>gTXm&1(&(7>Ec=B)tJlsrXeooHC5)=eL}x zqE}E4;wFM5fCf-&AJSA7pPCS&=6v@6STwe~za~&t`Kc*v$2vweE~3X$urV9M*HN+B zOdnDEWy2Mt{suf7;Uvic;^F;UDiQOVWB@0jmq(hJ^d_NtjBge%u*ye9i0cg14?-Pa zjXV^Wi!(#q%Wok~JYyGC~W)GFQ0| z|ED*i`9Pv^LK4Oaq*#`3BzsbH~SLL$Iv7J0xe^aFS3C@DN~GM>RZU9+Ba zBzi}WVnT7EXU)beDiWIZ2_|?*}1^ekc;dWXe|xRAoQwgjkcGm_r93GqMi)1e7mm;yY<6sIkb&;wad1()bb9Ppe%3!I84iUUw z|9?YMdj~s)Nb(7+!vWvW?gCOJs4KZ>72g=8!>SHoU2S>urXNQCg#fvRy8f<~mMVoV zJq5q{-SEV96+N+7)j2I3x%{;`i6n96E)Z{wdS@q)HK5&qKcLG zweNRj|0aFL-P)PoG{y8n_=ls+Cgg>*!jPLc29y`RYEFuU%++OfhBu6Wf)Oq@m2VEU zM2A3dG6r+GSXfvNZa3rH{R~7)5un9fX)-Yk!<9Qphx_tJ z`quAW_Sw%uuGT%djX0)w?&dR+)pld@$#c?i_LK0vp86M>hTOblp z36fTSydsz)jMF7fM8VRNtwTyLA7o`~NuKz|+$!QMs3r$x^T%@W9M0o=Tu?F}XuULo zv&y}6@Aek;%M-F!YWA*5J&lnjC?@P9T@{ZUwKeXIqZh$GFL;0$Yyo<<-<#JJjFNLu zndl!mC>Fh1&;i*Zc`&2FIz1+Dv~F0i?@G#MdMD<55?ejL6$o1ChWlM69vYKe{l+o8 zP|BkjI?}FQ((EoE)$ir`BGQXm$xOGzWx%-Ua&`hPt|7_^$&vsA%;nKcBF}-J2hQ-d z)Xya00(aEnmzm!5HXawHwf5bw#TJPlS6ac6yC~^GOF_(X7u)j=atn3dx3Gx*s}MMp z1pWd3AbDU2B4iZU3}Bgb5<~kgN&!+a4;&!?26(^V7Wfxi_yivWi2&-hNMKpSC)JX_ za0AN=ED6wdVG3f!AQ-5Dy_FyTr=P(YMQ(E|C~n*zR_ARMu^L~g5t2fvp1t!#Bethc z4`wX6%96%MGiONFVt6lfKpI}WFnQ6xk`Tnd>ftEmm1wE*nK*s1gKzlxlg-lZNnFcP z{bT`j5%chZXT0S~F}&f4YC%dq@jqX_0rpTa&_F#%thc@A&9ZlZDhQgf zR>!yiW)cy>hV2oOX}kl(g%vcNoSq7%K>{MYCkYfJ^j)i7Y&$qky2V1C;qW|@z6#rz zlm0T>eunfqY+2!L#`f^k?)NLnXhbT?EUV!$qlE3mW(Klzkc=&;2q==G@7gy zN_M!Q)(nXh(;X59NV-7J1tMs4du}LSDNQVF^gUe#JNd@isG~_d0nzBTfR$si$Z1ku zBx5P0n9Vp|u)<-tblb-a2MmWomd6=<&tKkEx0q{kYx7&bOKt>Gy~;WP9`NnLq*~h2 z1Y2P~!BYouxk3{e5TusnJ!}A(u|#MCU@p0^pw>5dCQqiGXD_&&VMSQR*g@4MLZl@Lr?C9P)t{U(Tt=TV|Sc6q}E9ODH29$0tcUP8um8}?$F1e3CzX#^MsgYG`6$~54!%# z+U60dd8=g&Jt*ubP{43Ff~;@eckJjq6)aehns8(4k^*r1MN zP;aTt$^F-32>*XM|9>j$b^bqrApj1{${}zAgNN|_+c$1+K~2aHe8AgJ9*In!&UNP) zAf{Vf6aF97^A;ifI_4lM1Pg>M@i0mx5YM!V8zthUsvk_RFW|bee#%D~G&zJPe&V2B zxC4IM{5MbJ?4Wr@0ylYrDwCBrhH-cm!wd(8oOp6(M@tWQ_%li71C*_m_u#+jqUL19 zc+#%--w5h#ptNvf;kxrgph{k?+d<*ybyJR z;b~IWzJlGltV5J8rWS$#)E*x{Y5Ax&$dsGSR)nL27_GOLh*Dv zyl?ENM|KlqcMBP!>|EvEgJD0KeO1_=Jw9*Oy- z`-*6?I~V_wCLK1TmzD#kS!q~_`s7sKyDKYugIlU~N4BW3?>hTvbo0R8k3^ro@gsK8 z{Jj&^`SVj*-rTWs^zhj9p%t$(+&NHfADP=_zr9$}tAF1e*?z~tv!Ram%yz2rd$OBZ z7hY($zoaM-VHjBz0x77S_r@H5SbJt|tSx7F7-uEP# zjBe$Y;`7elO3mK$O8Tkf&zoWD-r-qu_Rx=txEeq09_j3P)JwsJIojW{j7efaYs`HObsd2CL_R9JSNXV7+HFsLQHAs&Y zk2W^K5xLGS4Sl?5o{fPx$9a^fn~d*Zf2b=gv2xB-!syV2bB(nyrP;AQliuFBu0*Wl z@P_LyEIg`?t`?OA`%F3_MZRIR(3PQ$w%QrrYTZ)Y+}!-dPyV%I_ILi_lQ+IWI1dgN z6hq#-ERUO^;B}45f_Kz_wZ*;SSI6jZK8eak4YLg>VRU;zUKDK3>ms%^79(&o7rgC{ zVN8nUaAgWWP|TMeaDb)9wC^wraY%v=jp68pG{osLhcK$h5R*Si4xIFDH~;dVJHCYp zPU)-YBub}nsY;9w=??3uWFZPGt(=THIN^0`pbe%T<6Qf|1KU6a7C^#U^Z{=Ok=dWm ziLr_3;B(*G*Yo6%HRuAJ&=I^fA`6!~uSlZUVe(^5FQjBn31XmWouM52O5pHm-LeWS z8``MV9Oc%?_0`OE)SNYtgY5TSdRQhT52lj#XPkUBW{BscNqX)Gq~TfX>o zg1X7iBLADaGP&xy!|36J6Wz8ay*iD_#@Q{Glp>gc*?Wz1{4o#UnaUTG2j&l>@##P0 zo0KNGFCO%O_}zB1O2D*FOg*W-+bdHsvxzylFlS(|9i$pZf-HoQM!Eij zm6p~Rp^|Dv#wbgEX9_bkkzWl!)sTpZ$z75kU=$>iTf^nHV1FG>%Gfz+AJ`Eyo5+x`21IWDdVpk zg5;T&W%5JLgKT(U46A^sG>1rgJ(sLU=0N$?Hq<>;N+em&BYAA&y}H!}X*OU`HUi_aAmzf=&xf3;woLr@4Wb z%)upc2F=$I`#F>`pt`(8J$cX)=<0>vDYe+%jN}e2HbhYrf|nG9R3qgY_EFF~ zNhp^YfK`m~*=i0}$TCvwLC9I_Xv^2u0?ihP4CR4NgRP532R%n8Tn1SlP_Z1i`}gOM zyKW2$KerSd98Rvh_bpIPmh=?`Stt#RHAb@6#k~kU-Dx{(U~_`b?WM)Vr2_aSsC1GT zo8ux|WPs~X1Swd|T{*^SqjO9v|3jKUk6VzYG)QjlU>t_q>S4&@p?cWbwai23Xd`2{ zdCU5umQf>m(=~T{Odu4L-s3IvD+j}J?LXU6VlDQ*;@Ht?Ba3nh?ZQt>fyT@e_~zQ* zGgK$I&d&#*e7FyPj=k0eNh2r!Y}mhcP+fWo7-s0ZIw@_Q^Hwo^6;BW&l zkZ6*v#T(8RTWZs1au;^eLKZW`|X5N>Qd@}^sgI>^p{w<~#yfc5aJ zwIkpQ@=O38`NIS703S}W7gb#nG<;S-*V)>dEh+c{B?Q1h0%V&GiH3t}@G!;1z!^N2 z@(33)58RHw%|mKr9S4AdIQ}3VCc{Ax5360eO`x4{C%dt+M+i5Q$WV#fKmc%#1?WQ+ zw=D_iL+h@7Uq;6W4>Kv&2zjx<2i!+~k+|CiR^W;xYm}~QlKJ)aM6jMry$4848Y_tn zG_g(cvZ=V&Hk|i1>s3^E+eqnXiyb@Wq?@S5#b2P{m^1_a_NhzLZ}AZ{l?zLl-NzVQ zSwbZ_XtIWd{{k&+NZdy!tlrG(3`H(uxLDzFR}bKl@IwabCh&($LVN;*>OmtaWc5;s zt(nyDGY2ijS}I;y-^f_CO~Bp~+4;u!W>O$r2q-aJzi# zwv$EC8mJUreVq?-Yom{+lxzTsO=q%_O?Uz_0N+3wd0~;63@MS}aCi#x3?c~fB2plf zsTk$%FT*DKdlz9 zD;0y_&Aj)RCvOyubIZpAHrE(oMRX#QY8Wsf=7(N%OaF5L5d*ZSC^6uIc&TuI0V_ z_4~v8t%XRc$#qMVe#(lT_iDc*TH>c28B=BVACCv_{zHxQ&b8T|)BNl11j9!@%#feK zoQ_Sm_3uRY3~3xv3nDE4H$S+3tv(dN-*_}|ndE3*y9$M(jCFJE%nSc#d*=fzS5+SH zyWv%${BhrQjZ66R?k*|a#KBN%M+X1xd%m<$XaiUk>6BM+s-4hGKxV9!sks|A<%NHN z(b7njJfNb~;tPdpN6I9bVjV!6h!ure6CH~b3*3jTrqf~4-}jySZ+GwR-h1~ZB(NuW zd+$AezVn^${QJJ|eCM3^AJ4D__pp<@z`6OLAkCWw4TA);Ap;6W=1!IubD0o2p6SVV z-HeWgP3tFNK~|V#8+rxR5=;jPV6$OkS@32R3x2gOe8JVF#n+W;&C2yv*bu%!2os7+ zgk^I|3;+8R?Y2o)E%*u^0an5rqBNT1C_*_vVHt~N!i8bg*eGNFk78#`MVRi&Bwuw*xzo zQKn`&y@x!o<#6PQaml3&F~~7??S*f6r2>O#OYG}Gn4!@_9o|{+51}{4BpYLmftK%= z!Ip7~;TWP|^>-@T0Jvsg+NY6KeIrH|_r8Y|wiZiph@*+B1O#y49523jP{*Ll^zFMH zpFEmZFjJ`0Y^4yi_=Atf!P@g1AxQ|{S=9Q2al2rHZ4=r+`JGaJkwLKs;g7d9x5%Lz zI1#7JoB7pdxl7)gQE$sqh5%KkBZ>S5#l*pxMa7cLC1iC8+SQ<}h9(I$wx} zo;&ba`3^||EDrAhxKOXjL;kv*bZ)kpA+dR3HBcuXXd%x1n;Fkv9z`yBH907z(pUo8 zN^n2|O(PYh)!+j&9`pZfj{K6sh!f$`fJ3A;Dt2?kk_m4Al_iEytXCYB0u%2=c`i;+ zFEo`lC~%>sj=AI@#aC~hl1s!15fzH>XArfl-#^#)p{T4XYAVgaC@Q56{9*(#1qVmS zSwaUYAM`W==X{e(i2C>`VQd-HaIjeXiWy88)cxjYDD6rxjG{dMRXQ)Zk`p)~w->aG z#o6&$d1Hjb5~79H3R@)U6V&3Qf^Qmg33(Smm3@;KuAC*t+Lbd)XNppZkQK~h^gAVs zJM|2G11A9tmkggMG?7y_kIP-hOs_O&<}opfX>G1H*>%{e28Eh7xssHLtBS+Y$F5SI zxhnS@UT#ek%Epo^zP+*QzI4I0Ce=2pzhvy_mK;bYT zp=_2k3Y?Pkz<)Bc3OT%dkc>niWA#WyJVZ>+EI_J9ilD_~J~1uglR6P@BG~{$>qQV^ zN!*Jhw)M#l%7LjX!&w_X@ROCCnu88q@NR>P62ir zYYY)K&%UFI`a#}m+zTQP6ciG7!%4@tq#Gi5E-jRoB9kI*V#Y7RvB=XmTyG>~F;I}h zHFnAfNXr&^4w8GT_f5gL(FF5gO@GCu%{k`%6&sJ5E3IIQ0Y*7>>kXHReGoz>JBWY- z+16ew52s*b#70wK8^RQ1caR~~!WSRjy!)5HY!-| ziGO;;N|GHyU@kIkj3n85l3Mbe=&)rZrv+H1ex#DZ&gsYN@ze%fUcX9M)>MvK?r`>) z@o>+nVPl~Ej|rjLEP$I`V0LcO=%j%)*%jGra*$SqOHx>o?|{Q6LLZG=db5^GsI%#oaFiTT1&c39{_*Pm{MmqETB2QZuBJ0-G0<124P-RL&F}xD! z*8Bt*IReZn*oNgJPvj18K~Lk&nuK(_8c;C5F+YqC^di7ZU&77Y8%e_bgUuFfM1x}i zwCW^=;b7#g(-{yRpfK=^6T^4j)bKsZk%;mt94Ze3&soHWh@u`%XTB1k zO07&1&tZ4NVo4`;q|cr|L=~r!tsiOc#mZdzpFmJ(c7Yls1YXaKeSU<<)8nvw9|3DX zyX*ea_vG0!Z7SjueU*M;oMhSQq~e`L6JI_m2oUU4nDY8m5Rm+3{)fldhGLfKW+c^R zKn39&fcJ-IYRANx+0T}Os0*4iFJbwjG1}gl#ZAQ+--|H1jCToOkuVC(edM=8Pyt$a z4QMH0qUhV2J3~CdB|yi#1fS6)ecs(~d@Kw#i6aRwT6KBqcU8 ziU-rZ_Dsj`5kZ&m^XoJC`B7+dcGxtq&iT#Pf`dpa#p+aZk+lg?=67eC)<0nk&WugT z5t+E7!`f6K{DN6awy=Aq=h_oRbJ8IM(Pl%K45nR4w*0E-0GekDj=f2FWL=7F zwye#Fu4JIAM`E~t5|y-p<3qLBKrBP0dPNfScx@xkRm)L5c}~EX?Z`@aL0QB=@$;kf zdA6bYgExgSgCd)@AiuI!7)I#%Cc;~w&H`>A%0$2=$=Utr`Lb==M~j;X=oh}E6fIuD zB6Q?AaeBw@=#6G~^x?Ow`K2;!Uh{?Hg1!mm+g+mOUXP?%`S*c*G3|NB4)+b(?I7nY za{u^84vpM6v1*bAtd29hr2$3_+58}RMmXOnb`rGX>j6V4pAlXhM1#4?1o^+p!}=fk z3})g3_sP`cMSzE%ASu^sSSz7V3GAV0*nD^Xpr$JI^*N}Vtl;PJT z$_ox&&j}DTN_pV^;tM|C%m;u~M3XWbqV_0y2V&u8asM+_15lAfy1{-+7K6+uo6aJn z4U=`eh0}yrWvvaB2s@}2c7j==hUmi%fm)ZJUhsW`XWEhm34gIacoh*xhtuq+xof{1 z0Vl6P>+bMR@aZo9aTtpNY8g@U8qbJi7sl%Q=KjjEaLNu>5v3ZWe$%=}VdCzPSqV#; zs#?QL-Oojsl+c^E3;^Bo#Q_K@TUZMjfLZRX-wB{m4GaivEwK5uu@Fq|_iZbzSUv_EiCHGIlDhKv2pm?U`1bvg17AxkuKR`TQiuam9ZDDQ8`D^7sHzhvx`Y#BAz^2xS5ZK zv#a54g~3P3Z&qBC?@irkC$2JPftnHY7T#GG>jW|%SZjfPD%U7%`!P^FXVxYJu8*7H z%>D3$&jarXCwUPb6qvLR#gV}&H95bysy%D1A}PMSAVwq>3O2n97{EDfBpzP8>ZFk(4oR&&G&%V=N{{w^y^<%cJwmec^gSccgSa zD0kB(=oeeIP3GlBh^3|EVNNmW8Qtay3^7Bo4$i{)Y_7=5jT-O(N;1f{JNLbx3OxMz zi~*WC)C^WJqgW6>?q$u^tV2i_YFGp;4+UCp)({*)P0>m;ZAFlDTOTlA{sx(s1|A?(Q8}QbCUW=h<=1($mQOvRWCXJ$m+!Jcf~H?k4@VF6WDobZ zejY^h;dmYy!0mn^B%MG}5d3IoP2EVc%1j%e-FC@gcIcax>U2GR!RgL3!mC-2NkPI z6J4tSvVdplhixdSyT#N}CxjWifvYO*TJ0o_D`vaj_?ErgPaDzOExQK29Yqz>W-<3d zi)N4OzGK$)xhZXN#DF=vvX2_1OFPGaA8!n>aPqSu8fd=|Y<-oGs0l#`{aspJ7{~8M z>@R^^|<0Vq!Zr*+%zIL;1Ift5(h(yhRn2dn+*bysEgbB}~*h^CS+sW{Py8IUQP zn&rOeE!_!Rz(g1MP0`arv?hK%Ty%h} zY%-fvsdphJ7sx@_;Iy$Ui|Fp&4+&9);SkQdv2{wc9M~<(ki|mbW;Rq0#eKUczB!tK znj6TSccwDQ7rmg^RkoQSBxm^>-)eHJh+I17pN2lt+jZ;hx>}t#_)#+{TYKY*&m6U} z3tU!@z4yLGdWkyLX3SDDUovb6ZfTc)upd5!V--CobBMRrEj~Jx063M~eo54r$DcDi zTS{rz>D=+e8!&q+L(Lt7kg%uIslT*rcTr=!HY{}ejH?~n~I?iEQY&efc0BKsj3%3N&WMl z8}h5{SIU4A;odVZO8Z=SJ99i!EgV$f=5U#Vp^0~Ao*r!g?0=L@>&g7OnvSa}`TL#& z%=Wh&m1SIwT_3jGg?~&dc=SY!ZKZpA2lft$r~x98_Prii9yY%*3bD)14fK8RCK(!P?#F?ycH zn9X3;({-?t_E>vNC)6zO*|m7KJuu^!;4^;UcCojKXO{(T2VPxwltd7dWAfZ}FQmjq zRs(uC_kqYlW{)_Dy)*K6k@9I)!eTSDAGk8BnNlah09*e=xUHo#SiglKUKShD?dhKN z@ovwoJ{gG?o83STPiD-9wDZZ>^zEMRyQ1gvwTGqt%CVS@!n#a$!|^!hh?q5y{_!Zp z`;HKcr%SAFgNAMGS&}a+7@Jc{PvuefJRTZKrA*%$y1_{!q1=X#31W;v9SGpy(glyQ1k3+x|J-?otuR^U1^kockhZGozN90od*?Q=QTf<-5))iF86-1hiw{B*m;f_c~8$# zJcoOK*;7pU{q-m0Yuz(D9MQwn#czE{EX$8P9-RZ5bKYN?mpa|L{Js&7KBOi2LDr^s zoG(Fl@Q&{ues$o$rqQ8vr6u1x`~I)pQ99-N=VNZ$jvg)%4n!H>Fe}fPvO5_Qu9F*E zVXeF~+$z$YS2~{@S%F5uU7NQ~a#%|hpBURt%}bBY+5<-!=+x^2ALi+v^IyXxZ%>=| zyk+FL_B=9uxj)^SDP3%$yh|CINfyr$Vri*1qS#AKF$UO?WqQ7IL)t3s8UJwZ9WpTw zYuSQYFXp6{gKe39P%$6vA|1q__iXKH19IeCGfMonthdIvucuTR( zpH}RXYH`WFyFAW!pkJp|k0x-R{Pe(ti6dm0BV^o-bq9X!m7!jWEqo@=EadN%(Mvy| z^}uX|SP#PV^v;`NBR%@atgL5a>lHvFq}>d!caCNAM^@=Ka(&|)D_bV_|2Z$G?XR@f zpLPG_2d}=NwZ4A;Do8b1!%})<2WyA#JmeHO4KA z@xyD!N6nq?@uBH_g-OY4WqP!GG#%|F_91O$Y0%3HF+EUr-L5oQ_m2x=xinZ^*K*H& ze{O5C*7&p6jt+UzCT-_35z-D`Ip%W*RU>_50>*>tiE}^h0Km-dMQh<~%yC;a{z7 ztMfZPOUwxS&k89*h2@bBk&DZCWK4YCdCj>3J#Xz(JjNM(M~I~*TAmbp#q97(OXS}1 zU-rFHg-=Y#dy^n#NS+NgR|#o+{p&hTUQc^^dii83U_ic-{lIdcQX>WaB$ zwmsW~Uv{&py&s_Eb*JfZvBT7brSPDjj8Pjd^Y)|blC#uIDyp!0=&u<=Gn>2VwYLUU%|2G^zKr1O#N|o}ON7G#L5HfZ& zhz>`XySwFZ$B~TiTtAnv+t7MDT?yU-1nnv(Z>_oL7+SaVYi=8DcE;@bHW*6jI)Xee z9uxIf3yRL<@Fj|!EG!Q^SB6&I_zzIHzlV$DwrqPc^ zB59PgKZ>9Gflp|KA>oUm$~w`_^Cs1|4BYx9{1Y?>FkE>12oKKKXmu6L+S}XYs=8GV@QEKG2y- zmhYgU$@DOA$H}zx&pxi=UbwkWaLOPdT2<04AEO&Iv_e&lq}|nCq@$xZcoM5gWLxH> z8Y83aH)CgvA$Qgu!_!_oRX2m}%7>1pwQc&lsfX&dN~u}AuvR{y2K@7A(2erg9NDcJ zdv~k0v06B9di4~%JiZ2dc+h24t5Vvuc-5FwyJMVNL03<$Smg8e?;dlig}K?)Q}x=? z!lv2!?6SYESxYLb-&B^__`K=4Ro}c0Ol#7CT3&q$VC{Cru_>7eS9cBQlUh8h79AUn zm(A5dHb=5O_)c?L@G>c)p_`UmNXs1|mWToem147rQ1Bu(3hlAoM^8AAoBMyFfUT(j diff --git a/Arcade_MiST/Atari BW Raster Hardware/Sprint2_MiST/rtl/gearshift.sv b/Arcade_MiST/Atari BW Raster Hardware/Sprint2_MiST/rtl/gearshift.sv deleted file mode 100644 index da7ec335..00000000 --- a/Arcade_MiST/Atari BW Raster Hardware/Sprint2_MiST/rtl/gearshift.sv +++ /dev/null @@ -1,94 +0,0 @@ -//============================================================================ -// gearshift -// -// Turn gearup and geardown buttons into state that can flip the correct switches -// for sprint -// -// -// Copyright (c) 2019 Alan Steremberg - alanswx -// -// -//============================================================================ - -module gearshift -( - input CLK, - - input gearup, - input geardown, - - output gear1, - output gear2, - output gear3 -); - -reg [2:0] gear=3'b0; - -always @(posedge CLK) begin - reg old_gear_up; - reg old_gear_down; - - if (gearup==1) - begin - if (old_gear_up==0) - begin - old_gear_up=1; - if (gear<4) - begin - gear=gear+1; - end - end - end - else - begin - old_gear_up=0; - end - if (geardown==1) - begin - if (old_gear_down==0) - begin - old_gear_down=1; - if (gear>0) - begin - gear=gear-1; - end - end - end - else - begin - old_gear_up=0; - end - - - casex(gear) - 3'b000: - begin - gear1=0; - gear2=1; - gear3=1; - end - 3'b001: - begin - gear1=1; - gear2=0; - gear3=1; - - end - 3'b010: - begin - gear1=1; - gear2=1; - gear3=0; - end - 3'b011: - begin - gear1=1; - gear2=1; - gear3=1; - end - endcase - -end - - -endmodule \ No newline at end of file diff --git a/Arcade_MiST/Atari BW Raster Hardware/Sprint2_MiST/rtl/gearshift.vhd b/Arcade_MiST/Atari BW Raster Hardware/Sprint2_MiST/rtl/gearshift.vhd new file mode 100644 index 00000000..9cbf72f3 --- /dev/null +++ b/Arcade_MiST/Atari BW Raster Hardware/Sprint2_MiST/rtl/gearshift.vhd @@ -0,0 +1,89 @@ +-- Gear Shift +-- (c) 2019 alanswx + + +library IEEE; +use IEEE.STD_LOGIC_1164.all; +use IEEE.STD_LOGIC_ARITH.all; +use IEEE.STD_LOGIC_UNSIGNED.all; + +entity gearshift is +port( + Clk : in std_logic; + reset : in std_logic; + gearup : in std_logic; + geardown : in std_logic; + gearout : out std_logic_vector(2 downto 0); + gear1 : out std_logic; + gear2 : out std_logic; + gear3 : out std_logic + + ); +end gearshift; + +architecture rtl of gearshift is + +signal gear : std_logic_vector(2 downto 0):= (others =>'0'); +signal old_gear_up : std_logic:='0'; +signal old_gear_down : std_logic:='0'; + + +begin + +gearout<=gear; + +process (clk, gear) +begin + + if rising_edge(clk) then + + + if (reset='1') then + gear<="000"; + elsif (gearup='1') then + if (old_gear_up='0') then + old_gear_up<='1'; + if (gear < 3) then + gear<= gear +1; + end if; + end if; + elsif (geardown='1') then + if (old_gear_down='0') then + old_gear_down<='1'; + if (gear>0) then + gear<=gear-1; + end if; + end if; + else + old_gear_up<='0'; + old_gear_down<='0'; + end if; + + end if; + + case gear is + when "000" => gear1 <= '0' ; + when "001" => gear1 <= '1' ; + when "010" => gear1 <= '1' ; + when "011" => gear1 <= '1' ; + when others => gear1 <= '1' ; + end case; + case gear is + when "000" => gear2 <= '1' ; + when "001" => gear2 <= '0' ; + when "010" => gear2 <= '1' ; + when "011" => gear2 <= '1' ; + when others => gear2 <= '1' ; + end case; + case gear is + when "000" => gear3 <= '1' ; + when "001" => gear3 <= '1' ; + when "010" => gear3 <= '0' ; + when "011" => gear3 <= '1' ; + when others => gear3 <= '1' ; + end case; + +end process; + + +end rtl; \ No newline at end of file diff --git a/Arcade_MiST/Atari BW Raster Hardware/Sprint2_MiST/rtl/joy2quad.sv b/Arcade_MiST/Atari BW Raster Hardware/Sprint2_MiST/rtl/joy2quad.sv index dae1fa5f..d2eaeabb 100644 --- a/Arcade_MiST/Atari BW Raster Hardware/Sprint2_MiST/rtl/joy2quad.sv +++ b/Arcade_MiST/Atari BW Raster Hardware/Sprint2_MiST/rtl/joy2quad.sv @@ -19,10 +19,10 @@ module joy2quad input CLK, input [31:0] clkdiv, - input right, - input left, - - output reg [1:0] steer + input c_right, + input c_left, + output reg steerA, + output reg steerB ); @@ -40,12 +40,12 @@ always @(posedge CLK) begin casex(state) 4'b0000: begin - steer=2'b00; - if (left==1) + {steerB,steerA} =2'b00; + if (c_left==1) begin state=4'b0001; end - if (right==1) + if (c_right==1) begin state=4'b0101; end @@ -53,42 +53,42 @@ always @(posedge CLK) begin end 4'b0001: begin - steer=2'b00; + {steerB,steerA}=2'b00; state=4'b0010; end 4'b0010: begin - steer=2'b01; + {steerB,steerA}=2'b01; state=3'b0011; end 4'b0011: begin - steer=2'b11; + {steerB,steerA}=2'b11; state=4'b0100; end 4'b0100: begin - steer=2'b10; + {steerB,steerA}=2'b10; state=4'b000; end 4'b0101: begin - steer=2'b00; + {steerB,steerA}=2'b00; state=4'b0110; end 4'b0110: begin - steer=2'b10; + {steerB,steerA}=2'b10; state=4'b0111; end 4'b0111: begin - steer=2'b11; + {steerB,steerA}=2'b11; state=4'b1000; end 4'b1000: begin - steer=2'b01; + {steerB,steerA}=2'b01; state=4'b0000; end @@ -97,4 +97,4 @@ always @(posedge CLK) begin end end -endmodule \ No newline at end of file +endmodule \ No newline at end of file diff --git a/Arcade_MiST/Atari BW Raster Hardware/Sprint2_MiST/rtl/osd.sv b/Arcade_MiST/Atari BW Raster Hardware/Sprint2_MiST/rtl/osd.sv index c62c10af..b9181763 100644 --- a/Arcade_MiST/Atari BW Raster Hardware/Sprint2_MiST/rtl/osd.sv +++ b/Arcade_MiST/Atari BW Raster Hardware/Sprint2_MiST/rtl/osd.sv @@ -11,13 +11,15 @@ module osd ( input SPI_SS3, input SPI_DI, + input [1:0] rotate, //[0] - rotate [1] - left or right + // VGA signals coming from core input [5:0] R_in, input [5:0] G_in, input [5:0] B_in, input HSync, input VSync, - + // VGA signals going to video connector output [5:0] R_out, output [5:0] G_out, @@ -59,7 +61,7 @@ always@(posedge SPI_SCK, posedge SPI_SS3) begin if(cnt == 7) begin cmd <= {sbuf[6:0], SPI_DI}; - + // lower three command bits are line address bcnt <= {sbuf[1:0], SPI_DI, 8'h00}; @@ -91,7 +93,7 @@ reg [9:0] vs_low, vs_high; wire vs_pol = vs_high < vs_low; wire [9:0] dsp_height = vs_pol ? vs_low : vs_high; -wire doublescan = (dsp_height>350); +wire doublescan = (dsp_height>350); reg ce_pix; always @(negedge clk_sys) begin @@ -124,13 +126,13 @@ always @(posedge clk_sys) begin hsD2 <= hsD; // falling edge of HSync - if(!hsD && hsD2) begin + if(!hsD && hsD2) begin h_cnt <= 0; hs_high <= h_cnt; end // rising edge of HSync - else if(hsD && !hsD2) begin + else if(hsD && !hsD2) begin h_cnt <= 0; hs_low <= h_cnt; v_cnt <= v_cnt + 1'd1; @@ -142,13 +144,13 @@ always @(posedge clk_sys) begin vsD2 <= vsD; // falling edge of VSync - if(!vsD && vsD2) begin + if(!vsD && vsD2) begin v_cnt <= 0; vs_high <= v_cnt; end // rising edge of VSync - else if(vsD && !vsD2) begin + else if(vsD && !vsD2) begin v_cnt <= 0; vs_low <= v_cnt; end @@ -160,17 +162,30 @@ wire [9:0] h_osd_start = ((dsp_width - OSD_WIDTH)>> 1) + OSD_X_OFFSET; wire [9:0] h_osd_end = h_osd_start + OSD_WIDTH; wire [9:0] v_osd_start = ((dsp_height- (OSD_HEIGHT<> 1) + OSD_Y_OFFSET; wire [9:0] v_osd_end = v_osd_start + (OSD_HEIGHT<= h_osd_start) && (h_cnt < h_osd_end) && (VSync != vs_pol) && (v_cnt >= v_osd_start) && (v_cnt < v_osd_end); -reg [7:0] osd_byte; -always @(posedge clk_sys) if(ce_pix) osd_byte <= osd_buffer[{doublescan ? osd_vcnt[7:5] : osd_vcnt[6:4], osd_hcnt[7:0]}]; +reg [10:0] osd_buffer_addr; +wire [7:0] osd_byte = osd_buffer[osd_buffer_addr]; +reg osd_pixel; -wire osd_pixel = osd_byte[doublescan ? osd_vcnt[4:2] : osd_vcnt[3:1]]; +always @(posedge clk_sys) begin + if(ce_pix) begin + osd_buffer_addr <= rotate[0] ? {rotate[1] ? osd_hcnt_next2[7:5] : ~osd_hcnt_next2[7:5], + rotate[1] ? (doublescan ? ~osd_vcnt[7:0] : ~{osd_vcnt[6:0], 1'b0}) : + (doublescan ? osd_vcnt[7:0] : {osd_vcnt[6:0], 1'b0})} : + {doublescan ? osd_vcnt[7:5] : osd_vcnt[6:4], osd_hcnt_next2[7:0]}; + + osd_pixel <= rotate[0] ? osd_byte[rotate[1] ? osd_hcnt_next[4:2] : ~osd_hcnt_next[4:2]] : + osd_byte[doublescan ? osd_vcnt[4:2] : osd_vcnt[3:1]]; + end +end assign R_out = !osd_de ? R_in : {osd_pixel, osd_pixel, OSD_COLOR[2], R_in[5:3]}; assign G_out = !osd_de ? G_in : {osd_pixel, osd_pixel, OSD_COLOR[1], G_in[5:3]}; diff --git a/Arcade_MiST/Atari BW Raster Hardware/Sprint2_MiST/rtl/playfield.vhd b/Arcade_MiST/Atari BW Raster Hardware/Sprint2_MiST/rtl/playfield.vhd index 7cd68716..19755a78 100644 --- a/Arcade_MiST/Atari BW Raster Hardware/Sprint2_MiST/rtl/playfield.vhd +++ b/Arcade_MiST/Atari BW Raster Hardware/Sprint2_MiST/rtl/playfield.vhd @@ -21,7 +21,9 @@ use IEEE.STD_LOGIC_UNSIGNED.all; entity playfield is port( clk6 : in std_logic; - display : in std_logic_vector(7 downto 0); + Gear_Shift_1 : in std_logic_vector(2 downto 0); + Gear_Shift_2 : in std_logic_vector(2 downto 0); + Display : in std_logic_vector(7 downto 0); HCount : in std_logic_vector(8 downto 0); VCount : in std_logic_vector(7 downto 0); H256_s : out std_logic; @@ -65,7 +67,7 @@ signal P2_13 : std_logic; signal P3_6 : std_logic; signal A6_6 : std_logic; signal A6_3 : std_logic; - +signal Display_7 : std_logic; begin -- Video synchronization signals @@ -89,8 +91,36 @@ P2_13 <= (HSync nor VSync); P3_6 <= (HBlank or VBlank); - -char_addr <= display(5 downto 0) & V4 & V2 & V1; +process(Hcount,Vcount,V4,V2,V1,Gear_Shift_1,Gear_Shift_2,Display) +begin + -- this is the right side of the screen for the gear shift + if (HCount(7 downto 3) = "11111" and Vcount(7 downto 3)="11011") then + Display_7 <= '0'; -- 1 is white, 0 is black + case Gear_Shift_2 is + when "000" => char_addr <= "110001" & V4 & V2 & V1; + when "001" => char_addr <= "110010" & V4 & V2 & V1; + when "010" => char_addr <= "110011" & V4 & V2 & V1; + when "011" => char_addr <= "110100" & V4 & V2 & V1; + when others => char_addr <= "001110" & V4 & V2 & V1; + end case; + -- this is the left side of the screen for the gear shift + elsif (HCount(7 downto 3) = "00000" and Vcount(7 downto 3)="11011") then + Display_7 <= '1'; -- 1 is white, 0 is black + case Gear_Shift_1 is + when "000" => char_addr <= "110001" & V4 & V2 & V1; + when "001" => char_addr <= "110010" & V4 & V2 & V1; + when "010" => char_addr <= "110011" & V4 & V2 & V1; + when "011" => char_addr <= "110100" & V4 & V2 & V1; + when others => char_addr <= "001110" & V4 & V2 & V1; + end case; + -- debug all chars + -- char_addr <= num & V4 & V2 & V1; + else + -- default behaviour + char_addr <= Display(5 downto 0) & V4 & V2 & V1; + Display_7 <= Display(7); + end if; +end process; -- Background character ROMs R4: entity work.sprom @@ -103,13 +133,6 @@ port map( Address => char_addr, q => char_data(3 downto 0) ); - ---R4: entity work.Char_MSB ---port map( --- clock => clk6, --- Address => char_addr, --- q => char_data(3 downto 0) --- ); P4: entity work.sprom generic map( @@ -122,15 +145,6 @@ port map( q => char_data(7 downto 4) ); ---P4: entity work.Char_LSB ---port map( --- clock => clk6, --- Address => char_addr, --- q => char_data(7 downto 4) --- ); - - - -- 74LS166 video shift register R3: process(clk6, P3_3, VBlank_n_s, char_data, shift_data) begin diff --git a/Arcade_MiST/Atari BW Raster Hardware/Sprint2_MiST/rtl/sprint2.vhd b/Arcade_MiST/Atari BW Raster Hardware/Sprint2_MiST/rtl/sprint2.vhd index 61097f7b..589f0f7b 100644 --- a/Arcade_MiST/Atari BW Raster Hardware/Sprint2_MiST/rtl/sprint2.vhd +++ b/Arcade_MiST/Atari BW Raster Hardware/Sprint2_MiST/rtl/sprint2.vhd @@ -46,17 +46,15 @@ port( Trak_Sel_I : in std_logic; -- Track select button Gas1_I : in std_logic; -- Gas pedals Gas2_I : in std_logic; - Gear1_1_I : in std_logic; -- Gear shifters, 4th gear = no other gear selected - Gear1_2_I : in std_logic; - Gear2_1_I : in std_logic; - Gear2_2_I : in std_logic; - Gear3_1_I : in std_logic; - Gear3_2_I : in std_logic; + c_gearup : in std_logic; + c_geardown : in std_logic; + c_left : in std_logic; + c_right : in std_logic; + c_gearup2 : in std_logic; + c_geardown2 : in std_logic; + c_left2 : in std_logic; + c_right2 : in std_logic; Test_I : in std_logic; -- Self-test switch - Steer_1A_I : in std_logic; -- Steering wheel inputs, these are quadrature encoders - Steer_1B_I : in std_logic; - Steer_2A_I : in std_logic; - Steer_2B_I : in std_logic; Lamp1_O : out std_logic; -- Player 1 and 2 start button LEDs Lamp2_O : out std_logic ); @@ -155,7 +153,30 @@ signal SW1 : std_logic_vector(7 downto 0); signal Inputs : std_logic_vector(1 downto 0); signal Collisions1 : std_logic_vector(1 downto 0); signal Collisions2 : std_logic_vector(1 downto 0); +signal Gearnum : std_logic_vector(2 downto 0); +signal Gear1 : std_logic; +signal Gear2 : std_logic; +signal Gear3 : std_logic; +signal SteerA : std_logic; +signal SteerB : std_logic; +signal Gearnum2 : std_logic_vector(2 downto 0); +signal Gear21 : std_logic; +signal Gear22 : std_logic; +signal Gear23 : std_logic; +signal Steer2A : std_logic; +signal Steer2B : std_logic; +COMPONENT joy2quad + PORT + ( + CLK : IN STD_LOGIC; + clkdiv : IN STD_LOGIC_VECTOR(31 DOWNTO 0); + c_right : IN STD_LOGIC; + c_left : IN STD_LOGIC; + SteerA : OUT STD_LOGIC; + SteerB : OUT STD_LOGIC + ); +END COMPONENT; begin -- Configuration DIP switches, these can be brought out to external switches if desired @@ -188,7 +209,9 @@ port map( Background: entity work.playfield port map( clk6 => clk_6, - display => display, + Gear_Shift_1 => Gearnum, + Gear_Shift_2 => Gearnum2, + Display => display, HCount => HCount, VCount => VCount, HBlank => HBlank, @@ -283,24 +306,72 @@ port map( Trak_Sel => not Trak_Sel_I, Gas1 => not Gas1_I, Gas2 => not Gas2_I, - Gear1_1 => not Gear1_1_I, - Gear1_2 => not Gear1_2_I, - Gear2_1 => not Gear2_1_I, - Gear2_2 => not Gear2_2_I, - Gear3_1 => not Gear3_1_I, - Gear3_2 => not Gear3_2_I, + Gear1_1 => not Gear1, + Gear1_2 => not Gear21, + Gear2_1 => not Gear2, + Gear2_2 => not Gear22, + Gear3_1 => not Gear3, + Gear3_2 => not Gear23, Self_Test => not Test_I, - Steering1A_n => Steer_1A_I, - Steering1B_n => Steer_1B_I, - Steering2A_n => Steer_2A_I, - Steering2B_n => Steer_2B_I, + Steering1A_n => SteerA, + Steering1B_n => SteerB, + Steering2A_n => Steer2A, + Steering2B_n => Steer2B, SteerRst1_n => SteerRst1_n, SteerRst2_n => SteerRst2_n, Adr => Adr, Inputs => Inputs ); + +RotaryEncoder: joy2quad +port map( + CLK => clk_6, + clkdiv => x"000057E4", + c_right => c_right, + c_left => c_left, + SteerA=> SteerA, + SteerB=> SteerB + ); + +Gears: entity work.gearshift +port map( + CLK => clk_6, + reset => not Reset_n, + gearout => Gearnum, + gearup => c_gearup, + geardown => c_geardown, + gear1 => Gear1, + gear2 => Gear2, + gear3 => Gear3 + ); + + +RotaryEncoder2: joy2quad +port map( + CLK => clk_6, + clkdiv => x"000057E4", + c_right => c_right2, + c_left => c_left2, + SteerA=> Steer2A, + SteerB=> Steer2B + ); + + +Gears2: entity work.gearshift +port map( + CLK => clk_6, + reset => not Reset_n, + gearout => Gearnum2, + gearup => c_gearup2, + geardown => c_geardown2, + gear1 => Gear21, + gear2 => Gear22, + gear3 => Gear23 + ); + + Sound: entity work.audio port map( Clk_6 => Clk_6, diff --git a/Arcade_MiST/Atari BW Raster Hardware/Sprint2_MiST/rtl/sprint2_mist.sv b/Arcade_MiST/Atari BW Raster Hardware/Sprint2_MiST/rtl/sprint2_mist.sv index 50c9848b..254ad2fe 100644 --- a/Arcade_MiST/Atari BW Raster Hardware/Sprint2_MiST/rtl/sprint2_mist.sv +++ b/Arcade_MiST/Atari BW Raster Hardware/Sprint2_MiST/rtl/sprint2_mist.sv @@ -64,24 +64,22 @@ sprint2 sprint2( .RGB(RGB), .Audio1_O(audio1), .Audio2_O(audio2), - .Coin1_I(m_coin), + .Coin1_I(~btn_coin), .Coin2_I(1'b1), - .Start1_I(m_start1), - .Start2_I(m_start2), + .Start1_I(~btn_one_player), + .Start2_I(~btn_two_players), .Trak_Sel_I(~status[2]), - .Gas1_I(m_fire1), - .Gas2_I(m_fire2), - .Gear1_1_I(~gear11), - .Gear1_2_I(~gear21), - .Gear2_1_I(~gear12), - .Gear2_2_I(~gear22), - .Gear3_1_I(~gear13), - .Gear3_2_I(~gear23), + .Gas1_I(~gas), + .Gas2_I(~gas2), + .c_gearup(gearup), + .c_geardown(geardown), + .c_left(left), + .c_right(right), + .c_gearup2(gearup2), + .c_geardown2(geardown2), + .c_left2(left2), + .c_right2(right2), .Test_I(~status[1]), - .Steer_1A_I(steer1[1]), - .Steer_1B_I(steer1[0]), - .Steer_2A_I(steer2[1]), - .Steer_2B_I(steer2[0]), .Lamp1_O(), .Lamp2_O() ); @@ -150,7 +148,17 @@ reg btn_fire2 = 0; reg btn_fire3 = 0; reg btn_coin = 0; wire pressed = ps2_key[9]; -wire [7:0] code = ps2_key[7:0]; +wire [7:0] code = ps2_key[7:0]; +wire gearup = btn_fire3 | joystick_0[5]; +wire geardown = btn_fire2 | joystick_0[6]; +wire right = btn_left | joystick_0[1]; +wire left = btn_right | joystick_0[0]; +wire gearup2 = joystick_1[5]; +wire geardown2 = joystick_1[6]; +wire right2 = joystick_1[1]; +wire left2 = joystick_1[0]; +wire gas = btn_fire1 | joystick_0[4]; +wire gas2 = joystick_1[4]; always @(posedge clk_24) begin reg old_state; @@ -171,56 +179,4 @@ always @(posedge clk_24) begin end end -wire m_left1 = (btn_left | joystick_1[1]); -wire m_right1 = (btn_right | joystick_1[0]); -wire m_left2 = (joystick_0[1]); -wire m_right2 = (joystick_0[0]); -wire m_fire1 = ~(btn_fire1 | joystick_1[4]); -wire m_fire2 = ~(joystick_0[4]); -wire m_start1 = ~(btn_one_player); -wire m_start2 = ~(btn_two_players); -wire m_coin = ~(btn_coin); -wire m_gearup1 = (btn_fire2 | joystick_1[5]); -wire m_geardown1 = (btn_fire3 | joystick_1[6]); -wire m_gearup2 = (joystick_0[5]); -wire m_geardown2 = (joystick_0[6]); - -wire [1:0] steer1; -joy2quad steerp1( - .CLK(clk_24), - .clkdiv('d22500), - .right(m_right1), - .left(m_left1), - .steer(steer1) - ); - -wire [1:0] steer2; -joy2quad steerp2( - .CLK(clk_24), - .clkdiv('d22500), - .right(m_right2), - .left(m_left2), - .steer(steer2) - ); - -wire gear11,gear12,gear13; -gearshift gearshiftp1( - .CLK(clk_12), - .gearup(m_gearup1), - .geardown(m_geardown1), - .gear1(gear11), - .gear2(gear12), - .gear3(gear13) - ); - -wire gear21,gear22,gear23; -gearshift gearshiftp2( - .CLK(clk_12), - .gearup(m_gearup2), - .geardown(m_geardown2), - .gear1(gear21), - .gear2(gear22), - .gear3(gear23) - ); - endmodule \ No newline at end of file diff --git a/Arcade_MiST/Atari BW Raster Hardware/Sprint2_MiST/sprint2.qsf b/Arcade_MiST/Atari BW Raster Hardware/Sprint2_MiST/sprint2.qsf index 83cf0528..b0bc25f9 100644 --- a/Arcade_MiST/Atari BW Raster Hardware/Sprint2_MiST/sprint2.qsf +++ b/Arcade_MiST/Atari BW Raster Hardware/Sprint2_MiST/sprint2.qsf @@ -18,7 +18,7 @@ # # Quartus II 64-Bit # Version 13.1.4 Build 182 03/12/2014 SJ Web Edition -# Date created = 16:19:23 March 07, 2019 +# Date created = 11:06:18 April 28, 2019 # # -------------------------------------------------------------------------- # # @@ -45,21 +45,21 @@ set_global_assignment -name LAST_QUARTUS_VERSION 13.1 set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files set_global_assignment -name SYSTEMVERILOG_FILE rtl/sprint2_mist.sv set_global_assignment -name VHDL_FILE rtl/sprint2.vhd -set_global_assignment -name VHDL_FILE rtl/T65/T65_Pack.vhd -set_global_assignment -name VHDL_FILE rtl/T65/T65_MCode.vhd -set_global_assignment -name VHDL_FILE rtl/T65/T65_ALU.vhd -set_global_assignment -name VHDL_FILE rtl/T65/T65.vhd -set_global_assignment -name VHDL_FILE rtl/sync.vhd -set_global_assignment -name SYSTEMVERILOG_FILE rtl/joy2quad.sv -set_global_assignment -name SYSTEMVERILOG_FILE rtl/gearshift.sv set_global_assignment -name VHDL_FILE rtl/playfield.vhd set_global_assignment -name VHDL_FILE rtl/motion.vhd +set_global_assignment -name VHDL_FILE rtl/sync.vhd set_global_assignment -name VHDL_FILE rtl/collision.vhd set_global_assignment -name VHDL_FILE rtl/cpu_mem.vhd set_global_assignment -name VHDL_FILE rtl/Inputs.vhd set_global_assignment -name VHDL_FILE rtl/sprint2_sound.vhd set_global_assignment -name VHDL_FILE rtl/screech.vhd set_global_assignment -name VHDL_FILE rtl/EngineSound.vhd +set_global_assignment -name VHDL_FILE rtl/gearshift.vhd +set_global_assignment -name SYSTEMVERILOG_FILE rtl/joy2quad.sv +set_global_assignment -name VHDL_FILE rtl/T65/T65_Pack.vhd +set_global_assignment -name VHDL_FILE rtl/T65/T65_MCode.vhd +set_global_assignment -name VHDL_FILE rtl/T65/T65_ALU.vhd +set_global_assignment -name VHDL_FILE rtl/T65/T65.vhd set_global_assignment -name SYSTEMVERILOG_FILE rtl/dac.sv set_global_assignment -name SYSTEMVERILOG_FILE rtl/video_mixer.sv set_global_assignment -name SYSTEMVERILOG_FILE rtl/scandoubler.sv @@ -116,6 +116,11 @@ set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS OFF set_global_assignment -name DEVICE_FILTER_PIN_COUNT 144 set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 8 set_global_assignment -name TOP_LEVEL_ENTITY sprint2_mist +set_global_assignment -name DEVICE_FILTER_PACKAGE TQFP +set_global_assignment -name VHDL_INPUT_VERSION VHDL_2008 +set_global_assignment -name VHDL_SHOW_LMF_MAPPING_MESSAGES OFF +set_global_assignment -name VERILOG_INPUT_VERSION SYSTEMVERILOG_2005 +set_global_assignment -name VERILOG_SHOW_LMF_MAPPING_MESSAGES OFF # Fitter Assignments # ================== diff --git a/Arcade_MiST/Atari BW Raster Hardware/SprintOne_MiST/Release/sprint1.rbf b/Arcade_MiST/Atari BW Raster Hardware/SprintOne_MiST/Release/sprint1.rbf index f58c79520adf7bbbc800f43d57cee0094f6634cb..51312c81f6747f1317c751b59d4ce03a43565499 100644 GIT binary patch literal 246969 zcmeFa4S*b1b>~~%Zp&?3*xl`BC=bT&E;mYR@P{lEP}l@__0))#8Dhy1M7tp?Ss3EL zf^70dV6*NT)!3tWj3mbd=L?S=0VX7|A$||Z$CFT z_reQvFMRjA|L2A8e(Ss6ntNgH{}AxP3*Q3H`uoKZ{r^7J0-8Ybs{0jK9tScJBy-1M zCvp|OEReh=%b0&3m!&*{1~3l9;ktT&!sdg*laP!T9ziXFxZJRm?q9{l<>_9r$|ihq z_-a7U$?|lc4AZ?JnI=ht?uA=rBq155as+X_=n%dn9g2^i zGF1aZD8V4-;75iAt1aOGL(s=CGDN+bU0nxrKzTj7gAoM*B0 zahjMP$HVs_;CevuF-^xyJE(B+LUhIWRIVU?)>Z8mLm0dCuhJ(|#rR@A#XO0_le|&e zD6eET0Ad>F_Y1`z55^)$(%=HA<3Juz)MNy4GUa&~xD8O0=sB_kJPOQD64N1kf>{1y zJ}6$i$VHIkN6e#`4~i2V$*cIFFkKZc(ET)^aDl>NI^y!;z7y9sE-St&k3eJZ6Z*+>RCL|RiOLr5riRO*b@;bY91L|x(bgT&IJB9AUcxqzaD|Y zMT2NkKZx7zW+3hte+b0)^RHiuAPyIvBwZ@A03@#q(V;LKxB(DK(Qy#aRk#%vm(k1h z8sPna=ux{%_LJq#zrP@YI9zpjJ8&VOD6vb=k`L)NLGnHf86W>f1aX|o-<5#%5jcQu z6{Y(aem4R=j{v%U0uUdPkmQZRzY4_h;?EsG{H&|`pg{Df%>{|Bn-(o)D?F)St*$7>^%p9GaB2q_AG!;tXz4iYLy9^yiEhym!zUxqvuKozsvoI5T^|5Mo9<&!m~>JM>lOgR9Pj!Kw%pr)P~-Zv%!R_!yw)zXo*O z28b421#bm(jp-B3vL9nQ#9!UVeIsUuo~6HZl|3Y#CQx5LACRs4WkCHf2HDfcgZVoC zYk%ZrKbdUbdQesW91SabHt9g>MCtG^uQX z`m3&r(^dEd;*CJ}vK@6*IiHR|_n!iEm0kVEfUbWI{3@XPI84tmNakXY491|c1u-2` zcY2O_I-d^JPi4mt(R6+a{gk|oK$#tn@x0zEGU z8Z!lPI^mHni{bZxSYPR?@VMXTsyYdD{~AEo?*k+&0@0zX`m?Um6AIH+&jQ^mECz+e z?Y09@m_Xx~o~5&7M+beQBzx>dSHpnm^X zfWl(X^HLxlB|&{weKQ7?w-9I{L47Y7CtE_WP?*A>j6nDOKoZ}|{R#(GIIzNjUO6EB zCwg)#i8Wl0Q6Qs@iFC7phrPk<#6T#d1#62gHy*uynxe(;!D+z_S(~xe1&0HJt?vxhp}!qiJ6nSm=fO4f>wj%AX7?A@t$_Q>J3sZHIR<_i zB*vRXF04o_PBgIfU%w^F8B%M=V(8LEl6azlhdzC-291+}`n+=smyW)&GE#ng{R6J! z==b6;1iPybxaBWQ-cP~q)!lg_)#kH7!-AHJtR+8?`Q&(kS&Lgr((A>bK;Nv*+5nQU<5WV79aiT z)2Eih>V@*;9{*k0!UMCdk7tY*t=(VMH2z><&}wcljYHjDQrcw2>W=+^6|4ItV0G70 zR_^h4pD_px#sGsRZ+&$1M38k)_O@R5^obzr&U-2j%7(&ugoTUO-L&`mR7LrrStL74^7md!PcYoxZMN{+>seyM;^WD}Bzo%99!N8y`g27M) zmQ$Iqftfv4>s5o*J_D06>lB~CIq8PC)%wtf2PVt|pF|l{JHNEn&Q8X}F>}(0$w@Nl zrD#qD>f#$#uS|CjZo0en++V(Dpt@qv(-eat?o=L<2U9~~8K4b$N*ggR4UP@WRC`Iy zPz^>l2CXj!W%O7B*Vm^mWrG??YN`B{zp07n?0YINOV7e;Y4lgmfz?n)8c7gdiE^~ z5GMq!J5FeZySwa@#{N^SmV@h88D^~Y0U1_m^sGFT61D29c#+>xY+c#c&?t^bAX-Bu&xc$nCBNKeXdToJzWpVx6 z=Wf~c?(*#9j_N%_g-id4ecjpv&L;108~6OH^NiW`eX3@69)tF-ecjQ+EB|FNiRmk@ z_S`QYI7PMPv1a!PY;|be{h#{c%c6--n%%c(sXaul5Y_{ex{{pA92;1_T$%6gYV%$m z25sFA%IaB}@9w4b=B4@9+Ti`t*k|&R+OzgOXLoDRI2k7Wy60BR?tdBBh&=Gkf`eKc zw5;z8&(oeK@OUa)S0_-dWLBn=-+Mb`Hz5bN^JHM(F)?#XyU#y0KR#4^Z0MykEPT(I z-0B-AiRhILCNF`(J-_?qr>5Fj**~qW-50D_3VpGQ#Bgk2ZuQDUcfZ^p_-hR-6Wx6_ z0rEev$(xmlZmru4N(5K-b)TqNds6pxpRAegl@}_n8swKuk}v4Eed@+zlea$dvF53^ zu{)&l7|XAA0^fSNwB~y|j`JYwHCboBCc|yC_l1616P#;-~HVyHnxJx?>w;#qvIu zCrgA3T4yX#T+h)fmUqvriW3^k8^yTbE0sPWIt=Z7Q zz)R&7Z4Ic$Y}?Sltl_WwB%MB!?kK1F%+UuOAisGKL@rolYsc4jy8p;QIG5SCxbNk< z<^%SZUiX0ejy<=T19Yz4bDKFqXXuITIzs1K|9A9~B^Pss&g|TWqce1Lz0BSh9;&lu z&Nip$d=Db2U!`|OpXFekur~Fpo)7+fa9vHGj9t)pTc9V)R9PJEdh_b3#Z&YV+v_&) zW@c%mg&kY_P@GFyo<|RzSvQ8;0tMH4pr$+9P1}}ndTKX{_R~q2AZX)W_+}|y#kubK z2h$k*&;9SO_sIMnk`1_h2DUThCG!dYBz3~C}nTZ>XalUK9*y1&9$0Dgd{pEq`)u^Nsr_1{BFE2uMpHYKWn>`fYn-|sk%By<7Wl1qC z4XyvYW9dYR6pNDB+T|sZp5X2O2mEhS{I6QeQcn%lrfrd%m&&BqA}r`HYS6y!NzvE+ zRcV3L)N*h#Hs}L_WMK>jnCxM~a%C2MaI@$yoi|YsoRehde(z4B7oXjmUNO--9>+;G z(UV)uk;WS9H^)F9^P4ZeFFi2T8oiMta{e`qborP|z+)GEuWsB}qGHMDL0c(F+$VIu zLRi1-TjAIW#}?v1=hekHw$+%&+wFB3y6C ztPg)9E@8oWK}C9TiY2d~d{a-U7cz>E#r@)+(FXdNA__MxuqKV4XzCPL>eZYN@vbIZ_nGS;@2LrQm^fe+wL zsX8&0o|$02*|B(Etdy>Hm)P|b`aHRe{#ll5xjQ|pZq?mJu99)2)Vt5(fFU4P)8MFJyo6r-O!n`!!ppHfN7qp8ry# znw}{ixNQ2>)y(;K*DLj@p~jc1pY>87etD^W=cYYZ9jL7RX*=`iowo27xA=wpWkHm7 z)71Iitg|U|wViT;wGT|!{oCt%Qjglz$4Oec*0=K`+b7-@(jQqr|-ZTpn&YYmW^{eZ1My6gD) zjvGT}HQ7Q~DG1oN=FHLp>^?5SFhXdzOffo{UpPY3(TL%gVijAz`U?vo2uoZjrh^q? zL5`rAYj|s7@2W2}H)!g2e2kW*GL2kC1C)eq65ax>f4O*}oJ&!uJTweAD4ajFQrK7# zF}M7K`ib^c&i^zB33}drBqH~2of5Idsvi8wJL@@JZ<{tXkaD>2t;Z{>$DP&)TDE3q z58DA&MzM-v;QMPcT!Kd>d&0i+%9R%sIWhiUD0QiLXfShRJNdv_^5k0I@(&`1(NUf0~yZ@}0%))*DC<5jqgfsSnj^NQW~Z#%of zLIS#ZT}d1%eS@afX;3}4>6V(2*8z!s+lB`9+~{?ZkBM6iHzb@~5_T=NJFvg%D^w~zJ9S*0Rw+XCAC7O*jYb7wY14qT}>fiHK^NT&0Rl| z>9kIyjD)F+p6DSEA(;B}sO=;*8U5UIKlVisxSbD|91NX;;BbJgsETztAjPcph3t5C z5;g>w(Ixj0+sc*ee%h*#&$32|G`<9eWhphCs%_uv6sPOi+WQ+>tTPiIXsoMc3rD3+ zyojR6$Tbyj6B!w(#yZ8Y6E!g?jKq-vPfgAJmMJKs&al(kcl4}w^YUogCn;87n1>(S zrl`1#&_^)d0pao*j5RBM2iA)pIJPn3jJk?N6l)Mdr71aSpv&@(oTb=t2uGw`BX#9( zrz~p>`~q^CiYGHC$dt%+gXklZE&w*Zgn%5+eu~Z*V>QGPoy;sA#(yEd42Jl}R3@&J zVF<%ki26?+iW3Q=sjK;Ehx)LC3X6Y`Vt5mJI3|UvqtGo=2%VD2cT7BnQhe9jPE(yC zKcr^lslBsG!+PYjs8yeML=}OC$t*E`5IQ2(T6l_JQ=X*Ty3Lm05NA`~{<>*la*7O< zbY=CNc?Fu>v_4!*6c~qgh->fq5+YLX&C?1Ezks{hz!yS`JTfhNLg=5oVs)aFc22{^ z;}s%gX^4pG6WS{aI%vgx=bydumgZ7#X(kL~1RMyZH|iWTVDyK|p*Im3rc%lUq1Jfr zicO1c5?e6WaRXcTyx3NhRzcDdQ8;04mmtQZ;m3?MVUPFo&%T=u6G3Qen#wj!?TuW< zvEA3O@J?9BD694P$Dy#6A)=Owxf8LklX!uo1p0zwMCVUp(nDk)r zLw1;`hysHhOxe9a%Red}ar3AtZ zt$06%Qe_du4j02Wp2nTRoGW_9g8_Xmo6BH0ggiY0QmUh$T&7mc=2m+Y;tE}8Z<8ET zZC%^)xBOZIqoZL{1%8ZEbN}DU1c%Hd-#RVyo zZWV`k5gT*jOYEP_M<1AqE6ZI!cABKqBe4OKExL~`u1G$nw#E_YP8d@9;yccc#ohEu zFb8ouY7vLULE)7ML_5iXb{-P6?l@Fx$B9?R^^H_=w-mL@33*}A`qu7u%0cnkk-9lf z)fcE}S2pwDzx8Z0VB`==Bbd4%>WC;I9t=h`HIPg57fJz9Bn@h-JyUU0|75Krri(QP zRHs8gT+ikk${SM)VJnk)iF?p@`#96Cq?76c^OX45EfX> zwJ}q2_dgvDT+1_mRE#Xfpo=TqO&*~LbQ=;PqLDoQqhaxdu6y?uZ)`RHE2*~>$1=HB z*Zo4Kw%)@u9Jl^x>Ts~db91(r-Qtx<;96UqV%4=OqnWjtvCKMLrY+vXS>Fw;v1}pu zlMAfiBh|Wp&6IWUmSBG!v-E~w`xLJNn8s?mRa@@elwG?Glk*FT#QcSgTc%3Sef?`& zJPTSf`d{1TSQciAfjAeWRWCFuduCm{`Z-cRV(bh7w z35RX1t^W>`Im#MC1iFeOL0FV2bF$HiBtUK3E>lG%i)@#NsDwosGe7bij(&*m(I6dW zPm0kI4^ut(Osj#Jn=Ml&*gWFD6t#I}jD?Fw$1;#kQPCQPE^;Jd&@(;;1E<=2vXR$K} zS=M2(hUUmLQ#8>0C98JgURvQTa_)pj@|N)`-+#M*Nosjhr*KJoqh z<9u*lRxy8AO`U(+7#Czm>0ibeRPj@s28-I8J>q)q1EH{B6?Ws2L>v8J9ljtjAQ4EW1XP$5 zM80tVq{Emt%U}7HRW90uIdd62q0%8BhDZxRsKj#t2t9)e_*8$9R+RnKdfx}z#LGQN zpp1}E8mTYHss~136k+_Jt0^5SHNOYBcRh3(<54rO#-o*T8M#uSVW7q|L1KezIWUSM zce()?K$9!l8RG!RA|OfRL5W-*8h6?v9-ofsSVcd0dH4Yfv9*~BjE~c#{)WwB6qdPu z!JE~N1do!)XB0%!6$%3p+0m1!Lv{BN%$ZEdl@a7KBtg}YU@`-lHm!ilh5peLb;xbCZpK`Nw% zijjH?=|Bl%KmaS$;M|qm%n&s*K4q=~Qxzznj1UYG6KL+f!`DYu1Lap^`)-^A0f0!8 zJL(VEe5R^?Q-miWtU*Xpl;?)eD~%xwo`#8YSueb)6$4s9_XVqLe#3BnNvq@(%%35V zAB5E0GRgOW6ck1hkGoC|H*k$F2eWB0I4Gesf3kB(5LoOwuyRwW5WQL_!bT1i7C-IS zzN*Ayh(5Qyv84gyaO-IXkO$2arg)<$w?C#JK!`G)3*u5arGoaRAmSJZWwn+%^ygYoFjG&Z76#Qs^lGj{N!)O5LDY)K1YSMrKM-PWy}x3fLY{)dFrIv%(Ze<>Vo=&) zgkP|zP03H${H9`DN~&p2KovIdyWejfLp+9ClbLW^r+T@3X>4+IgEg9h2Pr8m7g9^9 zp=^Oisu0p13KFs4HPtcLTVMVrbcK~S0qwaEyc`p3YQQLy<;e?^MAHTl1H!PxL+hr` zMd`!^%9Y9HM?s-@d+{o!Ya`Q9RGY#Cu6C4v|ED@`vWIFhcA%n7V@iE^F?rCru1J~a zDvE8RHMk-=bfFlyTs-uPX%o}^6X6na?07nhG{|HTh1dauD+r%;AKh}dV^l@bkPre{>5KRau*nAXbZIKDp%A1;6Z-*;lf_KnTSLY>gft}dHru7b z=6~pU(n7{u!D6YTkf3Hc`U8ua$S|+nsKU+UO@8+qS3^R3wQVeO@J&a??qKD?`r`SA z5o0lC`;k%#kHh}?iZ@%uwywS3!@=C*&DhQCa4I)fERJO#^R{}H-7M$JyYj=Qm7Xq_ zGp})nQ)YclQjp8G%djR#$Zp9!rPgSgRN=)!$`Hg49%2L2-MYWXjxFk3%i`)MT~s`q*}>aY2*Iu2y4eb+!c`&52Q$T(#?DbW zIe9*T{=;v_NkNDy#-=*Hb+zke3@bI1Cc*e=6azaF6)LvA`EvCilTtN$)Oc_VQvtzZ zBZZJPDrbvFiy#QpB@inq#0<-bRV4C;M0u@-e@0r6O=3Sct`5o!2f5TnTA%_kC5bZH zhQQkPX)8z;HJmSIhqrp(O#We{hRvQsS!-A$tEw9MQAYkvmBKa2&`3a|J^AQ4m@_o6 zQ9nZNscylf4eOBTh>g?}++yMuuZd6$Z+&zof3=&>?DHQ#vK3j%4j-^5vjzOd!ajfN zRB7v!aU!KALQmLKk3JkO2#Q;BGF!iP{gxxfyTya_Og; z1dN}idwZ8kBNfK8!})Cxam2bcf6J`<*wogknfxuR_-zEY?FVavyl`mip<#OLLRxG} zG!qf5#6bXEk7QTB{#Yr?jKYkthx$u-q;>02 ze(j9B|LEy-O<3v0yiAaf)^n}NdczLwQ)7?G%ToRd#c8+_QeQPL70@BXM6`2X4Qg|L z|Iu|tRozfuu-4f!8STIjXEP8&5henB@Psec-P~cQni0$d7F0QtM@35k2aZ!pTTW~D zFwfLk!GiW+LWLHVUgh=>ts(lu&iCBiL~Pc)h|v`^bxZGHcV`O zZBw-xu9#Gvc(`Mg=9G9Bz=};S4lIF}tYNcT(=_LF`nDgZ zq8SE2YF|oWDGz0*If1lR?abd4a4ilOn;j7gDLVGoCrIuF7l!huer+}F>lqKZz+E#H zMnNqPm`|eChD|Ql#iT?}my%Ntl)cfaRw zvEXe+BSe5yi9GSRiQpb1w{g08S8`EiwvH0RLe^rEDS14n>zp+*0);Ezmhif+;|QSC(-7Al$qW`D-1vFZ*9mp z_T1sS^QZ*IV;K|2EhJor1V?jyxi;mb_m{tOEAxCZ|2MmtaD(OK2iZco!M28PoMw|H z<~toEKs)pI^6E4B*^OhXho3HA;f*~|(2C5upvgKVc02w@roGr$bGm#%WHR2rd5~4e zqMf~A+J1z^*L$74X>0E_Q>AY{@--%;qT0b#%NpJqtrnuP!@uEcajtOLwt2SA4~dO8 zCx`C1fLFj~I6He@I#^e=su$gK`jCpLg+=+8)L8F0Dk_oIHh(ku>8&_7EI$=sEj|cN zh+Glf9S`Vt2qjVpq8(49#_2n5m{wj8o(ex^;wdV_8p6}D#sa^$0vIxkRYEJ^08SNK zf4Yeo(}FZHdcT|!^1_xW{1yvqL|p(8Vh-zm+c;0uW;hj-A778H3Z>wj7%!!iE;;Gu z^Z#yozl@&H3TxhIGdIMB+cL!zqzr7vnV^{pgnlN^)Iq)ww?=$DwiH6N9te$1+CE)+ z{;&V%Q~1Y7FqPp)H=HMjPfK-X^0(pV4g0AZ>^t>JVYYCK+6Y(Ew2HyLXi;Hd!3ahc zKJ8N%oPPCes_DBK+nveVwY$p*dO3e*oe`*I+qX?a0F8xHf1$n4PaRg-)Hqu6E95eV zX#iTfX6%6|cme+imr^b#>xz(Uocq?ue|apRkF_)AD0DBX;R$AGK-zcHw zRAKyzqEX#6SvYDZGA3`Os|>XMawr)d-q$ccLqH}Hqk0r*F0?a!F9sPN23q%Bg=Ft! zQdJ{7BfW8Ka#Q)Nk1fPPm?TwQK38!AnaI%JO(`N~$pBFd|IMQoHmV1z7Wy~$+=J&a z*kJj2N@CSQB1)-JXkMvi8Y+$jVKOEjA`SI=pqdiLofA61x^?L`0zHW4!tA|FLPlYm zDj=v(zRnIcR}3m_P^X+(tHL!2D!Bv(5Qboi#1xo*{xv^TDx+sbHz@tW-trZg z-gb#g!QMG5TeFt&EIdN&nMUETZB=LCqHpb_(_s7%Fyc;8P2rD#6-h1dkgS<*Ql#CK zrDRoGpZTefj;2ZLnpVV$I&AafR12kYn%QkJ>#%L->}t9>;ZLLjXEKAm%@*)#TFfyJ zTJO3os7(zxR@HJY`oh_=LBWmX#hPB;uA~YDzfcJ5g5%l+tPr<~9pzv?1wk`&*j{H> z#}EZ*o_6wHV|(CW8`Y-rciMZ>pa_9NYPhFV^E4GmZE!8q4nuEg;`xb#6y`!&^IFQq z)VqLYDeRdAHG{!vug<=u%9Ood+qlu%n<@;~uLEmfZM0?zBra6zL37sxD>sb>HhwO% zCR?@ER3}neBb}mjbU6HKrbtb}6X_}=7#01w+YM!*P%*E@c$t!&Vh2sNvJ!_dQ?^Q~ zUUQ<3oJXb>IHKrMiW}n;S-{|lSpe4B>fBU;bp#?t zT&Z&3swx?tdBdQ@#mHr*^(7sA^$cyoUEMPTMWs5bMKkm451dIxA;K-8V3`?Wc<$bE zMSdCmS*=8ml?Pr{L{L1=v;ra~xP@M`-p^ z+0713q0=Fm9Q*k{4`&j8=f1UTcgXS*`d@eG*}Y|47YJ>pO7-k?y1B?T!+kR?ECKE^C^TguK>iU9Satm#ifM{1 zRAnJ>?)ts6Nl%j~5qC^0?W8HeV{e>Jt;q&ES&36jRq6=7Q;g3K)cTM;VVJ%E=~WZ< zzE^@rx#h{SoKZ@RyBQOt<`p(y#Nm0Xi0~O7;5!8qc%{qGv($)d7_+hqG~3K zg|m4`ajbohpD{ru7sASjU?CW~-XD6zzM=St9qeI{@~01=5(>=qH8x(dCM$g#Okc|a z=X9#+6z+t%=*j9D(cm~cC-&PrCwzzrs{T+lJ)DOB^LQ9hp|bh^7dnNP?t!w<-?hHa z|H)zy-e|JmGJ8+{V0q1*cJtajiZuVLJN+geM)c>TC{)_rdCD(L-9szdg(f3mTrwR>DE89^2mb%LTKOc=xA(ge)i4h+7qUS8VW9y7nPz} zP%o-~DK%5LHBXa(ym@0a+q^p;G{v4Q44^0kgg4lzZ&-M{_R^3PR&5v9zM*8Xs9LT0 z|NT?qH~m4Hqm-iLE9^1vU|zLX8_*N1y$D2w^sS8>arU+t{y4mq=ZqAxRsN$-?#Pwm0>Yh>@Ye*|2U zSuIhHq*d0Wt^aCJxufW|u)rn))&x~TgxbX-S0fX|KRJE|&ah^ekaoO$yu+r8FFC|= zdI3)`M@`{WGoQtX@y}zD3f!!xGQjhOqoxxW(9O${gcqyu`n>3E!m1@bZgg;$%UQ*N z9bs1BFZP1DU{h`>RlB?;C>64}kK1Ja8$0o%<%pa@4WpNCt4dly=rPYoBQY%SIwY-#D?OFQ53V|a9AP?| zuabsngZ*_(=cJoWxJb`nh>()Xk@A&mR@O_GS}%oZ-B7T_JAnBuCaRFMFrF4*5~+ac zh`l7cpT97MU-3Qx#k+pOhB*nA8b97~Kzdt|(v$8__VO_%}g&N8<5&_+gTKUbA$R4S)()f8e1GP-hVuQaMg z1oX4%b6`W31x=Ehx>(lweGjF~YOb-QQ8uay&Ll<5 z1&oV6J>2lJl;-ifuNH$S%yb#6REGzoCB#^=>O^cp#F0_`nSV?(!|CmuFmu1`PQd0a z3olJlO_j(CquHRjD;Uct2D&1a@pbIFxTAitY2+*`p>0)Gy`$K*L6HdZy) z&!Uo_vEE!ieEn4^t3FZ*Dw)Hc1Fo)dX{%w|^%^Qm{im6MVCq}iRk!vx z>M}Adzf{RIgN(JE`0t$GOl=Gh68Er;EHS@h`99l6_E4gqW>Iy2#oOs(mP$ch*cf$! zyXh>AAkCzjzb8}O@9l4_t5}Wt_(Ubss1HBXphKGGrCx5$W~GfHb5j{=p0-LshO$-X z*5uc9Ssc8!-)`tueU1Y=emvDlOEVy^0_~3t?<3zi3{*@OaSs(&U4a(5F1)sXfB0M6 zjyeclp4uNS)C*p-oE{|^neS>h9hR@k+ z({eY2ms!76BBFHTE6$NsSzt*dSH32Xkyp9O{cOFGYOW7j0a*R+=%(vDTzHL#ZXeBF z-N=>#Hijp&H)jj=%4?{%iB^}XWtekJQHz(~jr3PcY!$+kyTar!x&P=Hs_)W0)VZYx zLO{2Pfq~KK4HMQ(A+}X&ZH9&>dW|H_Oqjlzsj6~qsJ05TOk+_1qrabjh4gY|(`V}$ zhmEEmrfyLrELL33+f`YcadO$wuQ#%FEBA<|r#0$s_leGB-O8rh>+o06@CDP}Gp<*R z_;w0+M&|;&@P_v3S*>UOA#Rf#y<4njo60CNj|w)Et9f*^bxB!jgf&4Z}V+_Q*sA z*BKtr8@}W4p6K|jT)ztyrQu45WEh1vNL45-;>Pc)uLE_J6 zux`%Q#fMr&-)YD;R2|DAqM532<4vH{T+cC^WwB?H7gZX2p9;9rYAwT9;NyHcHAI5J$6+5|v%(gE zDj#D9zxXl3uvD5r9jRKofA*|bIF}FIQFg9-m-nv9o4iZw_25lY!RQC39|=aY|IN=o zXuI|!<$d{y_vi1v*e>K>Q~!aVERK+ZPOz+Z+iYnVDe1Ic{ay+E-Y7Hk$=NP z`GC7{o*RxdHU@t*HNG*}e}V1anh*R7o{5V&{{iQY(U`Iy4*tXSm8-{}a4OyhI(hK5 zm8TdN<3F(ej{2IruB}iYP*VSH+{+3;H}NLmwJbTFFX?PL7tud z9wuU@Q|FiW}yZkySS6x~k{q_2~;L;6;Jh zo#;Nn_a__ncD8GvGx^d{v~(gQ1fU6g=7oLh7ycxEd!-NbKGOz_KtT}b4y!oM%XBZZ z?-WIGzw>Ur0}{vdc=ZE5r6Jm|cCze0Z(|OqjI9^s{eU?Ze{$|Ef222}dqf*2%fXO9 z%ke5gyon>2?g_CoFVe8*KKPksAlI5eAProD(ik!7jWG5P09XFW8Lk&c^m+}1l7G?r zjwYM##UlQ(eDbMt*ty>;x=uPO&(_qf*hsLG#H3@)RKy?Ix z5f*~%01( zL^ZIcDoZg2)n4qN`oW=#SM^P*`aVPI!*LSB{yI<&^RCeG=f~3e1*|`2(Lt(w)56|`zfj!+CS;dgzdr0h2 zjI*fcn^8!b@#xVrLfb8l?d9s5zK&GJFEJ|<(j2>L%>)&DRc*;nsEWvg?xsTHjhNzkNi&pq+3=w*>k z{E~O7uNlr&>oyyZ3KdDOCHSWE&g{F%)YPGVPEz0#3|g~_V?Su0wJfwCgbf{9d1g^a z@&>I}zUu70k&<1q1bdT=Z8W5B40EvwvVZkU%it{nDs|FLEd4H*MIQX-%lapk9#5au z5>A3v9%Rp8=0WJQA3NLa+gwCD85Na~Sf~!Q4chy@v2*(-)yThw!lZJ6%7|7JHx%#q z;dA;&jG)qUX*Ah{ZSg{J^F1KN`Tm7B;)(SKHJSuHVERIWwG7*cML=)hdgx=P_fM?| zRR=W&K$|A>&Y|=U1-7<-g(;Cf0Yw1+7{!n!%vx^R2q0JgG{oiR{_KGzw&J$4C2m5D zLNY01h^n_E#R{2SmcRRTt3B6kNAz}MTn65AHZF*iV#3JBOg3M167`h3?`xT{O#k>b zAc#USx6Kocy{I>Y+`4k}YLB;adn31Ku%m|Q8SPBQdysH2k#YHlaCG|R1$_(^1j%xB zM0FcXMda-G{{Ps}4(;{ESflE_{O{xm9X>T?@Yn~k{ZlK?P6mx!KlUkh_#g*JZk4qB zT^~EMQ(|v-h!RvnsZ>r3s3nd6GOB|Yzk6E$)EX@=?bc=Ks1E9K=%VdY`+lQSUR>^y zSM_7*HhPwswTv=octS#W8U7n|ihlcq`mPz=U}eXE)P`ibH+q=D4o#=~MhjDAmO@ps zxljtyb{bCoqhC3_{}ImUaSaMacYCnW}%j8ef*RCBMtor4fRPI$Bb*T zQUYtoTTbs=!DsL91ja-*Td&_qjVu}{N0ZC9InddD(I z4L@|LAt1q0O(=yiC|Tsy`@ za{yc?C+OAhG*R)X{wJI@&KObEPm)#`Vq6p`4t6-Jmt!p&an}?|SA!XjsL%PW;U8TF zXVpx`eUg6dutEAZg*1x61To|;1L^d4P zjs#;v6C?aa(YNk>J>Nv_mvHEvH0upwi~N-iH$g9sm_D7G+wi(Y-$CtUOWYX~SvA+N zflPO-b0oU$%HtSL{eJ(-!VJb5DNwj*h>jkr!4k|h0%(#4uUa+MK5Z*O+M>6}n8~kI zdf|zIM>u3m;itZmS%y<+)F%4T?23r7n%N9#IJ@!S73pORaKaX9qK2|nmrs*Un6nWR7GkJ?Ou1=3vVO5uf-VQ6w5`{Xq@!nhi=<73UJtB;g z$(uwh;59W*P;BHv%M38anjBC5tRd%2dYDj~^7`R2);1H_k*B zXeP$cjOlg5D^~TbeHeJ)uKsb;5#i9}g4SI2`}p8N|9wN|m1-+9HKvto#9V7~?ydX! zpW8G3iToDLX>_oL-%6y6*`#6K52ISoHdD_CF+$kJ`%>(u@ta=-Cb{)Hj4#dx-p2;i_d=48}x+6~=)> z$|J*X%Aq~RP574gRGHIYu zo}y523Vq9X{{|Y;AP>%1ze$ybB_R_{6P6dgzVF_L&>B;Ou9CD!=#iZBfv20bTHpR= ztzY4j(Ti9_PF7oBqbVf3imyGmEK1d%H8GS?>1S&ws9eLXll~M+ZcZlUU9D zT|ctA@BVBi1vQe<*d2r_fpVb!>Q|rRCBl2Um8nbf8r{>*5HZuTUi`(g`leF7SR=T^ zQa*eeYsgQ8DL421pIXjt89JCIv7{w6PPRdFzEpsd6h87u|H6+EDrKm-xUPv*#N%mq9Kp zG%gd0i^gk%cnfc!xApR$?_Z_M^$Q(y28}K^&8T=<(kR>)r_SX~=ysvK-9WE#cFks% z4kKhJNx4vqD<90b%WzB#R(XC&>(*FMbQX=Jjk!Cgm(g^1?6e)m1C>t7ghmT_*R*MN z-wS8-k6HQ@RSRtz1UjrRlC5Udu=ABYeY;YK8LEYUw12Y0!2|hL>f+YbS8--nzgDR< znZ!cANy31XokJDO8t&B(oY^;84Gd%lIVh5RkhxA>&_Lh#>N14b%zt3o%$vgzsZw$( zcE_D(^eev-RE@B-k8#u5QqNR?d?1nB8orw^?)A&wP?TslV?ps0qo%=BR>S*#K+Jw`g<-kC#fvp-G+sxI{t5l?v=hdU;^VdD2GYL(W797T*2`aUraRUzL*b+dM2oBXF{m?0=V}+@_Nn{c zyNrEaBGQcBF;25T%Pbr)D|p{u_3ulldm67NQGa7Q!&OZ=`A5H<>RXA6d&W1_x?k7q ze%MyLTtAjt#;Zb_xkS}SN;_wsawE zpp-#_@ILt)FYBLLxFFM3Ix*~LA#QZL_@e>H_;0Q8;bm}Hb`jnhMI>nERufzLCyreG z{pa*gHL?J;vT6~mHW*tS*<;u^^tom1K#-KlBTM2Gwa6}$IQUX;sBGz19^SPKH-a9F8k4busT*U~ zuxO_Ai1Azh@hAH>pNvllT_I_S4lO$kJ&zpb?77Od{qK^1APr*k^tn71xo+CLHTS~5 z>7T)BFt*Fk2ZTKWh?M~d-k|mU@9BT>gsvq8iC}3MiXj?&r0)5D)92E)OdQqY)r@JV zHF;`DYBhW)G&lE8Yw*x|#1rB@EiZIf5w8(_z+!eRmF{8otyjO zTl?p$bQpR;jh$`FtRY+W2>;Q%bN}+r{)xpV*I0wa*+FY`4~?h}oSXaTf9e}6NYUL4 z40t%5<`TD!Mwa>PAARMjzNNsJ`?R-uYP-F7HWsuorY7h9%ik=++86u6aS;Mzqs&N& zSgQczOH5`jzu>fG*yQS`;5UTA8G~3qbU{^#|Mr8wo9%zC*0}$OuG~nG*+DGBhY%RW zf-Avm1JFwGZI1xi_2+Ic(o zJ9qTIWh4~0aMq%%Zd@ zlGaD28D(#}X&D;_%<3!TLbB0JZM?>wK+0S1cxV4>I3kw{YdlT*o|-;H5?L5}VdFB9 zLZ9IxQWt{+!)T6HFy8+wsfJWFTG;e4Qu7{*=6+BZ7yS@#*Yw@1HCY6eP@B-l(mh5s zwf?Wy_fIRxbgwydH>#khN<@ zHpD9iEnn%sWcJDJFYCJpn`Y4*s>qI!f=sh<(mT9U@-wr`824qTCaND|MzG)u6SJ>+ zsQ-;lG!&XdmYSp>1}#5|ua@=iKhggjf!Z0Ih^5A%7^j(xf&9RK>@%tU1whP0sLU)` z2c^*qlp}e&{J)*q{{kj$fz^PjmhLkG8X?zwHzH>?3|#)l=k~uI7;!f0NHNJ8>eY== z;`jXkpGAzrd%kLhP%>}izAG2~?WvFG!~Ok%EMGNO65`(f#!n|hd%Bk#k)8HP2&&{( zxpzFbjCp=Dk*jst1d&=$2=&XApX+9dAFA<^O)W5Q95trG@bpl1@lGY-OMN zGCLvq-rg3Gi-AIgWde2x?l9t_20CgPs5S6OPwR3l-t5dT!{2@xA$c|GS?VYTS#DbD zff=pex_miU5qR}Y#<+y;k2IDsTw5P|cv*PO5GAX)L#=VlLJ5ZEtJn1ZVG?5q%L+gp zbPcWHmIy?!HT;YHn?OeY(Nd-h$GnB7k})|9M}KS8GCU;+l=rNmIXSR~LpM@)WtBHg z+NJe+quEenS!uv-X9ArWr=WS?YtCJU(4w|AO{K}&tU7TFNJZ$tw0`!DaaiwHa)XRn z;ly;E_-f!|A76%6R4vVrtiB~lXoH%INXc&NfBbwqxaS-4qX*Py+Y~A2l+#!sLVeTEp0y04)hKQZH+n+{r;#j1_kD*t)b~ngv;j=&if^`ua}9Cc+T4S$ z>t9*PLIZ=bF53tRQX}yu%`czP|IR%vh%<8QqQN#nXDGC^{8JOl_-p}A#{bBk;A3S#%Esn@*QbCHT zLnEfFh7v4_xy%1-F=`f$i~I{BJ1A)`!^z9n8X{wD)ztp4q66POst1$1}y+2Cq8K!O@Kd zoUGS32PwWj9UN|q1Zjs8E(1ReLDlWmz3IaZ>)ESU>l@V&>Ao&g+h|jNjv^RMHJ_gF z)03I~)n=-c8Nb7GT*tLX-(Q@{?3@VrHu32NmlGQuP71ipEAfT@%9n!l=*6cE1+xv# z0cZ{doPOF&@2opj*Kry(cK1}d!jX^x-^FeobonfD=Dx3HU5nE! zIBc&fS*fyw<{8n-G*eBtO3g|^N}uhn1$^(?@;M?y2S+-5;+-#Nmom!LAT~qC=H!cw zmJUQAxdH*-u1!7vt9zR%$!itTpu#|nFKfeqT-9YHOY8IrWob-%8Hpye@x(;g;XB>6J1U;%ZD|CvM}ok6 zlfU_K4pifN_S08zQrn)3FP`|mk8h}6-x#^WL)s2|?xrK-n>Kor#cjdlh6^^&Ua;qY zz4r=xy8O&U**|Ul$j6J3hoSAz;$~ zME&}apfYh!yg)Bf{+u)|P4ke{1g9`ALn8QwPGsDWyPo(|ZC(V2lxG_`d+MR;nj@Qh`gi)xWvk&?mo=<) z+x>dgt5$Q_>uVb~jNa_onfhUU&3z=}?3}Qh_i$1u-&9W*T6e$3+Pvp9XFPjI}4#sBTVIhrH}a@s7r@b5C*4`sg&Am499PB_G-o%%5tEga&zFC z!@+7ho?Oj1M?WZ~N-2vE{JWf|(oEH=<$RDD%8a|L&JHz-oRwH|IZ?Bmf|!!;rJ9Az zt>qF21*U413_6-ajY`f$#%feYICIUzt1Q(s>P^+j42YW(Xx&^TJ(?b!c>YH}lyk~u zKRue_{NHBUTBl?2))n_(z)=L|v(p@P3`&l`EttcA81x(K`H*|2w3V|Ds)04)=QEof zKTTaj0jveIDx&7E_eXE9fK5HS58H^lpV^TzTE4X8n6pF4mF=H`>ARzNEI5J{L!kD`a-I@-f#L&y_!L8Hgot%;N0Gz z2}WG1YfU>T2h5Z|zN;Qp*gRtc9l76u&$Hs2Hz2enfYp3TICeyjq)@_y9Bg4BUa@Es0n(|t=u;!6% z99_u~KC^p$=Rk2hxT0#;-JvP^(j@2YWPxxPOAP<8y~Tb!U6q^{pvzqh>C z@h8%oFTUN@!ID?eW|``{udVO<;@LYd-aMLlb78WQu5wmQ$!+N18;%_Is#e8Yzc-b; z&Mo+Sw-)B^G~4SeXup^9JE|NnV;30KjKRx^AkCE90cJ6jIi7%BnW#ZH)%!C}hf% zxP@J8g^XWIyO4>9A*H%joOGR7b-xtNAmdVf1Fq<_s?xRI-fJgjXfO_A^cvXAu17`& zRR@_$mjVdn)CW#&aApb>hjDR&_glReKanluY^unR!vSC!eV?^vbBGa%`J>;3$Ffo` zLWF_C4QElLIl3$bFWu^!%Ph!&Kkd=+v^K{XIHNkFr6%c9wzOMt#<3t;e{+4VUdX^k zLhTa5!nmpd&@XUHMoJ5TC!I+I`2xo+rC_4&WboHA2CZyhFFb#Pl5*K%b@xAHDjdjU zai|rUp@ek&x}-b|iwYimg?@?wv2Vrbt^GxtwHi*mRTY^BQz4|FAJsWWEJ)WfH=LZE|Zl$)w{*>#FK&LOo~!kc{j!2XIW?&8eQk#c@g;O6}_l^E#7;6bKm# zHvEdSx3f;QIFRaa1?vPeDE+6O;&5I1i~!m=-9#L+yipgkh8u9w0H`J<38ZI17D4S` z89_G>P&JxHJ<+Nn(73qV)VxMzSN++|tCWa(t0oi^a1e-Fo247EVWX%JI(Gfi8UQjAvInFCV_RlBw8_8e8E@+lt^cwEOht2{7M ziLioLSL8B9HB%1SJme1ALhYxfS0R?Sxsag*kVk%Bla#INzGS`nEcqY4PeRC1Bbv5X zJ}Oq?@d)=^Nmb(HNrO@pVbP`x=^n>U(ZN`?CqLXlpf*%Wb^fPo&wZs%Znd^)0ujUW zP=@RrTm{`!LJSnM1em^%k+nw)=ml%t2E~)g9Z#=O#tt~H!${wv(pcCfWMi|vMLq@xG^O;;z2m9*zN1#h$C5QO&g zTwSWpcs{ro324^us1WU1lU!-ZF!r*l=br!kvv0Ew8r%Uz3~%+F3-iN2;?3ka-sv@4 zhh01Krm4wCg5lJ|t8dM}r5J=sCo?noJSjKojNd|i?1920N6mib*HhzFj`h9uVvZQT z=e5?z^Bgj2P83c(e8E8u6drrPS>;_;8Cf6bNMW>8!~1P#$XuMWG_dz|6?!Hb{#>gknN7oNB6TRE#(=ZUV+`VXV~?E#s~OekghiMU|k)@;nhW6A^

4*eEV!z$Z&A(JC2_G(qmGbweclzdPSd4{zPp z+V?&y=O7S)wzN}7p2MJ1A_QH-bSfhPB#phD{?n8q^2}kyraeWd7&Iy6w{^<3w@kSk z&8ac)ff~aZG8Ho7-k1i_8p_0lKd&9jKu)gm{5O8Lc8ycMO{cS|?i|K^Fi%po2h;sD zhimO)^zp$XDry-Hf+po~o~3|-HGHF9OR^}IER%M{Nilv@m9pkhl2fZ-XM`Ku%>=1h z>Ylvk&b$^8*=tP}ubHB|nqBdl_QPv8uhVLs!Pc@SQM`Oat+mbA)R-t3Gn0p6n)~kj zqs<8Utp z)qL5Skj!f|!?Vi4f4AwE;U&I^fJujOl(ntMUMII31K@B?=a^?wE9bPA+q0!m z@BHq3F{Q|R%3iX2pBgGz?ZFUdDPl^niR8@}Iv@vR^cjI#Ql7P8*l zQ;c3&TEHvN$mo^c2}I)h7}0A=C|C^E0>6I^pB!%pAT}xxpzv4p^Wt^w6t+Yg6)aj< zAnjKXl<=+1PEOJny1w`J4-w?s`le_@@6WAYy;5lR?T0ppmXCY8{`%BFd$Mf$7aF2V z7r*||SC(AjPDAppUF{F;Hj9oL2pwC$D$VtWQjCB;SNF&);}_8Wn7>EAH?@#UWtO%^ z{6z=#UD9BsP?SX%r?`a(_gpY7i)K;m+UFZ1ykE7-fhEt_8#-V6%0bGO_cL9MG&G9R z)1Nc#?X`F!FAL>SdTOf36LTrfcx#^HY0IhR);&BU9yWwuI%Fr>`NSuuGWNNkC9ITK zZ^?_+&vR?=%f!C_KeGlldY-)#pAA#oQGU3J>nQ9HF~EF^zZX2_&X2yH}VqRIr|#QNrNZVuCtwkRreC@Rm~Ap?!|NTLn5oFCFhcJ0s7Y3hdWFyGnplsC1r7WU>w&uQ^kE_5)JSH zNo^uT+V~5%TZCP;9iFm@n_O9m9INbv#C6o!9d{yUPOf{?sXK1wFS_%xI+ZElk8$(r zz5!_*(baS3XXSW$#-r+t9$~wY`6@*rulThS5fUXj$)@EF-jt~&6`_y#+-VH@-Jcw9 z8v^D1PB+0pZdo^f{4Y_oUN}NicPLXYoXn`QQCQIZOGnWXz2jH!g~w7yK`kNmE79}3 zigl59HcMtPX@BkvZVi?&C;g7?Gi2$(KQ+3vg>m!p5Aq^4%NA|#5|@QYp%*~8pi{A} zqYBPF<(@_Nrsed;2ECcv`+(>KCQF_qK{=BfMahe+K`Nx;P0Exi=CM?g|61FwRa1R` zMM=h!Tr2nPk8^ll(gBM)$cSQ_UZSl_-Wm@ig39)I6dVDwD>sm!6 z3}x>@EwoP=BlLX>xp39qa6No?nd0lmN-aoE(BE{CxXE4*3)b&44iXsB5qjb+I@DGc z6J>XeQmBi^hNI#upSshHMDIjyQpu^T<Q@_lW2%7QLpV359GN( zZG@n@1M474aZ5f@x3ZPL8sYccs!i`n9K>}tkO%%GLoCY78(RqkW0r2lM$kRYZ;#z3 zjX)a-qZpXjM()OE;-htsUdHE^xfG6XhDO|_BjF$D!JS@UFiE8RX{m@{i#@3oLAu`D zbV-eLdZVW-Hl`@HQ~C5gTXvg+96_V?mKIv~jgvu_0Q5(|<1u`UvHOQe#do9WdU+919zdxo@ zM=eo37FjfHZx~2y8iNu~C+y63L-z7bD~{e=S4hdp<21HzJ6%;|n)VbTmwtQKA#nv* zM{Bjzt#|9Ho&Z#@_^5Jb_H?RuHP#EGx&XAQErC%Kv;~8M!l~;CktZ|u8>ux>ljd)rc>D6y;8t&3xmN-~EgAo7_*R?~Sd0*oqd`9v$v-f!YOQNLI zy_hg1nMLy^SLds&ZXt|VJzjmw6SH!Tc3~8ddZt}c&u`!@#`oo=Xb#=4d2M78AECA%|Gow)x4+t3$F>Z&7ZRK-<{Oq5fb zqot66au#^hUGd}?{?AGn&uyrk;dDal-HhmIm9t({HY>R3UY2}MTK(<-=tr+(xNdYV zI2TBNJyflkWZZ07=t9sYo~}ns2+hZ8VGyn9{rM z&7-|@{K8aK_I?3Ii|z(57d|htj_194@%uNu!r*|#S_!y6{^aA~4abb_uwM|BtJ>f`UN(loYWSxtEI$gqaDRlh&^n2fWq!0wXfrkLs&^95jArPaIt?lyl zuOnUyNP$m67rde}E7hn6Xp6=;npdSD3s=B*5ve*S(iE^p4T&{pZ^%GU7A7IE31`g!?YTK~U-85f1q;hi(W2_dZfIFaUAAI7 zbwcs#tvU+KP~}DLTP-zWp$>iM{w}Z3EezkUfXYuT%VQ|i!#tyqE54DA3|?Z>rvSRL6hf%q(Kmx zOD(NyO2=!oaVIC}o&q>Qq_T2;BxNX5_a_!w6qqF&HRpcW zSQH1I^_+|1$@!NzObtk0&K-DdY%D7V^1RRub)Oj8QUUP;u3XzieGXumfsyWWH24L= z)w{O_Sb~0uUc1#E%mv0oNo3LL8o#tZSI~n26|bb0Mwoc(y9OzY;@Zegro~6VYlpVb zlSfqLLO?$}Knw2voCYk{Gltrze`8={2t@OgIrRL3d2at{KVIEuX#Kufn(GfF{m;NK zXke(2)BR72Ps2@>&YCW!sjxNYgg(beTS*RQ;O0O2y%?trsp8>GV40=ySHMWc_KDvD zvqe+IDM}EZ1#!_J2zC;m($EJqI%4RGv@}Yy;i*<{%97jZYx1^#EeXxcSYITBZzaB+ z)|sG z&!5gd96cvz=1+HiDf%3M%+wnl0Y3BFU;l^0d%XXuSuoV5z;<*0D2_jz|i>|WsOjJ7i=Fh!n$M~GRdD5*@_3xGt>1r?(q$%u=u@TLs z)2Ixy78(t?j?ol7$*XY%1@7>@=| z-~SU<-h;%{ldQa#s!$-`Xb~sYQp!IPidNfnTU!jHEt%W(106kNMx^p*iG%gT6Bk6& z_*8`b#331sI6k;pV#uP1LjEh4NDZVbW6XcjTCgu zTihHq(ufaYZ=epjHGDRF)~Mw&pSP`s$*{!YY`bG;V%|!pWfoPwD>=NSW{qQ0PN5e0 z?Y>y)rt49DEQ~S&O>COD|0CA!ww@*A&S7ys@CC-lv&*J*arLktsw+zP*o3=LVP!PG ztvurSOhzk#OX1n$Nn{~EPF2pOEqP3gRZ?cB;wyVaVe(L=4By?xY0fV^oMwg+>!0UJNXw3v2Dvnk&T3e^YBE>VpW$nBKMSDPjQ$^F@|})bYG(xDjTu^qJA}nyboY z(JFMknq2Y3cwmlij>70Gbw?=>?)1^wW-FOX6oUF>5G@6H_nkQAq9IgiDsynwJXLFC zrE0dNY_V^c8;@xdi8GL8E%!ZinY3ort7X^jN)g@s2b-bTX`q_X7U z!sNz-$34dh8r4o_`VVaS3@@btsFLIJ^OpwZ&1+RF_5D(=fSS(Ad!uFs@gy@UAB(bP zR!gn*;YgHTKlY0NhKsu_p#$MqzqX*bWylP{Nn8RO1p|ORO#f~-;t1U_?qdqpfJjzs z>Y$}VOYHT^0F~>WqhYZ$-DF1I8UkCx7PxlN%=Xgm0+ow%fo7*x@DYtZ20b8_;+NRsW62}Ci zdo`5;jS{XDZoT_>lHxd>yZ!7pJ{hVgYK}>_Zra^*uE)|tAr)Z^AP12B& z;e6qb-iGgI5$S98z_~!t0~3Z@y4D=RC74aZc50!}8h_CBG>i$j7j;=uHmo57yI-^V z?0oGlSymJK92h`xB<)mXm@b*BIJeH=oFlaBYS6$CJT6+bZj zu92=#DlP)v&Hzx0RxceSH6UptE)`GlqRCGmvCwW+1BwHeYBOtf;W zR|Fo*)(Y6i1`KvQDK@hxD**4IE!2b2jwf<(KA36m4O`10BY{ph6Gkyi-gx=HfPZ5e z%mob@jm+n3S#mCn`&sy z(}eK?1z+-|AZi9er74dmKlwBI4uq$~O)$>*j4J%qrZ5@45=gbA%>qaF2DmdupKTDy z(o{v0isXTVvecjuR6-$8YS#*w&Dx7EF0c+lV6)0MqAh^uTtT4{JD$7MEJjgScuHV% zD3&fj&zd8*mc>L>KEPykDH-^n6Du2?1O_jO_2;r(LY=y>v6_>x=;)c7*vX4xEC9tEnUH~VV5jmd(UQX2_r5LF5-cU)|_cQo{6 z0T2kl2@FS{KDu|1`+5?v^Wy+yPSmX<6*U{HXiwl zpZ%AuUF~ug0+GBgP!YvuiI19vA=48VnH7~8)z(7|Q-S+PjV-upgcC}mA;EA7lUeti zsFrL5ZeMrsF3*>xzK$uC>|60Fjq0H@NA6g@3ghT@CNi$4(qZOlZ#cdY`txT7x@p<% zdf7(J{aAR`b5ETZtuAc%g|7}TNAp5#MyK59OemttK1fF8ZuQ`e|9xx0d!jvqc4#I~ z1=+cDC$K795j^06W|1TW-XJ1?tb~_Xa|W^dgovLD+op~|_Yu?)8A02r&`DLrT=$50 zGi_dt!L+);+d$Tz({m7KW>inyJjIU#evzN z{CEiJ1>fY3?%#)RA^OJD!v;Jc%tJWN=fr*3 zx=GXM8?@A9unN57^sfd$dCaJ(1I>j#6Ud-@B!pk|Vq-1fLd!U?r2?h{I`dN6REH9G zr++y&G{PX8DIFQ*&x3LS^#F%_#ST@=r8Hn?nn4t!nYXUhfDTr{CM?%*RAxzBX@1y0 zZ&qvr9eA@~CajnS8i5qS_a>N~gbh`9Ad>vlAo#@cT|xh2xTCsUJF1kc15Wj-A3MOf3ZSIEa84NNW)uOT-5kBs0D3gBaFoM0&@_xWrc$4R?9S;Hw(~YleI-k! zcYXwWEF%iVpyGiEHqPznZ#YBNt+t&|em^)``=zuoiJaxf1_wXuJQ}RJK26FY_JQLd zm_2?c2S@E3ChtB007$U}t!Yt6-I~=e{)@MT#t`jC(?DEm(IEu`E&@3x&f(kYwf63p zl9C~4S2rrS<$8!EtWO&GhI7E2+&X0SnT*0dG8(D(O|FXm#$pTH$Q$dT9w4jSi^@fp zxBLB0ZiwmTD7`#|i&ktd@HEOKkEri_(l&I+)f$L6DPU+T*x6><}k(2@Ji#|XJ8Wc3aWX%rqkYO@) zg=#W<8IlG4mG^Hq0Yr2W#Ly1SeWOuaAV#ftW&P_-NVY}Uw7wM6Ef8`fx&h{;G1OaX z9l*2F_QajM;0)2dpjdN#(_VP08#D~irv->Kd4SL4T=;Q z<+iJHHd6*5I7>IHcdj!;j(}b5*WyVO!M&t0t|gyCL}+`B>>v?k6&vN&5<0ND56H^j z8}ghliqOQJI+6*4hRXVab}}(Jga{`u>^}gO3AFWgcWr+*`A@~?_8W(iA@@plyF2ue z0f<}sE4iU($9C9a&lpwRe}E_Ni{A)Ciuu)2X-l!KpPUjrY!@B!JHEYV`yz2ZLIuW5 z+cX=fl7>dtImM1dm#CdKtc0Hp;?-cJ1v+`poKaZ``yndQUx@{FwDGi{nQK@Ji)(yb z8u?Y{+D6XMK>~RlrZl>hG-1NiKbyFEvcR~yU}f~6Zp)fh$A9^)PYgWK#?WgoyrLN) z@ybDM_}4S05^N2qMLM+iBCSz+=D??PxRhBQ9Vu#zULP`nwi3`R>1RiCdh)poS&y4` zY<7B4z1kwcdcPh`veQ}7+9)Oi3;?$CbYTAtQqqU_Jtsdh`gdg|fnVMK{}NgfMY)As zX)y2a3ZeZC^y|*>?f%xbp|Pl9Iuc?N-^8Vc%$9Y|WiLT(5B37f!ZX7e>;2Oo?y#^! z{*G1Ga5Sj(!~bw_&7z=3%}Aa4RMdcKOS^V|z5Uv3{@?rl*9U(1gH|#h;8RCDKfGVx zP+y(i+NrHi{M=`A3xV$zLQ~WTh_RxgX4dvWR~Zj@_!hxLx?1<*Y$P-!zMf(-U&htZ zclBF-O_)Uxt&TU^S6d6@r8COd0a3YZ_N!qzIzd3-xa*PZZ*Nu?mqSN~vPM~V>lxMm#WXOlo(WGjqLHXH%)~fsg0hK+X*Y=?x34pKIh|cipC`G50SOfj#h1H2C8w|8&VRSGL2=DC-<85+pp~irL?iLW zErx#?y(1BKdGbR+CedfZ>}m;>&5nLsyyLsT)Plsl5}G4BJj zWitaGbnT4+`LWS6(UC~H@Ks8Vuse2jgX!zMs%rjSXOuixe=3WwM5#>uQ#cqn^u>Ll z|0^=^WALjh$G>pL_Sov1$gUHlleS>V0`cyqQ^=Yj_^EI$G+4@Av$A9@l~nCaSezw~ z)r)I(Ie1x~@eZ|H%+3n4)&7!4)I7eAscHEXAQ_X>z8q+qzQ4>Y_lP(Ar66^WCu75( z4j&FS-*umx?RE!p>7D`7sI$UnqU92ci5AJf92;^EZ$?b86rC~A2^-n{PeHS*Fd%77 z%%AaUE@(9IaL|j!ePdV~5cv)%=>#^}9=1VTUKUnh7-4?ERwIKdV?5NFEQ=QL-{KkE zLbub#$Cx+so8I&WPFs~2c~4?gBS2=<+a7^&SD18j83E%~{CAld-+A~hvRsn2onKhU+`BnA|5hU-8*(kH*LO_8}AQV(QA3>T?8 z&hQY|y`#-vMST(KtxZvTi-*c{ZUBNvzro0ruv@u#vF6s6p{MEMMm;@Ll#zH@U!jK$%?6{+d?Kk7 zO3z$sqz1val^13jxcMtyRyO5yyQ8M6B9j?l26qOH$$N8hCeGdX!s=lw2zo^Ucri-* zLXBw}pqScQ1^9iAT3R9cCCeSk_x^gbO7r>)%i)4J& zfKY2KKr{D7akU#V(UUUU1EcYjnbJzygEa64iYNqfo@x)e_Se4r_gaYWSiPEcTspaJDv!guy4I-Kb$Hbd0rxe}|?JCZLbD@5S= zVfODqD5OdVJ-A$}WjgaL&KpB2!^y1obWMub*?n^Hn34Zj>oO*Y1 zy>!O8mi|t_yN#Cn#z#U~pZbtnIYE}$&`k0k=LP9_GxCm^9eW&?9$9v?&9Jl*fPbV#bO90h4}snS93v5~OtTD#0$&Wd}={R=O;RQAVqhDR{gzxi6| zF1oo+X(LR(=NzBMHC!C=Bl!)&$4l(%c2k^-X*uRbvewKtZs*&v>X@iZMep;st7D%I zM9hCx=?ipPT65}zh@To1?nGm+=iLF19}Ge=io(GPh7vwVurvlx0kdljKOh|OS{i3- z@n1XM$3&1!l*VH32?zt{cYq;Xi6BQWthtBMv_{KJ4KXWek!$FMQU_P{D@=pFiJiq> z7GU=-vzAB2Z7g&qN;g0L+nVyVTc?{nwr^D&8K~H&$0n!Lz^Zfk!3T!^BsifSA6%KO zSG;p~Tx&$1g?;f!SXx~HoN``hXpIakbEgA?=H(!GJbZ3s8(b8PnSCBIin-+1!MN_9 zY0L#fn}y)+9ra^BJ-gEZv3X?dU{Dr-iERCM=j{spzRzegUcH5N3Ab7S|~uFDV2-W@#o;UB3q zo&7J9)Kho3yw;e!({VQ{VPoNjJYZEOCJwAJJ-OHGljrxl)Isy8iWVR0{2>{r2_n*O zo*OaPr8zM=&lQvnW=$!`+jj%v9Q%rEs$<^s3yqp^>&c*f*>5Hk{ldF|ew3h17K>Xh zA5{l5)NpOV91_ii%eX3K0J|Z}?L@#CrRxhX%`_g__1gb*HEur8_tJc0A$}FEj#_m_ zE_)RAsfo!0R^Qfsv(G-~c;|LO+-XS#3yhS#*rtFE15m#i9pD>~7qV_q% zJgDw=;-(7x%l1vb|FUa`9n1ei|CKSCYa-l5wo?Vt8-*OQSb<~#R7<4bmoRw9?OSsW zNnOf#1VYm{R~xhYpFd#sH+JMNaE@0ahJ7}CHC_jEZ)5@>NEmah?9xFV%t-pAje1%ZJa1 zZ~oC+WuN)uzA?Be>fW6Wm2*2&5ViXz$5(Tf?f90ACs*wrCE(YqNF2 zn@}z8?B}<|&4YJ4#<7BsXrrH2?2bQ`FawBY?Xj3go4Qi8IWn3J8fq4DNWh~qs&h& z4z-&Ll9>R#qetu71U091`SrjvgU^aJyn|h@`C}d59NLk)lY%vCUjG9NPnrGZQbK;? zLJw2sK}_1H-JKX0ezBCbum9;- zDJmSDi@=lV2j|41c}{>^EjAW(pZ%iPM$hWsK#Hy=*Jb3YrcejNq1Qg+*E7lTR>=mL z-z>e6!sKXHjLLO9Ny4DJ2;SgaWQ=7kv!zQ#Z>YSGY-8Zx$S~M;YbG^}An*?bYPS_H z3qQVa9DXE_BO`)3${F^?oh(^$a(rwc*RaFH8I6(Rga) z4q*YQDN`u^n1=Ur+hTfT%?|{yLbt`}qPb{A^Hv7n?#x8JYC89#Fp#ae>KL?v2?8Ow z?C0Dp-MuX{rc-ht8BEAlg`H@x`zdi!#q2TB;MFm?o9QV^W#p&C%^&ao575zGm;b-d z6TIO)|KH?pA!$Z&W7PG_8=n43vR@kX0>z&1sOMVP^zRl*eQVpxM5x>gb>^)0Rq^50 z0?{vEu8o9DVCo()Q`Z6ccA>>+xk1<`)(*B`lct2cjVy}#I+-qz~HO$0^^ zetNqVuk}9u)vsH-ZarSa|}EKOuYO6Od1e0of_vgs7XNJKh0n1R@c$M9pO zlgdR15RtpfCa8>B?K4$OiIhi#k+6bJEM`;480(>t#aFStu7Aol#ywUxXw6&eVP{+A z4exkiV5$oJIt5UZ!?1Z4tZ7*~OSTw6NfiX;L;ks-Wk$Oaaw)$fEu}qXqv!yRr`j#g zj09`i#fBv#Olp8!hG(jRBs#Sc29W_FNtc3ki3lX(9B~%Y%9Nt!;i|Xx;eTIkBt<)m zb79K5HFoRFfFQI^fni$70yC!8*B-cSrVm#b)|d|DGvbmkKj%DP_JfN|mp2|xn56GY zLeOH_*2|_Gh9FcU{RCVV5=6G7bjYK0t4o?2SQUD*4%$vx3dwBj9R7y6Q8q=(I+x%iXjT_jHdOKWn~ynERmQ|SaygV38Wg{QUH-a-hrvo zpvQEQC$+&A=~cYryz**Q5-JK|0tU5#5aBEgxYoh5UO;LaZ5ZdyLl7DDA06=*>_sy> zC8UsqIr-{DqErY7Irxd!y}!(>qffY*2YW1@81I`Ri!FXHGxmMT10prn9k0wQL~yR9 zZ|)fBBa`B}K+6Pcx~ESG89`%;NM67A_sU z|5xq63mn6#NQ$12z0{>h19Q#3(Cy47j@XrWA|cK)sd#wp9_gjjaiydR@bx^frWU!8 z`MD%Nw@Egpdf|MMK_{@!vtZ63xfejHm1v)c7Sm*+eQGAbjXfc%e-PF-!=V#`;Q%ZJ zwm`1zj>+P=bQ%`$K>HDRr1AYLOmRZlx-6b(@u?8Lp2PU9CLre;z zJ|5S3K}MRvYs7G=*iJj4#b^w4qc}G!rdEJ=1WMP=v`V|2!iia$Dq+Ny2DFUm-#%gj zSwQuuC5}DA88M6?8%4=WU}2kah7i4FPE5>Jc=$Zci#-hT6`p>TmnnJ~P|zrbMJH1Zn) z?Zo40S#nO3%JD5)mfoXH6KeDKgauKp9c!;%3PGwZ){PKqas0#ch0c z{pj!C|I!o><6D2b%y4dH%HnlafzzFi2 z+^Usq2Y$nL#MPQ-W$$PQf+RvC&1*tYNVmvaX?-&_b~hr|$UgqGfo{d*1@THKRsncySZPr4t$cw7NM_ec zma)st#Tb=(bn|yVolk&XY|^MO6i9@rO2?c4^J!aB(ADnbBK@d&tA(0SLn{g>FR(H)2CWm?k`CH@*7C^P z;of0{<(F{Iwx=?KvZw+h|GM)!65}~lWz#)J__X95DHYWcf3laF0lF z&nl<1tc*UE)_3gfm%80F zF2vMMc}2g< zJGP9QpMHN{RuG(ikltj`dh<(*UDQ_}kYc*6)tFhPFr~r_KAgq}I{Ge)nIbEq_0gj` zT|G5A);c_Ig>dO%#?x=#YRJIAia-%d0r(Jn%dwrPwJ)S&MPrVje{4144v1t%wL7Lx zMz=8w`eB-+3pyk>=;%C?x^v(40hT{=y&-ylZp77eRnyHa#m&F)y?X=`G{6S@pq zW_-zWTU9PIN3Fl`9}O2#$v)-!iW# zR>GJSmBjUG(81$QKCzI8|0p&gTxpdyV z+&ur^J(PDEdWdoQst#J*naJ{YJV)Kx5FSdjjFmlG6 zsFS&$iB7>}YrAKMBV5s_l)N~!8QmimH%r%$imbWendqwPuS6egpBej&19gz@ns#vOhN$Te*qM2)00JzG}ydGOOiyFrFVs7(=CW(B8y-Y(a!$V zBb;BhVE>8?juF3B!l6c%GPyah2v8KlLpUY?(JDkhkC#|iVX72!i`n$T6C#-!f{^gG zc!FJ1;mt{}HFYVdFZ7*o8Vi7EYB_>(1!UD!-Vk2r+$m9g0LFHH?!h??o&yA?5joTB^cJ6G`ELX_F(!7}_VetqlU4G>&qTM`J zNmA?3>`?Mp?Wo#)@S%$&{_S`oS!)k9Z(RNqw3keDroK0~>#^vH$3j%h^*u5O>i1RQ zCW3^@8$sjqcauN2_>j0KJJ7uNik%KOZm@t*zZ`MD_7^|Wp4`#_-{>>biYH06v^!Hj zs20c@?N(LCg4xU?0#UG;AEPH!GHbF;s?upjfHDYu3nq$n`yITk#naoCVvoy zqCRoIE4Fw$cq~4ZcNci;M1=}@u#A*Z`Bq=sn!N6<1@)C9fvBH#@&nI^SlL6(4-UG` zh5Fpx5FovR74G62z?l&oJHNj(^@&|p{ovg+jZ!)#5~ZTN+cXFT^m4HReJvM}ApvW^b0Y#4Or@w30Z|^fNPgR^wb;|M54rA}0fcj4~qPWu8;@#1)-Rnp&R{soz?Nl9e0|;*@vCoMcgA9q!QppGBFwa3pw_J8%>fnJL zQ=bToSDq6(g)?LTDm@mF?0PqN2~byfw+ON#r9J$sTFAwQI%c;TrE3ddPEYsS^`Asg z4ZW&YpIN^OV0if%Opk^mC!nhZkw57Ku5Q{R9(HyTR8=EbeQ?F4*I%Am^rBAA%hz;H zLH#_?4mw<)3m7DzL*0#*E>yDSLg$TAc;JDBy;_)APo(*tqyv#bEvpogD&7ZW-05D( zAn z_=XD>rtFw0oxYi*-|=UX`odG>NNFc%jxxU7cB?Lfs1bfB)Hs?Fo&LWFDdEM|nz&rh zDZg_Dpw?9xXeJ%2dIf2EPGlVIcU+d_;(t8>v4cNoJ-x6sJf`S%KW{`w(R^KP&eJ$ zEWx!O@}K|Ys$=!P6qqpX@~?esIrd!>Ht}gzuvm`bG?}_i^M6T{tiB%%hT>WSwAigY z9;8Y2L;&)8yE_&)9Dp<9eF&`Y2k)5U&W-maR_Dck0eb|RC@%;S>wd>7Cdsm3nKE)S zn7E+vq=6nFhO!PVY}}1R{=r8o4<3Y zo(1p3G%KvTvoA`4_BMhjUjAuBxo2?5yer#*?YxZUcz0O-!~oXH>w#p#W3q51iPB=* zy|Tz)T1AWohV@rnpKx%b=Iv8jW`J=qM1VMOUe16D;4tB!@Uusd|8ZbbcO z{HrQ#}muG3cmk=w_crMa8k~Ok2~N+868#g-@w|0VRW@OYT^~878G~y=bkWO zIj?u_5R^c431-4|FqS$bgF!2QHes|cCNF+~x4aBRtkjjI6$=n9tB)Yc7-pWxTjH9? z#RI&>!^%?Q+rRSnc4mLqq)_^x|{ly)4&@ z|EDb1AFfdH6Mtj9uCLwmR+_`;&E3hrzH4QE5c7f#qDn5z*Z2NqPSl>mpveAp`4Mc2;s8v>+~ zS(=|oveZ2VI+nMY4^7{%i9=~N$4Xang4NRH-r>#*Rf|at0?I2wj@$Xo0hZmqYF%n4 z3(nNA$_mv5v5U3p#^8{gIuZIhw=I>|p6FJ;eP<=LGG-?;r!FV21PaH#Xi1|C9AN@x zF?^}~wi4pgtQTH`q^idgM`W412)V(_F%NxSo@%z8RL0)QK>QYhhHA&g=~k_69Xx)* zmXURz^0pes^V^H<9e!1X)>m@uKt>zsCHvbS&ke|vIkGkgVLU>&u7f{F8;1sw1gV>!wDSUCZ_ju=qU_(#~1moqyF0PtBw(gGKf!%a^1hqELO!*=Mjul{A7(WyU?; zvD~<$toz)uB%9N64yE;K5I|>6ycs+o82Jgs_7+WYH5HLng=rd_d@Q1q&}~*3VS3S5 z<+hX+E{#*m1G`u(Ue%LsmQNycceA>YhMj{+GmDw2W+9f8&ale+45FfyDRJ|jUyJ2i zSn{m4t>ZN^xwt`^ggoL`d^y^8&6D+-;Y-|f5KPq@(bCl-0PR-=EJZ3*tTOQ!bZ|J@mRU> z>;#x)J*>C`KUTTCE58_k~}yNgi;=RaX65T z=8bx`A&t|7Cf>B0mK4F)3o{~sbtC9MyOEUVFPu>`QXHb+C3LWKerDFcB)1ud+MT)i z^I~ucsXlnt>v#`U(^m4qOQ(`6(U5u}N@r5Z2vb}RSlA7y~K&gWG!7#sFmhP}(>on`;G?F}dG;vNN%DT(4f`usR0S@0ai0yIG zEA{K*rePc9vlEdGU6T>bjc>y+8b(qyQN9f8bjlq3H=iGkS6wv+k|`OVfZI$t#w1br z9ur_hmEMvcWgNA4Z!zY^SxyYwHDISw?Hww*Z(&%J3Vf!83Clk&n6=AJx+3%q(saiG z^WBB(p|-&rdEaeM4P&J|e}F{gljX(aYcnGj)eYMTKU;Df6Hp zmQ{1`sDXwg4; zEHWVtpYf__&YNLTKF<0>7n!N4|0NLaQ34fp&D< zo*B20wG6sR7 z(3lJhAYxg-x%`|Pgcx%puK6|)S-RIvTUNFXTjupW-^P0LqsSHDN%hfqs}bmNNAn?R zT#N=oIV?kF_igO7)zV6REI|c~db=Kmm_vZK5OR2+^F=j)L{^0oG;EgADDy!Ym`2E2 z9695@-`7hu?sk|}CES*tXKCU$ox?_6Oq(G=$%pOm-DnEd5~LPv)JO*LR6?urR2YXY z3xpd%s*fq{d8pVTg?GWsLPjA!SKEU8ttamX=1W1nJ&T)Ck0KAy)TKtiy(JPhnNe}J z#^H<;GBunxHJMU&Lse@7^F=Z;F7UVv6fLjLhQ^?HOxzpITki@dG8P64BgjR=iImDn5?W6Zw(4~G6maZ z`DixaKp&VD>Sb(apu~u4J!JF^_#yDlizM-|Ld6*dS#Hh*ae<5K+XW&gE7v|Yw9;Om52}LPq%`NVY)?Nde(qUS1mhlDf5P$Fz>DOv5uxeN2dFn@HTLza0DDv zO%zO7x#E>K`YL7l&c54aWBHB~1Jc``Wqr8~NQlj9%`G$!tIkC7QWOL;_lQoC+y?sV zUUg5()9$G+m6m;xhW_sKdOO|l(=-}Rmx1u>QOY<8#b8nPkNO*7njiCjD;Qp775sD_ z@bQh``JeT=OnND^*vsI(WlVte{_@!_J55$In;>DJFfr=*N|&{=?6|#C`Z_^lsxh5j z&^e`N1JT_W63GNWCD2|Q&c;M(x}Cm5uynyaLSz8q1Y7>0^g?^h%`UKN{h+nTYPBU+ z9XJ6L`<>LyVTfBZY04v6%l>5A;Y@>jECo+L^A_N|-*Mm&xyOz<=@I=KF3pDyB?WN6 zm1AFaUkXmB$q5#jZ#;O+{#B=a(YZg6lg>P>qsm)BOeWvyz^EH6tU7&Pc<(7D15xm(JNo{VV%7kXd25vT{EmJnehOe$ve@AAS5D z$E_R{(Bu4LPr;y?sB~7H!O4SP^qeGnNj7fpTXpVpR8dY$!l0`>wFBB+xI1V}eDq6h z(D;{=TRT&K05Sq>P+!#85*!=qHuVU*{B2ZiAp~>!Y6zlob;t@|`F)n%>GZc7i{Lhh{U2ujuvxiUY)Yo6b_*W>5o=xy#$*=AQ=r`~1%D z0b^FC7K~S+N9@9&7IJ?MpkfVYB%McoT&I=ANDk8%}8k+l6|&Y@hXtn{;^|rTo`Q+ zhCUkCEA_8Z>KF(TIHb24e?=F$Y{V*7MpqdrYdc8FMlN)Azp{x3@FEkdAZ5`I8->GKO zPoUggJm-9`@d@%al`+=YE`I4}bCm}_>U46I+sjjGba!BeP%7V}_yE7pvluHJXPMeCT$J_QCvK~_%^jVo@S}9}YV+ZDGuzNf3f5E2 zpFQVHHX11F{=Til4W}>A3j=!a5K-63KC|-RPY(vNN&oc2k8a7M2)JgMMA=x1E~z3g zHVRgGFo~xC?80LY9_;^;FPWFPJh54P)!R9!JJdH{YCHlJLp|rFjk!tzEqU+3pucgY za^5))iMM~lJs(_qrg4x9Jauj#O|5P=C#F}AJqUDs@tr@pHbt!!RvB#4r;|qW%FnD8Kb+kl%SOX(x z9NT7mFjt@3Xf?Vs#%1Of&~9D@5guFS8FNVd^2)(_R4J@Eh360ZRtNpKf5XuvOXCZ7 zZ_fsIn5P;e&Z)+68Zqq-el|$^exlEse4%WIeThB1kr{Y0SIvOb4QC?RZB`C1i+p33 z3$_RhS!BMW=i(?51tK$nkzQWckJ}C>uvgTDmdj# zJQfjD(&!s3@_#I`ugwy=*y5_QeP>j2!pCZVzc64g(tTT|#BPGFwgPiE4DKFxF9tBF z@}Jo-AG`r#ZMuum(Di=KhFoscbUwD|GC5X(+^5i1?dI>cGrYhL;$Gi|_tx`zvpBVY z6_*lR?&GA)k0L8y`eYl}d>URkI#pURew_YOfY}8vJ?(J|C zdv6gKzqRiESDzcd^%jBqe(lz)2oC?+EsEg>KJYhRMeyZsaq$nmir~wQTQ?c|vh3aD zm+$-A-)FG9_dbJvdKpUnU%$`bZ+QX0_ka3ry6gPV2INLqrQY1bhYa!RpJKAT$Q$y= z%tF-sFjHVhApJA~mtk!wf;|dLTvFYvYL&dWAHW`&XFwrxjLQJ=6bXAzf zHh@AJs|#+y9~&Ec)E$kEC6BuhosL#tO0zKj1<(IjKkI;3$NUT!={~n|JY6)i#ndc1 z_M?Sd{6hNLEAKS=Y>*3rAfqgkgQ3%Pr=`j>WwGL2OH4ArZqqCOkhRp;P6_rqp0nW) zFn|?=o8fxcA{tkO72tF4kcGteCaUq&6Iq~(>GBc-*1Cfz zv)%uOSzs9=33q4FWX+}5KJr++?UhY8Ydm*7bOw*Uf3BW&f`Q`r86v(T=Ucdb{)ZSm@N$3t_O)UytS+;U!j~doB!Ka$NCnD*y7c*Q%S|=}=Li zoRo3qxHTPHD~NDavRm^>wGpnw_EVNa+&o0mA%UUl8Y*4o9jIwKlRwzj@bGPwWQysZ zZkS2>4e?{VEf{YEspx`qD`YmYsYKz*z@lppjwoWM_-K|Ep4bcrM~4O<^Wdbb z0snZr9(5%y)iB-eeECe2owCzY&FEy(S$P+(#uZ+);nL8K&|>FUM9aVOmrYTjC{XV2j||qmdiII(TeavGUtCf_MTByPv{? zlL5stI#$J10Es{&_IY4#8N$>c)PmGGWM$*l2A#TLJGpQ<= z+F@(SAG8f#kC6wIm}X@$l~s5bR49#(?Pir+OE}C$kajuMc2yY0S3;TH-2aQl9F$Ru z+R{@gi0o*tqwck3%LpDF-U8({1ajaOO3m?hVWV417EJKYJWJG5*g@AvmonLM7i>I) z#l*&}tJZA$;MQqsV?cY;Z~w(4>$6Nt$E#x%|O9TT*vg5>(D#f}-{+AyyyJi^P!lp*kYNI zt}a5x|p4GB`Tg(En7;%hOtP#q$a(>4~1 zxI?p-#Ip{$6FdUPUVA`ct7HHL9W!*R@w1>SP(~n+XCEf(qA|!oBp3myVe@b%bO7{% z5GUsjcyM#K?);R`qM2zHEc z{A7Dy3z;<1)DtjFx`xYw9DNWUuwZfj<1f%K7BwPmM*5(_cHB ziL5XVqoJKu)vlI>;3`m}wZwY`jhA6kjX5bsoQ+Y;epm(Y4T8AzQ@@tApNgPqUTqNE zN{EyLk8p^=z!y?J8>bl-PGl7)82rZJIE^EV8AuWm8v7lS`6$ID^0ry9O%6d=&7~#H zu-S1Dn(UayfBs2k9G`8KDkezFJ{Aw;N6c>sB;V?W3_QSBekiJX&W)ViIEXXg6IjyF z++ze~OWwx7DtuK#zTgZ^j$|HaVSx_UFQ2}<$fku6Ns76C*F`Wv*LZA}=>@T|HtP)j zMy;eRt%?u=7a4c}A_tH#3@6fxvmLfwNytH-y^_pjBs5#HZmx3M%5XV!{H>WW&r0!i z3zsUpTzA)qdZ{{v%1}ru4}=#Jt8~1YB;H_W?S0kSNL!y~S!}VI__<}IM(Q`h{$e9p zetGYI&D$6a`JrLeO0?<^^7WgbU?<0Zh~cUzbnTg^ysait0*yyl4Za!AwsqG_+~lF~ zz14ZQI@)`n6jmP$Nk^ebil?gn&xO^gYWI%HmrD0l+_vs7g{huB2Wral9EOVRu<~eq zDDK+qfS}~0d8uscKkU1?VCiu$MKwGYm-nMHrcd1d`g$7++p~G))qhM^zBR(mL`nb` zARh~l6A_lyNJvE`7oIMhCPeqQ2>kj{oB(I%s^Ecm`Aq=_c3XF!t#rRSR^q!DJ>ZZ9 z9%%W4mn@w91UN+3&CVp_+>yOB-+4$5`sW{n$H!Tj>p8m>Q+ zzYrb(2t5DLaP{ZZWOKWHx^?^R-eNtsb+iiteX9eS^0S*wya$J!_D=`pla;hgMfY)v zFQlja(gVFCzqLy@W?uSTLTqYx{Mk0Ed*|KTn!U&U7;x!@UkE2i`rn!fx<8k+)%Mnz zr8k%E>$T6+&o~&o;tWWNx#g)D?pVNx@3tSWNo!_i8kK{C+UH9LCcqmWJ$_b?G|%f3 zU@R}@FZP()i>o^#Z$f_kl|LJkP_;5NKo+ z@CqMB3;1rL>er5p1u1~k7~Nsg?%>Dr&y_YlUJ=t8&z~LDBj0)2`8N*51k>G4wDntQ zU|txtq5>Tiz(-<=xWkEtQ=(*=p(K0~P|X{b~G7%X3y^M}P-k!Rn6C zxCo!KZsNMhS4vOv+5s@qhZ)gAyFw)JonwI!>^L^Jg!^PQX+Z>dIMA_zwOn5+H9i~= zj|G^cJBv;jq>uYG^Xs?WBru%GEYipUjZ8Lb!mIPSVKbz0tPhtcX3S0|UVS_YG@^k- zN0RkeUMl*bGLC4Q(1UPiygO)oJ7gkV3-7d=o#K0kZz5M}iZFKFQu8|j9T5QYJ7xQE zrhrkr6Z|)p;l$l?dD8{9F)xjJDEYGm_hEb&FD17$R5)x#?gkz1p;$(cc83BT*CWNkFutjMAi37?j|N=Ryv3{?`KRT${mEH89FLR?8M%g2 z!4F2^)5+q|okHIwaA5|obJ(PAcMs<-^Z+#7+D4-`H4{9J9{@h46K4Y8uVVolHncaY z;^6p15WCh^H(&ZDQQU!4guU<2ZO!6_Gw0)eP?x<9RHnBcSi){&Y8AbM%xPqD34 zoYXgi1Csx^e6o}}<=5q3m;jOUUKc*D*}rV)=e_)ty9c1yEdy|R+e@y;^FLaRs{V%V z#bY03QGXk|I>9!~ljCvg7}Ix#tE^q&c1vwXTMf?o=l!r5_L(8JFWKy&7r5aTwwETB z@>WbYCo0DAz7|DME8OwbrLVnwv=_6UV67l5K-FS##$(lt&gpEK!525OuuAdf2F`1c z!j9O5vy6G)7)(b=tJINLTh6k0SUP<#N(9)xb3fyypFP*zw>!;EU5zVCiR+_+FJRC9sh%#e*g0idB^`y>bkoY)+1{B z@ez*Ktd+eY$JC2rA zzBdksH!77M`N*g$3^{4PJMiPw0Z$owSWSc_wlfd-o-SExaX$3a0YCY8nBUY0^@;tr zR_OFW^t;H@Um-kvQ?32n5__QFbsHHoa+*i=hw%zeW0!Q>@y2dx+@0F~cl#sTyWP4E z#6H24I$*2ecUJvmOJcM6;ZtC%Sq%ZZ*lTW9_Q4p32_>~XqDu6wq$9-#sT6mg2{e2$ zqHOZVscJrO+ZEQHXE00qr4e@~J^q|uBkvoA;WWA^T55!X0%(bm@^p1 zoZ099;@InhCLvw<$uTEx-XEOurlsCnppb#OXhwFclCRn-;(wN6d%;8#k1$0SQve$v zHGD3R78OrzTc|+`xDpO^s7ogW%rHU z;HtwT$3lM_l^^X=*{a^^SM-5!C-ZHxH4_(!!t$o_nM`M>^bnC8u;m^IlBWA)TVMIxf{SXE27G==cNyc5Rz#A~Ov zp<`0;@)7pbl>_cZC*!#5PR-?AZ|7#u-RHW~u8POwW8y`IrXVJ1dQ_)@kL*_AFaOkV$vzU3^}+7r6vRb-j3H}~ z(~Rx71vA)Y#zFp$HjGwus^G;+8^KOMgpnsJ7qnGUIM{@ZB?N);CqhHtDhVt>z4QLQ zkTns(dAFNvit}o8Bqy-Han|kZCA@|R7|iouSdvEJ{A39fE)B^-aj8U-3r~oa=~AR~mR+S{ath81wL zY}BT_yaH-B1c1p$WNI!B&CX8S+?3jisXmd~w z9Z(Klso0cah6-YjGI_L;BhH_LD^k4J5dZ9o7Gt4x zawG`uiEyP4F&$i4>#;LZp&VF}-gS5vhl|G`B%uoaF?#)r?M+6XUMpRPvp`9d(mu%% zt2R!sh|8PPPE1sPT;=_E@Y!2T(vOqVjTc5LH20T%Dv4#!J(}K}mJ@6WBa-xyq*1Wp z7-Ks?Gq_rn$1R0=5NgKGH{SHV*U=Xp*DMBChJaVGINCUw5eu0;kFRnnrEd)5NX&vN zmnc8PRhr&uoUgb+wD;AkVKnA98u32r2T^XpbYmX8+t+{mZ+0^d@wD=m9u(YCyuxhC zQX8tz#FPQ}^Q|~I;R(G&Or^(y72N>j$?|hS2WF%pFkVgiyN=07X$BZC^2knUAu$%* z#xTzZTt#TN%8?K)^}f5zR07cj3gs5sh36B~zt7+2hq@mP<)`k|VGJHRqN>## zGQ0M^5&^Z-iyA|rdfKa2FIM8ORAP??buIV!Nx$&fzrZiF?KQ?~)jRHuAC0>O|DDI; zrM?r*q~p({a19k~-KedxF8CChlnLD-^!E7<(p5Q9SGW@152M7pZwc z4GHz7;H+2g?~Ttp3XTSe%i;Hy!sp}wuZ+3WXbK}MIudrRv@yp9@P)amO)?Hu{)56N z&6@*VK9<&=8!g=QXyE?jo`QdA*9XqBt)c~bTW*c{^5JOO^atN8InXlg@P7wHphm z!Ymz1fp<%opAdL@Pbu;*kMVQ2l7Ih7^Q(9#;YSF`ofPay{zl!IkBPLBwJ()`Qd zSzI6(?1J^(-+EmS*a#C+)5;o_Z5M1A5c7C<*bs*Zc2q8V>l_ zKER!ww@l#Q);h4AMohXv)NE0>V0Q8jetM)@i-A2*$(2h@Ta*PZ6z@C$EUJUzhK18o z`?rEhmn3fuq9&K7gRHqTLE3$#1pJ+AoSEI|hNm~lz<&E_$ihYKEpL8h;s6eE254m2 z?A}yp_SnMN$M#M5S!|S%CfUWh6T>)LLnO63+{V(Z0+!0!*lJPzv)STNtqI!LZA(@> zGLGSCC&U1tNAT|%0-4RMnkppne5LsZ0ylPm*BDhocXfydd?|%V_0>ChY$pbRZJAO$ykqca`6WJgx9Ix)w}131binU(VRYVx)W zT{}|gWOH4@EAYdH3IqTBj`hstao8-oC7feD z;)0=0mcpfW zzL(<2XY3F(uW_b4p^Q)c(sbj@>0IL+nvebLJBBoI!8k)ZZFh@FemU1EZ%FlaJJqOS z3qj*vl$_uezQHJu!BteW@)7WR-vuL*HV?m1)IoC(o2TKiepW9|o4mgwB- zO%a5o0T!S0(=eY@(_?d*EmF#`TKQSV`JAN9rLsmO_i{}yeDq6uPa|c3D;GuYt@nM*!y1P z$o8!&;N9*2WLH)&_4XerXNVcUS4u|QzKXm3#7Yf}zy8PyV)oaMu07>j2e|!9+@3w< z2bWzvIJZ*7^%~qwobuMyk$tsq-v2MvTd$AP{rU%Qm_swfB%(3_SO!yp%9mB6DCi&! zPLe-Q#c6?fgjD|YQQ&R7SfEr<-mw&iiZ5JoGOAm-T0m!fU1KX}--`gNBMTR;*qC1+eGLuo)~edW9r_z*WE>q zFw*S)^gL8(KO>w|L|?cBhDAyxwe2xEy+N5>;GaoCP} z)4@{bepihS!rkgu{u0wS8LsEjZ?aj__X>$w7*5QwCXVWuoez30zlwXR=;12P+X+r$ zMgcnuYcQdvh4KCkbqHfIo{nYGF)wIDWEn}PN~H?&uvrQ#9Ig6j6`;4|k5#eW(*k1a z9WL%bd4I3J_@Tc5g@keO^T`Eq*X|P^tPjPb>Y^W0?g}SUppU&iZMm zDRm&};=HM_yNreEDNhk2xZ6`jg)yJ&wyL`;#=Mi*$+&q!g}`;s=vYUC(jdenOFPyA zJ`15PyY0Dj-kjMv9q#rzuU!7cM&L)I8}o;3F}11fn+J4^gVc*+Ym4cn!y7Bxc)r*2 zq5)=HUSY-BDvsUZp{`v^ot`z~4GGbA7&=Ja$4C;ZD?NH_!Awg>zFOj`Ensssh)(%lf8NAdDs|Fu-g(&ZXZnWgg5(87RdPbx zjma>t^8@c>Oq8i+n&U=tVhaIy?G!(fc%~DW<1{AKo&HFSMOwh1I@Rg?b406^LLDv{i+bLyyVhdC>i?R`ld{9!T>Xk?ojx!a1|8IcR`Ygq zw^y$ELtCDAo2fq)oZRBPH^y-(7@Is4hrnj_zOxWdocgMoaz@WP2#tBv!hDrSHReFl zS|KiN-d6!X^0pfd;W&X%$!!PGuAJI;mUz|wyHYyuew?zcRdrrHTs!Th8uaTVhjk)va> z52|$7)|o*J{oreq0Vt_Ul>shUux56n#gyVsX|4)@&+1do750UyWE5@PfvQ!p?}kP1 z@_+bwNCU5NZ7jxzOe4h%j_kk*Iz(D~8e?iQ@zmj}<82I#87%%iU9x5ve&9In$74I5 z#+$FP!$>6^U<#+eNw_>{0xtmv5*vtRlMeLpusN6J@im(%r3(x=Dbp5A+7L#zHZI-s z!6@G708$7_!<|G!ve)8pZHW6YSgi`AwWTeFQ87c9oo}nJ0>9}g2Uj| z8sLUdtfGm9UU7ze;P^nSItqhk2s6affWDeq#3%llb-+fbrTTLZ$R$v<9 zFAZkaj83r+#JfE1$&S1o^RAjL;I6=E9aEuda0+eaxEWatt}YpI7~#X6n~qd<1mqs6 z0Pi!L3{R|o=EeL5UaJ6P6#HGca-@Wz+H?mo$JpGM&$+q}m`t`lv>a2>Lclr04Ut#w z95~u8cl@MF!m=RtXF#daVqCRKfifT=q^H3awNJ_t6`m&@UH-|E41I9I55Mt`=y+^R zBO?_rVm!c9n`vYPI7~GdM#;^{cV^AGf!#KOZU}(_C}`*)05E2*WWER+hJk`g5E{HP ztU)JVEmeC}>AGVCKB0X5SD*Q6WO@o1sTm!x@)KY)5H5dW7Lh`m6@x+5NCWvD zyN8hY;zm@QChqSfn-A>7q$wcal>?}gD@$mdw$@ow!RlF7jbJ7u1x^r$LJkmC8K1a` zIp!+mG*72+=62IN2^a;!s+4A9kon`uf>%aL-2@xSlZ--+?9gCkC!~{P_^cRbOEXH= zJVr|eTv6K;Gg?M;d0|^InMkxTtm2_qKwLU1lSurBJn1CssW6vND4HtK21sT(!KA5x ztF}8nnsA>1B&CDB;O=ESBaquhb((8}AX0Ab=D9$JzA+takMUOyyeAF}F`|pnrT}0$ zV!xk8M+ZEsY%Os*4N+7~TF!A2e?985FQj{@$-t^=pm+r=+z2gS<9J`qJ^7BR2`HY;~D zcJvFSX35<}a-W@M*?NhUTKkLT_ELD^88Z!bl@8ARr29u>bnv}axu8_**yCaOm&s#s zq*S?(R6X~PN|r9qeKU6NsNNhPDJOP38XSuM`oBGwpYXH_XP%EHcE&SmF_;-{S7h0w z{&=nJmCYr#cb44R+)3|^zB8OV>y4X-`as4xlB;;N8;#9SU}4!Qc;!7FKBV(O{Bajh z46Sfm8dnd`opg^b7TEi`b;M1}7M>5Wwf%u`BCJf$)E*vl>)s%oJ~UCPKUtc2eBZ8- z$4k)6SFvo_`NdK1nP99+Ne`oJxpDma|9qol+XJ~<`C$Oz$v@dWjS4q3_7ay-W>2w1 z3VM&rJUuM{o?iBDh=%Qvcv$c8ykT&>Q5)E;W>b-Zn=dMM8fe>GV3y~ErKZ!<)=~cX zjjN%1;@h)tm^f1%K2=ShnmG9ELh~p7aq55BUH07Mj&I#^lJqPO5;TW% z(-ICaFTB6%J&spgGkQQZmBiS-FsUx}{HLCGde0AHGN26_y(bo%2XL*sW9H4I!~b$= zt245hboS1pL5AN|nxBsETWI&{xn-B~1Yxj^Sk>~ry#TIdiKBesK#LUnO+dW8WcGVF zJXKxN+pUAWC35cFK3HP=BKr1X96rD0b+tPtNnSR*r`vx2L$7P>WDMRojNh5l%(u5c zWIr05Zr%>iwrr0OPW!C@$4&q`DsDD=%i=iKBSRPMJxRab;ppM=%O0gX$lPb+bQT8s zR&RDm00MBO_`q*a@1@6uTZz{Mw;HitoZY&&5>nHk)TQVKY3vd(F`^YyJY_T$T&wN5 zZPpUNL|h*sDVn7pb7rmYyEfj9!;U{yE1NG8+}C(<*0Uz>0=M{BD@y*!!K3Mt;~q>k zAksv5OYD#0CZ<4cK%{EwhQ^m`wmUN`UI| z?ZxIy@WDqK>R=L4kW{d{(k*6cH13^!gVlYvCk;JIQF;G6aqd~KIW|l?ZR6=Ibkk`3 z36M*Ua8W1cN@N0-)OZlb zx+!N*V=(->#(>5FR622<0D(t?z=1D-0B6tz4Fy5bw1`RW0cSF^REc%SM>3K+Hc*nK z@%ox;);ne+WH-6kJQIH-a5jNTksc_7^cw2vQdD5_&|#?4U}^sRWuT~lqNq9Uza-)K zpV^U>3}yH4j>s= z-J|JKIrobup}RQK0qK_l#tUpuz)VWPyjlF>6aeL6iVBk>-g@z+t=5Y|Z}q(-((5MF zr6@5IDPhN5zrv3ZNe)y1%v)^ZyU?$5Voip{}K z)984X@%)rF`dzj+#-y%BFRVPa7pCPSrBmU!5&~iSZRXA=MWUBF&|r> zc^j*VM^5PDPJOc(QknuU5CFG)Y@Y4zk(k;6(^vCUY6_pG5Juyxc%gN%jO%UDIkl(^ z3g*K54P|<}%~m)0`MTbwl18uWGSH3I`S`8?Nl2Q+B$W&u|%3Ea!P*9ex%Jl z&5w0h{JX7vROMh*!D(;?s7#aJbTvQKi4#TnSD6?RYh+RJ`$C6GeL!qDM@sM3wR@3r$^p z$miEWQ~%zFJayM^e(v53(A$~0z==cM`T*C@|G=jFJyEisr&~PaH3D3}z9aXDoeEI2 zdPL-63s(OF`V<2SY7i!a*NdQTY%~@?s2c2vXSYbE3<27Vl`0j_g{7=C1<95dvMhRY zr8!Y^QGD~cXaCy}-WMp~@&g^311l^??r;^LRjxcxzFoO$of^fW6AyemYCHNtR3ig`a#hjAPE*gRa^%=nqo3AteR?^JXU9KW~M|NUL!; zEmyr){`}YT1e}i8Iv(DnF_+Cof-Cw)R6q~g3|9aZ0Bpp0mTl4W37u1Eqb>Purhzwo zm7v>6!9Ru*dpy?&U2!QXx~JR@O6nlS=!^6BKF8<<%nG<($y(%Q?9M~ZD|h{~h&<0K znGE-_`Dr&Ej-wkCrJK-uO=C1@dZ*&9xxiG!k(r;Zs!HBJzd44VCALoCMuA~sfEmUi zW$f))EX76=8d|5zWaw8I74BjfO(i-FX?reBCG2JqN0~eo>WL=`f=jRb_k6*`AHoa( zTtdSuCzQPlOk6k8lf&W%MDm#s$F(4#Urd6Hn~_UK0Lc(fbxmw06osO>n+n{p$d(T^S*Sud-2JQ!@07#M@4pA zM!?|{L2f;e49wh)30d({x9G$+c5~a7BGd7_)u_g9Sk?YTnu>hLbzDYaD$7;^3up?u~I;yZUzccn8PSk$(yL3q> zMJm1}_r@SdxqNS8M_lvk0JNAe$Ky>n!|3Bo><;t2JpS1H;x@;<{4YY!Yc}@{k6}O& zWuU{T#SY~bXS4lcbVKA=&DxeH-I`gC4>UnOrYf~~qZ+4;W`5N3>KFm$S#>m>M#8H6 zO#%&cRRU1e)+h(sJWhNNJ3DIAHdE<~^H}EbC zoq*EJX{>iSc|aNeFpFc=%-g5ZadawDAImt$fe{x?5$d!hH2FDiy2aRM8-e{;1K%|j z!%rOtbPU1@izc+pjqZhtnYYeX+)LlN-vjcZBM+h~APkh%XGZ;k6^0i=(>>LSOtvnw z=kN7h@Jr%cJLd7}0EvlL9)X*&At1;W@T^ zBCCt7>o(js2XFr)T2j6-Z#GXNItTI&kaC~B6dwWSX-}zcJnf)2B;X_wrZ@UEoBJmn zAPjgdE+d|pUK|}IA~j+MK*=bx=K)f}!1DjR36ysnm&aTNvI$YS0sQ?PP%#k;bv$UI z3C%F(O}a#mG{-#}#6e2s2*bg9Stbsr=v1@qqIz*12a41RkTN;XJX~xU<|r)!D2=!2|JN7gB@L0P_ts4g6_=35Tq`vZ2CBgD59!Nk^~z>aQTz zA~sd>)hZ<7HTAIVK?4!=7v*O1Rk|3(F>ORf$(EZ+Psz^QSx@Oj832P+5;BUyjj1dA z3&W9_1Y@GaS?HGfEmkqGio84bM1A7`565Fn4~<~GWfUd@`tRdG2vUJVvD1!Y-iGYq z_SkpaVLOy37U>BhJyQ|57gB_GE@}ouUa33yTth2zY)_ez&ukmgEN$Rqo`>6?9RePA z+2;)muMpcvM2V#~oSCQ;d(|5Zjj)-&-)U78U^s7kls51-5x?VLPTKAOaS{v-e=x^L!94-9#YQ5tTLko0^GVbYK~JzDcELC z>jz`}Lh}cZKn9c%sd!7gk`-*`hgp4H{GLTee}0E z_{5$?_U!e`{^in-{C=8?anoK(jp32^jGxAfyw;vOT}bYFcz~%e*mLryH!n3Rh5Lv9 z!)Sl>=KkrHt4M@!!>Lp5E^xk7?;5@Ek@$_Yt0wN@;Bucl>CYa%H*goXH=lXj^@Cmh z{B+>b)`GRm-|Yr?4;~G|A9ekkgM$Ayf9K0*z8AGlkp_JgQWJ1Z9NHeTG?~El={Iyi z!*CfXO#QEt%2vpatx1B+ zW*++BX6NEe^P%Cm{pEwlK+DwR8>$b~CMO9oedvzOmp<;7zcQZd-tl)HW^`-V#G-yH z8{K!_v(-sSD)$!Wv;OUqv!8dHXWliKe5yLq{DWHeWt>cRY4_mt@=f4sFY=lNsn1%Q z5>K^sY~UVHKR#U`v$UPYA6aJUJSsQcOXToChH^-iHMsDu~ zB(M+c?UR*&v}y}nib4M75*{tjYOD{O(p zbAD?Wrs#9VPuRzcG<6~v z*53^9-vb*2c_FzNg45Qu(IrRM*yZzw2)JvWSN<|Kagv_!Z}s%EK6&U41Oy@g#iV#B zoe43_#X0B5Z$0fSk?$+|-4dz#t4~sE@<~6aeCDS%-Rdjfh>?Y4lki)_Kue+j0#4W8+m!uW9-qhZv)QAx6eFF z_23i?bR^D+b69SK@WKxV-&n+3%>=Lc)4;4$mda0--ty&}#+%?WB=m=V&OOxJjCByT zKRN8+6?kKG^xs@;*V1z+g=*t5m;Qrq!2f& z$Jj|@pn&0mZ4d0fSZVIv_Bnr3p(QBN;oCPizB3D|Qzx(7w?SN9c%$9;y7(dX_B6iT zopRmVf@Z61(u#w?nTXCAqfw^)10b#DpJYk(=87l1?&vd;=gt!kL4{^TMm6(knmovU z6sJ?I+^wwb;;lIHyyBdM_DYsEdJsPCgpjlNFuJ90!l5$K48m4744#XJ7aA59~->Z=JS?avrEV>WsYA;lA=vYCc^{1>}JZiqj_ z9VN0a-dTE!6B7Vz>Dt6wjqVn^TYc9!j*Y(Mm$zE+toMLV4Psm^q2;>5vaXX+4WGD$ z=0LPF!#F|VpXZliiMu8^n<>Y6Q22{*fb1WBabEzy3yY*?Opyyq6VHy4OsY{LDszm| z4JS)l8PUlqJLS>2M_9$@%{@kVi#ulyZ?+^>R^d39`w6(buwm&#kBU27w3Q7q#u|vM z4bE3zNlJ$ae*wGMxR18x+hZMcW3VVe!`B{BI$EKU# z;43x*Q#6`JysKt79RBMy&(7Gw@!s)4muAiDT81;#XC!{9RC>pJUVjw zz67BPLZ{hzG3Yd&a(b8U#BCO+!7Q01TYz-@@HLUJS~*d2@?ez6I=1T(S;9c_h_OxC z#PhVQV=1nLg&e+whJRLf_^G8l3JSd2*t_3tuqK_T`i)A*mNqx z-nZ(ewgaH;Q(sz*GW{#UM6(of|B##7na{^rIf=?zIf;b_t%eH>v^|6hum+kKy2ily9P2`OGJ0Y3GlUW$7>VOt@r>|53j(E;C63$ z0@V){R}S%eXM5jZ*V~kxaYovJ3&oQu1)!5lil~B-j`=KVAz$!|(gsY4{F%it@nv?V zD5ndb{qtMp_p1(_zUt4_Kd>j{6%O}Bs~R@1TRE8$aJhER%@|%SrJ6Ikmh)=*+9>1so`5TAH;vDswRkyqu4eUS*PpcW~zb2q?qwb;p)<V=DU;~e9b@LvZHAsx5#ki{pUth=4u~ z_PkL8e)hT>V-4XNjW#^gj6<<(5;Om#m)}Sg+Mwy?4-@wVT;&HCu^~QmO>mQ1E-rhd zN)f;zKa4Gzb}^=~X7Q>a9TXf`C%>Nr6(8SI0VAW3f{Brw>n0sd)QT3_!@x#@j}s z#WYW2WB@!|0I4w*n$_YfU;Z%PQLR!{dUZ#I%rm+U@QOi)Qll#3%mq564Nt zj=U!^B83(E1|poej|!GP5E?*E5YOl@Zj3KfkAG53a$%bbb1DPR<<2m&L-(l=7Ur&6P% zY~E{VEeq+pi4aucpUP1rVB0qC+G;zUz{18=8&|2w_i4!@FIL+E^QbK&S%;5WDLn@JPqY!7T zR9Z++q>XbdfTXy`w}7S4he%^Fin$3+?YQZjrHiqZv5CzEDUFBho=x0}-Jul0ail7( z;^Jal!T-qE9e#K5rjQu-`q8r4^Q!{i%Pdb0i~(G}Wn7*BlUCPsL?#3(y_8I|~U zH;t$J2%nX2J7y?P9H;9tien*PZw{@hTpeHeUO0ph|J8>SEx9}q5-h&_Yk1P+pnjBl zr$jwJ!w;+Bo0ZYotDn{4n#X0KoZS*mI6JJ)ba3rynH=L}c5hTtpR3w5@{-mvAKAU& zw1M1etUQ>uzN6Pc0;NV2zFc?#Mq$`wld2+}W`b4^FvukPF3G--ZgL^LT)A%TGWjjv zBv-yKTA!F462>p4rjfrtNG&5eMxTn7F@Bkb8Tob(X~J1Rv^OB)jPSsd)zz~MJ?gnK z#5hZ{n~`?M!tJ(E+O2m+$}S68%jSrI6dg{L%%MQB&?TB-C0*!pG{Ja zul90kWk#8<%G#95*A!!m>_nz?%pRA{^AzT;dW8Tw%w5NnuP=T2-?BMhBXGAM~$vyF}n)M6=FJ+X2< z-(Tv#ox)89=S_+|I&-+5UMPLO%FE7XeI~*`$5Xk2LY5Vy^NhQz%6J*|ztOx-qV0?h zqbX+(BRosl+2m|Cnw%|$)(H;+lSk92m9y14Gu5FZ^5{?eAH+-;8r0A*wunfT0fInF zjBmO!?I(hcZJee19eW%(Xrxtc=FbxW_w1xGQ7Ckl4JRt$7A`rS<^J?0&@@Rew zHAcCFFQvYX+#%16W~%(SS3}M04P{?f`96|YYEH?)(>ivWH>%R5^6xX7=#1Hk;xi~E zCM_uOG+(aEj?&1SXx^-O@{&+na=(aA#-kH*wV|qfZ_xvJB@@Jtj#ZJXwQam<-wkgl zy`?}sx0$=u-QhvCt6J3udwTrgg>!nmcak8k#~huGe#@DAz**epyUv@(f8xR5m18m0 z1pn;7xknDXGSJyQ3qSatEuSx)8eerBW3j{2l3$_N8H#l#b3)0W;Jyjk1+%dhdTMtfccC6JA1R&)mRn+ax$74eqGR6n179ue zQ`>EFI-S*DJg131i}sUsx$mEF_WI5kvD3k^LM1$K@)(0X{_*N=y=$QB`xl5#IiJ(> zan4;Zx1exOyZgO2mhMigtIr&4x5qD5>*rP<>i>XCH(vM9Ui_-}Z`fISq*^+)`u6|+ ze@3WYYe$WbgIX>>ih_=1uJ!7P2=ggw4PxCmx2)7u5-;Zvg;Hlv$osk@vTHWF92XCf zz-|Z_B}p#d^RCtCs+G&puSmm?>tmh_SS3WFb8sh-HnCb}#i+Fg0O|xvuu>qMGEQ2# zcim4Et%hn03|z|XuEHVW(iRdTs*KjN%TNp1{7Ts_Ycm;MyQk54b1P3!aa^;(^>uA% zc~lW$J32~Bjt7eb$^wF+l)T1Kqv6VHpCj|+X#0Fx^OJTj)o=gzKP2vkYllLA1ar~y z@VGX_MyqD8XXU+-Cxz}*OR>j2HK@_N7~j8clJn>P{uk2|+#e{jn_Her=nywue*D9t zI;85I1%b{fDPiTF80XsElIm{_wv8~$#pNrcLp1|rgFgU zUY-8-Q}(8MEA5J8exN7cpHkhSWjf4Tg*euledRX`CU2CkN>9b?QL#=3q-8bo4??_kf-OjbU)43-0W;DbC35!yWXw0>1eCREAh%m(^n5|wtf>aK@SzT zDEN)kG`^ZzK+nJJp4VS#7+dI^&eO>@A^sXjPG)qKH#sQ;2>-O}?11&+0W+GCjfn>r zuX*9s9?}CCdLZnXS^dTbKJ>%uMik~{2x?xgvB0iOj2|&_n)b<|@YGQ@^GLNG-U!Rt zT2PggH7T1)>CpN^NQj{oNx?kWFhbh3WAf}F&6v#e6Qe&AU%ehOK{(I_c4!7QDnqvh zhDNDfdASe~vPF08W#|X*r!FJrSJpW6>SOpMbPE-Y$gp!CeW2ax>g4*lPyEE|*Yz0k z<~5pK+dAS3+j%WF%4%<6TEYqRr5@Cg*X%t+?szw9(D1cM5BK?spYq$8jYiEv~D8ObY;$UYQ$a9zccd*W0J(S4R1)yprTqH_2JKyr2PxX;v%Vh9{Y?<-n zraKa3lK1LUvQJkSg*nyzu7_N!wFfCYZ`}Ftx@#lEn>|?0bw`m3gb@z#ydeLQ&Msjp z`Cq4qvE@1&a$VRoC+xX06zd)%b7>-;P+4tw1)^Ydl^SA^p$Ga+e|FP4_7o>pm{8$9 z$J$}jQD!uI7z4m{G18&VWG2!_=r}!oJ!^J=LNnHc7@-VSzR}T^9+0Jg#q2j9x!SZf zSs?OQLL2j$m|9~_S@Tqkh;5%+MsC^t6v1?M<;ho{A)i*cgio~GW;*iqsdHfXitgzT z{MRflY3&YN?{wU4f$TtxIF0wN^bazYS?sbBs&1VQf;95BGr|RwhFp6<_WPXUN?o`WD+!u-o$__6iup;$>;niuMt*IHl&fLZjaL_mWO@$0vH{qAV%To)(6W&VJ? zm@y>yb!1D?H(oA`3AM+fTj2VFA_GSgd6-=OLEcmy;a97(tjH<@>g&sDX1=P&NT!vcheyyeg&wKz#A>fv$lOa)f`P0Z&<@y~ zEn;qTNOp^Pi4WtiuG_4H3>phbqB3rQ%w=)Q!KW}xwqUM3Df_*`FQNt@!Ms*4@>q@l zZe^&yP$D_k)pb;qC-I_7>Z9#CW)-q1;|Ce5m03VfV0x3>pbHx}ddD3E;A=<+AUbJ_ znN6<^ggiwpS#+Ol!2QdgdneY&+96sXF0Ev8X8F`z;aX9SR&^t0mzOKL+UpN$t@9~5 z5^{uPRExBSS=Jv6((3dIIl?`ZsOa~(ZQ0gL&a+><5m|Hf2Cl%@Hti}KwG-aIvUFz_ zp73X_eU2!4)n^q=J}NInD81^H9T<3JW?4#ExtO%6q$Zr$Ra0=srP)8a`aV_z*1noI z)k_=DoD#Y-Rs<3$_TgB?0M%Q)WxCwOb66H}64ZUx7b$Vgi|IQ<&$BZHITrPMYJr!+ zOu78!H{P*M{36qVQ1xU}11bW~KLZt?|R z_>zCG8eX2euA3^>&|VEqN(}%XFW)k^o_d)}!pV-&`XgLvcO&QW@a^8ROu}ao?Ny}5f_E-jskb--@ml3$D*(B^iex)oa-Y9 z5Pgi|$_30Q1dI}(=<`CiK2=}#6ZEW1i$R>QmS4xR*^(m$yD|pCdRm9kgjX`iLer7MW$QyXuC_oO@&Lh zXwZ7>_3PM0+QJ0N3QmvkdElJZY1UEBWfF$j?zYdtspsHS(zG;s3*^YSo=>6XY*Ed` zZKJ1@MktZhkAeQ~CvIFP|3#<8>a)?jrgWFQ3n<%bx;=$Iq(u-|H=)3F!mKl&A~>mb zKu51tT96Jfl311KV#YEW=pe=)F1h?iv+LdnCo-RK6LK2a%FLnhvXE!}gvD8JSuvzN z^<_pL`eY54lrR^N_f)JQwdR`QB{arb1q-(aB1wrA6K75qRbN)~Ym9!zd`0;3G$a|SNm9@C z$+ZNv|Mc&zLo!5H%D_piF&03Rx?1JWUO7)Jm9sL<89v*iX5hJ=Y`> z>J|Q|31rucY$z~ySNnA=lWScRsY{2-M^qvMX*4|g z8jB2%T$O^EBtf-LhTxWlA`UC}hws@W^m_HRI9yNlA{6R$KwSuy@YRlH5CaT@@*zwt z1|**QIW0=z+TClvR|ZyynL)%5nUa~JqbX|zv!S2ZWin4!4tRp)t0v1bYBs7I!y$0Q z+9FN{7$^x1j-+Z#PD1KD#tRvFohie7W>3WpntK#vUZ3K@q@R-IIKA}7|F}+d$Y#AZ znxic3(14+qaxy$Ndw?v)JODOr(RPT5kTvFX#QpXHj$gZ4ASs*9Vm9c8<^sU1OyaCuAbLJe%N6^9=G-QIQGg-V4o8C;--da9vYE@eGhSXnElk$MoUG!GdSiv_)^kP5@2 zRSC3GpIYcI6HOyMPVv)Pd9AtbLGsoWA&jLedY@5amh)4>p|8I z1TeH1D;Oe5rZfp3a%uPS-+osJZS6pPWI#Lw7zmY!t}D2tSoKUR8gv~I1@;DGu?D|} zk|qm~DI~>ej^bgX0Qu;3HJ|-!^7sGVzg~M8zu__uDVhZuJ z03U{=pJM#H#w+2RjI36qD9CFcYx^9eDq{HZ?C-9dPzF9mSwSbL*u=RK{I?9^;2thz014u(GjoJ`m2KAek zU{;7m5v=P$CX6$^X+?t*eq`_fk0Q`NSm)B;k?r$}KxROBIBM=79ps^QB-1J#LmQ~H zRNGPza7%24Mo+Q_ih+KuZYatHlbf-tU=sEKXMcf~G!H>3%RTn5*1uB(t(c}PhY^dT z^bHY4m#@sPtY6E5^azlt8Vj-zGY_vZ%vV%IS+USmSi~4U`idEx`@jKfJz3RQDC_~l zo#fjptuf#{bXBPk24H?E2>|b-w}Q?P9G4kZ*F!L3w$fHeHxoR>(6s>t6+KNZAAX7% zygI&!ADeMprhn+C#CoibFT;xnu8~9@;9aHwj7cOvLpgH&3$yW+Gdx9bMob5>eOc@D zt)E?I)^G-MwN5oK^r{?C2vmpY$;MUGD^voneID}GN_L5GsJj+yVU1Zgn*w2rhBt`w zqf_T74FK=ujC+23{cOq`fqoYTAWLwj06nX36vC#|4e$g)i5V6#=_eNv_(%tSWc0E! z=h@Gz))dN#C<-{P0YVDI4zjg*Mi_AIa^@AmVKnLxQDcC~oJV$Sdi{THi|=h=~s;9{6~fOrMH>EGdUcI6P&oE+nAZU<>kSN^4WXijcI&o0?Y6J{@OmCWIYY`Z?lmA3 zj29m+Y4p~p7Lpe|3XGe5GgM*S7rETc=9G=|YbW%ol7xQ606|UpdV7I|8>f~_dycGI zYa*Kwj96<2Nc~)mJ+3uve?`5s`+0!XTAgZkEXRp|j#UPBz_tC#P|1fC-8)l*Va=iZ zD9saYj4uDl8`l#pZONn%h{Qnjv$)1$%4B8_%a}SEMJEI8YlAUj#HRX!(Czhal*M#q zVMEj+fItr5ccxVY(3@s%x@ImJG3+xGiwKDO%60`akIn+nw>;HbuD9yh9%nZvODQe7 zwu=;LgLmlUiU4Ar6wO@04*JrEpAnoa>jpn)kBCy#{BC^|CS6gv*SaaQ8I9I4W*X~uI z$>qO$Y@HS;1|=OOV87)UF-U6{u{G3OUP?vbv^ZlY;7ruHRbd53s5*(W!j+ z8ZW#m^~Bay(I{PDXB50(AZxuDc5`Z({r9AX zAWhy(X z2G~i~rWH6xm<9a^++`UJIp$$BkLB~V*K9~ATR&wvMooa_8LK{w$ST-Nzy8e|kTF*~ zOt&(meUueMs})A&%BYcsGyQbHKeKYS?AD+R#gQQ7lfEyvo#1|++{-(AVKyG8n3O0Ww5K@ z_&gJ?L78NN&tXJyL*D=PFTL(6K_Ra)P9@XEP`9;!l7i+Bzyf=4$Xi8%!8qCfZ1Uu7 zky}4N16;R~X1Ah+AoW}4VNA@EXYb#zZjjN!Y%wA*>;`>$4#8@@GQ+ZDh#;)lEI|uc zPu)=;voY(i4C=M?yao{pV}hSThF#QLP$No9#)QHAvTptxeJz5U zVA56_kAOrX5i!^$*jfIR8KJmO?dttp+FNzZ*kr64+ z?b<0zorozpXfF#gj#2rcWp8wJ2<8JD1q?e55014DO zuQURl`S||tZMr(AVusmhw*Oyy?*br6S>AhBH?`2f0^M!ToOW2Dd*~(2M&uGSL=&m% ztzLRzbZ3@X-9&_4TntH$0!BR~`TXdbt$|rx!bMbaOv2?X29qdg%;n^mu%kW6=E%un zqJ~^NTuv^YL_@D3$tIuqIKTf}RXx=+-7`J2lM{?vyVG4=m*;(-=Y8()Q*UKZn$#!2 zF9{zh#1ly;NXGD?DHzbOjAT)ggXSU^TWa0tZ|thynw2kvS^erqlh{IB>^o4A#;aSz zbBq}D4Ush_0FL(D>5PkIW+fHm8GNZSTU@O(FR2VFRBp`%+>4dV)QyAHe(&FQwah4B zb)m37K1Kq7!6UQ}?SQ-*lZ4^r(R1F;F=lV^YBE?+<*2&0INg9QM9^&Fh5Fcf0FMERdoyrB#*WlAflKVbj=mUbybpFeovsP1dTc~G_tTu#W5&hg_RH)5Sr-o zZ~S^H=`G0+(0w97@_r~Fga$>T&8SL3bF$=28P|Ee_AKhOQLOe(Mv{$5kQs^0w%4xOmK?f)yYQgoVjrpdvB02AB4%Q14UzFv#1;>EX5xZSVccdo%v)|TKG)FGrr18 zn7n{z%Vo%%++vXhRgdwq>I{a&5oyaF{ne*A9B+!%9k65sn8m=9$N-0JhJ|6{LC|7q z6MKapj#}b0E(LAUwFQmNd!!)cwq~vILo7!EJM5fk|M0IfRGmhlpK_rn5i-d*V<3a~ z(J4B^NP;Uia3_M$nl{E6R|@@vLhL54Iie5aAEP2j<}|n!bjMoQ0RQa$i99n|2P$qR zWr?WY1RuE^5ZQE0aR$fWZtNibd5eh~RE6>YRM~bnO9&aN3J!y;32tSGmC0L#NI zVSY$uT$2jr8b{x9Ntb>CBLKOZ3(4|Y#B_`V9zZ<0kPLP0Q?x{e@d@cwIdnT` z?qWy`6Lk-8VtmN}z*elY69@v#Jbm;tLrH9L1vR+n+wmvR^9>>p&@~%GMu9fR6@ zu*G?!)qaz@$~#M}xCVqiIMlmeve-a>~$eheCwHf4=id z5K(~v9dBi+WF(Qpc^S}%wA_fEKwMzi2BGL26Yf;Vqj7|&)$DE&-U`E4s3SiYStUYZ zZ;ZeKk$rGmLKov^XQ`h=sCQD@ON<9$V{d}N#zGSP;+W&i;T6~t*9N(DVrE6_iA`gf zH(f!>vD&a6X4`8~1T0kFmUNwPB&<3UU?#VHh;cCCMue&|FQ)W5^CANz4L#ciq`6E3_o@NI$y033}gf1ydgw} zjcIhcK<;{uxCIZ|aww`Ko?J-h2q5gM9-Dc#7Vd=cxkxwpyLD#1RUu6TP2c#j7b#Ft1X*TL4nQud(?VP!P$B9zV(FXPm zuTKHu3>uhRQxKg{YnuB&MKEVFNm)&64wk0y1Kxp;7iLJ~Ch-v{V`xazII5rsrhnt* zrzIN1?&GYJF@fD2dlv4ElfcS|;25^R9tUR*rRL3HjacFbsacryiF%3aXQo846Fd?R z@8O5t^kXNYRHF+hptVt10Z{=pRDlFFq^Ard?Mcj08do!ej@yJZnbsD_Xaq|_Piur= z_)23NWGhihkABao3~r}690c3=Vv~(oX`Vo_NR)svr!Z`D`0WbM<!Lyj7h*Y(wB$~_xU}qbDhSUc&nnVLc8pgf^dXS~e zmWm-IzK!RMC!`qwPs)hcsQs3YNZ319fed7pKl20$KHe6MT7V+qc`04W*?ByKM7Td2 zy2p%quR7caBEXzUG%6Ex@SPaVzu>c}c|aoC;4*I(y@fYV^3*r?%O6)sOluaK zvZ1IXxRhVt3Py92j53yi=S2xd2~1GuNB{b5a7@%Clm#q;hsn$gb0p-?TC*erqR~Vd z6T(p`Q5QdumPU8Wh%(;HAt;vWb{JwjF_Fl)SEqc7K4O!%y`u|Fu_c4bBFSP;CaR?M zLM%~UT3WWTftn5qk%^?tSW?a>8R@yf{#Ym$(PkVQ)T?K|_RjSQ_CXcf;Kh77#9+cy z_(gCSU>MFc0JmN}7OCJTRk_l$DcOD|mADTBj2=U$zx$fRmE?ZuK9e5!vMN^xv*}En z+s1_=f+y~$ZaYKO8<}HdKSDbJ#O#J$L;F!4%r&q?@#xvNNHND(iaTM!qKe*`vcm<1 zQ^J8mj5Vr6<&E`o=ti7lY!<13W#o|2o~3k271PjYI`d3ErRkM;LuM$d&diZLn{IgP zQKT_%%H`Cbk53e2uO^;EBfu$r9^(iB(nqm7^PV8iIKxCp+UwJZCaO~ zf_EOPQlr%MBGCQk+kz(VPZ>16#DodyJ zlX09?!!0SB_pxM}V9*U7`T98?rvXt-t@nBAC~O!8lmXS1n#@3DWvmPKoa`h95qAPb z0>S1GW7@|e#`n^qhmD|6!^2+CD^;-2BgF@$R06fmE||#_>?GhDGt>AO;LM9(P2y-| z0(!HMyGK+)_yJExzAGM`GH@+?b37H)C=3Bpp$>XHq7pYMokpf-J@~;9PG;LjPIu#T z=CM7O=l$S#+z=cu;C9j_3KwWlA53n-rsUG+kQ7BD%9zhXN$$xc@L#SNGL3`<*Zz+) z5^^D3W{)#{1DE2WVH~xlfq{T>Md`O_K(lsp^a*ufQRvg*o1O|3;ABXccEOmn5dLT0 zbBZ_LBQSuR-fWP?XQ3tsMH-D@gr9tK9y4VkY_{c-o>%sq_Z!2eM09>1b4YNW!3rFr z>5OyqR~{tHi{sKm$T>#xt}N%bdjW4~_(JO3tZa?qpKsHp5GE#0ooSXciM3;w*=+ zug*h%;*{F~+J(o2{{_r0TqGW3S_2mZ*t=_f)H}^NH~WBO%L= za0Y(gN3J!MuV`fH(V*fSZ&=R=qhVpR zm~9q(OD;XUMQi{r36DqOjBWeiX$kc3fJxUw%>oG}N|(I=DUT02XjS= z(D;qUp(2P|oGDiG#y`XsD~m$Fj@&){4<~d416oo%KO)i{VH;gn+_T0kIS^Kv_?{4+KIswqCp&qFM|V(d zRB39yCp@o|0`5BIig5%-Zl)=JX+tUZ9xbW@5J)uUFvn`0eGQ?29XCpths+?2bcmTl zo8)C@9L1y-&zw+v)R``0J< z)5s!yfE{LhN$L#KL?K>aECJ-1GYSW1rCq={z&`cX;;2FxQ%NZvEJ2K5%702jwzw~- z7J80uCsZcscXb2tWPUl8cN${^nCD|slhSP%2D|uJSkBT<^ynU}H@Tm6>`8rs#m}Aw zBgPFfX6#CX%D;sTVoGU~vMe4LWtJX9 zouj|LrGpzxViYRF#N(Z${h3`1W-%eiad?rmyaxkaJ*G^zW)|d`tB|Hoix_Stzchd0 zjki=01os7J|M$}pX%iVEv=|o8n*&|^DO{G0p4Le{tAah?ZMyqsjfTeYn7)+IqPGM} zBjPhq15{wK2Bwdk;w`V8A426I@p9lqGEvkdqCY?{!jSu*DpaIso<^{a21hit3G5dZ zcSQp!w-ZgJfDNwz!Fc|2U8^?8HxeRZNqmX*cmQ#h8hMhj#%6#NYzIC0GtY?hw0iWO zQ3M-fabdo*cb_tE4wNZTmBQ@!7%5IYMW!r6N0E`VK;>p|j&YfI7KWK{0=cC#C33(t zb;RT&7+8-@+I&VE!U?n3h!y~^ra>a3{qRR5gamr1CFlqb=~eJjs|Gzihfcv5Vi-e8 zehWKfK%-(=+qUzN@cdacJy|r&3evn^MFyk+X3T}=d3mvDsPC%$~Yf-US1d$Oj zb;`4DPiQWlf-;=Rn20Dlc$q{1rA_3&6(&j;)S14f6+29O zK4>5`h?aBo)ej}`Gv)yl13l^%;J?n9+Pj!Z50zLI3j zb2EAYSwYLX;H=XV_(@??NwZvHk6Fgf zsF)qnU17#c(|oqW0IFoka&DP93C+^EmY7g|3D6xZ^T87Xuw~R9xf2o#JVC=lN|d`} z)5*$bh;+k=@3qH6FDECK&HoFk&pf_QMIE%ps)=Hn?_^Ew9xg z2uCZEwJ^6ciu5CkG2*GStDlesma&?y^0Yin_H@i(9N`iWp;G`ZhkEArWVfV`&Ai>! zEwUGI8Co(_49G1^fTzUp%~9kLFp`8T;$)Q;(%K@Q!pxqcIKhHogCsTj--Zv{_q-3Z zI?Z};q$MON-JCoWpa+mhKRnF|I?OW`IBz&iL@QEiVQpUz1dV}`PKMYbb z@nQy#e(l*Lo?JjO0mw++wC0HMMLN10JW*OaFG~evYnG`=>dWy`Wte(S$rwx-P5epf zRLJGSv(C}KjcMgx+P<7CZ{~b2n=XMTe;bE3P=A51Y7GTh+|E`@kluJ-Gys7 z8Lqq@ZVg2$EppYUO5EiZXmu_n3X}ulF(t~SL5t~YGC7e;Lwm3^Yo3tH=cA>`T!)#p zePON6;vmT|75=tLqHx>mtfh+rZIAp|r#(DiNv1*}tx3;+Jl+k29-tG)W-yqkFqx*J zs^volxE39Msg#hWW>WLe%$~+nT+3}_(y-y+glx5c_L_un8kYbAOnkF*jKnuVQQRP@ z92`kE!0OWtXm4ZsX>)J6tg58NvT24B#zUat0&mP4BeZmm{>bL}jv3?6oV0JX<_b2n z#q*KOgvvmDrAeX^mtqdN%&_zHeR{@XF?u0TsY+N9MTJtJvqV`hewMvXlPU`WNrDtY z0^7JY9kFB(VP>ZJMbUu31nd;YFQlXa1}RY0viZKUyw1@d{Fg)p622j9sz{iLm?v<) z8AMn=;dv94*b1ZYW#yOZIq@EO*-pKN(xkW&c)zeERD5o8QVgY0KuQn5qEQ$&I5<$r8%Zw;Bu+xe;@TD{| zaXMe&I8E}P7Y1_YeheP2NVu?po($|oOb9Rhpcu96a6K{DQSYru1knoQwpgWR7E;YZ zaD+Y_2ErTSHl{~ND{g?pIKNL5T2VPsr_b>Y*E2Ra2(uv9V)&e+pZJ$#Q>25?*3^bI zlun0b6M7WCK`co=m!Nx&uC9kXK9;G6hZq`tObo4|QQ!cUWHB7>9R1YW5;7+?x7>hu zN>fQ19vDI?B-3TO2CdQ5lnlt{(8}V}AmS)S)etdae1{UJX-C;a{8yB>nZmN#AG%lk z7HML9EP7DdkO@Y*JO&rg6VM&mTCe%U@7!PTDY!c3ms>w)GsvagRitCxeN2e%GmyQbPK1r5a z-*W~$-suzO)*5ek)~wZHGDFW|m@R6Gl1nry+D(O(xc&!?r&wC(c}J{@7(h9!0$EIq z8_iKD_4Y3r5r`WEea$SRoo49;v5JC~h@5hbUr$P|VDjon2y7SeX! zuR_*%z7CNi4fJC_oY0`KsDfQ_A@TzWI}=-Sb`Zij3Qk*dl;FsW8BZrHK9guHHbw?r zR4B8rB#h8943zU5f5KwAosEG)r@@Id@C3Qb7TTGZ&74OdAa>oB##5Grw6+Eu(cmIj zr(!jm+u-iXIK@bKt#mTTAIyt0Ipgr#jT@im8^fG?-uKAw7{K_yKo*cgNK zT!=reB|~w~REwEPv}d}|^uuGCQ$g)A04%zQl>PdThhr064)S9Ob&~&)O5;zT3lEKrMkMP#7({$EnocvaN*RW_Z%>0B5Kuyr^Gb`ysjR* zvjI5Yq~wFC5SWK~QB5tHsU=m*OEMOVhbEk$fk+@#rO4Ogts$7X#tr`{nssQSAqAg+ z>->Nq7#_<6zBw(kVey4I0$dfJXx(+k=7Tf^Uz4bNI;^F*FxTd!?&G)mF;X& zH>Rm+(%D!S#(<#@8&%!|8>i7>x)8BMl(+yJ+Kaq^jQXGnKV|QvH-!(v`7f7s8AvHm zod9D^)u_ohFRUTgP$K@A5G<^1PKS@h_CzvdFC`oZ$LdTVD0O$!_fo>s!4fh9724y< z7}|;?!qjZ^5#E-*!R6qJy6mG8I30{SfTYh&1dzh1z;vk;h$<^6n#~+OaGJcjXwx|% z$#X@N1)<&OT-2WEYSNL)#!wfBS51R(kl#!H&|)(z)>mj7;4h-F&@0#ex04bW$`O(T z2FwZ>F4LID84jI;!4VwBk;iG#WCSUV-s;CVK5=Bu7@=m(M7Q7m+wT?ccQ%8C%mWFR z00cvqk<(&on3bVm!aYE6C7Be!NN5)=KEOINP}Gbei9;M)l=}2~#yp$3U>+n;)f|2^ zbC7jC_(wn6eJlK?84sCc7&Qz{dr2iEH4@7}V$!jJ=mSCXgInK{N=gX+ zJ@D~lJQSY6gjtoyJ;qbQK_~wc)F}-Sl0oz^^;PDg-FZqv9pK_h`bS&D>5eaA>Rz_Vr@9cE0~ z=J}AlP#LfjA}VW-N?>9wV_lhp(DN5F-jLda4Y;_>V9>hR5Lr##>9IX(r%AJ*UY}wx zO_a4DWfY2~#*z?Dvj7=%$00KvkU2bb3R_w1^h!c96~vf%CNfnaitSH#EzS`|oh>mXN)fU2JL+8-7Bsq^?LrHYPM3aS zNKq||^GBkyp*2z`ss^Y#h9!%^yXC>tx|v5LkXeERDycBC9uh#@3K1naw1j;lXvUkB zqm`ggxGOZ-BO38^H3jQ(Y$j){_SwHI%QSz35}i~4G=Oa^3GyE6SZO7qTt=2046ZyW z80tXsSjL5ZofK@Gf<&vM%A5?3x*^5Bb@;%k;->i)H3zK*ImwnL$hLM~;wbMKf zismDAVDfUJDkU%T?XgEU@OmU3+E88i0xk>5eyDq?C79IkrWq&PSHh(T!_Z@v#L^WK zmJO`CW3rq0b&G@%#cBo-9cI-PUHAd+T_*U`&x6DK2#Sr#*dsnF5Lds*7=7{;iDu{G8$bM{jw!sj7CKE=D@c|LediAKe#9c{`WQ$bBJ z3CzYLv|J{|wb)JpNMVoi5AX^!WVVn9iglLnzcp!z4VLoRwL=IdfYFBJ32WwK<|scw z8A}?-p_v?K7{$ex(pV~TRvic_CnC$7DkVyzNpBp73m*uTj_ZRZGI`smJrn)WB4i<- zL)<|r3Z}-2^3sc@jGAR*oag|u2eZ>Pk%SdrY~;3a^pfk7I?aqzXjWRY7*T9k>~D}` zG8vz7Wp!M&Ya%Q}VsEd>F^F1(+Qw~V(5N#}ks(vg*qTi6`hxf<+8kvK6hs-X1S3!E zh~$K45kfc^j$3aQ4&Vo8eB+od(lwzv6;p}0ypabJ2SYql=dHG#O@pQBI*cNz2pjf~ zOfXk4Ek-y;HzCq2lMH5$So!Wyu`N(-a&eGC0~xF|tBPK-9^ZQ6Jd?YVZsO0#We6yKPOMNYWaD z9lImpdrkz0rfE(CMBhXZ4KQspzCGpyOv@(vB%))%M?KVgh(U&Ow_bAE;z2cV$&Vqn z;EjdnBksLyxrl*=tZmNxuBKWNYz0cE`*_@R*57w;&en-}}R4qtFl? zW0T9);+A71X^}E?vo=Ytv`!0~OiD}{J@VL#-Kway&=XTQKPT4_5{q%1TmCo=kj`eV zLM>`&m3oZ@RgNbz%%Th&@)gtirhpjU?S-1;n!cMRpbN@Xg53`ONC0OGd3@7NXiY8gB8`J z3?vHoKBcG@Jg^J{YmTQQ6pFpzDL7sBnAy$OpX{Nz%6SAnVf zWIY3U-wH6o{@{*5QZg!jFx!I2H0;aYm7p6IiMkDO`5&1_cuvW%JI(?!_T;21IN{9-CFyL*KQfu*Q$xOh70jd35okvb zwHyu|Br=@CX9K-pBxKc@CrYuEMZp991DB>-oUZ9eIJY%I(SW|hW zw8bFYy5ofS((oH3T42*@!g$UE%!r;H)>W#{61!v_O4N)hjG8)Sc!5z8G@LG=wg2d4 zU4(-?tRk$OHGFFF+@^hzKOI{24L7SDq^mUZplo%Y@3E6e#H zcpzUY^X}E}|9%t?k!q>@PjY&2bS z^@{3UTfDmpO>a+7va4X=l}7!%?OMFwyEfj~jPpUKilsDf(`{D#ifvV^Tkk2{kZ5{J( z;a2t5@CKK_F}m~85g}mi_sl2nnE1hih2a}~fA4H@_)vA<=zl4Xq%SRwR;#5cy($>> zw$-(_&K9QaeY3@bm!5m5TD){q`N7?_cU3o49;)0>t<@SeHexAi#8`T+k=b`o^{nd1 z)aW^*dk<``)~fVvrj3hi{m=ac9Q#b}c^IZ=MLj#PY|lQ5_LM;J02|E+kg+l(|I*+Q0v& zyK2SYu4=Jmc~vGl3dNsEjb83woF9E6<>g05MlUK9$1W;toyyz0riyN3^a}sB6qcn{ zY&56RPG!_Cjov$U!&us_Zp}ZEM+$1ljMcPXRm@f{u6kG8Q>;|?9;iGS1YV^wwP&`v z>a@&+^Ut0QbZQDD}(3^jGdcBec zUDqvM?A-;_a(XNmr1I60n>lwT1;d}1FP1i@@{LX9sn0RscCFe>Z`o7Zn)aHbQ@Ltz zn>Ta!d6pB{w`41$wMu^GqS4wLHS*S#<%T;pTA6~08`pWIbQNwX4IikXXKOX2x-^=4 z`y=iJA2@kzG<8$8a(4v{m>I6z{e8`=8cn~3WNu0oFD$sjLB5inWBFW>V^4XcR!;dD^C}Q^FVa8}+y18^0o+1-| znY^YK^HRWm5OA_+A1sz!Jo_oP*ea6qp<}GKU$ZK_Zv4o*Z{=?5fXe(Z0psN$TX45X zX-feVt+KHQl&e|Ilxb1Ql(W7*iW~{DII^I@t`npz<_2*&EZU_rI~$epV1KF=NR?Ea z7ygMQOT4m^SC$GpQkdW^VJX)dwo6iCZn2a*QLTD{o#zj=WO-T+)tZ)_qM1s{4S1`% zXYF^fkQn7+)@B-umvm3(RRirnTrZnv(awtJ*cZyU{UUN;n2OvEC9kP7&U=B zhq?dD%e1&TXBRbeCd-+%(IV`sEByDYu@raW$krS7u+42et=95rxtwx6pUVQn0C{9T z9iV@xsmQng`>#4Du;iuNNHOGo0Xo%Fh4H+V6J%rvvks)KAnMr?TG5^+oI+q4Q!5$s z(jC63nU`A%dX|UDw`oobC2>u;Sbk;deHCju<*auLN`9np=Z2t5!701BlnYLIsKcn_ zLpIeO^fcIs!X@m7864jJV{t+kgR~R~{so=mmt*52r!RZeX$(`jT(+|5jp>c~LTxBr zK;><;&q?Jk%xBZ*rOIkdOi^H*awNRj3N6Utip)PEu4nkH=(tp?p z(h^lcO@slc`LczEwO)MaM82GK7LbLV97C~l7wGOb5r?kiARBPB8Tk~NPo(HEG7fLM z#BmfudzN9;&>6^E7Z%a{T=2Scv);rMe1Ec45D>@n-~=4ILMbKmJV4UJEQGP7MTCP3 z*Fqpyvv5?4@H52BlQa}zxPWT`^(DR)*xHo#}lh_uOE2*)R&sByD*#@mSJ8PBp2Yz7u+?<~s zYwYxg#&ShBL)N=^iBqp{FK184os$~7JLjEW7`waR?H(>v+A3H~oo^F?CVMyLS}!@7`@ae#c3dSFjc5%@<*c3ilR@dAfa9VXL1D807-3 z93*PY>m2j4Zk~xu7u`|3-7R`~x0xDqH%L1Wq~BG@&g2@Svl}4ev+mZ^lYf_<*?4N; zZ_K+0>dW}JJ{?T&_%5e5v~K)e6UEIF`CM^$^4#Wb_xf~kxL_YFxW&8|{aFf>_|Nh9 zFL{2L{9$;pxnvh*SaiwFKiSCH!=%HC!5mo`G8kx>a>^?XZ#bQ{_8e4$?Z5k*?BuJ< z*$wZ`xlheZ>=<&3@2PmR)g2R__2$X?hich}9nV~^y{DtM0-XAAZ9PkO05S+&5= z-CXhfbp1f?to#=5-Ws|!zd6H|`MS+S(E4J1(&IvRq?{{%E;n)&*xdQx&11!Tw`<;` zcz9oGY-Zw+pM4}}-R@tGICHp}+xT40-%io*PmYbxh`wxrM#j4uzjZG4ds{%Mf!Ozf$z=a%fn#aB*jX}|9Z=e_TNy$Ou#B7OB-X*JS1`=n&%il!G4JXJ5v>KS3oc!N zmXOycRvF2`a(DU@0SMH+%FV@0>Bt7G3LSrP=7?q!g+Sddj`O}`I=DXUAaMS|7SOYb zXXUS7ckS(Gctfe_oi}XUo)6}(zJ8;-^XnUjYdb%_aX7tWV$yo`n_o8K=F`@mva@CQ zp&XiwmQxIBs*X+^!M#%dXj6P(dP(|n(i_3biSYCTlo{$$iV9B4`g&lO_uX9lA+LS@cUwES$IWHE{0Vbpsh`+W9(OJ0s;fq3u`F4;IZ=Bc zH-?f%WWfi%gQp-2h0vw4xXi2D`E4Q?77T5ktxU|gO$OUF%dDbvQS>C>ZzpTU7km~> z+eJLoKYx&`Jn}eo`|0f`)h8#c`Zd>W%@=#}Ztk8XenMeG^HiZK)$887rQ{;)V}u4)OWq|XQQUR^91idH zr|;dui9CyuaAz@8^}4(6Z^m=^5j*gkyM5i9Kui!bbQzp?qFl~KuxBrRXearcq_}cuIS8jTC z_UKP$SVcpstCwcXKpk= zt~!%`2nag{$fXgGYSfczvL}DU-KHn!o1Sn_)RPEE+><4N{B8^+L}&XI59QAap07R} z!KhvFuD>~XDyjzxaF1YpcwKIjaqY-mrkZHC(0ODJW@H4SO=A)LECyMd83p6h0Rykk zweP~so!qwb42u%+B{sEZwt2vGKn^@x6P`?N&(}{oe>%wKJugkP#99tM(oD5)9RqMM zKUA~HIJmeUm6UrP0_x4uCrbV#L}U_h+V;2?77#LwsY^_;Ifb4^-U3nqn_ZSq$g=F* z?06+`i|*xRSeFU)R<=;s<8O6O*_hc_3vvY;o8Ef$3%?K`#1^>G3v1(0DwlJI3b=>G z3e1TOWpBJf0O+kp3Z+Bj^Ww@<`DK%Qm1Ge}WjB!UAR`{*K8BB%4^-=taa#u=d$yKF zu5o&wzBILQU4>bi6YZz)iPN=j*@8rQUqHsI5W#vyKH7G**+gyJ+qki}}in`nLKD?!J}%rfI8aRF2|pKcHTR>5Vw z3zG%6o=@#Yg_DEXkQ%ZJ8&giI?3JyuQ((@3!LqE)$PrveV@@S!?*b#5r7J|QkYt!p zEO?k@ZH#0p+3i8#J?IB5o-dio)*4`0&y>&pVqIR1c}$aWG<*qY z0X3UY^b9dYP*13u7WK>L_pJHt1>BPvuP{nc4n{HH;s1e96w+S7%5e|L=ZrJtoglVP zL-eez;1W2(2v*~R|CrQp=-lB7b=o8wD7+)90eV!}WQ*zGS=z0T5m9=E-`Pf*!rowf z>av~d3v}OO@Y4FGW5{exO_U8P0FLpZRkQJ1hkUN(^$9wZWwt<j=O}ONVx;?bG9IdWQtnoe2Ln5GC(xBkIW1+%R1$F3>Z2py_-R_ z#t$GY0ZFWM8BJ`R{p+VX(&^}B#!Z@q7EmpQBRe=)gtr2P%9iS8(iZx@{kxx(YPv*S=Ff$7@jk(Z>rs!iYLu^F(RorZjJSwY!p^Z} z1Ns9%Uq1rN3o7H)?9M9TwH34;&NsYtun`z(|H1m#e&EDO;Oc7rBp)D>tZEbpoeZsH z$)^FL_-s{bnL##V&S}Wx)VP^7ksiWnqs0oa+JA7nB?|&Tw3V2sKz3vjB82)Kz|p9d zfNN5LfZ!nW4yh2f@58bxve5uDub&17G$l&iCx3-Z9{KI>v2r==7N6sU^4VO@%jHhW z;UYS@TnbIge_D)NO6=$;trY9ZYjPQ`c-7I!1}#3o0R4a%I_Yx7bzZpOFSG6rk_F@2 zCkk#MM}EhhEYwr=oV(7gr*h;4&CoDf4jEklut}Q`Auu>DT-@}jKK7ck`1$U%&4WD@ zYhz9jl#%TZ`M!UB`hY)ITR;9ef7aP~Zfj#>@?8I6@03bqPj>rt?t#h+H|{v8Tz6mQ ztt$+9x%vclf4oo_q8IK&Ay>!_;l9B2q?FAjd5!u(x~){+?UsB$wUwMGJ_EutB}g>iWy2Jl7&(nVcv)L+hLg)k<~#$Ko5MIh5>B9>zFl&E39_lRS;y z@Gbr$ZT`kIRc_=@CeJ19c|AMSK|DN6RmvvMx%yR>r$al=&E4@@YsXt(mYv)&oFWBa zXLr{MRRVD5UG*JagGhJX=FBU6%nHvpWJqXKQd@~1bE8BA&ZvDJ5zk}y;;WM-;Ndrd zR2M$f`3=lBjXYbvd2Ic66M@-#8;7U|W6V5arRkk-KPUIpp@~X)eBZfSzBrT4*51{~ zoab%-%iX)G8}FMMf8t@1Op_0l$A8()AD%jisH#3WglrALjS6wRAdfSYa$uGr^@t536_i`nX8Q3!j{JcleI-pG!h{KK ziH_&iLn`6fXerFJ>ci>jt1D%T@gS#!EQ7xFaK;%q#n}!~G8@-D{;|_4Hy5&#^#W)V zGzvpByw1Yoc3gR3{MSQ?Ve8;3GSC015i=YVW{yleVU6U>QaRH$ot|!NA1(W>JrMst zZiEb(G9zPG{$k|B0~?EJvLEB41nrgb2T!Sp_GA=n>;&38%gB0@3g+nq@I#Ut>`gZ2 za@Qi?V{8iXJZM96^@F)XG@{K)&K~o^9t57=Ia;1BGT%pD!(;qn{ zyDp2yb;kiPo&#+We8@JVuG9cXM`KP$;C+)#;e)Ii&B6`XuaZWA%!1mVQx>jH7{BNG zLb^USoUUI30kpWCYgIPpPef&I|9xRi&MyDW${WT5kSO>#6Uq)KcR}vJBX^((xP=Nv zH!64r^YZ{tWPB5PtG-^nq3!x~nlvQ&4{{20_en`H5=4Ta?xl2n>+;JPurc-24Ca-Hh{?E=% ze&xs}@+ccE=hitN+H6f)2dBmV;7wM}aF#uJ@GsBMJ(>WU_P+vj{r83RS?WDFEzCo%>-EVLPo#8`K>_~9HK zfSZkJCUjMs47-ZbTAD;ewok_9#|oc-hGBJ)9~xl3T;4KSon@JFGR zaccq2%O4r(h>7uH2+#*bIKkbh0(Clj2?-)^nBL(ykjbV^ViD6&Ap5c}q0Lj*p;ewttECTlG=kXh*&T|`&eA?OIa)xIUMl3#T5f-k`;rGzv?PapH#8_`1?0b~w@`0Gx7U-oG- z8p~|t-hl}T2vq<;L05$qMAC2rjM>Z(vG@2}Pl}H8{fctTO!X^r--QAUH$=!r=LvPd zhpN`>vXbhk_`mNKLV&9DlZ~GS7JZ_l1ld63SlngP0DAB2tFKs6dx5i%j<#&Tj21mq zhL1xLA@yZ;g#~-z|I5C9LVR2AZ)zx*e21<)@SC!%3k$`qOt-Tp5c4AfA=N|ZN`50u zakw6qNDuUYgE9wfh39|#^m8&B5w?Dt5i#13kg2qyXyuE{zEJ{@v$wk5o{-cUN}5CI z!y_J>Dvi*nv`E_o&}{atqo>R))f6PaQ=?KqY{LI0t(uu00$C<>@a@ps*tlN*xi>zX z+g4kLQKP$7wp{bmR;8v1Eauo{Lj(93YDMwtByOlxyz=GE3^SaMX8ez&*6F)gyWa(v(nl<9$4s7zB^RN$ph2(hu4HsM)AK)bpHtZZ2%kbV1=l0Gc}8nG^{M>Ccf>yuh9Mh-yxpsKDyvn7yyS|hWLb!bl4+6 zw`q_~RKX=8hT%VQs4oyuNEQ9Hzjn8iUxJGP07F3%^L&aW#m=g$h$7i1wZKLQB%(ke z^KBq&FxuyDAr3Z|^!pIU7;5WNbLc60ITDdYJ?!p&OeWKJyZzo5<$_6qJ0aV_fLrtj zt-Hb*i|JLp$Z@H+8d_w7qW1L}Zt|=BdWf+iGVPUc;pA?bk7B zd&l&()rXkF{mIYHJ(M4Q>b0NY>#Y6HGcjsn7rvjHI>MYSJJ(HxlekL(`Em$^F9T$v zH;@l+J~IjApKibIorUByax{6na2k1j^7irpy3&PD^*|U|)~*QA;rHSFsij1jK_kN* z&YhtQ8C%#)V$cxK^F98HkgD1U59AkQ3ISb zdlGLXaV7C3VkU8)F=A@G&MRjEP8cgm3v5w1b@m1L5M^^@-WP~|#$Sd2jyxPJBAt{8 zq+gnZHFh}yC7=dV8n&MKoii%&{mXrWxsaBD)urm62GQ(52W`ghIrtj0KhOtr!G?6A zC6H7Dk0p;nUHL&Fl&AMEY2A`d0TGx$#U~)-p{op`4=qfzqbrR{r`Pnk{pI8v6+=`) zU?D=ZFI*Y^@F)QAzPXsK)?ZvI6Uf&Ykl=IF$AgUMO)mwIYHK0 zw$QZVcSdF&F1x0jV1}LOrw3;tW8MqbUEFtEdbZN(DYPVk0$}51 znl|)XvUTJCH!$vD-{QW`iw@8w2kJE2W%sTd5c6;lLTn?HLmJRiQ6;7X<$>S*4+1^V z##m@LI^6_52Xu%I8nvh0_AmYUu4<*C-2t{xuL%->LNv|#0jMcamex>!3?s{|9C`T< z4gj$064N(zID~A@nYP3L_y33W=fpzUbyu$+x`97LTRjusczUJ)dSYe5xP?AyuskYj z&|j$UBai-*wQ*2p6&-yn%BG0KV!+*s0$CKw&d`c zv^@ZEQt7*k%Sv00lMi50; z`OTi!{>49JGOUjR?%77@*BhqSkkF190~2tWX+yxe?KkapMh6#m00CCL4mW-fx^WcN zQhTP~^w+Jg`{^&udpz;w{lCrBnfVB>2^$I(shE~ijCcE=jx3WUO0>W&hJ?aZA}Zk* z&#({wbZ`*SAKh{~^-GC+m)Zs4C~A%LQ)dVTQ)49(yI%XgYn&oLlR-_#v6s`-KmMsl z(F|RdUnZB3xQHQ)qZ}rHNG{tq{!J>N&6TwK<% zb1*y@NPQwR6!^*Xz=_nU>LR2_oizKo!yiwV<2(I$r|-8g2V23#YvxaZQ`C3_*1&Cl z=Gwk6II*C+fQzUW_J9&K+KIOC+RJVvfjQNjNjEbk9*VcDQERlhlu|L+(HHa0*DlYh zSv7tEPaw18?OaOeUijjdtUK}_DCf?-w-(%$+glrL-5V5sdDPxk^wYt4du9q-|KNs- zdnovL>U?+Pk-X>cyLjkTLHPrP3v;h;RBHFt8(*wm=e2`e)w!=WHGOyGyvfG?PZf%d zFXiVB@bGKytVhn7UnYqC&ymIuC!fpOVmEiozk4+Z$-&1oB{_MWg`4eN+ z^nU+?xv~3drFWHY*gHHLG!6~D^5Uy^6}O&nR%7G44|)DQwcjhx?)UH7K31(&@2)m) zx%g||7lV_WYHs%x=Qpe~i=(f+As9QECg}cb)jIEzps??r?bcW^b3v{Zj5?2$bFT`x z^5x~)b^R|qUUh8|=+}D&Kn83Wif^x&0qs5fALKeFX7K$10s znk0n&tCO$!xrKLg(n)c!9G{?*VFx$>ApFGWXNY=yS@?~~e*XJ=%#s+8ol7g7J$9r) z=A+W&8MA-W_w|`^Oak$u+e}awgFv6iZY4Iz;aTnBcP0**-Fa#+JYz z4;|nd07J$|shAVspoY%=)%3s-t%==Cuz<%NDkBD9ez<^ZE-AEM#4Q+bqaVQHQ_&J% z+A&||xY?zj?f?2lqU7>`Fu6=P$D8(1`0%AZ<>!ToEXRA{TYp`NuUhU~fgOMP4?O)6 zB)+}GooedLzl4Ve-oVx{jf5r|qzIr6o8D&a_CNp9zyNhqguf6C$I0BSGcX9d?ODEaMd8221EF&30M}SjjIA*nWJJZ zc8HZtvXACz!FBKa=@VBL)ItRFA42eLBr1$!JD-S3!KS!`^SM`_R5?%{AZ!imQWr$J z<1Q7e^!8mIQ7}*WF@vY{(trlOU(>m$$oiIX36 zWFW5!AtmFKax*MuW={;oUl_`A2?RFL+1Y=21|@$34~v3+akju2?jZxlA#+&miK#qQ ziW7akF>l>AC}0KMkUt?o1_{M+CMH+!xdgcN)Zs6rsFWRqi$n?&3v;``7!rVXLf5aM!4#q2~0Ja8ro?NDI%A+wO`yhc<8Jg3*DqsZ0b0hNw#QU zqmv5!H45$WeRwDXOz0(JUqylFRN_O+dPBeme?05U2c?d*zzHfmRjL`z=Y939D{sTZ z*Io01%&9O2K3riM?#vc`;f~dYxY&jtQSRwrAm#%JQO3XIUCM~ek*8X1?EOpwPo1Yx(x>PLL*-9zxKJ&||rNZ0J z)!LE!fe3n%8aC;6SqOH0^OGE+&hS6?me2K@RSDf?-5+mQHG}hTh`2f_m~0U#J1EVzmOz~8eV3LF7FMm zJ$Gy8c5zS0^PNNSPK})@{&0CZOc$T)`fhI?d~yaz9>!Y)jQP0>7C+jJp?G{3o?86d zGt7s+U3@A!(mwFdea|gC(V>^8y(G;$S3dqPi6FmowC9fDH6v8QSrEZ{;r8f{_X$lG zUTA&`8bHLxA>w>@Z8v?gN8sFb#rzM`wfUclpcZVO+_vDr!k_bU3oyA022F8{;hKe) zx2ON-!b3~_Hp8)?mx=4QeOK4=v57PNb|Y9Y&0<{J_k5z?ZHtb3C>1lcMkK&EXtpJs zuUv5DGyiSrauuy)8gHth0H#EZ&WQmTWxf{m^!}$$o@pYs{kMc}K{7i3<)cSYNDl(s zI&vz?VELJ{o*_r}J%ki#g&Zn7#9P!nS>5J2x+vw8H|oBvfnLubnZdaqPI$a-J2;gfp9wkKKXl zq(1tQ&X3+Zo6^bSVZX!|B7xv8+V1}~np|poKnAU|!4@W5zqjJ++Sa^#F&cA zE|7+rbOJu^DZ%YO8J6v7rk0u;lk2`I9sBO#wbJ$HA#Q{id<62hdVBicxO1=ulCjD- zb6Jppc3tZruz5raIqcoZN2@0X(5GkPz3&Jwh1e$KIT%QF0KZdqXle*K92S+G{jF1u zM-WTSk`X~97_`@JLcN+|ZFnd0+oDHc7V@TZpTA@mJ|E%C$RRuEs*Cl(H|2rud#jsW}d*PEv|l3g|}c7Vr?m~So%Q8d4L0d3zs zxaXy+9{`11qPUwMI?%OU`_Sow+vpzmcLBTUA5ROq4lMD*goaC;XL*^4fy0Lg4%mtJ z422hB+P-IG$<~r51!T#s{iZ)z@_g6H&|R88w1DN1i+{X%{_H?|Ah!@d?hD1N{f%#~ zU__Tg_0CVF2kMb7!eNe7CqH05b#W20fA!}B_b#lHlMxC%H!rMT2sv2|#@m~}74I$k zZ6?9gDTQ$QA1nCy&X9=3ZyafAag>3!&~7Lv3tZ!Mi>gV?L*4v_KjZK!qlWSNl_Cwv=`q_EsZvJGrC!Xrs z65^}86-=DijQehF`_aBwCa#Tl4Zu*t#)rDTZ}kRO*_u7;x;gP9MD~Iky6BuZzw+JA zYg-1oHJU!@CRli<)Bs%a`eh%NAE+T+w6d-(1GcL;ZJBGAJN=UG*h)lRi1roxLi_js z-{mMV`1#e)zi-#B-7vVfvRoJc%?C5m9gF+<=v9ezau%On(Glm!pDnvU#NYrK%$2fP zU*K!rv%&33+^2c_5+4b_vp0YAbd239z5DKj{|xT(GLPb1_KDSSwQ(Z5`>kKkyndyV zL%OR!uz>?SfeE){m=Pc2yU^b8Q;DC0?)HIZ{q6r66hh*O-~m9Uob_1K;IJ z)6IZh_e`-r_RuMP&-Hf6dxGhG>9yt5%Dwllu7^4No=p~>-0ku3Wp4TsfzokJTNaK|LKqB?-+EipZd2hxH_C)krW^6R&0Oz?-s)F7akb+cl+xR{!#(QxHVH3 zyzY#JFnW`B;Z?nUKYnNhlMB57B#!-S`(|SH)oqX8K6ox_xfcGlv0SeGzUPm3tS@Q$ zoOp>-tchw}oT}?-q*rq3k%|A*haRgqyqZ9eG`Q@G$41Tbgdhw&Z-Hcu^mNJG251Fdxu3D3> zN$7Yn^-nD|5!sny@72@A$J%fGnZ*ZJdt_`rLi@P| zt84YVY`}v{(|Nuz&-bHfJ^dVcJzxJ#7voD-^v&(clY%Sj)ZhA>T3DCOe00GHX-+$x zw@V~1()B>;Y}iRH_?>5X&-x5Uxo^JXovS)KOPw(QkaR@oq;A^0Pp-2^NNqjqaYv=iM*F|(O>v+f4ukhO}`l@>#{n$xbvN#BVEgqE> z!DR@qeQPu|7bK8b5s>I&v$p+Xcb{hQGQ=f^b`aoAjc(CumoJMM;aG?Vr0|t^m;G4G zDuZ;Q_ci9-cRH27;LCRJOICC=?3va6!0(leLRqC2Fl|CMHVNjnH&Cr|Of)k9Eo4}) z0%-rwT8RSFfcJVecsU%eK8jAS_^X6wX_m{p1b{{9zFzi@kR?pC29R0W2f3y+t4NC4)Gbv~1KGxsheV_f;=3nXRlwC2v2Xe)Ls@43pUp&*6xJGu@Z0m>vh|)gy65YA)mscwn7{sz<&V$s;z{r}e*>3a_iBD5 zMK=L|+5f{kz33%xV;`S!{OxqMYmJL0^1aF|w}=s_ji>jSryfuei`URtCH$s8E9uqW zwwqT`9J>ro#e)GI+a+#mTY#;f3eXh+$ zst>;It1JeTdA&kL{b{Cd{h%sPS8YB*r0`9{_s$mE5A8)X`ipbNstnfArw3nEAnZx6 z&k}M!%%64bpXzgMwzWwE!5+I5Bej2ZMe8jt3Lv|1dTh%|pQY-$z3ay!G@VRm2)iyE z=*Js=VxY^Si@Jix@R-CY=GDi}URyNRs&dguUGVeAivU(K(3Z8v6Bwmq(b-)+FC6}@ z)f8WL!RY)zm|nU)Ypw~+Sg)4{u@8?(O23nn`_j1#dtMsI! zXV0KuPyf6hbxemuFq+yBJoxAZNHUBl$QtkEFOfc(nkw|6aq6t!W9!T6@;V zPN^8ezk7F7+3(-DXYl_B(%k1w>iq(lwx2ocy+|JI_2O%OyOGhwqr@Iq!e<+OE$rNc_AE zwan@7oV?c{)EMlVHDI$&Lu;dGBXDcgF?1O#%Dj9{r+-RoqGnCc4*%9EGaUjRu z$Nh9;A=XNzSU3I3F=@`O5q(L@hk8iI@X=D$*e@#6IH_s`^) z^V8Q%)U2mJl}zO>UANFBSo%>4onY;S+WE$}XVyfshRNKE|1xUP>9i9aSng}SV{y-{ zcJj*8muOMa6dTw(9p zmws%8cPw%F{}n8kXut2N;~ncunm#9vQ>+hR`W{|uiV>KvY0A8RV*aK4Fl%3Ouk=J; zt+`S_x_+(4dNqCMc@G^^LZ_#X-8@#${dg|@m=;ccslOw#Cqu2~;CGCut%f)~?^zRy zsRBe>`%U+SJIDM9#3x^N?5PbY9utJLKk~!J+@}tJm$DA-bn;kt)`T(QP8_FLOo>6i zk5g<=O2yZG$58CJd;XI4f@6L>=I^!Ez{lP5#j^*;OI-$djP#f}IR>!S938_w=I;SI z=J#zRbTSjC??2m4{95&Hn3=!!yfv$o?4Q3~s*j7%ix;|l^gXLUQ%^m1Z;tWNpSd@j z7KzS<2fHsE{MVy@n4GB@{JvgS4!|0{ZtHz)fsb-*Rq2oZ&ha{z-em53bOHVQUN^{z ze@(_1r2W3vtX0R146b3J_UhD6KQtN2HJREqShmMr*X+_i{aPL5`0@214V4(6XsUY< zqAh*R@%12&z53kXS<(M%kBqKnJ;;s5hwCZB?H_t!ZB=W}R(c&Yn!ViX zOmytd=NG*bWQnVnKDAax*R=AIcT-kV)v}0wx6X$C>uQQe76E(w%zrDFf0Gwno*JfrtxTbXWV($jWYB>gvTkTk7h?=bFg??8TqxQ8`mehj%UGt}X)o*oR+& zE4!$dJxSJ#uv}?oy*>A%i!fjHfu22E9kk!ErNGadquKsz^e+jy`{ezv=n8a}oRwI%*X`RL z>GZj~nN|FH>~$w3-=nlZcoqN@rI*|uUBQa(%i?+MP(1B2$YT25k{#ov9$QZ8Trt|& zTjkC*uj9suZB_|_+l+Ww)*qv^)@Ey_Zmf60b7!IATX7q=ekmS+72mq(hA?vSb)0n* zmmPp*n4^@s|KT-VZ$4bb>aH3p(yFMFA@!=-rL%^)V(#ZnbZINk=$ED-aZQ(KYcQV8 zOic%;N&#@VzFqq|>T-&22A(yQq!4c<-n*F_~!Hjo?e>r{+UWJ`cQ6sW@f5U+mznr``%_= zY6dX-hraaLOg2+W(@!UpP;DV9CA*ojns)ehyQo3FM0Pq{O3TL2Z!)V|6C9K9^y_Q~ z+<2puP&8|QSw@|ebQF4-ku#0xstgwwWiis++lV2S)cRCI2WOf#^w9N>Ki6U1__|ep whupU6+q-WZ-2Ldjuh*~R9qUDvrH(D6ShI^Wm%3Bu78Vmc{|fU?!UhBz3+Xm z{x7fo;QK%L!M~k*^#|w9{p-1Z`N6-u`s()q$ok&bzA`hS|KH~dKn2iz<^2U%7zeZl zG}lhk&gV*e89?*3xs9p!XCMobPdo&_0cpEQE3LoGOWWf; zb6Jh}()8s3J~y|=`{p#>6Pn93)4+S;W*g1WoW^ztX+G&7zGga@pFXEorV;p@+_jcT z@ikxBZVJumyif6_`Ds7WImj~14~ap9P)?9X6LW| zB+CM%^_frF)3G`QC;*s$0+5zVuS`oJO=I~~PSW;xRhuBCE9pTTGmG@FF7XWkjsWfh zkcKY;(snvszY0k6Qd)T33CJ~|nI7IVnb$`F2mDU} z>1fXXSOb_&8b}l8K{|H#0MdE!mw@zs>h-w>r0K-dOc&cU0nOKTbTG{Z+yNj;(lH9) zmAILfwlSA$Ki~rZ(!+75>^HYN^?qdo(scIWCcr8Hv&b$!Q$DEM2+j9NN^kFX8j$9( z{oPHlsR1s4x6I;w3ZH2JpVtC-{RDt~XohCqF#RP!nos^b2uPoKOSdq_E5~0P9i?NJ|Pc$wj9zV*Aa&_6P|@$#0U9{QgA)_>}UCEGBI!q&#oF zlK;)XyyoX-8hFofZ%0F##x;fQrL3;gQ@zYd9Lt2ZLiZcZ7rmr25{ZsI+FtP(tBRN*?_5Oq=WEF4Ora-Y5UDr(oaa!NC)SEBo056+2(oCJWt!* zGx+f`fa9M6>1iUP?d|afkPa3g`NYFy(nsDmw>MXsQW{b zMLwj!Igr|E>^s{^>0ln86Dw``a`I>mfN5NVsow}3SE`V80Om36HvqI-t_3ikwitCTw^(=_W_z|V%r4HS6-RNEAbP^8v^fXJMzkQKGgu;{~mx>+SOkG@cOra-vsbJ zP2+P4l(`frgDJ3WLP`hK9iLO4PNjqWW7{dDbWvv<1Mqr(1O5u|TMbClsLtNe0P^71 z0KBHaEZ&m_LTaax-XtYSOUI79;(dChUB>&{0apQ-cO8If>6&>Zfa^JdbC`ApWnd;q z>yjUo1IiL*pX&zK7XqJW1Fo5bv>fqJm!ItUt%4Y)anU(_6(shRPu#dE#moz~-K55dM0G9#MbdKB20HzVRe({+)i*_`D z_wNEEPswky{^i)Q{boqpBTXr!X}q!>0`Ex^`|)1@l&ci@JQYq)foB2DNzF9yp0by= z&nw#_@SZx1@61-91?xRJoQ*PKUNg3vCF=`S>Z z_w#{fd>8I7aNq(5E^uJ39H9QAK5Z#q>A20%QmpY{O-X$6W74{7N)4Ot=Xv|g!HKPz zdDfg>y#62irX>0kvHU3+vp?3q-=f=RuP{@IyH{K^Q}(>_ruTAQ)msZMK4CWE!{mC& z%Hvm;qEf+cK_DjuU&UP@kfduB)f7^Ie3$iDIakwAxu&adr9w}*;`rUFtdiE3*HGkC z)W^LZYXV4gVr_v#g`A7FO`udn1$Rv}h;zeBZRBhxhV_MQhaI#gR-jNAh_yqGQVx%V z0ws#EryVhn+D-hPgP)=%Dl8!KZkOU)&$-^Hi&nC~U(j-nB90V+*SxRR9lNe#)`q&( zwW{z3Jm(0SC~BumuLlkkDd8dt2HQ<08L4DfCV*X{5R*l@A%#2>;!uIVtX#`!s66CV zP%LmN>R12YiPQ|I2;nP1OXp`aQpP-pD2pENBW$kTQWLxjDXv zL%TSzpaJOA^n!YsB7;~0CdIP8?_blbd0rDtEtTq9zx-a5mLi z-$w4xx}%>$=gubKwseZP{HNv@L!ThpgKlC~K;O9U>Mmy-iLiSF*7n=f$!w#sCqlbCQ<4<8jnEh%$) zXivCRKY8t(s<$aLoxZyH^KHt_DXB7zL-j|-=2Ww#P@|XANxSO4`MVf14na$^b9$gr z*ba_oGxHzM>HJDiTbDh(5Y^i>lbT8VuU0P8{5+@o3J!vx;Pf%DZvNcs=7gcSP({f_ z?fLfP%}Z;|CFgVxi@iPTj2B|A3?_077j6q2kseKKh)*P|KV?C83Xv+j0!{C&ncjpm zZ7Ot)7^J0RmHMCl*E!MJQs{`$CD|~2r0P2sV2BYN{F!#}E;ch6iRrW*f0asx@+JLV$d71<&zusE7|Gyb=J*h_#KmifnK*vm z((}PBgdFX*wAFuMm;>H6?>rMXoXtJE>51T|X|CV;lb*}p&6|2(y{GV#)~1_Yy?6I} zow4f8`J-8L{pa4fZmol|Cy$z|;@h{dPV3b@6TEuQYqz?48nnAl#kc22J(oS)-gx-Q zf1dwrYNtJ=xV`Y^Cc`A0E`c3WrmGElHqTY&JDDJx*c61W< zf(-Gby|&fsfBHSJFNx*|MGk!elG*-@G{#Z7R~S3Q&bN>#9b(PT`_ zwwz39d&Wmd|DqY+;`DJU#zix+JKE&+U;XfmotjtXV$Y1l8fRa*>f`g@gX+}v#nd+Zkyk0sw0=R6aS z|GInwqhqZJ_D+=O6styo`jc15VloOlQDK2M^$-2*0&0>e?c9gk-#fFLH=m{!tgkC= zEaQ4l)TZs}Y;P^piNm+AXwyV%)j2=0n-><$IkSre7c3d=T3KY{XD)iM9U0TptY`k5 z``3#DVA*SzvbGD#Li+vh7W>wf`iE{_2!-wIEV2w<&?oKL+$pu8Pug10=b89JHNe@Q z^Q5nBbr5~Q0H@=$I5183N{e%$xZnJ{Q`P0v=UFjh&>LV}^;=W>c^=s6-*i*Nt=Gv0 zTho)LcDV?Y*6&=~uJlZ44VR!D!gHT(2}^b$bSC6Yd2ju{-`Mno1I)8SgS2*L{beJ~ zA!`PP+gY%)Hz7;Zj~<<4$vG68zAhE!P^&|cXP$0m8oN3D#j_4orzh9{?sumrE;I$* z%psPsIPf#SwK#Z)Wjg;Cj{CK2G%vhYQ)~XXaBT79burJLTnK-2mJLl6$^|}O2!Efi zb^D`eefwuWboGT3;Qze9IWxVQfA*t?o3v%8klvJv?d&vJS>ECYU2WS~P-3T5bY^R@LsQ@RA009lkUU9PPRXg$ z5wu$A)AL(X-fE@emQ&8#U8#TeJ+0W!=V`K^=Yu7wdtUa{R4cr`?ITSTxlQ*AxUJWI zaRIeDRC&#?Y$|;h2%E~0*`6T@JkBQ z(6N^nLf+HZGE>6;TEUosG7z?S@x}`w?-@AVA?rfO8+vZhMDWMzyGcKK#y3|D1eg~4 zBP#yLXD=Av3;N(-d!^K*$2)v}Z)%jVJ)R`>u`3tw#a32r*NE}{^Ze3Di!FI@)8wg+ z#2099Sx@WURP$CAxc$a?oy3@iv^k-T!BA?>Z-3v8V=Q^_2R}aVpttADd{gIbe9zE8 zo3Wgs^ekD6NZ5~6q-qwn&N2J7I+Vh?{nD0~L+03@_Qe*nmpr@JzLkZfE~4}csM=9V`lsiY*=yOp!bjLr@mWds_+~UWiiSxSFe`6vf zzo^LOMMT@ei@D0rhyQJfX--^3!Y&x!&5WJX{cCk7FBso*8ori7Tuh+1+a49sGjWI0 zDA59|oc*8w(9-&OJuIRUkVi5WYb#CsxO}#h>pfSj*7M$wnd!0Rc^0j&Fy~og9&`Zt z&e|dn*}lnh)i-9J``@1k&J5jYKlhd=g55*+2Jgpzob#6FOY%S7dCT*I{GWGrMF-@6 zy_0?ZL;UD>_W7&j|GhK(a^wHr;q}bWSzG>RJiCVuCja}+j~){Ie?0t#`G*VJliuL@ z`eSccw5j%5|9b0rDY%Ksuh|9n0$~$lG{>7C8;<3$I4lnQ7`;8UI1Kx-k+H>L7qEb1 zizly({S_2gLKn>Q#XISC!92fUo?kG}8{Woi%{-4{I(T@(LXCf(^a00PV$eDAryV_$3j zC?I@xEvc1W@sv}5jR^7bk&VCnf9NYwhyVIn);{<*O_VoL(by(I3NaFh6>$QfOI$?A*Wjj2v$QZK+#|atAKKkXiIe>mvfHL@ zH=f#+X4*5~nr-ij^dy@@$#0JR?f~_FytG43hvXSLE9$G?(xKKwazYU!a&mk@TADIZ zWb)=09?i9%zLQ13Es2OJU>tP831do+PR;AjHvY9wjbf9#@JRv{Z2 zRsPL^6B7@(=?L{>e<+~++rAFkCIDY~{6VGn82%IU$c(9b?IVWn>^8cVP(d7n)BSx2kVU+);d&f%k`)}=- zmt;sD${#Rrc6@*R`C0IRYrxXwG^QG<1mYQ~yt|;$z(BuR&w_KQtN>3M+OH_R8R+*w zCLb|Omd+_X8Y)7l#MI*A1hMkpTUT5(w+5WCxB|+v8Hg6i8F4Ur%Ao@IGl8rvne|U) z=NQg6*#}9LMC77>Ju!Y}?1BI6=H(Xx`jh(;i{dd*v^s$GzKxSPGn7LijG}~O3N;>D zFZC<-RWj*+kl32l^h6aa0hr|SIhmJhrBr|X=jBQDr0FS{6SZVIe=7Kw7)KL%Q*Mrb z8J{4e3Y`(so=z=9{LS$2FLgdJ<7-VNla9*1Rw7K`Y0$v@@SSgLsW+|Md=f7Eg(>7V zRi4_4^ccXvnTY!)4=m|gl%mP0LLP^jbzw*=q{dwG{P4cl!SR?%h>&(L72N!wzItni z3PFeT8ItSkC9+8>Toj)4v}UL|k;O@pZ#>oi{2wRM&--Svx8cv5pcKIc!ctDm_4*p; zm|V3n#}85RXI!*rH)xuSipzd^Hn(rC{F56Ab1ytw$=CRB%tn5apX;pilLE(AOvJjT zVXRH0G}fc^c|zx>3F-CtFU|4v`wT`yic36svNN)wiBe6Z5$U9oo+y#r)IawtQ&N&g z*vE}J4PF6DMc4Vw@}cFJQIL85Lkhi3TjP84^4C)h&w_3S3lY*t0g@*fr4_=3F`Sj?35+bn;FK@ zMPw;~$q{Opgfxe+Dq@f+uKi%;ooJH_a)+%ZUYgZJ^Nj3)d z2MqFoH=0SpJHWTsb;v+6&;nIa!!TA9(i|yF9SBV(8f=(@*l{G^K1kaHQZ^AAY%--W zwW7^vQ64o(WYHv=}= z2zz5nW1a;AU8{o-qO(49_b}J6R{}X z2Ej0J6@gV9$C5nmjR6s;!^{h}DuDN0vqi6bCe5J;!lVaj0bc7b{8IV|RFQ~DbA$#=p_ej#DWluKy1_!j6&^$g zr5+RJ2Fu(EQ!4a~gmhoyx|%db+j>BC?SgjNtB?5M>1IrID)JeL4^G?P<$anIgJ(sfND4<>LWH}%WDUYTGrD97J&4eAaW zR#fqr5!u0-6bIm4_+Y_?u}&Wd zdqu?%zvdweK1+M|>d6PF;u0v=Vo^nppn!N0XdW3!A50ONwm-@}c9@)8nQU8!$AY#k z4a=(t%kVB>YNPE$L8VxEegEj>6FMfbr@!Q50|G31M~tFYQF0u23|!GeunoRT&?Q~} zrZ#A`x5DvF7w0BWHCoXzVz?D$_M|aO^iga;mSL7l_lvEBaKmgp95u)}$^`m>A)Gp7 z(1wq+ELm)y*a>EoTtj)hgKU$&7x{)J42fKpKnqh5Bfb9Uv|Uk2GbdkTKKpQD=zCQZ zX&F?M0C&DMYLNX>_Nax}fflI0|6`NS^0 zBs#pnjla_vUoX9%ctMSHlKh7Xz|mnoajO!gN5+DuC(R5lir%Uf%+(Pk-*6>(=736_*#sUi~nt%9jo$isaQvZ_TU8yOckINDAAJ znyccMaq{9yo4qM)eyrp)WSnXq02J!8nItCIo`Ekdr!q_rT>yDujgKrlk}W z0Q%XleGyVKG3{DNMKeH7b&vxZASaR}Y}$;Jcv|52X!=>^99GF?ljNRA$d$rPAx?nE zF>|?ICLNH;>}>)=WCY25;pbm3++3yxE(qbu%zRp?&6S-Ee67)E&|bhFozG0vwSw+w zB_ptK_nC1Ko5q^whO|S5>uo#rpg-mo>aj7b9x7}t9nSY$bRv%GX3)DV-e4P7#_LPs zQm3#xe#jqNvrW6+9?OfK&9Sxrfqv0zXRb2FM~RQ+Duz=y6OY9wj8)Syo;KrUW_F$N zwZii=w)~hW5q_1$aMJm~>{#A7dcAYe9nrUrjzrsPw>q0|+Z~$sjCqe)&klVsE{)}J zn(VsTb@plVrC>|mj`JWUo4;t|6$S6@c2_ej;hLH?{6N7Bhaa-y^})d) zuV!oJi-kWnH`^PGx0H6rwcvUK?8u$kysyt%9}HEWjUOn4_Wp-fp3cU3cURDBeq-PB zL(aHmyltzut(IWlW#{eSs4Gx|KsO2HW%h^yh!nX3Q3t zG_koG6M>(}DG(Xl$9|1sluQ=rN53Wy32E(=w78{6QXiNCl{^6 zT+(Gs1<&A(Y_vgqPC1bm;xm7(dp4S|gF`f5L3%7(1|gCG(Fw>OYUBd)8x3J}fdwiM zq7>yIEj+KRX9CU#WHJ(fzZhg$Hu9AxAJ&4FJwG(U5*YBbB|IUq0v}2Ya~1*6ogn5G zMqC8i82-^<3CVDgP?C^S^Y}RvEt6sPkV#UQ*~b{@(1f3XOk)uCY5CS zQYLT*Ma5K^M!YF1a?p;Uxsp1NAIPa$F&ZA4WHqYRA3gI}yer_gAO3LH(AG<*Y|!MF zY6|P%Og_XX7Q=_34Kp#=XF{NJ4)*J06b4+-t#J!X+_x(l-W6da8MeeQ^p@<0tSFAe ze&ZoK)@w$Iu`4_BYeBwHTjH*FuElmJL@H+JAcF9Ma13%3?>FpHEx>w2 z2ALvnN5j$3SpJ#IE?yM}mB7+?!UT|TQwmLv-f`B3y2Ap2TPTs_FG?XG3gr(S9aVO5 zx-yV}WQG-N4NIGhFG$Wuc~|7uY_ShH@4vflS@99$`k*f~u?H8A7=~+Y5hqM+lirUY zz_8L=d)&rkj8F3lC-j7ExT}o)syH~};5~>aI$}IiQ+o4v+L;ZAw;*hwfHg;q8}<~k zBO871PJ4G;e8POtE?VBk7?FowgigZc(e-12hXt(C7r84Rx0h7T+Fkd?hYANHL>>O> z(umC($K3ljbp^-VgG2qbBdf3ag{7-3U=GB!pq4Hq59c2-;%cUAS5(cIxWRi5P=5aN zHEiIDE~AvG+jf$1*z%jOBZi>b40ndEMj4fdnm6TskS5GIMmy;1d{f(_vo2ZnPa=!rS3 z_;=Zv6Aerv=rRVw9&LYL7@E=3nR0J&xxZ4YTPuS@c5!)^6O=HsGZ@&OQA6hxIRFx? z=vZ7idWpy%bTi`u77ENy(;sobTt+9dR5&9xy8TcAsu9!oY50ktZ)Y?N zO0kUl5x1NjkdYt0pQ{nLL|d3_GcFl-!H7!_D*_90r)W=x|R;z~P! z>U9Ga+w4Pp9~Nsf*K-1PToJm{Xj`n*Vr=`ag#8hYS3^WrX?mSX%Y2z4CBxfqC*x6 zqbukL`;&9>ScE|9)IxPsiM3|9y?kdL)k#cnQEBN)miMizFEqri|tIap}0 zZKQu3V_2|{j9)uK6pQh5bk$!DB^h|ZIwhy<18rsmUBb6ikC=G`8}b$Rm8UnN&2gbG zanOB8nT&d4{ioxDsjHW6jZlD0GIL{afnhaCKEXzY&tZcb+^wci1Skii=UKs$3f^7fuCBDNuoK8GnO~xfXQs;gD_aa~#EoRK)veF>bK29R+T{EI|!( zCyo!J!J!bxv6N8S^B5j>E;OAS>>^#u8K-cZF3!5(j=Or_1Ey=cIro)U2BBI5o)Tyf zh+e^K0`HUI0zVnJhxbXQ(Rq;53i5^VMjC=1=rE#uVNO|N$BHBN_?jno@v*%?No?YWzzmM+ zhu=yBFrg(LS&b4wDH3rGLV=`+YT3_2;K3>QGz$F93dbFkvj(P9#6t%dA%SHZEsfIY z$PKCa*sO1R7uyTcBL`>*r5g263XUk6LbXaF0PJIfe%v{9^^zlD0cgVX(?kv%Zh|q# z+!x<06^&%AoHn#C0X8V6kq@9)NI~W-jYvD>s)A7^)s*CnkMmSsAl4y=kr>mrGM?{J zc~q3QUiSt{C1e||DUvTln(!)4c27ZW(iBCQ5+FO1m|Qwfdmvh+3eUF+T+D>ClYYI2 zf%BDvo3Do)H%=cjC2{s7&8UWTFX04Q=RmWcHg{QIbj#Q;8192VAXHKyhZP0Z!TAX4 z9~l=O3P_MspD3cFD54v90P=+L#KT6(0J_Nsdw>&p+88i`O8AxaZ%?+F~IbCoX6haXNz^hvpZ7o1AD32Rr#6Drp2ldN6k&7a@b1CjJQh(mXk5EJ>jd7zjg^H$qUuxC`5_lY?52(C{L34 zk1T2cSloEvYX_X#+Fm?Bgza>m-uB zRs^yR~wFB91)6DE$ z8WnHcQ?7;GOQSGEtJ}1yX{yzgS+#sixpq=JJ@!VN0Qf4REoiwBH&Ec8aYP&?cc)F? z%@o5M?Zkgg8kLi`(SEsxjzVrIAoSp>%OUzZM;w3fSaE4w-LXehZz?!3$ck};QjS0H zVMlbUen~lZ?BY;#y%^BvhTlk*%k|HOvl@WkC+vObC-hu{;c&*Ku3-B}LX1GmW!siUZ?D8qt)LIoY%8B#`5s7l{jRMc!XJ~iW=2H7!#}Ox&9Wgx! zk*L4&MV=_{>cdYG)}o`F{DpsxU=xE8jc+?p$O#SC9;+@S5oRT$NjMo|!q+d2!i#@e zdhykpPVoeP^&ejBbDiqow>%S$|FONFFd(z<+GFAu;iG4d^?hNlhu=>+U1#l;kE}Wh zSvNmmV~$<5Mk_8yc%{-8!uR$^HZrQv6+Bds9{=O^SezquaQ2nQY`2J`e{l&DzX!(O-xpvS2kw_eHuK<1J|8#`|V#DAH1O)oR z_jri8?ahuF2S=XWoiYD)25B3#$LrJ;Kw~Q4a>S{SJT7l>?tstUT5l z9`Nu_kpSs~gPu6?zop+U8$uos>dD!NZCC)2Dvvjp*HnT5SA^aBR4k4Y6i8)h{pxov zoP=mP*wOYRgl{40Eko~cwm1avU15Y^U@;)P@J9wk8V)=suQdLdTn({o4`S6$|A=yF z;yy{M4m2f<#nE_0Wk&Vv@mqziz-VHtGk{zWbC4!NMXHYEzV)~M(J$+2w#79|R`pcuKbo>g(1TR2p1a>>&ZE3^Sl-8|)L{eByg;9#(<-kE_EcTFv67OjVNR)^d7G{}V6LtPFzI1CWgIGI>||hC zXf&q)ag8dSWO6E_Yq&?hu&Kfm)uE0P+QAT1_Bc9mZy*A!S^-xzut5&P8ZA6wY-D4SFg`MTAqo5E*k4%zrHb>|t2N8(i)c`f^U1WP`!J%=8v)1rTV6|<7 z5sScpCb~mStojqQ30m<>(~AZ@I2AjQqoZ%5k>~g4D}62yvn{wZCMLLSP={lMRyYoX z7z~qGJTZ#o(9HOjinS*MN+wS~PIGZ62FgZa!SIB}{8XWTC$bo(;40qF16^poVnd1z0L@_&CFuwY8ox?DuG5SFxnVH*dbk32=bUgM?&!yba9u zbTy7`co-nc9|ZqZFBf$(2$Vqqu)x zFjxxWAlB6r**Ld55cco`);_#vh2W9o23l(Xs7B+!L&i%oxKHV;6$cH%#agXk(AY0i zRyhPdlc51c0mikj3UdT5Lu~GIBjvKEiYn|EU-dN#wQx8f=s$O5*#Y<^G;u-0Axkza z8-&8ogcv*EWRu`~#J=oDGaLhk%Fs;>+sVLNKmHXK69uv(fyh^PI=HQ>MB-L^L(q;0kU5APc>g!XO*NfS^J7Ec2l$0`cE} zgYys(9N1-S{JK;i~hA1Owz~po^#j@HGd}tzPb6_~UN$)X%K9FyWE9 zYrTwp2yy)Oi%F2@p#rhTAei+XHiJIPei2*JAcTzMHi$(*)QvWJBmplG)mi^}0Fy2v$2-(oo;Ja^mDd#u6^FX&zwmioP7 z$yPD-y9;oxx7(RV3idMe1ELg<#3SXSn1@ih;AsH}I0Xf!0+~!ezKx>nY(rBh;=LvAdU|Ygttf2J$%BZ)Mg$g{g3p7Z%m-{pV zmh%y$u?nU|-PoB&e*At-#GQ{ zL6`qzZZ}wfQpsP_0|^x+M=r!1dr~CFYcDX~f>O3wcCkZ&gVfzw4VAJM0#3_pVf_5F zWp7jzyEd8efv(VrKe851dE_}&c=iK%=!a{Loi1deQ6~aV;O9gKy1GpjE`Gkc!*%3) z(9RvP`9;J6O!Jr#=ST(i^u0o4!licc5swFk5mS|JJ8RuP->2IHo>Pv4`ZwO<54ecOP&I=V9i(8k z`5DJIZPiDEoblCVXuf>Rb3g`?9Kky<2Y}#6sKs!SbqzjLTsdo5MY&%NBG!P2LGOfp z62TJ%cmCk1BgW|HUd`)XR=2Vzm!qoRtDSq#(%|yE7>7Gw+eH^&m#YM96KHC5U$@nA zjT1TH2Ah`zfDHsjXG6XraS%K8EdzsqL~sPd5+cY^G!dP^7WLQqx0P#;sP&br_JVS& zzg7(|x@`BG%Aw;&Z5kr|(PY#B;zS;(}{3y6REWBbb`i?DQjOZTV)6RVY46bGvM)4Yw0Bqzq+Dp1w z?J_wING2uVKKMeVA=`y&g>{0K`Pwz`MoeC1%BjMaqy7Hfs8xP@ARaMQWCfn1FTdlv zOTw?A=P?Ew*zvQ>sdCAb=wWzbr*|2o5k#R?$!cVgh$99E9^GXi1RQ6$p&TPFfLCfT z@YUre&(vV&JrTe`IN+-|`eym*z#kmO;8zC=e*ZD>4TC?h$0?sGYX9Lo<#t_qbChA*r$VLq7F}v^SVijG!=@#79u$8~R?~|hX{16#jsf zhh*wsE@%kOM#q7t4h4214*UZ67&-{6pSyf1-XoXsQW<85qaH1m zyYE~H!TVta3clgyqw?w9Xb;w) zFkiU+;h)|9v;R(^fnz@sZ(6ho4Sd(m$#{Ik#jx=QTx|vNIsxgKar`Aj6$jBH`4=(1 zkhcl~_So;mf{3AEJ^$?gc280ozjAi*sWg#{1N{57;&a{CI{@={^vmvBDJFBsYhTU`Gquuo%HT`DRz zK(9JpPVqdbw48FcwFJ0}g_7c-FNQ;_6}+&0?qHx>@MtOUQ# z^!=Ey0#^)w%x&CL4F}f%rQCsrk}1dBztT|0spD7|I606nD&(Cd2f@z=D$YJe!Vao1 zL`Osf^UoYaHy(sJh>EBQc`-GIJ=0YvDf9=yMo;W5U|$HlEblIt5-$wS42)FMLs%OE zvdj|?|G^s_@T6Q~6hzgts^f6rBD1R6POc9tIn9lgW{hKtj^4ErLmk2)QzL!p9-(*b zpa^waH@RaWTxiD$poVQ^!vr+9seJJqmhG*MZbD{OnqemUn>b(Lgla`agy7s4mymq4 zhcWiKgvt(J{}Q0vT^jVJZjVE82K0dF^5RbcTn)Skg}T)cCqFzKCkP?KNB|@BWj?HV z4tC7{IT=3hS3ZIsh%xjJxtK}t+cG)q4Eic}AY!O{d6>Y%kYETv0>yI_#f0xF@9DhjmC5*zqLV0i;q73$QrKP4H z2dW3JCPuUfB{3%kcPEmMcoaeOc5xVF$bs`^C{p|aG@ujNpj-Vtg{MNxCo?gI2CjU62ET{ua zcnKap(RLXv&nDpX0GbG{GnA)fC;G#=4?-i+y|jB-#QCkOVOV5ji%yqpc?9G`v!NtG zetnGs^US^QvoX}=GstIODWfn#JjkODSp%51gY7>As{@c2fhyqV=5SSuWpoBl8j7Ju zEX@D_prY^>!h7GxITS!ENyIOXPw+sZs5p)S*HDy?`URdo_wZ?`?gDmq!Oj4lxQhP8 zF${Rj?goDle&Kx>YD7%|rQ43_pbGQ)j{z?!$5J4TSqz)$*f{F|Ey|7{a)xopDHJrx zg%Qt(Del`h7CfjW^t)tgako_nZP>$09h5<14aQc;LR1~Lz z4*M|URa#j{rRYF0Q+xry=4<0VT^Tr3NMaFi%(oybP;e}RMFBtlq{e7n2)+B4{3f@ef55#tqVN4T&CQz3pXB+1=akGSyM+n69^blwJFRFArhU3=%w|-{i*rGAF=TZCA%e z^})3-l}pt^Z=uj@g<+PPO3f8nM?!W9Fk{c$rzv-sp`vsT;VM zc|;fW!rN_g`?5XWSP(>2Yhd(bzZVAMfsdFmln7|>e2gi0kAnrJLT0=O)s1~stcf_S zg29A3K7PLfuaMz_HHfCDG{b2kW1p}2pRl`!9Agjxf~b3FR}=-gJ~z<0E%pe55i;g5 zSlX+`RXp$pYjk-xbO{kMS^@oq$u7ZPigS28EP~OwIwPPEF59qeKDxvPUgc=BhWzMeIIZ$$lG;2a#eGsMw=gCl+S z?bYthkF1Z&w>@H-gL}HW;EClFoCwJF*KYW~*4$_wV9;Xp-njT+Vf1V7+IC00tGMVHCMx0y@L5r>WwcqwNF%H$V*MiDR_~T%tJg2Mgn0^%JI&}sqj>4@jz8#nH z?kjLAKMw15mjjQz3()~vEiMIjcevow9;^)Sx&>?~?Q*ahjP4~9U5J5>EWf|t+XDqS zvkuOYV3x&!^VLW}NP}?zQQx-$qcsL6SR+rQzQTmUcmg##wN8OUv4OknPT9lxjWHRX zsbX~VST(lEWd-q{8(q*a5aLY}4o^SNhe)CE5)p`srWPRtQtD3zP{foc@tN>O)#u%Q11Xc$> z%u@n9yae(C0;(S~&uB^<_Gn~Axdt!2+65d8&UrYh(vKUjdtX0(FvFhPrXd&f zB*z{~5U5Q3*SE=q5a+!jMgm9-f)X|*2`wQ(AUX0FA;5gbD+E}=1CIZG!!iq*%{?%# zRQCWjEvX*OE2_YW$-^bAUVZ1z8VzNbF_%!00VEyqdim(Wk>_-9-5HHpC@|) zwiAAR%R++|>l$T2j~K0S26Fm^{@0ha!$* zdDJ06fcIUCHhyHqya_zAyrx^W)t{%| zuoY4uH=C$(D=PHiU2y)eH5r=2Mt^B+zY>BV71A43mr`56^@OeHXFbCT2jURh)fzU6 z94NtlHy?^!k6uYftM97hG!Z5Iv3wR~ME@ajzL>wC8 zs?Q^qP&IMf4D;7TLx_UNU(SV{m?w^BR~(}d@|A+6Fj>_&W>o;p$clnr6od(b*1FXm z=xpgxpkZ<>YijuT?XDoFMfLyoB_!x2ggRiV0)iE|V8fjcQAMc@D^HKv=Cu)2JW|jh z9s^CxLXgOQup#U;F2&}a6JT4Ddq*^^V|<&#!!i7Q(6!j)^UC=<*1gF9L1lZz$5!GE z)Ih5Q>~OqY@o~jDZrxrRDGbPDvKncDs{vNwrCDkK7@jDE_i*=IYjl~tW@Z?n_Xn(D zm2O0gQxpYt7_G)6GlRWF954|NfBa2W2<7qMigCQ{x&`h&RtwleQ9pKAV=@&h7fd;P zoA=sXk$hhRO~HQkc{0WX?qxycl1q){V{u6wu&~Kv>8BjqigH2WR35MIdi%p*NOLe- zFkjRFOD8|wz`%#EJ+c{=bWnrhbs&pjcZfv~=ZmaNvA+bp3U2F#|72SQEgwV?*bCW> zbnaQ^g8W8%zfl_cHOt##gYVzL;oBhKd&d4i9EpwaiSh0T?)0tzvVpTcP`C_K@Wg!t z{^gY(M-fA!2FU{d5TYE%_&YfTV}f%J6*a;QO>~r1D+c&kK zW_{>uzRg%7%3@u^FuGgef%>of?Z5eoCAzhr4OIPNXM-92jsg~#8{ue)?wYZiCu4fh z`A9%gcnXHyVz2N6XT@3yCeiVB_TbS|-JI%f@LXQ_nh>wCOv8`4!4-J9<<1WZLs!aH zES}{#4qw4vW0dG1x01pLfjG7j;1ONKU)(`Q7pN8(P6@=l1t+M$obU%Y1dxarlNSEk zXigP*yCLnzXySP)C0SdLlOAj z&y?#gS3|s+VJN;DVE@iAR%CR;P{c~be6tN(9IYo9(K=brp>F_a4jZ>%P@eHf$8VVG zOm}UuzfgGP`S0qAu?HDw*8>YpUGWSLgPHt83y3f1);6NXaeh?9qzOWdJ@3)1?30H( zkeD_o#Lj(VqGI5rHAZ@v6JCTBr99M*GCVX!-!kqQs^Su17W#jM$Q<9 zF${XuAXx8W8s)1R6b1TRQTMBHMa?d&4&j3&w#fVHr=4q_*B>-abZvff_6D{5iLaQW zqodWr;h*e&o4PW1)(M_6-)v_8%3QbWaa@fc?L`8>x@F z!7_YF!Co`e=N>${BrF^=-mh){UO_HR2|UiB}>We5?mA z09&yFV)_agmYs+JfK8KsA!h-kA6Zoub2EeVF|Co)D{^RxE%+)?{}V`TLM_$0xQTm1(| zS^amo7^gC@e8Ta!F@}d{PoYh0rXhaKeqceg3j=U8!xe| z%X&r(Z^h7vu>$)x@3;hK@9|wnMg(xwUZALg18i`*;Yq_c?%#vY%4;wqI0s>6A-V%P z*WhRZwz=U&!YA&(t*BMEtL5P_kf`+>b&JdMSLTZuP>7&S)ct_#*N(dJC~`(ekE|Z8 z4*mW{N#NGP1MZV+u=aNaI0Sm~rVp;d;ftCFEw~zQUAzdfTY=97R0j>X?T^?X7|Q@$ zbi{r7zSWJ#V!wwm2rC8*=>`)SitumT!w5AtmTH&~J6;kH92`h>{m@mToHS|UGX8N%ZQoeY%4m_cy%vv=*;(GCsP4b`MXFbF-fA*&G4TwPv7(Z>4`nMUT2V?K26(0tL zW5Thw@$CYfem(-h5qL8=g@EWl8BrD&LkFiC z5g*w9G;~fvfnd-)%nI1ixYJ>FA$%f38TDV>hVxAqRbRDM8cy}DmG3HAUCJR!$!f?H z+R!m79Iw7()nzzIdH`R<0Hb%fs}%_AY6Y(|VFiNZlb!%Fj?mdHj)1Uv-3VamDqXBtvpBd>pn!oz*93_AC zcWvA2dF4IZzZ&)30Ggi(0`-7>O|bV7L@%wbqlVG#Lhuh4cpRqJRuEEw-p6}TnLF%K zzYEUY^ueAbIA(>g1_r4Y@koZc{r)wG+AKv3Y;zB21zQky+3|~@^lx|DYV}=jUkw4z zfz;}9e2oR49pUYcO+6pT+poIr6|Vlr?kI@Pqu2ra)AwBAu6;+Xdy{+h){J}gJ8HS# zzvbc>i!6*)r<&QEFFQi44}@dvbZ&#iii1CDPN@WHPZSgmZ=(5$BO9i6BE~sOH4eod zeJDVw!be=>#nF4TcrT94JpW!>tHQb)=Vm8f4}t@?{jeKBzw^}*sHSye_dpKBC<9LQ zzDNCDLn&`I?6PTy?xjZAh0LJ5b#frQ!qw)|NO7MED-f34^y2S3}{`J0;` z`4RbWXPe_BXYbENciInHh*j8H_7uL*oW;ID`bUWnLg638#L~OQd&=NF#3_M>@dR@L z3-i_?cW9HBcjX^Gs3N&<{I1IH8*P+BV!ILwK{rkr&^V>!sKmxw4u=6WKUMqLBzJ{lmlN4 zdlU~}58z8O2$9(3TQPx>adO~l;@(0ZvF>{4ioQH5AEi0fvnlT{^})PlU>KT1|FHRo zZRSk$SRNVzUzvFIiNn~GwU%0;9XSZw0%qC6BB=cqjov`cBnaiWu2vc|5^c ztvpf))ezg8w~>mK`j@ewL#u__txyKg>3$k z-pw8YD*=4GAdc4@bE;Zg0YAFj2iDc|rhA6u8WK@iW4|k6jYXkuDJ9~C#?Muz85DV zM8cp*FmJj`#!|!;BT)jjP{VpB&^?dSuj`z|Ky>M(Z3$~f!8X!fM zsD!)FfNsO_>{0A@E1amH#zphF!#0C~B*zIN2*{ei-{L`I*=ivU%wfbn>C!`21q{-B z;P;>dO-`tjN>1U?oY>ij=&u9W4NX(GHd|mB?m`5tG;|EJKE(PT`fCg*IZXDTp}$vC z^9T>YD@UMrc{unxhp6E24me^${Fa~VUiH_R9Jtx7`nN{Dhlr#paCU1oj!O9IdK~eB zRm{a&S9c~|VmCl8h6>%oKToVy5EKSW_#QjP19P1Wflj(sg<>STwn7y0ZfMne{|n65 zJVLRaVH9lyiV^LDJOU+#k!Xn$j22rFC!peHX95SvHp>`_Ko?}&;UUX`x@0YYT(0o_ z7Ey5n&07~_9yZ=k_VkrF1-8AUZmjm@@zSlgm4gBu3+7 zLNA*kKH~zq5g)BYvJo_ZkT-gZwz9FJn?v{zoU_qpw9Gx?fVYUl-!c4#VVKn{=3j&X zmdfgd2cNoP3h!Z*U@|(T7T3LEr7LS15xoh`4SdTp+@M^NV8Q z_@~}P6^pZ8!2rgECuVYefky;3f`V*3R=~ATz&G4roiKMg7$HjV27C_)VF9EwK7;WR zmq!i02l#M}RHyhZ!4OUfvfCT%tpWe{f$mtjd0cVEpnYK^;tWQ>7zYR5=Lx<4kG1y! zljE%KJgdvaWWwW3y36fOF;Prcse0U!Wh@CloR(~suF~7>kr8-i7$yqq!|I`1@g^i- zFL^H6?DM#5RD(xr8OvTnNH#fJLIjh`E;1nrch4Az*c*ySB<_MepM+S#` zLbAKzKHs;hW?Ig($=&l@Nz*f3f8O8w`~T zByUpNTkE+Xg?b>>w$~C*9Ghhl)Kxo<;G%Vc+(VEYbJ&(t)VrS7#jnMw*V~GmXTLdP z>e0heexvz0+t7W#Rg!-+OHD0U1 zDiMQFt(Hb1Ymjd9 z#kI75H}U{?@`%lx<{!QObdi-5buF-1qq}Maco2MG`)9<+`^meeM3_W+>(gSA2uI`ET)$H=d7^`K8D|dWh+{-pTNIjm5j>*uzaTN#Y?kDsCrR$mOwG za3HLOZ8m^L;A3|E;4A9Va*?!SH5eN**(i(HE30|*pTtysg4WipNB3rjf|D8EY%P0n zeTaFy6gR#d)88Y(3-^8R4f)s&S}XJZa|=uJuNh`cuL)X=4X(2x!bZ5XmQZxEH%x|! z?!;CfUy3#Ac=ZoT%r>CH?1Ojr=$X4x7G96Z?VJ%!e5- zzYTy6orVQ#`X8koAZZErfH-WYhVw-#9WNXl=oj3F8gzD zGyRLv{pZuq9jD@}*_1mwo1A5&Rj!-F|gIv%f> z%W%Vgcj7V;s6WHLj!trkX+J$?lO)5N8U)+{0@H98*)?Oy%d%9Uus=JLJw7q$sAbkY&Z#x)J-83`75h=6Hr_NGzsa zUo!Dc;2rnfxduzY^!ufdxhs87`?~Unx~*4lydHW}IN9%NhYA%lSOrz|nVn7h-u?@( z-%O$P^b9~Zf;g)Y&8uf~&>z#s3a6H7f5}Kf-d{^} z5ljrPYg`(QS(H|f!bWR7Tsp-nnHIGLR}wZ?8;yW&*s;PF_AO>SX*&`q%zF3z5SyO% z$+lO_8}}}q?dK4-?`4li5{Qe@{{Jg!T#O#<&Mo=fXW#I>Z*Ih)Pr)wF`zzO-T{=q@ zvT*{DcHaJ~xupS}u(P|~LXoH01jt*OREZ3OTNv?LBCgT{+>=QtWl>k62nMMTDABZM zbOORPKKgxpXs?ZsekPi8)O?&tMHd_U-)5KpQjas+bXC5JC!lOEH0)6;~_P>;VSj z-_kP90SHBrtZpC`WvM1?XPek1mJ}h)b0|zKzBlOQn;VZu$nG1L%}C_j|NLgH&xW^< za}mD3e(@Rcy_sLQ?x{KVv;X;f-rT@61QfvfS`pR%as$q9cGju=%>)S&Km^7*pSh$J zC8;;5O=>0#ROlUz_A*zF>gj6Q^ZjVajQyxKhMI9WNz5mGUOP(hus`@MYQx5hna78l zW+EPUuv-qLGPq9n*qp~m^ZFNG&n7A-SZ2}lvJG~CWzNyHK6~{%l>I4{+~~DG_`P3$ zYUaV^v{s|Z z@chteEf2K1D@v9R?{oXDmfL@Ha58*qkIP-m9vk@UOJ7&PI_a{pO50uO_L?y@bv7lf zd-;QByV2s=3De&+|El}lPhUg9%CK1=ak$Aohme^c=}&5X?{e;p2qyXjst%(AX2Ug| z`5K@o4|*|mFjhh>;yHThjMI6P++<~+J4(Xdms794nmRY<&M~G0_ZPf_h%XcmO>^Hm ziAQE`Hbf@H>pFXTJZJLqACK%-D&eHoah>t$y~zsRXlZe!lVlL6ngrwj6 z8+!5!e+@eO?S!Pi_&|DlfejOs?)%;EH`*|if7(^NEGI$U3B-1G;!yssjv_MU^2v(g{*ZgGj{p}tXKTZkl&54SNp=mXUF#5qfLrgNfweco#ic0M0t}2(*kYw z*n8C9R|}EOD@=usHdr`udz@=oMF!#t3PYPtma;iXq|)?k%$_HjR9-h*elu*m z@YuC%Z%s^2wrXx>!P0C-EK5Ffh)*w(_W8a?g^RPwS&Yb)Y2Gt+<)zP&Sov5Oe+ymZ0A3-NV&KO?&sO$g8uRD1=VJkX@;jc+Zc}#L$O(7O~ zlIqtzbhLTgZF%RIsXGZX%@oQ=VjvsYRgo$y^I?X?rzW+WK%Fak(?hlp)i)!Gp8!-x z$yb87QQQ7sKP#jRD_^pw+?)Z8^^W~$vJHaYVE2uzM)NvKZINIiYjPdM95YxB)@ zrB{<#rgs=Ob`C-~dmrftoA_YBoDCDQy2we9BsU{5FiazO?2*}e&SLeTi+zfmEyjga zt8o16jUMl{qcD{@N6rQL{$!IK_m~gnk)A_QvLb^$YS(fnqiMRw4ju<6*dbCmHJ9Eq zO){2Xp}U+r&Ow}3GJ#>Xf|(w_ruUu3uQ-cu@YtBblSDxYB%&8( zwO|h$bsu()Ul)b5y><4m4-o$2Q0pkkvwwhU+&m#quXYTQb%++u-yrDmE>R-FxILt+X}eM{-F?eu1meB4OB#{ z?J*hPmgCp(kj=vF<1+6O%mXm;9AzqEF|MLX_Bh5_Y8A$Bt3z_puEmanbBa*M#-_XAv=htT@?RO{beJ4Hv54;uT5xMQqrQo=>4ubSCTg$RH{lI_kP3(g9HysuLZI{RPU;df?5(%m!wnZkm@rnZ$ z>L|!u{9T^X7YUWvV75QAPhXExJ2Z7V&u$y37<+UUYD=PpZ6gA|7$hA|rw1-JGYK~} zoOsAc1e-^z`Bhi*qqA?`lvkRq$I-XGa-M#f zf=+Z@VnWr5-yBStT*Z2XcYCKTK zoTJ~#bKq%j(f0X6yB8lxX+jqP&6D-q-FYE~O!Rf9Njwfi6U? zh`g=c03yfvlJFHs9&@_ANiDtvDRs;N(q`FEs$*+??KI;MZ|W z(Bdi?qSO4L5U|fh%0n)TVv}0=C|$KrcAtwrm4b-93Xerk&HI~v^2M}hmdE|s-~NKo zozOSuHT(o|%H0(eq=V-|dVByBCx?YM{zvA<-Yl)L+F@y_vyBBhrju%cskWZ;!k^#> zBFI2BF#-jMXTeli*nF zL3hL}xNsA95xm($Mny$s9le;#8SPQGrv<(&@CCd0Z@(;nJVndWP7v-^=BF!-@$~p4 z=6MDZYH8VC*%kaj^+a(ak>BKE9Ay^s-s~NJG5Z72Ev-kxC_ZIQ#kZN8)AYUh!jb$7 zo5zpj!I`Y_X^L)7hc|@XA85Rhb#}iIJ$kf!V)WDbyYG(gIR2F=_HH|yAN|?0PowaE z<&lSv-gNYDzb|}wEqP6peq-?T`v)&?<$n{HClCMiU^cT?_AL7pk~sg#wY&gw$I7)m z_hgh}ajYd}tfyTg3T(UAg>H4_@B+G;>80*d*CKBoHBRbBawO@h$9UtLt{k*a;eN}9 z5QG#+m;T4uc<}I=`Kk2iqiOxi1Mm2ev&Uz7*++gl(OXAe6P|7i{Ue<(g=?*@z4PYu z=r5-S^P9Kw^487d2=9zy|Ix)Qam$F zccht$V6vD4HqW83?=uYwPA7(X)Ns6fiX|){HH9tU9HZd4+~d4xl!??Vs4G`my(UqePA*s`lkz50U=80 z!5*eG(1Ggci{FR{{1%?utDh;aFlP!(U=E9?(|&U`H3{@%hoTdk8EY>$&sxBcS<_&3 zi&;69ES?%O5f_xfWmkS^5!xn+fu0pyeshP?2dfWv{dq}WCk*2_4r4Pys5*wFjBwU+ z$HLWCFC@Pa>1uPPZ=Z_38dLBz;LkaTj|^=8%U>$)XXq_;d1}z| z2QV$9mq=Ux1k))w7}lw~tQ7VAm9=pTc^R+9ppi%&%IDEK4>SaA8bLWPkre!d_Ct4+ zFPE_x)?K&+)xy5Nl3L+M{z?o1`CgwOGF(jSEhIy1^q~DTNv)Rk7)3)AcDm7nmHJ+s z*;X+8eUb6cfbuJxTshTm!Oi|kjQrTc zqM_ELBp@zb-8m=j@=p_)#ZiRlzx`^uoSxM)A{Vr&lFdC1-1^$e#0=-WxEnY`{%xw zLapnBomEUA_;>82acg#}hGE#E0SUx@3O~My3}~pVf`sRHpDLfguA`qApcXpHtf3j{ zdIEl^X3d`q@9|&uv4X_pi&)2%fit9SkgWhBcyLxEl^jn|6=^bJD5oldyy=C~C}0mz z5;YljR!+sqFidQd;%3l3-|%k?WqRQ{u18drq3h#bNUV1uqkj;t4p#iC4iQsk7n_B1 zft!y-m1}{ME)!B$=7$M&@BtbKF}C{bW@MM~swRh6C*#!-UW@HL82g4tyKQSZ4E)FT z3*wF?K$OLM?gUSk5AeYD#v9B2VB1r-=Rz9apGk%t#Jdkz7Fg%`*^DjrAu2x3Pgf#( z)i(o>L^h`6M?zUB=TujeGRbvfS~$xM&puL;7U^Iva!OCeDJt0KoyRk{iE`UkP!qocESX41;qh3vZ#{j z<8YJVBK&reu7zTz=`YWt#ivZ%155f|!IVFRwcrY6r&x>x(YwO@g5>X1PDMq`ICMsf z^NWMwkii}CGS~x>CpL4GaxOdHUuiy#kxQ0yF*i0BGPSt!K?c~b;H ziX?6e)!+V3`3!`UCuXzcy@?^2YQ2l3wB5zFHJxer7$L)k^KkV9l(i< zyzIPPrCFX{w5UT`Ya3*8-?Ldc70^X^6Ej|kVnbMjm`cy3FR0607!Jd|HT(cb&M%HS zEe{h3zB>S|k&){}Wca^x-r@>d@u@@>-2dXOBpEso5|{m^BNm7uB$yAE{`NiQb8Q44 z4l*pmA%E^$z8}Y+im2eS2PR#W=bDyYk&vqj;viJfG@peZgLcrpAolj3eY3m@V6ngkChN= z>GYo8t=yXv^KX&mmhRYJiNHEBC@VXhJx!Xr7~L4&5{9*owM?gmrr$Dy)TasSBufsxTxTO>d-Ewfx{onS73!|Y~;hE&T5>xMof?vF?AXwMi_EVsVzN#A^?Q%-1yobW>KmR0u`hxWYBNCI^Th6Nymo+ z@`Dypz3XucBn`Q<6I;f^_|8U`IYanmbdJUoI9MR zPhzj*+;xYI^rT26PKYtwrLpE}q-HxV(u}JD>paVNDH`#aPw#LPEAl?80}&bF7366F zLa0PqiBEMXCI-611sUh&_dL8)+QcNJsV6xWp%G?o!Wmf8OwiK$By(Aqn-uot6zP^? zLp+#l$1YSMYO$>#%ISLcOc4n@_e2FySaxg*r}V*JO@*n5XHFne_}K^1p&oPdu*^;$ ze_+tu7NjHolF2O(C_~gK2JZGkE}{sIt%umYNdN7D48zt`G76HdmB_ABWqCh33l9Qh z>IR=QB&;Jyrs*%ownvt>JIzaGP@6?ri`{+*ZF(U~tCN@htn4A-Ge zkjVz}H|{GhDt5xnvq5l}Fsy>_=5F~P*(ba$RKBTkqi%^8*9;wC?;E#6j3uv80kFXu z?g0pduk>h&=Ta%?0GDM*wmPt<^R7 z0WYW7Sin*&idBaC)52|CFr*gL=l<@F(n@7PU^z7-8zS=^dk$mc@A?XwYXa#|i&7i^UmsRW6p)`ju)Ef>L2mRHU>!LC@ ze`WJw#C`9R{vjN0&yWZa3z!z^r3F%$9NR=8UI>^Kt0|jIFW@Y!MXJP+yKXE!y@G7G zh7OUQM{zRZFWt3eY5X8=fGCwRk8VL@VQOn7MfMR8wN)LKn*t!pPvZ)v1X!Lmkizz% z+sj8ne2bs@?r1c2>DmCBh^pl|ml-3z5r&5l!>q22for%&Cew;#(SYbG`hX28FMnJ0 z1v$cgD(2u8OTq2W|KswaO)8KUL(bu^+GtC>k=}~=fdr~W)e8eX;`G|s3uT4zQB(W_ zCeUP{ri5EUhXnwt6=mnr9p77aR7pVCn;Emi5)C$$X~BLAQQ&-k-^0us#c-wrdfZu^ zAFrOGd;rj^npbuTJ_J${s0vv`7qX*N)@X|e|L#JCD}@MB*tCToO=9L>S-(sItE5LY zkPOZB_cCOUW}~Px)IXTU3rQj86uRh$48Ed^9gB(d>JeE9Y!_g+fAo$LEa_w%J4pvW z7BT;Db&a+Z3+NT_3^EAl-jG-ZjdsTp%hgkOpQ7qgVMVKmkw^;DExD>I+=q-of6mjJ z_x@h#d{k7yOpGYvAj8W%3)}eEqqKlQ_>h@^A~v>!=y;~TB3!wJ|9Cvv_u{bb1pw2y ziq#^yGE2nSaUS?cc{f=sX=8JF4I3oyuKqIN1LmH<**(BJs^U%**04_)kTM;Ceg@8JP^oVC7r{zUx4F1u3Gam8eA8BhAB{gGr&L3MKa5JHO7slt~J9aiZ}**nMU-b zh-jQw0!ltkbp~eOG1(Vd1FWJmY(?v{LZ$T|x(@)-AAu0k}2fI2y3PU3rB~KOM z%U3p;Qhtd@m{=G+B`%HGnjP}8s|qCB6&$!Gr>BRfAQCYOQ`s-MKjQCqm1=4OQ(}lH zVIza_VD%J}!9i6Pr(b}^<3Qu+5Hu!wNIT591W(BcMtB!b>?~3ZcjPvzgMNTLcS*wW ztDhN_R}>9XbXqZSJfs4hf~|zEU)o(r1*Y&ulE8u2P0Bs_h$3$o95t;oh2*H13!g$pG}i&P=fs zOAKuF7yPF$Aap=2kM|tb2ICxxhv`x3w^H;ZVP-S#LwF$$2XCJYc_B{PZ@ zQS-k#WRx%&;L5}DQq={eO13Nb9%~}G^x~m1JajM=8Ypqb%W;f2_|`j?;zYKNkK^Md z`M8jVmf;lo%FJrH=_$rqG$lKlQ}8g)k96tb%50QsNe_U!|zDt^i^RMYx8P1Jbsq3om@8 zGQ#jQr0SHisdqetyhiS!R2Y>)oVfKh@WhfhL-B6lqjw5^%a}yQA<@WC zMJ!RTFV;cXDfcduYrE%3E_vtFh0?jHu1?NR<#LE*`hyuPrKbp#G?{nqGI^*+c^GbD zKYhiThs}vZZg&S8fjdzh0 z&L!!{(vOBzbrCa+0sqTZAS_?8407aLoHF?qLq%OS>Dd0?u9S};MPV2tJ~DrdjmuAPWWtP>G$9`|up(^l#vqP2` z^_SNiz+&O5+#Hq?H0-V6*g8}L5|XJk*|cep5*`&l5T+@~Rloupu;OTu&cErGcZO1w zR;WIl;ymhufnrHsHJ~^fgTEuYdo@L%<6+{*D+B6%sYGT z1JQ%AzjbSQUcni>U5Vpll$dyg2=~1Firt45Ndi^Yr{G~yk5o14b1y_xd{#A#Tni=C zLL`fPO#$t51)y7rZ^#<5yB_Tb9!y zIzU#8QTS{1mQ;!|>D-h4JxrJ2hCVzA!z2OuX?mip5Eu=xk%Y~6cR}k$NFd9?FLn|r zF2Pqdh6$n<^CQ|Oe4>pl&59@#_esJ-0#dEoXIOxn#_4JtBch5ODgZrY=4zV|39NSo zK=GnY9O;)>u>H5I^btf|q1#It2Zf}r_=Jeq5MeJ;P`H zG?lqQKzK&i=@~fR`if=Z2!hq z*_#jpsVXsKWh8+xtYs)E$D*imgVy8P^1K;S96D0%@p`D^H zaUf*H9=!rl8H|G7uf4y@T#0I_`7X{6$L@G_Eg7k^vTpgtr)@Rb-+Rc{KwY7cjQX?& zLbIeqNh}_c_#sZ!3H=5|2@%@Nm+isx?i0T&n|eAr^=c^afeyu9wS68H`3@i&K|Oj>_SvBF;GU+>dvlt09EdR2JnmDAp4h5`3UyGr+TOa zhH|BEQfgOlr*yOy(Hq0PHO7vZ!Lc$=v=)wAiW{H_{VxyLOTz`eLaRIoPSh9zh!Tqb z-~DD)vDDltC1|dRQH6-WMhQLL%kwN_y2Ju=32HYoC_vSXiCy%NI19u13P)~ZC`b%Y z$#Ml)azwNtvBrKt|Lt!N%4<}Sm`@NAd@jz1*m!2-i8hwEsx!5i|AGWix?M{sk!q5I zxLLJajJx6yY;WoF?iDN`-Q$Xg%(4C0(ef$GJ~U2o9L6_>JyE@B#I3u1f<**C=f(dbrP7O@MB*oDO|U%jtMvTU+d@*Ey~|p8-*p@pj@e? z*sN=fAj#r9fvOpfk`TJd{_o%`ft4WApI!P&cVr?gt`cY@`41~ILCIb90m^uza5Tb9 zfrc>|BbDrUi1v_jP_T5ugeu>F(G;=|$-2zz6jZ*WoYLc~f++5gKv_4)oThKribs$V z)FE~jM@$eQ9S>uK5j9h(39hOLeaPpHKN>$|oNT^lG{}G$3-k_DC`-H|Q>$=uw>RP! zcL^?RedFQs0gB&52pGY&K0}hkWU)fZN_XVf!|+QHGNqYnMk>8JK%hZNW^)=ULTaT9 zbgzPHIiV|b=DBy4Sr*A8h@`GrjQfBU8B^4esfUCznLZe4m^{oFw`DG7Wo2FlgDSl% zN!cYc-IeB|I!ZxyI%I{+$WGWiWcw#pDuhWtfbI;5@#n`(KO~HiJQi>ftO+W6qtvIK z&PcZgtpO0Mb=bvM@&rzB$4Ybs7TW4Y%UnL4OCPJMTtvnMD9jRIQ8Dk%h-Jw%XDt_N zYnq(MP^q0)g`rrPm$X)mV&Nq|J^ka4DV{3SkkVlGP#(Pb*J;KcGXH=}>R8wm`EA*N zkGhXFOkYt+Li+&9B#y`?$?nGFyT}`CRmaOijzw+5+GztVc>{T544SJyuRT!}eQ-L= zwPehV2m-4!fU+nB3nO?tuZ9Et#kYaHmrOkYH&H~U{ zC&_VXC$`FJ2$?f+i9?}u6psf63kx|+Rk{o`rOP^XpY?L;lr1u^43Zd$99e6Px>^rQqNS-nEsVc2LR&^C4&#w3IbMw{ zYGhAHk*9hitH>Fd3jRsn@B2_WvkP>w#n6p#i5VwoBNjb>%7()#tdZhP5*3?PQsuHM zYhedOEt#izi|ks&AnXpZiZ0~t=!hlEpJ=&^4izYfavka&%j~28i-kv%HK3ZWz;cqC zt*$0+&t*n5Q$q?*XkarqR0jk^umLfB5&}q)I4?7ear!48FYjkkDfwh{d?n9>N?GlWKeLR|$gNR)@<7l=06s?Mnt&fQkT`jCLy;b%(<$R+_3%`wtO zLfxJVDe$b-+$N{9PB_|IMOB&3f6BH3X{VJE;ArYq=204qqF@9C7i;Bg-}9BKi_~5b zUSdAVKO{Gz&LZ4or1fmgd9S9HYL=d6+e9|OAlN5eRd7^cWPw3D>(F*hBP7KMD5x`X z_278R*Q&uWDGa!J@lc6f+?ye&=FZIOX~xj9^=||#nlDW$2IaH=E0E$AmH`Oha~1ly zd=6}eB4$~Q?Ug?)p8_DhOVQsj4il)1ky{jPG504nHM{71?zHbrCt33rjA2u#{dR(~c{{Pt~2dDE>?=A-BDfo?;!I^b=(w zixJm{AT;o2=$wS3JVbJs=z%bLS)GN%h0T--VwLC+JMrF7R^G`r6d%$q1SGABDM_ca zVH?2i+vreHB$+K?WM_G#@h$nXvU36h>PiMM2a3J;b6TTJS&`y?nXK(If{2* ztr9-QMMtP~dlu`7>U)dPHg+V&PnagYkdPuB`zZ!+3Okv1s9`N7zajVz=G=xl>t=QK zwavd(Tv2I6v?gUw=mh>1s?w%4ZRO;^xqA@#urpxa8&a$R&IOm3Oyl?tp7$R_9zj;w=D{-Y8k>PjKR?GOy{UO4JmF?}L5;(3Sdn3ZEO)PQ#5 zUuCLknDHxak-^?U7EspFfsXv0AlXBPg6|ke=@z(d|Jt9Fmxy3^)hFdbCXpI4VhO%y z{UlR*RV!9nhqP%ZhdFY?QE8QSVS)yli<({?O#qU{U@4SQ54TreQ-UN0b5+h+qppJe zOI_)Lh)jp=JcYno3(eo7AfdS^qp=SFsQC(9Wf?VES2oNqv`BJ9L5NSFzHmd8t;19N zI#C$NtfT}*BB98^Q_xrIHrkAtHCIj%G%1KN+=_=6TXz6(w-r-6wz~t>>Wsx#jXm^i zsWT2WQ5QT*6Fsh+0VNKp}NCmu1;W@sY`7aD?MaYxa(LKmeo2(Z}!#~-%- zA?qO=zxx|iII84O<`=84xX;3~7%CcNEliI%<&=<0K~F^xm@{Q}#9E-qLQc~ZCaMcX z>~QJN%V1RYo~kc;r@67zBG74>X_0_RX=q1yFmKBP25&$^hP1q>8$eT$pBPnChIEnx z@><1EKL~w)qGr!JiW#syacG8`1>Pi5f{-#(g-)``kT#~GwnsM8Z^_H!>M>9%(v^p; z%_+@5wXRC8L{C-oP)Tlm@pr2{6&Fs%$Y>+xj={b8P|F`IY4D_W7PuWWa+9!UW3rKS zdP-V=BdLI%oVQ|G^n`c@(V`!swQzW0PZem((&15n$9$618T+oS?CCkxfc=mJs|Pjv z%O*~GGirGkL1aWs0#Aueb--YhLd>x-ApF^ms$wY46CQ?!Dc_7;D}AG1g{;{E3pHn@ zF$5GuGR+yx6Y_~HRBc=B=9@yi>GK#?0D?Sx$MUE*kNx59s7-0q(218Z!c zNQ3i;5FcQ)V|3JjFvs2wptZMD+uY(M{F#d*6% z7I16OtM8}F1K|h5A;Zo}&V8!l#D<6u9Kt?%DUD4l2^gY|xF*U3g%A{t3p{a2xh+NaxF2%DyWy=8>NKjv!PA zl$8=$oSnNKsECXC(Wu{m%6*?HsO;bfjY^i|DO>kqC+HjH^)-dmZVXCh%CL^+Thq8ZbNk6H`{p5lDrK#KKuH?dOISLBS7kxh`EBe{I+ zXp58{!XhzO70=Wg@mLYKSmM0)(`6pob8Tpg+={CREd_eDQ1rWG?2|r=RvQEkh!?UH zlP9BmG-YMmqNlzpxPrgajD@f;OT3`R9!>+5`OKb@AWFR9?lO`By8fy`b%5K%?37B= z4w-O)6@)4yNz_~}XcnSDR<8a~LIX`gLMjZhg+g^#cEG`ku)2hm+@(B{2}lW_e8YCwDygf1AS%o~ zTJm{hV9P?>X~V88!`3&V8p=w5G=Qxuquu}rU^<1T0HH8871opGVMR!$%2IrLB`eSO zT=-e{n?CGhI;N^eqFd3T!Y*pA2Woq3J(q|DJmHwHcmUe#&Z>E3h2Uq|G9_a4-H0SI z6UYI$cHdM!0#PaUIdRfi9x%?w`U89tDgZ&)P@{(MqOK{R1^{ACY%Ju+AHaCL?1XfYZ?xM+;^k}z_yP3HX~FiC@{;3OkKgsUR6 zq-{!KAS!(jTP;py!(_CgHowFUPJZIQRJA!GEg0(}DlnGpOY{(9Eun&T7z#}jhswNc z*U|JT5iR-$Wv5USU1gO}80&oQs*)oj6r?CDgJrPWwPZ{oWGR9uDh!-nOOn6KEU8~1 zu_Z?OC2J_sLL{rIA1bGIFvCLZ3+t>fk@_*-#7#WVu91WJOd+CHQ=hT8;uCeHJc_ym zWTNZU0Wv%dJrt#&hnFr^sWecCM39ep-ipLv8E-L!aC(mHM4h)YqN)z z2WOb;ly#G55L2bt%1NuHVOdWaBFc&?+yCL;l$T(dFe4nX4$RV6 zk-aWgRVeLbsw##SIid!tR+y?~e#<#Ykbzs445k2E3P}Ccm;dEg%Ez!GSZc|}8mQv0 zpt4GyLUvMPPiZYQOROu0=Q4sutJv$9`dv8%t_{%(3kPb|0cByS6ZDfYx+8g0#v*a~d(40;nhtNPI{Mw?FlB6`q8$ zbr*MVsv{51_V`@4dWv$e9>`}vj~4svwlI)ow6=7YdXh*aRw6rf5+KiCy$;B&|ktP5Aw* zyGs1XtCY~v06EtRISB^RlE796m~)~A*+oix_GsM_t%4v2ROkrK*V>lDRgJqR zdqwk97>pa!4QqQCtEk}_?EE*(4r$Ps*TIk>!0stnwxTWRmW8ukyn+ZDB^b)t`L+Gh ze=RTI(FlJPT(`)p@O?$#;;6#Qn-M~vARWt+2I&GI_j$r9xo|R)scv>qktWDk@SE?A*nkkC^ejxL68a_%e6Qne3HQ}Q$v`G^?xH)xdJ2RLem9nN~4&GWlKor4bM<0+DT7dJiF5(O$ zA|EdcD$ofti0K+pHBu#RkAyj$T zshAK|8eJW9S}DH-MWT6$?Qrcu#Sa|-%VdlnWzMg$tIiM(nS5@6uBhBi4W=0=B%`9L zs22)|qf-z>7iBMqK7Z^Sc1TbxR#}PueDztfzrqjFDS~i0e28J)`zJ_4?15o}ptig) z1Us$cD;+X8BC&9Cju-s6#u*a)F#=%JfM{C51bgICxs{DUc21+B;;abnaq|mzmJl^l zSXwDv?z1+;6HR3+N;cw-_GLJT#Rz-KP;Dz+rT-FEai%hC5SSqX z39stlEL`B52;p36RmEH^IEDpc4d{BuqoyJH7re>yHgWg)#*HBs76D1dGqSRfOyVko zR<$mD;LFwS7ikqWzyhnqANPH^qAsg4twA4qNjPTj65v_-XBiH$ut0^kC+tqh-Y_bV zc8Z7st$4*|Ejyh+8C9u5k_%KnaeHNdC@N62!LF>1>L%r7lC-8D$66i|!JbA8#t;Ci zBl_~NTr3aJ(5>*y;!&QZPk1z|!3Bd)j>;?4FF1OtIE6HXOP1PlQAxd4xw)(#H=Y$# zV*|!rSP%+QiXH-49`e)>TqM@_dV9XC!vUNsAle zFJCo9M;gNC%N-R>@+rGx4T9~!rT@4COpBChYM`xDV2k97PTB1uSbiwo!}U<;Oh`^$ z=qvfCT7`CsMeursSeECl7K-hbMgY8YLzz`0Y~V%cJcH@>*-HoUqO}RqUt@UTr4VrJ zvO;J?<CdRf(!hC<{>EH z0PkR%FjUzBlS9@$pM;?-jts}n0VIMub(1TS*xf4#0bpSRK-I|y|7m#*$s}LqM^yv{ zYcQlxNe2#zpd!YgGqHIvR%MCM?iIl(7=V$r9Hpj~?b--iAq}0_e#6Jgvn4cmXe;id z)L`J4JePdpw6s0i-WsB0ys+c9ys>s5f&yX8x-NtQbs&2qm$h>nw9n*aZ zYHTs$p^sOV6EKQsfU63BJW4{9(TGowSNO?b4btk27BvTfq4|z>NU+*hVWjv+A_;+@ zCgM_EK+bS(QXAWoXIIO)+%!qR0+2B|E;EkgXL=q2s~`~rs+D25V=L&RWX!@xD=5}) z?Y1qMByTCQ;N8=*Kr#$y)b`(dwmct_HsO(G2)_wzNUNcR1ivshOhZ-ai3R5_o<%ah zvL@#%q^3El@CBjc7IALpz;1~H+^J0Yykv>|SIe#8WI=?58urv|<;_$r;tu zfc04Wi0FzSNE6pN+KDb46Xn5F%dR0Aqg z-k8}W5O?f_1%lR?6cCX~RSLuqqNw7WKEYQu1sy=#An#-IrF(*=xK$EP5L_MfQ@h6- zgs{vMNKK8@nlZJ=_(5w1u1f~(w$>H^)U>gP1gB7Fd)ih-^ zHXZewBsLbyE$-4%A+jz&YSc~CjGaYh6brZwmI7X)QM3cAIFwJx4(-APc~GCD#Z#_!_+cftU%mvP5WMzyFysTJq*MtSbViw|+3;-r78q%jhg|b{pg_uHFB-UoIbYR!J+UUpD$2fQLzg73shUNpYH!CTm>?#T( zz;*&^#t8ETQ01f)87IoL5`+i)UOg}Q=7gs@%5;4PrqV5Xk6H`;?S*ellDLcoMp?vJ$m%dCIs>(W| zAx;{?{Zt~TG7EG5GhSd3JkG2+KtfQKxQvKArKeM#5{;185&VQDnvr`nUVJHve-cQopyyCUq#g2lRy%(v?bB~ z?!T#~-pAA(L{c+GNEc7RLxirrvX$Fuu2*R$4i?$#u~RLqq4jC?lRio>>5P@~sxvT8 zs26D8@n0)r07-jwCEb-K%4g2Z4VUB-s69b1S^a&xgD0Qu*Dl0JgN=Us%04& zD2rd75+|^f;3z87**CSSVFl`7=wl3;>;SVekUDC_d`mIySsRj2y^6ySFXqN_zBC{c zw+oIwPHCs@1#HEq*;E8z_n#=c1{@N{*iMq38HF*}Cz{>QdkmfofOTVdlXOC`R!NW$ zP_n{8smm6CWOa>?f^r2o1BLls@NoO%_f~hSP!@wZJAV@!$fw?!XIj#wO(ZDfYIjSb zvD9OwI7>q#sC1Q!f)v(h%SZ3RD^wMeO7ml| zqQHv87z_sXj5!SYcruMcFO^z6spD~HqaQGVGxWSE~agD~M+aSdnfAJu%q1bbqb9 zfC5@8v(|^O0~bS)t&BPOVWU)13s$FY3b|5h%Tnlv_ZvpqG1;cjITIXqq^c?B4fQ91t zhs&-|ZbbL6%U*98yN3E=(28|`?8rt`F0UoWfaBHdF7T1btS}MltT7Y$s>3P+J+QS# zXZwHp{qh2Bu|qFzi2*`*L#~Bp6Aj1*+QmF=im4%^=rO4myPV5mNp`DtWh52z$@9n$ zRtMPYF6ld4iu=i+XGrC zZW0F}!}hO!w}ge`Cb;K+ffUBzJ2pUCFCLorWGeq--n6h9d74fSy!?FCs`9knFX_uuuzmbO9;EH zohsWf^h0FEbtn!f&+-fOBt`n!e)~^OL&Oat?G_?`iIuFt>OHLmSnT_74<&kuaS|@B zQGypui@3Z3hy}EXoP|71Z8}zgzw6(YhA-XR@_pb$HW#O0Az7wOXD^R#VCrBo*pi_ z1G6xHE(En0RlS!AS`FmMopW?Rho$Eqy}dkbgKgMI%mmr&YfV7T>uVX^iA}4CtiFoy z^B8ny`=zUj=%hb?1sQCOTw^7bsB>|bm1ypUjjR8>1S{3BRq#Hg9N@|Xh!g!g&*r_^ zj4cRcP+uazK%%ih^UR3Fx^c@@>LJhjSIl?e|E(Yj`}-cLbP_Dk{;78?+9gv7}Ve2?&Z-3zz zD;7%ZLnSe&M#_hr%3^*+AzSV^ZM(NUmYWC|iHXOeJ-WlsPU9$|jR;|*BJ7<5Kzx@_ zt4-d`_UWBVlmMAh!;FcvkIoVBNd!~^44gU}@;SVr1^$5qBJ&FV!71CSkw#XBHLgYRE!ww2VYjH3(MURTf6Rnq#PwP~$&LEj!A8eoasM@!2gf;3#Z+9;s z6qpljeDz5VkymscdU*6a~Ar5=)E$zf@T&njLDVFQK|UsDhS1)f68%8b>; zX(}kfRwsa006jY{feIjvDymnyZ$lz`i(TNPw`7srp`B}F%OZ@R6;{PIP;rySug21T zx1Aw+w?1_H*YB%H06-a-c|BD~hSe#|t46Lm3jP^W)*Nm&riSnmkLuv7#e^sHyE>qi zG}K~H@am3HCEhp+0BXjr8(YR0>vynnoqLN+Lmae)6in;XLH7VBHt6@xg`L1h(HN(H z`bWx3Ol2Z%;cjGtN!mq-lKqIseA@i(iR({HAfu%~G^{Tq3#MG*) zsO5a-XUYc{0#o(4H!w5u+6+0^y%{)(I)a^&cT*2oS8#RiG zGPN(+sw%%8V#tP3$g@ zJ6PIun6_wE`nkP380T6_D%mDo=yQVB>0 zSL7MMu|m@tQY+(-kO8uPT&T9ys#eHAdFM3v-gi&F*T2O9U13Cl^M?(CCn zkr&cCAVAh?G$zc3c*crD#ayL~V6C)}o;llp(5&R!h6AE~NAG!w^_PMHJq_jxuZZf_ z7^1^@;6~!b0s1N}2R5SsrJI)#(A}@uxc7h&_-MLfVApehfix`1vip@ms zG%|VOfAwH3}#QPDF(SPebCn?&XskzR)k}O7Njd3L}tS z2LhqOZlh3HujAzhaX~Dx zgvN_;cG#|Id{nH271E$m_;w(HBKI2I0au;xe&GAw6lV0nZh5bHq&bP!*YnfvY0p2slpk^In_;6aZ#c*Jg&7y! z{UIA0g&8DB{3zk|wUa1)qS^Kq>dj}m(c^K{_R{q3AO5qr?K-}3f+PHPfW&A4p1y06 z_&{oA7s7>(>zlS~dPlmaqr7(JO4`nAZLhulwY0gu9_F>4*}SHU;mMr|@06wF(lt;% zak6%e_t?H@XfaDKAMsOy`Gs>aK+ZNdy*C}bhGb=($x5INJ11`4<)!bz@pV6TLo+|? zT|(;!M`o zZ+F|^ztD}^?%mO`*jvcXeEZ-2srto>wA*2gCW?3FiW|&Wp#{Gk=6S>`lL-wDrf`_M zVTe0%^&VZ32!TwTgEGm{*>yF*-Nmti7|rrp#>ecmg`#osmibuvu;#rR=@r(gqmHSm zIwcPJuh;j&ZhP3yWbJG{0!c6B+{CNTF6N61dKL4Zr3ahG!w}x?z2HYjj?7&M9}nAZ zd;M?}5?we$gFKomSU-{7Fjw+=aHRY5tpbz(KR)lf{#CDRW@6dkm{*=Jzn{z&UU6mTPKf!Q zuYSL&Z|x!JtIc0&zB%*{HrpBH*v%-aP10GM+*w8k=4U3S-RQ(=H%r^zP0h$;C({GQ zn@_PD*UhHK8u|L~=QUnS9(4UHE${NJS(G{5mODw%<1C5Ixm%NZY-W#pXI10mR&4exTZdobpAJ?+*mcTtf% z3fF7tB>$uz`?q#`vGKa6-ydG|v&o}P6WyN9CUfa*FiB?31alJH%{U{mD}#x!6ZUuGOP(C4cP1wXJt2uWK0RwrJ~kjTT(qR+@KB(}}Kx&af9Zh?HD&GQ~tq zhVhvMZ;(ygc8cx4J2yh|aoWBd=lL(z4hFs1vu-`EW%1FaynP`%=AL$w{Qf8pGH3nv zxrAqn=?e1?$XTF-+k2^$RF z+UzY5GC9LINld;$ovi!ZdM$r%u%7H8GRp=Fvj>B{wIuKQcXYnzXya#ZZ5S_lDTx>N z^davSp;!N_SNx^$1)XU>(eAKc9=+)r;cvtu7>*7NYopR4U# zzCAPXIdjx|SFL_)GjBD|4#WI)L6{#rl02CI%kXH_Fn4DUHrM*Ubl_n3SlabkZoa?< zOIh^eBsibyHr)9m-Gfok?Iw9P|Ka$y{Mo0!hnnBvxcx{o8n&72M3i#5S$`j+F6k^+ zyd;5Nj>#}{$wHRrxaL>$t?nK5dU=-D940Gnuu&6=XoA4&Ep!pLPxnU@Eb zBTaks!mL00uA1qF8E!0^i+r#BNZ0(&KV0{iLg)8qP1jjm&-W!!I@@0$d+JVV?JG^j zm7OSWqm1na*=V~lGe8oZyv?%JyzRd;$8|4^jxi{VXXlOY_>?0~-<(G{ceCX)SPa7FN-_D3q=Ev>YhK~`i9gOmixR1X0^=tCvcr9@D)EugUDGgp% zyUbDnK!Gz&B61!l+}Jr698Dk|jpo6YbD-fRw`Jrqgr!W=dl;tFA?ta97M#%}8?HOgJ^*^y zR<~U{mbi)di7beFi2)P!neLG+Ay3CbzQ-`6b~f=k!L5j1B5EX-`hoKj@&D0U%;5Sg z&+y=BgzFb0gUhkwAo4#h3mmp9>b^4Im}a>+A<#wdgf^n6&jnf zOY0EB1R_UvI;)v%!`tFrgR^)X^c}|2Dxdt+ah1f=5xz2?pJmTmbEXD0>+U&)bqx1{ zf*G}I__8_+79SpUHpG>%yUhe6+y=yhWx~CjmQVEwC@<4nuRB7@^ zmq8w8(SG;k?-AKr-kuu0LCn_^Z!ydp$7}7Sy>xuvQjP`gbz%HTMw{2I^78<^nbc@& zF@7$t^^uw3v07b|?@neX!*&Cw6F%jJlnXS|l6G=z5QjDKfBsYd4T_i~Yap9Mv~(VI zPWrQZYn_I7(1*{?Ih@n1<{s3Wk2XdAHm$_a3O4g6;*sPSf0_jMMC!0HVk{6m?MW+4 zw0d~rMxXuE_rtVXn*rX&KUTv%`DR~|n)KFYa{0QX|N3Tljv({BtXyqu1=%wR5lrHr zh0HB~;T?IN`Nt3KIn(&^!k5yp#&*h&HjmxYJiNz07#!SV`up!`MoR~d4#OZneJFTK zkhkJPdph0P{Ye;~8J=&Q=$;vd8}Dl#*)yK~Nb>F^BO+DhGFL$_z8vI}rEW%q8{K>4 zf`9gKcmC)8hii{t34+$UGYrB?5FE=6HIt)_QF2=tyyi+X2+oAHz1bmu?B*>i=dFxiG?JBJ5q_4Q;E@0<7T&hTPSAI6d|91i9;KOemI z$G)$%l3xxmmeA&6V`+B(apZobex&Qpzo@k85UiOw|KX^F^-rVR@4SA;jA%Ek<_{Y;*8s7?zJay;V z-fsnSN6n}F|2qh-4E--`cAihWOV2#TE{+M62}Y=xySK0Qd;e(u%l`R8Pu+QH-?sw) zwypl7%Nr-YIrct(;==LnJ;CySIvDf^Zm_X3@U#A%rhX!vPtPCPI1z0JbNA=xmhTT^ z=kq6?IKH{m-FWo_EN8vA|K)CQL-2TX-y_$;xw&ucY4ukcms{hHHvG|;X*Z*}Y{~R5 zHsby}jekejSZ>TE%cq<>lBLl$TY5B|jT2k(O^t`LrBP?TapH--_fXdG{D;mjePfa= zZ%#OU?V0o7oG*@W;LYFilM~O3KjqiJ`KCtx)SYH-=?Ol2?|5SJQn>W)b3uRg>yJ13 z?=TJoBZ_hBCn9F&Q=m#--CN$@PTujv{tK_?z3KZudE_IF$D?;Xu??Hw8~p5^cbMyI z{na0(zBCemWZb;ac>CMUiy7b7!o2^^5luh!R_#CbOvs;o$Q8^919*}1UZQMi z5Y8cV9T#%kJ#M8Zw)(AfHVo$yH+-PMd%_=RgyG^79}U(UC)UHc3yseDCxbr?g2~Gd z{uIuDr=A|O;q3duxyFiN(@@aJmfjBanb#82i>SdSD&v_sG{DskiE54)BmLAr_L>HWdgpN=m0&fa^w-=Qk_@_m2k^u28aota64c0x~RoPvV5|G_)2=N*;q zr6%uDd`olOTHbW(CpKeZ|Ni@z*byFjJHf`ns{^__0o!YX)+!Q47egw(`z%@a)p{TD z7tI9WfXH(%o#)njn#*UgN5Bl&c-HOTX^0>eH=T{y2|0jsgC9KyhTXfOrg zB7c8$PLlXUNF=bp#hg7Wd>V{u7yI$jreWCb6oRz;wQz!fFK&e)#*Up;DD3M^Ff;(y ze~mwXEu^}6Q#6+b{)G^5+xPt{y2E%;I!XMQ-tysYZ+Xoz`@A?zg3IsMD0)7oDm~jy zJZCiig~N;8IP}k@LHtpc5I*>U@WpWHpQ8wwvjG_PM9h^6<}^Mqp> zN}WUZILCt%(Eg+@9Og2u4_PL{^*dg2x#- zg2f5uIeGieCX3qc0l^9^6G;4WHZRyK@^#l*X~mP-n;zPwYxl}uH9w&#okMieb4USQr~-%zxv@f z`J1oTA8yQB~?a^ycBa|hNEQVKSd7U+$|5}MF|>7}QL zyRIGQJSEY<;whP$>z=Nl-|l(O8BP0MCy7#|4(oFzk8#M06u zV^RNW)lxT`D6xuagN4H80%Xk(Mt6iegXWoX9~xkW1II!`&o#vO_(M_Qjm9}2B?=HA zu(tG;-?)+CnX~}tq{1$a+cU9S{CG(aGi|Chun>v@Cjn2ZbckdIsn4(|q~WGk$!mJE zB0rwIB!L1)4PgLr#s`B(qNG^RwWKuam{TXCw61!t-EqBj{?xSuH0j=gEf;=DIYSK> zG0=A;JPWpaxDD~GsIRBJGitzNVxDnt##Gg(8%6Jd$Pj+uYgf2|Q}!yJQ&FeKK`#g| z`yHI;VGMdviVDrbpl#Ce!a$#o=BHE^_;zTWm}+futkiY|P^ezCZr$Gf34%F^yO3wT zIs&M-;w}yX)&l|DeEXU3Lc~5_Z+>TB;&$(3SR96{iL?5~c(F7LfXN&CGB{A8c6|(b zk;1rJGmBWc(mJs$+ochHKF0}f64rjjZQjAPvl#f{-RrMq^)Azd!|W1j6p2jbN~aGY z)*1|8(KiX7HB0@YfumPSBb+KqH8ch#OYcA`^aX{Ehdzp7Em69%M3bLXx?Au2YQCtu z)nxs>z(fUI{0V$)mMaMF)e!Uao%j7-vD=`kTo%cL+qF7ND8@2Sf2LlhW>8^32*QJj zc8==N^qqEg?qtw^-&i9I4s-InNu0C2(j8GV>az1Fovy24U-p@uNn){FDE5(Va{8XX>u1 zGsm)8vC*wwEa^fuSjaX%Usc_#JvW?dJ{=~=EzrBe_KVGKQU)PCG^f+UFQ{Oxxi{Lq zeTUjUeOliPgmH{HfhXT}rZ54gonuP1Gi7VpJL{VZ?Zas|(YoCmYCF^erju-xu{N}; zY0EQH&t-08%v32WY%`_9z4GMY-rbMy^<*U}l^;Qt!4BD54`@5WA2bTrzpm5+K|4XO zG9NPo0kJ+EF|nKn7BF!KW8<74s85Pn8J24akqx{sev2=OyBaYN4tVffE}8rA#*}u15Y96%YW$6YiR`a%eE`s6}_PE~pT- zanik@MCTJ@28!E=TBbVcS`bTRS<5ku7_S(fsVdAKRBW24!}?1veR7s}o|+J7S`<_l zX4RC(?IPW1MxQ6al-OC68q9;*qzwri$;Lwo5qLIZ4lsSQZxxU;NScll8FmRZM}QKn zU&<6gdqoxEanVd@LkWqnNbP?J%>WImuqUe;9FzB?l zvjb;y7}Z@cHmQ`8W->xFG@l5QLUw-J{pyUD^$hb>GPUj`% zP6;TIu*jL)k9NY3N*c$np-f2#b0qZ_0oVhiUkrnSbJ5iaEh{zvhSG5tgS?7x(L4ZPi}5|{ z*9rz%X6Opa3o=R_Vrw0+d|frJsx2F}i97$bdcBC5;$jM-Ul0X&jqTISn0jE%IIdGa zXxG!K>p0uklv3hLkFM=Erg-QCb_LDmtb|KY2gF1stc*{5S8;)slyugW55F073rN+A zi+nMDE5GB;RcZMcJc!`vLCk&jA+ zm_jOOsY)m}zlL%)8`>AsDuDbV)8Z=AjtP!*ggJ$?k(WmQ(Oe=ypxAcCj7sAe&&-y^ z`t4!GrFR&{6Nu8v1WrSfyvfXO^j9PD6F$p(AEa6uirdky2a)r@kI&XI`XV>ZXtE>( zdqD_7d}>=%)tAe31YBm21mY-qO80?pq}GN7+0d(vhgqY5UULki$Q3LmSh)_F1I_^@ zoB7mNMlY$C=KJ{pI#uZyC|ufw%0+(7*TknX&;o6DmTBUwN+RMrAXe6X1G=K=@@#SbzJ}@GczaLGvB9 zKRLRJ$!TRHN!y^UQIJJUVN7OoL_e%ZChD@(iP>`H)Ocb)T_O_JC&Nqj!Qf0}zg+r1 z&f?;rXdbmwbIi|GgT`dx;laIeJQq)#ipojJza?t^p*e>U>wYsdu;$Uq2?`%!M$>c}iuc$me zdK}1M(+Nv$hV-2Md1j{aZUhz1@iWAIQ3TTL(l; zU-|gh*$b9fe*H#JpoNgIAJpj00fD{q_4mp7>jx*_R7#dW*49dKZLRc7^oNbYvxDi+ z7rT1jYx-Bm!`l9mJrN~uDz(47ry8#C$c2&q2U-WEgm1o6A6e<1TW+6QbAYAfk#Khe zmsZ@kAI(+66ZQVvyyOeRlMQ?<|Jmb&abGY5=x;6YOQ|bEi|T`VIT~zWE>7{GxdG_M zpM$xai2B`zfJJ8`Zwo*JH5W`Rrln<1gA(N+nFZ-snf@@q2Y69?dCt+BukU|rRe=a_ z5Bv3Z-oq{5IbZCyRC-`X)9)X6Opvrbj-`>O}tYA+VW zE$x`Df-CI@TtdprQ_~t#F`taN{R8u?ln0+y9=OOkryq$wHrnwZfI@Bu#yD-vV5Jn|?ukd%$3PNjl)_1fPO>CTmZa}&!D)E7HQwK!kL{qBlv zpM6viEx^NO=Ub10nNq}CsaOi0Hs&UR8AA3g@b`tLZ&saWnNB|wPXCkGf|oWnD-edL zy&~3v>ALABbKLS&%shZemc9fsi9xu$_0bq`rC6weKm$>9vsjwXtowvXCw(@=$ob@P zf)0-!0EDf-bMgqijvn@b2Xzwn>&i<54`fa%)j7mySe!F`P}em<&4BA}kCE2c+~!hu z4OKJT!MW^Mqku2L&2Hknv@DYicd<$AtdD?Zwd?DCAeh;Dqi}_lGCOd7bM;2i<~q8? zQ_Q?3pbbuLTMNEK&m00aja8XPfNOvyg4o!Zk4YWqCF*9T!eo^uqcC@)@?LT$;zVSO z*ZzU6+f)}+j4qsvjX-^yIB|>D{uEFWCZdqUX(2Wg8FV!peL<@Xs=PS;7_&EZ87_og z8T+xcBOUY71NX%8@RK$e6z&Hw7BE$CKRUVpoWvL-2peX49 zB=u0#o!@K}h;Dm+;h2}8P#{E!lRR;hfmwC>Ti_8!Mh4>@b=%)BBl2sLkoU`e`KyNt zT;#Pc&w+TWE8R~DiK^yB$vj)rmQcxJbb8XMjro@v1dl~;&#H;>U-0NaN`q~8L`k#i zf|~(oB`vCCoIOT4Jm&y*!F*(!lOL;^MA7wNTJz4@j~>JQbS@V?UF=O~HPAcAHjF-X zi*{b9C1$X)IHy!)ZlF&_nEH2p);~(zEgq$A8Yr)xvyCaeGQbas{MkX1rI^rhHYCD`3<==q7K_*O0NNz7HAu z+YS0&cN z{~eZ+_ZW1%wC{b*;?O%z_@2EeZk;!BZ26TF1e03iCL6cxZ6IKLM^|pV7U^GJa*O=M ziE@7ay8Ey0?iJ>UwowE%Aj+y;k2|TH)d`-Cd0a)likn{GYs|)t-Au2WKDo<6{yCT z9~X79E1Wffz9nSUUqY5amOHtQws_TToBBrSAnLi(A;<`2K3P>V74YdtlT*0)+oGF}N z+K<0JS`MLgttcYn#hL1f;74I3KqD%KbQa|qlln`FFpE=xR<-BYvK|XbZLz)7(ow?? zic!5Z+V`FG^?Ll}7hX(m3cc9b?6IRLob+BWS`9=&lew5DdQyI*V*`@lBlCEkFpMcb zLH8+!8rKUu8a^R>@q$n{*6<#8NWprOU2&}i<-qU_t%hHr6kTNj2~wgKhp5o z==-oHdG2+bhHq1N`)m!%CRC?Ehiz@CFD2@(U>>_RuXXBAO#?K_u3=Rqy1SI!PV}bq zPW+TNp0ZB8SuZ7CMV$!;vhZ9i9CyzKEjTENo&_cJ2(QlunlBQ-5`6f=gvxf0!@KIc zgzr9VT0#h&0%jv0tdWrkl~3P?YdrX*@x|cj@oJi# zZh$~dl^P$nP|W-Zx40>GR^S*$D52I#0>U{vsQJyHQ%|D4x8y=Ovjbb+9qdZ?MyJDA zYiDP*Hoi$mwGZw^m}qfdYp6Amz>;C1c_9%RQ-4=o-~HRH`^latL=Sr}7=vO@P*`!} zG-D1|2m|$}Yk_d+b~y%CSWqh^Fot$=Bmj7)a}OyqYtl1OVo*!DyaIMYCleg@d#*BA zF#5MquvD#hIx^q*_3J8uzFwzO=v8bgE%QvpJqDRBw?M#EO_M=lo`xG2Z64t zxBOQIAWa%*ZN=oCQnKSCZs(Mqgab6V>e6mwS8!+&PLUE*X*)w1ZRG`FC@589#f{Re z+VBaCCwx)!js+s%O!(dv-)WoA{n-s*Kyp_$9jmkyVyOs4D{jSIrEWeGr%(JX!&LA= zE<{if>6UkgSX@3LP+CWXEv52E%xdpag&t%3p_#p8T1@kh+I_sEJFZrtVkZ=#~vl0CmP_+!jO5`l?L;lki zEetu6%aC!0Y^jdVH>!B`^ZygQ2GWIox{kApM@JM3r{;o`wFmOQsl}3)Mu!zGP8a}; zC4+RNzqtxbQlK&*Nm&iz_Uu$g8~Mk*F@0R*SmV(5*pyLRujI-z7x}uBmapHHgh?|S zQMKteHxERiH4E=}q9-}Szly060P5I3D zfbBt64_T2I_kUKt0K#JAsWnKHfO=ObmL^Ca1u5Z53HF1;|*X9`S710n}Gpb*|oe-n6pgAov8h|)~mNAzHZ*+f>XHd zJBa2Nyma3i({2~Q>A*hwVAzdHkiC`{A-8eWxLgSXC>eS3CO`@%OpNHN54oJ!!*ZYkM2CWD9nnLm8N(Q8E8 zovo!m{*%%6k}bIFhb~-q(L& z>m;{sK3?j-x78B<*cfr{&Xu#kToSXBeFJO)qR{m6m&btag; zZZEGh3nXdx+|HYR_pr0?b=S?;I;GSTKGi&t?~kY5eX7{q_I~h~v+tO=GCuyzDEPN9 zsM;$4|B!R0SDL>axDXGQt@?(c9S~b!h=3|s2kfNko(K|WegC`ziHgDwY6u|nO{6*o z6=sst1StW}BP{M{hvSS)NOF7z2*PU?5X0a`L&drB{BCF8+u__bnI22+Pd7)}@1Kv& z$C@xUT4zgZA8V|ZPK<#EZ3zX98Mpwr5U4It!PSzhwPh?p zPV))18|6)__E?F|)^Oa`1mA97ULTc2BcL)utP|h|_@_CJ1kf!D3$BHznG2HmUG}v{ zZ23BANc(n_fZS@pX`XFDVeP|-f-JU+imgtA5apD+XqY%4Nf*X<~~)``mh=trKSri1DYo z#m-#KCG&g9x$`Cu7Gd08c>n8yZZ5o^*)LS3z*ZJU(&?W~yI*w7`WvLR|N3@FcTl?~N-QY)eVoWr z27?l6xpg4k5#2D8(2ZzH5=)(cqxv(VH#7M9;E4x5-}I9Ntn5?RW27fF%4fQMCabEN znPTR{xC_P!?|QbaOCi+9JMkFkw~=<76uXAb_)1dp~u=)Ul z&Jr7mROaKiyz|vfBFSdC=eA2ur=FI;O#XGF*gaEfFF$aM=8&RrI4Aq#S6td-7)7Hr z`gDU3a06v2z?UdLhUo@)t1ZnS9>;8BKrB0#8jR6%j_j3?NIf+k^$=JJvXgTH&HJch z6Nhy5ANqq=+s&a@)J6rMWZ^bX>$nIgg}d>@F`l_{869k~C6P%Ry86ee5JJqQhHqBk zE^#Q6&Tbzfct8k1T5CyQPBxg-(=S=TKJZ`@zfuKeW^U|&0x9NEgz8y0F&PSGq+qrk!t@a6zOCCSSadRRo0lijM@Ok z)&ax>(|Uu>k)+)@zLqn!a)9j`@$36IR(~I?)!6%k3@i1W`+l(^0S6usa@Mk}btEh- z#%}R2UKfhGoV#%w{42+Sz9_GHeh5E_!Hmb0e}_ux++Dr)i9eqxy%t|Jzhnc+VnqMo zD<6k^Sa@hrh}xe(yW zl%wG|E~F7p%$F#xS$Mc!P_maUNzw^#Gl`=b_&&|BQFd=%JXDGaV*<30c9#(jz7YNp zYn*&WP!q~GvXNw|SCVCZ&9R_hYV3no71vhW3XU(t4B~eKEL)0f!!uOQFX~pIVJ`jB z^@Nq1c7}c2twg1MvD9spOqsX9Ch?leIB>)?C<#{)x54m{X5CLquyO|MNh*?oisqi z*=9lq!BJq=lF&?jQ6jX#QowT>{D$0SXk*I+V5b1f7G-0pH7We7VidJ-)<ev_c9(1^_~`ee|rG*ugXK=xnoa*@B)${tC>Vm>bxeOS=;$NMbPIJ%BHPoef}b z_;poReSc|Y?;CsRuKHG87+QOe|BQ$4Z%pExuS4#Ofcf4rsEt$36F*VhT0IpTU7Lii zH(i^t3o~IF9*m|`$Ep|htnTxiJw2rd08d*xk2AUV6S!VQ`hemG!y;5dgF^@oBJ;Iw zG)87)jVx(7ps7-KfxsCycy$_Y4#N7=Ot2R3w%6}e*JluH>k)9uW+9mE1-Ql4t&_fU z^K{SH=G9yJ3_wMDoVLVVx_AS8z4%l@ges9^Opl3bSvtK)q-}{O?JRu{^(ga{lL%Eq zG>qs6pVUqnw^)sX<);&>2FjBLP}>+?mAHr1U=rF&0D0xDm)QKaEG3n7ZU|6 zsY=9jnsz6mT%zw3jaQM*^L}~8pt5LDl2j;oBMRiWwSppWfzeg?_1$VSt9t6)ZaNQe zv+O3iR%P`GI4QmQFaP&sw!zRZF%H8pnhBW_8SE?)E9Yb)_%ZmGqZ@?Hl;6iP2gbarO{h(rAP{-Gjf0clP9th1jT9Zb(-D7nn9@e zj{4QBy=iD~y#>9*f~RUFqC%OqEGxjpvI z!833iOn?-|=+=}aCCRNfUeI2|8(}@6I6}lXNqj%&ROb+Ykn@a6JruzuF7B4TUYL)>;@We-^*k#B|q8&naGA+lKh@{#Zj!HZy8b30H_QjGZtG z@eFb!BlqHv1;gLa6dDzyR)t^{6uk3vj3iidZp3<_p!onogtb-)OaW)9!EKY&<9~D0 zT*xYbO<#W3cYu+?Bou!J4*<0->Aq%@7EBLhL|KY4s%a22IYUxfNlj7_8SW|bUa^1y zuS!5tTJ49~ zT~XI0r)+6+Fin0GLy9QoI1!%Q%MS788Jb-N2QoIqqP=D`QVW^O!cK267_=M7P_Gte zk^vT>(U|;THTYoC-FvKR9;iNZM^fuN)-PwzAL^0dVdsupqIW-1XK#gB*xTJ&3}+6d z2eQ*|*?U8+w7*f(n{{cX+-$f!F2!kwXTyC_f8W&blsUDzph-Oiy=opZRgauhW}B~Y_Hllcq?i78u0N) z{&@drbLRg7a@b!gyV1VRP3`8^hMCb#aHr-!?QGLCjXT}mZ#_R#05T|M9RP!2GjZ<% z!D%1gs!f9!_P(Lsra`m6uzcR82bbyhv{7}V_|ek7pRewRPxa182w2zXQTz3g<(ciR z!urh5RkzRR+LlM^>z(RPe5YPNF}9F=wjR_P&n?e<`i+O)R8791_cXTsmuRg2)79n-RR^K0NO%L~bq|K(N9{rB8Sm{+=Pe&Kc3@w``%12)h>)N)asn~I%Z zzSCdtOgc*3izWaOa7VvDkk8oYw?J1~d}OpTK4iZW!Juq^N}6S_KOTS`Im!Bd&3d5I zFRujI{`t?;XIh`BzSwI-Yt>up^THK5{GLO1RNKStk5!ME_1~zn<>*bcY@Uc-H%i%o z#+8Sw>CC$kF}~`|%{d+;8PwS8<|O;BPc~1u{SiqfX*_5 z7Eoll4FjgcQ*gk;_|Z>CB;h*S9|z z0^EjP3Z|BWI_>3DP!vpiKA&QLfNA)no4Bg=6G8KiYQ2Bp!#8{D@BBF~qD|-GE4O{VtvBCv9kx9Ma#|Px z?@1Z6Nv*rjIs1CId2k+^O+-lG=3{lOW_l6wJXb) z86J(tdP9cozZLEwNKJiVSGC`TjxqCRP0OsLo%Xx}6PmnI{+3L+o89F7*Fylpt;{v0 zKNF+};`h7jTj1nqlmp4&;iE^C#`~^Do0yPTf1tM@gfZ9P3rLklj3A}oJP42G!_M0J zaK8IcWXU)w7TMsW=tyFN?uSLW?>`IPMrUVZ-X9!oTeikGL*v%m zbmr&l*!|NJ&SqFe57-CWpYD%&))BxA+8HJFh4O>;>j(I0`sYPH{3MHRZ3Ipaa}^}0 z)w%ZamybGqo-V{bWP12?mFyvqwU#_nnOQd5Acr=RXj+L*)!5F9>_ab3h4Sh2}cfP&daUph>aQeskRs!wsl~ZNGGg zc5bg%=@T*e_3cVy-#I76uzPaS*^%m{`<6YsfDgUZG4YkofBT`J(?1xUfwA1R zSH->ZpYBdQtv81}zA15ZqMSKHY$}YOYBAda4DPWwR@Ayh{CF^HW}(DoXA;UfpE+A` zZCJM7W0f^ZS%zCd^?mhBiNtZs)0qK#2v7ecUSc&+YM|lWv~<;Kd!lM=8hw1n66q8y zgoKi=KSKHxGS07Fd3KG_rb|T-C9PxwknFAzlUvUhIBl^G^BwMs9b%9bK9#Cm$Q+F| z6pP4jHPo74PKK)}4kBE1KRv9R*#F>sHjPv}Zp(X9*kt(K50vYhR!DIMKsjA8{YE3gpnz{9d+ zk{DWsd9r(FQa+8V_tbdf^bXa`=CO#z+9Ae!$-Su9nCVYFLP9I;Tr6c*@4Gjhob-#0 zNq^D9@{D(S!zSj$VNx5+#VT!d5)1(yz$l09#kM%uJ@o7lf85f1C_4Z{jy?thBz#}w z2hySG&xeJwPot0#PIyeT9N3nth=s{%f_RHksk2~tZTO+>t;KP_>DgujC_{7C1xaz< zmpX7$`kd_=)d5}M(gD7N@k0$^TS6Inq8#w2(Y%^dYE)|>YZ|^nWQTblL1mD$ zY`}AG{?Avn8*^!6Ceg`}tzHe=*+Y`87P*U%&IvqKWP&ThEiiTnC{Q3xf<;1S z!X>pke9xy|0}L~>cA`oMz3yqPR*O-GbXP{j%xA^DzE1R9`zSyTL1NUgsJ=63XZ?BA zUUO_jW)gu;+dCX*0RF^b5s-yRMGuu>sF0Z@Jqi)1TsU6x`VF8JW`=sOqDCUt%gK#4 zXY^o2{rQY5Ggr|wHdf9m8c@WcmKbfq>71__EFU-*PZdA9-2 zqKg&Rd=a9@U%LKj=>v=Cw-zw0?`br2rY

-aNH25;y#!xh9jD&fd+)<=B!FIkAP+S@%<*DB9ur2VUArr z^Gnh`dl4i6ZQ@!cW> zW3F?YMfc(8RUZW&^4fb-Jz^kU0-_be?$bylmNO`ik&FCzng^Fi@F=b(7K1=iWDAx{ zTLkqXeATkKw9yKqr=!Hin3~i>3g87cO1G;s0pLX0*LUB^MzkOttzndhPMnB!rVGKW z0(wYuMJ^x~>nVu4Qj)fUpc|UH(L!p5U5iBMtU`@YKGJ~5LZTrC52$s*8E?7pYH0;# zfIYl?9@M;ezRxZMteW(%Gy~CCZVdi_8KXVCr%U+Aw{o~rf(^MlluugnJ4F*NLl{63 zDj$`Xy?GTE8C-eyzej+mIeK9U7JZaiF5-U3QOD9HJ|j|e5O;@jr~r0T^f7O3ZnqcF zH;$yT7@2ZrYTX^DB7+L0^W@cF6{6|_tQW7|@g*)FiA{`cNUW12h$W5{H=UzJ%n4H4 zoSG!_VO|lw29=e&vQeB>3rCars}N1auz!$!x=D}@2P}gWa_*vQ*jcF>^QINM!I397 zrJlBcgKBxARi2lGph`Uq-I_Z&x99MgNleD z^c8#bT&7Z{C|5>8@VUQub+I9%6GKW48Ezx@qS!EEJBpL{%n(J)8^#==Eprotib2g$ zIgvxn z3yhuOOh)TKif9z)4X6kpPPy>bc?#l;z52B!6C;kI{dn&QF?T>9(Z z{Su_*M1Aw=mD-lhA>Ev@EF&l)fctDSI{*#A)H>Uf6p%zSo1!Pp?4VQ_FC6Eo&E(PN zX)0j5;{}=a7|c9(7RFC?nI%z7&+1D^@3_A1{Z$8Xk`a zV-wT8!BSk>H6DC9DDQ^M-o154{9y1%NIFB8gOFpf|?wM$8mv$unn@2e3Tz5l#Y*L&|dk1MnJg9VI?-u?J;I?&I}~!6-$-G z5S^{mc8-TQj*WS{f4_(OZU{#U6N0WZD@Ai2b0O? zmOUN!4@3P;&hBpjamE;2!(t8zCs0P7hhQP3?wIC(F|aA#R60Iguh}P~>5FV9!#g!35n^*x0U{63N4n)P*}8Vy z`gKZnDY5SfSmFXD5?%9goLy32E_I&$wpR48-#UpIfbAg_YvvWSQafryyj!4VGI|wu z1Ns6Dk!ofw{}}Gw!-MIS#pg5~arf$U4(*JrOb=@ody&KzC`LAT`QQYPmexsm>q6j} zD*12uqgNF@p~3XKpPs{F@>X{IF{>Q76{Z&q4$OQfpAtnf4l@b;i9u--AH!e8dSU#j z2|Fi{b1t?_Us|4Cc3RUbtU~o43LzSf^;GxrT-v=r>&&Ai0UFP(K3alS(ubHP$cGN)82&OtZ zHqCKS7_5QDTW+v1l=+@|(DGa^A`Brju@)u5pnBqdP%p%UDy0WCyTBueW`sTMk^L0M!Ugu5^sr~RjA0&w}@aqcZQN(bt{4wsE4XM_ltIj zPrzKl!c3jXzt09PnSJiUjQRrLSqYDAv+w1VzgGy8(IsdIbYy>g6l5N7bNcXH#Dg8m z^?qT|721+eHlM>0?9}5~(=7MM%IAOR3pZS5a*E@qIkUBxb>aVr{{P2A^q=-Gu|0CI z1{JDaWQPMqs<2K_-Ojf8NaA8a!X)rFhc$XMsZ%H5O3DAkvh;ZiXGw{_WMU{Nv6=6T z-2rVQN2S_pDq0y>hu9&q12Pf(=N~=4()2LBWC?Y4)g3~VGYv35=Lstz!Z>s7qL7VJ zgM(pDPD-H`T#IfT6>ML~MKH8D$PPMZk%a_?$t=ECkSvy>UR=t|b&!^hb zQr^KTF3^=9Tlt21{->W(FZN^~oNOE0NbR&IPlWWc%5yvY(5y_aWMEW-b^AEOuRk(a$Xz1daE z*XQ)BF*HY^ch7xuCON+XEqfELj)A?xSRS#!>ob;3S9QIbh8mYecMcQe5{&54Vyk11 zgX)M^iA%18kf2+oT_I2>`_`69?v7=6Wc5;Ml9V#XRft~V{h%2rYbfOd5ukl8>zX*@1 z%MPpoS`tHqAlY1&7FwQOQaT&rMH|95E092{lhQoMNw|xRMnc>3-)Ni`(fJOQT!>_& z;@~9dRQtXSK6iB!_YnpW9$LJb`U#N{VOT=c*y)jNs5(DLVS?bgWwopWea)q<=d@C;ywDieexE4cbvTYm7zVV&TwlRb*5DHC5G57-aR z(*ug!52wkUZc$Lou9eu#VXnophher{%6O(VQAFLXsA$uGSP#3QzuiK@HCg9ucB{%M z?zcuKRg?qi+1g|PGb$klqB!h65$>X!dW$7{DqQqI2?>?iuQ61EbQqGkm2xc}vDCkf zoLuT0lX8`yP@f@{x*sTOVQsmDi4*Ki(Z$iqOF#9AB-Nv_5`rAKMcO&k%(isuJb{~% znF$ZRsB6RVRp!ZpU1CcgVRVv?XSy)K)NtIzEz30458tAJfS}>{t+*6s?;}q?3-x)j z3g+7u8dFeGNO z7aX&?vko9%+#6(TJq>qihITm0UINLw-;e}@2?_Ur2Lu5YesNa_K9L3BRWQ`XFN8{R zz&&qEg{f2HlBU+Oz$nPWa6FKm3Nt+P)GBj+c`6AGn<`YPi+f3=QD{9Gk$fKIZXO=2 zl&+pxA@pKZzotE)r35ol^y?g*vn1~(3mElbU{Eq0FJ^;bV%3Dy1>H?n8G{A>w;RAwL z^nmmECoi69WES0uOI<}w&QL2W_hX2djV)E$&sJtB$|*9h6umWAN%V#mOi$8by6Yv=w#^WIi8q>o2 zPA2nYOuLkk77EOSG7TN+#7c<{;|_u;Ii`a4Ma0UiMM$-*6-UNdjF~Fr&gIj>k`+$6 zQG+-9SJ+sxBT8%}g-R16z`Idf8)f+pFc8xy6AKdx_a?hhpAH42C@s4KZI;*@4SoU2 z36VWcSW$xz}Uk3xQ04atO`Z6tJhfrD>JXfZlaF$ouJS3P%6w&Q6 z+pA{e2}2AfTu9<0#O~7>_@rEIEc#z0=cjDXNae5eDVf>0`2dBbpQ#MxNXu|32WAOu zO)t4ibIQs_>B7U=h-i7cZUsw2WMDk}(}wn8p=BQ6Qh5vqotNs

Z^RCGrwE^FtDP zmMWwzXfl_H2rI5aor_7yx74RcrR4kbuYbe0Uae|=jTB2UpLKgJuN@U6Q>hdx4!8~Jh(~56Qq;Ka635OewSo+UEm}NinI(v`SS5iIs(x?p z9n5Pp_L~79`Ps%6jj3+04dQsNSvcEZ;u*^t-Cn-|5anEMjsiCA?k3J5c71#$gP9y7TGi32>b}(p0r^ow4 zLi+RLOay~IiT7>aPFss8c?QVt=u$R4e)sRPXu~3NV}DlDW6{CA%sKTzbCd4xC$x!X z)^zPaJxSPYz2?A-0!2d;ElL?h7a}XO@Zo`7QHPZ|J{SiU!2aU@~GN^9KT57sUVn8JlUZOo9nip zdX-+-v;j6Jx)y%CfeKbQ=kjvwJv$U`-R}GrS(ys zMSE#^AX-ycJjL#ZvFDamAvi&)yqms22^iw_fUNF3M zoV&Qs=N`X7Ex;bVh(aL+WY_cnEz`Ya=9c+62Yw)?no1d3P3;AUq1uwck1hdHP=G*- zJpLqctlKqYskO!OkR9Dkk1w0gpYHAFxEU&^5c7go(q%)6k2mBG? z)KFmoOhJD5i$V9)d_Dun%*B^NB$L)T6Z8%3JQ2~U%T9E>R5-%pD#4j#l0!)&*(l1O zC4=mwU#{m7_1G8M<*<3>0W$wSq#Q3 zr&%YgGs$JACvoK?+lmM<{HR%Q_85IK8Z@l|=iz#?p`(h+;6uPuGW>*<(J~EglP6em zT6Nm6hz-RjeZx|EFd_R0_|k~8u;dw70%vkUk-g<8>`zdlt-?}?!r$L8kDqBq+iOL!{-nEGe#!S zgh`4#FHP1Z@w2gH#7N>zIf7F#!8@X>@?xOU@wtb@xWY~4zj)YDP+X3&p~e#Z;neod zY%I7(_5A$sk0)LgfC|c`8?V&cxRHZz_sVxa)Ijt0?uF{)>sH5v9U_Wgvi4(9xfB^BPQamx|ubqoSjq0PelR9O&NbPi0fJp zNZ-8VYNC<(0gqQC^H9iMqa`=J-%OkuZyi#ky3669`<05CJXtQ%MzKQ)Mw1H&WL6jm zUwrbk9^HKP>+erJHbOv~QZb9c>@Y~*Hd>j>aqU!i{Lh0CA@N0*Fjkh1DGMuNU9utQmI>gpOPCnU8b&Gc&lgt* zJaX;DF+!PSkf=nw*4U`aW(`HEQcuY`Glz|<^FFS#_;eBh6c)qL3Tw}4wwuxIq>0DC zM~K`R1nZzh6vj4!K?>wm#oA@sY8DGpy{wqWjIL7WrFbxI!=2&IapTl)i?s`i2i2u zyJzAx0$Xpc-5}zvoBn){_Mb%E>Gha^6xc~1CSQRY(d!>fvXvjRN||hlEKMZx*wY)5 zlu+UlO@tO)G>J|^&>>BFn#dGeyX)s89+g*)mOo3>Lnu}4`__EXM-QABE25o6>%MgTJhw3^NWRGbfMU;(ADO?sL6_P@9+~aDdHuIiexS{6qq&YPg zgFX3+uH#(nxf^{th$C$=xD}6Z)J0A`|u_+l`EYzZ@s zk1o^rB33-j<_G)+AoD8R_szQ8Zi>t!G7YaCN?#pAl| z>W!MQ4J1M@#VXM?k2dp*S6Y}`r5Mcv7HFZ|azO1hHxoOkxi9b*rO|D2kYn&3IKrrv z&A@=jJ|$yg)S?Tu}xk=^zmV(kBQj`|mkE34p{GDRHAH93&*>zbYNo zsap}c<{p$Roy$5qYH9=kIChHKWr2Y!4T3O-W-FC!! zfJxkx*_aC&4SG^aWYL=orBXj56+L>Fo?^iSW5|`1i`)$-=EtcM|EYkWz@i>vyF?vjcHnGo zuPQDWZ(c>`L%VM5CA!~P>+e5o)m*Zcpxn4I)znXH(|dP`M8nl&p6+1F36;Y&8|Diy ztcpR3;^spUC!69^f1s6sL6nw@_;gqXS!3lwry7`?btVO%(FJ!JA0KBH160Pp;n^f- z#>?a5{>kxXea@^u_vSrb`?2}{%v#!c%*Iw;Yj!o3tQNf;ikwVA=e|VGnK=ftCXF`> zC6udV`U!o(s>WeuT8&6#wWe7ZIs>MJKH;cV7)(gVR7r*;lLz2tILa1&_)Dzk4Di_a zs4s-WsR5DL^0bXi#DkzOmcrek`N~u0*9R(1O53ul#UE?!hYJx-=Z6q5>2B;LkSkzP9U7hpe)`~g~lT_+sf zx1(VQPp?G5F@3T@K;878DX=4rY(2#4U?`V{$~S;N+62V*@0FcvKCGD8W!C1K048aH z$WseTNfDeMm$csNEkD`A)4ZS?@g&b|nLXD9d3@ifSs(q(Pu|#^lms@rH66v352nto*)_okO1<-_bUr12I-t6DODU>JUfwpNhO{|rb_maBBN?c8KiQr@K!PX*Jr%DC8PLiUF=OAyCy>!INpY}aY`yOE% z0j-=p*pP?MvE4=>mqUEpYQmKPG08X20Y-f$a$%|PtUwv`0)}M#tmvHWBlVH`OCuQa z0~wZ_3Y;Pp#ao3xei4v{r6q?)0`g?qX)e6zd?It3cff&MfYw8XZLi&m0b0<71pZgT zEy$}pGntE`yUYrMjB22#v1#U*eB`r?*&p{Up@TvRR2%@dVea71|#%!Z-_EjYd;BQ(sm~$%t7VbqOD6;_A zMhPNla;oQ@lD8MRI3Olq6VyPQlY9drm1s+jswP(#t#Jj$a3rU@x*|Zvm9K0jR};`* zv+N}?5S;HUfD)0*vtiw{o5)neYDiA)$vZ?KJRCAOlaBD0qMz+_;ke7dvE57!g^JQhr3bl0^`@Q-Rm@_aXq{QgNxI z4U)gYtPyqW=ta7NVii)M^<2Ut|FQ^Q>H=qo!DfNN#AxGIX8<8|l%baWf3qSW=uEx(SXwknBPhG=#=?28&{UwA;n4x^Kw|5m{{o7cpUI*B4o2q#Ej3~tC{ z-29D>(z4wOCs3dYIVPYL7)*P`hTO#?a2FnEw9kFB+lcxX@V#KAv1I1qB~Tvsk!;}PWGY^;XlA~)f_1|>*XbP$ ziLWB|F#w?jE3IanRLsK8JbVZ&MJ(JM>yv+NpVbN-5wjH!3jokTW_#SWXo zaEnOTL>;fyAF~S%BP}>@#i$PX%s~{+(7N2Rg75H^9G3hA7+*k984wxEr?)4N}udgXa+zd|#7(_xkSZSN`T? zFF8`>(a|H#hMr0K;r_$T?$fnSPaN9Lq5EAz6!QOOr-Nprp>OQ_LpFHhAMl_deGw|! zl=@nhyN)>f8jpr$U|Gks6Ru)uca$fev9`-IiL7k}=+DaS#0i7+BF{FF=yfblY!pVL z=f}!XwBtd-WOX`(VVIqI+Z&u=?NFnJy-mH;U+c4#Svm7fZ!U;pHKEwn7|+!R6(WC< zoC?&(Zz&JZp<^`xzX*%{Vg#U;f$Lk0o3Aa3Z}FBb@u`V%L3x6=&Q3*(o(9jg&XIs@ zCwmP0l1PtIjIKU;Ki#&&({pTaTi7(HL6?d&nSOWksL*timi#F;rUXGC@IQ%z7DJ4U zX1|%Q#3w(_bMP*(Ixl-tK&E)miYe|+2o#TdJebbTWQG(*6*k{cQ7X6OT5d$WjK1>u zzd#3A;o-C8fkt+q1r(}nT5~S-*fNdbK+e$0^9At%3S;|B=y`DBJE`V$^~%E#PwhF}A< z!t!i|kb-aJg=|yyZE^k3P=vtPs)E=lkBM7{~0Hk}h4 zyf5iDygAYn6n$J!L3P-wmC%J*t01YaajDNFhR<~g%4r?r!8y|PXIcB9n6w@sdA?GV zZk&|{fv2<@*uanKi@qYT`4@+Xg2S>01;2at@Lqtnt1nz8+~+8 z4a_%Q_gbeWesF?GX!mFii{ALTxw<+r{G42P^u$o!@k(LaxXvOor!w&|>wws`(tyMe!rZD&4~Oe9>z(vD@C zf+0698j#;PkIZcGaE~TmGet~PFavNuHNB`=>8Gy;vZqa3=RGs8rE+Ksx5;ZnI+BgL zZ#1FkSwbzTpjzaY10<2hv6gC%1`?am6exUr6jhUc%wNrVe4V_x?d{h|IRHy*3@#_O z1lL5kL>YdOgf@CDmjeBl4>~T8qEZxTw0I%32uM0-lnSFjTq&Ky>?Rj+CxJ^@N#`oF z$b--d>GQw8se&#UYy~pbLT@23Hmj&FG#4Ob{HtrKjrC#w=#W-O@1Uc!fqu{H&lmE_ zX*5C~l7?ZG*(JmKpc1Pa{-5^V1x}8my7%uHkCnw3m}v$vUW7f}?iHi;L$Yv;!o6Hg z&-ThAv0zydpbvpqQez zK;n>q4gZjk1n|fq7yfzq|NT|Zqj$Gw^coX6-qLEOr@HFYsZ-~iI;Wl=`PMU`_bm0H z+Ck_E2erJiqdW~$pq4m=i`Udgc*qwvyv71JfZ~86JsOx1TS7%@!EdEfNxK}Z@^X0e z8<$}0jAgw*&2!N5J-!dpiG&<)lRpavWDL$os&T!b9b-c9j^Qbx#C!OS8dh`cYau31 zOhkl8GfYF#t#{mAWkB#O@fIjB3IIKF>~I6KG0;;WBM9h=9IO)2x5SD7y?JGt#ne(I zAZ6Gh9N!ggMNwMiFk^*R-L(XA`6#KZUtMAx{s_6|5F5|0Zf+t}Ai#(XZ3{slkba{H z7zx^a+cJOxJ;yjn7=^&0L`qfjDwV;2FH{w$t6XvLOksLQZd;5Y{o95SEY!b||vs-jFrVJ|~>HwrTf=|kTQ4H`BZ>xHtp5p`$e>rz!kqbJr8l<4nSpZ36 zRC8A7fSS}eqwYCOdU`F^mBfQQS|R~3USKT>(xjM@KY}Wv{Hi_MQ<(()D)9)@(c-L|lEnH%h{sh2q*eu~jSxrk zEW6qAclsj}j2cYVHebkbJXi#NIHti{s!h9gIIgh#^3SJw~1(Okjg?p{~luzB47<|eMNA=MbC0^HLv0I=+a zUWhS>UYhoJ$cT=d)*gORm}N5fvvU&3%#3$=i4zikrD&Vk;uSWWjCO84`{?igpt_06 z%&r}~%syQ7I0*SI-nv^VoV8qaH|vOJ&g}T?ogphl@N9NWa+=QlItyB}>d|}IlNEA2 zP|o{Ia9b_%Cvy`FogBf80cAP1Us&c_h0AMPiZ-jEle1UkCSPMtjGh_^CmEYNd*kQX zI>?%qZLHTJlM9%Q(~V0ad?|KKEt?$Kb&19(t~gT2?YuFwaR=iM2glgbv%}f=MvvWE zuiSw@$qisx{|>g3{-n!*%GE_q>Y2=0z-MR!eZ*)3KCXsIs*GIVuukH&&GPX108gx1 z*W@|lB$8O(X^e)4X@3 z#GRvIHde4LxOjYWor*JCURbsu(!d@1xp7*8L%+nx0@z{CK}cMIa5rN)pvHSG?g4vw zuLkdpV95n-BxKnaOO06Q#%>&X&3AsU`nDVGT4@Tekc=FsMeVa@@dW#Vh%@6$yGIN) z&=}!;RO$18h8R*XDo=0WdaOKoe56$M`7mpJc*eV@DbW*3uYnVWDnuIHlb3n;7e}f~ zZEfh}v@E`Nu(*N28lb+qqK|2d#UHG3b0S7#G~A$3Awz^C5JLJ(qes9N`UWZb)B7L^ z$uy1u{o}Q+%1ikXT68kX>>imZ1m#SCLj&Y~dJ z3o+0JFmyingTnnjfK+rr`_NEeM^rNnUr;C<5_3Yp7mcARqX8)!^3%8a7Al4&UHi6Y zRVnb!Nm?H+sJ|MPtWeR=+P%Tf?q;Rv#tKG8R-mCOoCXH<96q2*ly=7_IX?#4Gd z3~qjqJy0+Atv8x|QXoyu+pAvafJ(QsID;8DoxCKYf}xUKEV^OK5n6&l2aq}(soEOO zVRImOY+-X2O|vmz0~Y9U)jSPoT8Qt~r+&A}iG!Oxtt{E-F?NBGbPA*tDLz=h;7Uqel1~E=muwQ8>1%#x-`TAX}=c4_&KM(l`MJgF*YIB8EL`^w=Bg zW@N+M5q3n7w6S0}Jvp^xW-uQ3h<9C`ZEmhmW-S<^k7u)1*w_|A0G3{}=Gd+t{oJ~g zL6Oew^4(2Uy+f4EN|JBX;#Do3d%;-U513T>%p&K& zT2;X~mgEH?cBd3Uw2O;R^$_HbzW1$FIusP~MsL<*YA*C(!CGde-EKw?lJ>be~%>$?9C#!$kbqjE-okO;)(fqm<=SbT#MYUsH!N^#A#FOVRO~daF+R+(&rnGUB;( zMzm*#7j|P9HC*RljS^V7jjb#InC>{`(;28=u0c9RP_TyKF_xrId~H>U1nl7$d1U-q zeh{F=oFLc%L)4bcjs^8)%Qmo+pgwYNk^!t0S9%<=6i#KKSAJwyrm@XmO7k7rw+1;o zRLp?|<_RYMNVpf^XkFGzz*w9FV;w)+>>WE?X2(Q5aKEz-(tfF(AV%V!t ze+(Oqu=}B2%?13iXb~=t+;YZ1ZJ=cc@*hndBpIp>A-d{&Pv_ACdTA%>#{3Xy%j}gBXsh+NAAloBp%o|O&(VlAz|xPw(1G>= z1}cs``_LJFBO@%KHLKLv)NesJn5@BS2IAuYp;SED2rUz4wuVvbc@LL$<}?*+%bBSV z$$?Elgm@&!w_HVtufr$|jSOyWMv9DsDMm`c45JbkazW?^&Z0B1t}fYaDYs@1DmA$o zT{QuCP)ywlzJ6duSd(HG_=LfAeW=0;U3#eo$cW;u3a~zKa4xbVZJfMg9ou~0>MQ4+^RU;HrdZaw)n8*-v)m{;%gf!l(2jPoos?oq_gBJQHFoqs zClLXf0FEc1qUW?vHY{OjlUJ>*WR;FbzL(eAg@aZ0>9IH_d1 zF|zwL_aijUyS3WD#v{}zD^4xUS~oj4)JHD3k|Srm8`zG+PQ~2^-RkDqe4Vut4^3w_ zPTx{J!ff!yJ9p(S*v_;whs~Pn*41bTI{ynUM!x0U6z!|A)1kFjv$@Fop3rA`$8`DV zAO77;A;LxEghLeAmT%){QvSxNpt@-TjAG61X1Rsuu&D<}kzoP}+PTc(n=KmbnZTpk zymvh7Tb%K3P{+L=&EU;jGp=5Pk;y|gyf6O5!t^4cbrUJs#HAt7n?mcW6&4zx2@wr^ zY3xvZErtfZ?t{Z5j-#L79!8U9q=7rk1wu;-_7}KU`&^pqzGWYG>4CT4;{}W)&>Ct} zw*GKO(w*-3Hz)*RNVH^HO0EnHE}PeZC?jm7$&a-DW%W$q@;dCHv%0wcdN(IQqi#GO zU69`l4qhVz{J2x6$?J(>>S^4_MX&5dc(IODt*Up9sSQLlejhh8*6(9fw^Cw-R zGPNFpJr>YY82-19S}=#164`iAqX$~W;qAs}B`3zrWRa6W%`z?YlfZCzPsz8;OWtO1RPW&~`gRS_GfnT&);Q<8t}k+E9Qn=u{oxx*|h zjCM@!&e9cgv@a$fOBDPn@PLYGQh`YIsJ}$QaNM)<-H( z8WibI%@_m4v)&>edWh78u6*>F8bRP>E6qxoQ&%(!u{+nfdc2-RryZuUorN6qfVWSZ zIef`SJgy)ju^%SKJ63C$0(Flg#%GA}s9T_Lp+ZJ3B9x`xKmO_^TuCl>f9^>vm-W8{ zD*Y7P&WOPRH@)_}W4h_b_Vu55Ao2C;l{?}qtrFW{zIJ|D#=7Ad76f3%(<4g@K)qcV zHXPP{M6)`A2f|htV|T(D-9M9)511`IwEplz3tyCxwEDtmqY&EBb6=NXCKFn(`Ll-j zOhaF2RJQuk>qgNwD?G?V1q&?QRpvIk9n#zK; z8(FK2J+D-_%H%*YZFN=_s8$YF^oH7EBQng#F_ux5UpU^{rmnLaT0iyk9P!9KvsuUT z)^i2igrBvx)@G|Yhe6vBlwXrIwTuYtXk=JSQ*7q;GMvCl5NH(HJ8DaF>)pbvXT8*+ z2)~@+D41MWX@uD_>+l;H*HhO-NmYLOrNgRfxfW%uE8c&WQ``ju$-h|dFM)tol`#fN z-P+{2vx-9PRnAh)M$TGgmjMZYCY?e#v$e*o3oY+A!kk-f)^fhZnu{oCENPIyw<^G7 zpUYI{@i&}tzW>mHjO|&DS9RHwu(>vwwN@=>^)S^wQe7HM(=Fi|vAY;so@O?cywJ&1 zaM$cvZ>f94bA#n(x_&h{KjPcDn`(P)??^>%Wu;WNE0xMm(Qwc%&uEN0o?#tr-UU=O zSH8D+=wHreF|&WZ!)}f!v#0RE;)R8P6AoD{SDcMtrHwVN`q@_C*dFi*Apob^u%DD| zs6mOl5?Nx?v~vNT_sC5EwyRNwE%K~t526V>SAoWr<+;m7xE{l=?1Q2l6f)wv%SF2i z>v+885(d(~_m96<%{tpwKtiunrmc@y)-KK-ypcWWp_T2lgR#^Iw%+3_Prb$t~EELOTuhJd=_?Wk-AXIJ!G&bmP(bQ#AOxohv)Vh(3N{N`(a zf(llMtmT>b$?_u@Q!ovC0gyDvBWMKh2*KUDi?RbB&;-eNr{Mheifa*8(r5XDHb}B& zXY$-c#S|{+wS$VawVR4}Ib1OPHmK{{#6T>DDos35lzi2z&MJ-7+zd*_&+5?7Mu-#5 zMc4=yM>ORa^#i^UQUQqrqh_X1zXBwKys0sV59NHf0~|SXk+IB+R(n`A0#eJu0wbjr zO!fIr+rb9yKLt2aaul{Dg9rVghTcZ=Nk*%n#N93zwi+JA+Y)SUivamT+QB#X!+B z!m)$e;#!)h-iPl6dT;IXIxX<|ER+FX$(keqWebhPTSQTvEB^M1THVd8@Ijt^-v+zD ztFl_ChqiL!j)=on6q>-Fafm77ftk=vXh@_e3|SbJj&|+E!iGRe3QFpbnVb;TMDfuu ziBxabZunjX7N2ly!ZFxd<2@6;`T_EO3_=+zR`H&Xo~b7;i1+x+FF7KjBV6!O5Yykh zrcUg-fUc^X#FhttRnetYN80d!-X@N31(jfxC)z)9&4#Q)|G@xicFZl<^cS##eZ)Dk zv7%)@lQmWt=C#-|RCWjojeL&x<5)2tJ%qK03z(ZaW9rr-74U81k?Gk&g(0=73UFEbp>;t4xq%8Y|NqC2wI)i}>AFP3=37@%|cb8Yr@ z@Ky5J0Y1^(?*#sMhRWH&de<)A@41u3$jwepyR~AJHP3D%OK+K#N}h7*6 z$3Nyy=Egr&$p$#u){C<*E9&7Dxgvg;Nr>;)oH;O_Eq|)Qf`*UlTA09I`P)l$$4-oC zmE$!zW#T}=pV8?VBlxQCq#6F(I!_DX{ zRr5GgIOH90H_Gh?uLExWpgV5o#w>~)=IY{s0;P>+Sjz4>2ng5JSRMluySJ=!;EOgRL0i; zB0JI%i7C@Kz=5iwjBB|}>{B9yX|mgfCkQACWqs8jp_qe6BTH;$W3lzl_j4b(YfAOb z&v;rmzyva9KrkA>p~zaKkcBkR)i>_4NP-NaG+3K}4hvBG3?c+>euos(?h%=8_`Zx8 z$eEU!3lu|s%A*UJ%&mOw86F}53s~$VC1EiKS1e<3!r2?|)O|i|QNbw*vgpIzZBa7% zl2IZd;_?9?1O#tb7B||A7z0S}O@W9nwJ&Rl&Aa|uyS^YR`!Dmf%M9&i`B&#WODD!q zh|eyOHj*UrGN^WdX{=@i>4yCNR=l5|L2mLB4p`K&`*_9U#(2K|njMK{ab}8b&p%tFI@sLbFq~ z_NalhG6XT_T&Py;T*Ora0VtW0oRW@S{Nsrg0x#vdn)u4+xyN3d?%N$YD-}-t9-z)u z&)v&+Hazz14|^M3TGvry@johOWIw}-^7p$zEMb=_9E(SjyXunI9dy9vnt<_4!>yHO z;SgEQ6E!dEPjReou)Y@6iaTmm{#RVYN&na-IXiaGuRp_pjSa7E3GIhuq4VGIy8#b$ zLPPkq&if5nCj6IJMpmG49wPXoQ@7je&eQ1Jxcub8zxaNf($fKz2%iDV2U?C0CeS9-C(`G^I}XODuG*G z^4SMc3UH9Hg79FeT)+Zca6lS!Xadt-sMg#%tv*ly2*^)0Ggjl?B4r^aOx^%ru=yXA zv&489@sGam>Bp-O{|ODty2Md0YT*{w_vQxji_fKpn|l}TH% z1FHvXGP7AZVA&I4$OwS6YdOmLRZTov_gwsRjS`kBST?S45*!xJ5%yIu&FU4K$<{h5 zS?yK66lzbSM8Oj!?T=WEOTB;zW~dgKXR3J!e1sZQ0(oZVGgjFRvz}WiZx1<~%_?VRoXc`+E{iyIzwAOq zYrc;a>5F4A;vmiy&}AY>>azILuW@ zYH@H#+032NzzrBk3k4uyCX}16XF*p%~~ItvQ~ub%6IU6 zgB-3sDMFhA^rkb7tfR56Sc8-)yS$(Jl@0|Ns_K<%?xuQag6IZ=4jdlqDFvO0$2q>- zxd*5EymP7S8SVyGlfgmNd+f8fQ3d0Rl{3q8RLy`N&seAvLK)!#_1I2soMDZMR0`k< zkCZ{m@GljWh}7zqU)jSZRRlmDE>pHIS=KHfH2FC9q}g}+gYg#U1T+a=|%oeR!XDG6qfkT5zBcmEt>Qa#v2%G05 z7M}xg07jXWvg>60)=MTl%i1q$RkK_>mMv#IpjLKK7$=*qs>7Ol8Nb^ap^S*t)O~(r z#%~<(Y65x2cZzBky7!KMs_6 z96{BHL;O_Db$;{Rdijqhen|m|Y|>%#Ll2Bmp2^n+BF#@|z5WQFdFK}6z`ySxUE+&TuN9K(PFib43rz)LUd`V;vNIu@4P@oG_rcZ=`L0^@Q$AI zxNOaGW)$){n=Zj%zR(FiRb%8bIuzBBk&*Um>lXJp``t!nsjJrwdrj1epmd@8zM%J@ zWL{IoOQ#!ngu6%Zwwc`lUtZI)HSdCe8(>DR(5P=HyVAbvhG$U+U{fusk)@X%VgBQe>vlIe|oV9kxSs~ENVaCeeKo45>Ywq2( zT!jh>1+DqQN9Jw4@LXAS-nv_BqR|hc2srJmQKP85C(rvTrBdM;?!8_r9H=gkq+J?dI8Z3>j4WI2$9j`{0 zv}6Kqw(=DXQQ|;Y1*DlbtS`<^h$v!!R(OM_LghtT#c@V%JZ}X9BZp}xE;XL6W-Z(~ ztNL{yS%+$8mNVshBLpH--(4ZcC`7I9cOe@sWXA^V?vZk?0}gdN{>hpQj4#PVVdgPs zedJG{7D3$x^t0bxc)r7oILFM9f1~?I4HA;=jgN_A z6+h%ak-6{MIjyS6XsvX}*zjhf_h7#_f-Tc^%lf$wnE^upSm#iwysM_4Fy~G|U!v{O zIoZf6AE}8^BtV_Tev#r```j8-$V!I9dt$tO4!|zVl`~e*lt}{m{&j0P7G4@m_f0ii zxxBpkKcOKjBpX1iHuiLTiEy0(VRCz~KUlV;?t7GBIn6u-Ipwu$GuE0^BpxI11Rz42P_im8Xl^Y+X_FF6JA-{Wq?AY&N>6R*Np*8%?}@@BPulG}8^HpyJJi=35H;&o9~M z+zzFH3no_Va4%R_F{nLs(T`NKTiqJc2iGtR7}7i;!kR@`<2THvz-b}> zdT*?n$y2^`L7wP5 z+Qro5AuthrKJfop<)M`ExWmF^hrZkvBfSD%p2Kuxq-zYKZ(zDC7XVVj89vgqAhf={ zIuVD}6)^Y#GJr?Z^$n!rJwqVuKM#C=QiHfDi7&c65lJE(A~27=%Cz*I)Qr3cHUlP{ z(Zg^@CqD7r8vl6Wmr)kLCGF&3#MLJm*s3;|MJBl(V z4Zfs@gHjQA)G@n>;~Z=l11vRT*Jx_$4qzxHHv^~;A_alg>ak=jB;XIc(@EAz8YqQ$ zvJbD{JZ^fYI5|hFDk1+6lKuf#I>wZ_hEzMJRplCYlsqg;9ug^yhl=MAVi8p zg0Ud9et&;2&M*!lQI&=mtRT$97o;$F^dNMfe)WUhN1eQb5@87%;-ipGxORHU3s`5+S>7z0`X#|4GtHiz12W&eSTk{`h0P zOr%1^preJW=7oE&=05=p))lGL5DUZB3lnh+Y=Z=>z)=s77NJPIocE~e8-)9COY760 z=;eT4VJ-CwMmP3e%zu)iqiPa1)WiI@{C?!w2~H5#GCT@YJ_aExGaB{=Rd`(R7lu}J zSt3&00IDRVSrYN{ACX;kd9m4$O5oO(KS*TqX*9{Zm@N&9PEuN+NDn2uK{?Xeq4l+& z?4*X%uwD?#SlrT5cay4xVHiNBL;drsyGhkeLk2ZkA8v0amCm3?7tf#|`$7K8$9s^$ z7nL9kj@9?5AyA-wp+0ah0aZz9GvqG4kvmCYGO+^k(+}>U@8Xbj0wj=7K!|kVY^Out ztCxnb`q#;v_-=U*Bz_4ZsS(iB#O;(KY>ce>zka;%`&)W|#RQWy2Bd{Gd+`gND3+-% z#7A~TYUg+J=7cFGV6YBLpkuCB0IwY(^FziMk|FA*C0l!b!njdCCVmhXr3-x*uzI~5 zT9V48(yaB(dwa!EC3QQp^o%vqdo%ATHXPwI;hffPR%`mkL>@Gx(T#Z!9zmI(dXI@p9gybg9G* zR7jkP_S$VpwBdSbOL`jV_d$@2P1v6$zjJX971M1|x=1J$+k2DpBo9eU1UdSoZ5?4` zr4!T}Q_Hw7LIn%}4zdO~)?a#qT)d_Om2VUl-61?7gCaeBoLZ7kA1W1Zlu!aj=W*>9 z5;TUiMhi>3q_#+$i$+k>8hbsp_}0{~ci{7FEM?>$(j{USJPM?GL&z&pNuyTlvWFAd zXtKDG2V2x|xjc_FcmR9Ba}p4dV3|=O??4Sf2LOgKt`Ihj2CWx=IRRLvEI5F!bYTC0 zK;Y4!%z}Qp=U*9@>jbGt&_w}Z^gyuzO-3uwYR1b<<(SrY_H>}~EvALdBol(0cr))2 z@sVl*U-LKbt$seRIi3MGuRxZ>F_Dp=!49E_C2mOXGOS16ndpnalaUX@J9Hh$S_&rZ zC}XNKmxNAD?>t+}5(XND8)s8rgP>9s`Qx;1KYTAs7{F8wMHw4s={;ABtWilaq9B`L z%d~p6>VMa(Efj+ds;57*X%e{h!WcmyugL(<6M>JC(>OOLH zV$6Z0mLl#0OQUf>R~E^{VMkO1_Om(!6M$ z0hr215bK&QFscj`OjmqM!T~A$n-W%D{>)yiK~_s$`>Bz3QZMeD=jVi{xDCtfUgL?xm(0NTff{OVVFSrAtgojNr> z)CUaW1gQ>c)CXN_<;OBTz*1SXmK?!!u4yMl4iFf~h3-n@uGS~M-vg>q1bO=!sWBn( zYTl#KLvmI~4&Bo0_1wL^TvB*4FGvsSX{dD4%3?6hCSx9cUl>}Wf0T@r4uvNNQ`d+h z`c`c$((#Zc?JszC0T{aa#KmUws=vKi(`t71DR zY6&8MDok%y&?X>u9v}WEvsf$ssfI(E7-oWq=_C3b(57Rh;Y_2aw#cDhPYRxFO(Ro= znKg3K!C>Jx1QrvCq0j+o6@27vN&REw#I#|bKDJYYiZy*JzD+6u55G0((W|9J1~HVH zvI8dN8*J6D&@QQ3<*KjDfh*%%VM>|9RE#(u!R{NR+~Eak zW?YPg>DK;5qLbrDO#T_ulU5#NKS-*<7HdaMk=k%qXxTz1D6z2-1sx>O_|BxJiS46Pg4P}>b69OT2ugfj*^NAXCg z>CVzoZhi6}x^U?~=%XahMqZ4H?!BD%WN<(Z!5Ge)_fkKvxM!{=lEV?J`$0q&y8%_~ z+^_u(B~>zI!%HHEm~;?lP&|aAiqdhZEcb9B;nIPI>3dOez)zQ~cCC%}Hr12!kw%NV z-jxt;_!woOZcFEm6dzVAy~afXfQ_f)xbvUPAU@^i zYMO2|4Q%UsbJ)Nb2BK(M+D;+xtfoZMoo^DY-I?e#Ag38O5*d)4R2FXojwJ=QhOyJi zZ|y(7gMyhYi^mJ#SJ_~_Hw&IfU`Ujz(XHzbCOZoTLeOBcN7cur=ZFiChs2YLPDS>! zFX|y8`J$!N!m2ZX)M*?9)mEJbq_Jb6sbV3dNg6S;-k?NB&C)ERhi*Tqj^(V?a)6a$NkLIu*@UF z#huzL{AR38)$UjH&U=zR7aTxpb=~RB&;}3f`7@(<>10|tmV`<(VWlFReY%q_(lN=? zY4q?liFPv6F_KI~H4SvWo+zEAp$x zqT%}VF73qNiT`c9lQi96UGZ0m;!t|xL>ym@V)Tkz@MLNt(COi>Hzwa;bf|n-KvYZ5 zp;%3y=}&b^74+6GeX5sRh?<2(GM;E8{tv!B;iF48t2+ra-L(KxH$v5* zwbTnr92SOuO{@o_Cy6@;#1UqMazO7F#3<_c`ijdHf0j_XZFHe4G(gJwL6w~hi9-FD7c@-MmM`zoLhXfmAta{lNzkd1wTIGpmJC+oO|KcC7d}9bc>Uz=lpr zzSTp3rX(rJB#9K}5&UQbWHP-^U3pnzK!gl1I3hIAmaeMTp-w9>8+?=oPUYYo5bhQ>yn2QTpx zzg3*B3ij!`TW@V9(n~KGGSGs9aW?%d&4*?))4{3wul1FG>j7795BH-1)q4$66!4uH zdK0mw08<<0(03EW);N_>Dr&~m$z3Fg;e&Xf4N7`A{lWk}Cq>s@2Ai3cUZ1V^hgdWJ(=m1ip z7d5A+_L*0-ezyKO;cCEo!ULlM%r)eYlA#?%D;syYGx?vAvUTqriC7KA31|*YIzT@KPm&A9vfWZLw4>A@{DUO&x>7ZC>z>2}3uuUbvQKID0f5zu zs&c^1rPKK3*Y?m-1rclkZL@Xn-JB;9P`vsbW4NTUUF)ms5_upO#DFUXt5N9{dDYUV zY1L{ylw2J{#bj2%3`QR$iktTc`3=6R8r(MTt@*j~iiDms>2k8A(+9NL0Ajn1&I)AH z@xA^R65XmCIjXNF6L|+oo_aDvU@>x^iM-Z#FGy5`2JU7WEFHpD9Hc8Q=%^g5nC2$aFxJ-)7@fZk-_RvL=6b&boJFvAHOx-l;kueoOf?Rfwnv3 zpiS&lX^mm1C4k5mG~pp#`d&4jC=p5+F_CPgqS8()4G3WU@6+6^7r!9^7uF{++)rC3 zqUS%F&Qfe)R3}wTmA{8Sm+56x>KsG>BQvAYdo%yZkif{lX+>HyC0zH`u0 z4UvvyYulT9sFPg$3*!Jh6@@r0NE)6ofc3F3w0{1ddXbVHjS2IembRS`8AFQkE5Nj$ z^mNZcRvzJLcv6G!gCPUc^hah;jW`H%1S2Kvyf!gh zCoILdB$b9w<(e3VD4{mvtDn-|`Sm&a!T8RkHo7^pt{pYVLdpN==No> z(c1blc~a`wj#Bpx1K|zyvE1(Y<4i9%Z@W}*7wgFT)22A+|hN9^b@q(8pP(`|=Yi0DLH$t2i5@~1=Ow-GL z_=R(tN(n)9fauCwHSMXg(VsqtLh`y#B<70SdX+j23Lx1Q z0qlCAFhBg=O^F!emzmo1N!?BxJHRM+x&aA60z~KWs!t}BwmJg+Au3RuK&Mc{?ux;4qxQim^gQKz3ywhja-Ekl6xdbK{Bm<^zO zTp&=DACk_79k6ODlFB^qL?ymk56rPTXareyW~w2zE!tVt$(s(J41LgjATc?lzCh)x ze(7z|h8swy$tu7=NvG~tcP6k`+tOI#n?5E=J27vKMU14WcS;F6e>7=th!^4(mbo^F z5(X44klqNbHMb0MzvjGACu&AL z2jVGBq-yt3Zms560w`p!!m#>pv}fYg@ju@4tz;ts3LX@7N`dwTqagkvh{my-#{Bunb0Za)b_prMA+xw&Od z#_nVzr6KD;G@*_mY5&SU@QVq9P)s5fMDl^2R~M`#Fs(@+`9PwkKm%#;9TYS&W4eGg z#tYN7-hFo>vP~@6t+_#+au509GG|bwgXErR^pZt}(;*mz1>=32Vq8 z04HD^7*neYX~Bbp5V;a#r=jrR`!l^Ps+#n`z@CNVkV_Qn3$*of@9qILZH3v|XW+1# zFjhSM@NB(eM>i!zm0AVSc$OsbV&0>$l;-UR)w=oH$?02lnQ#tX{XoZ4vVx!pavvq| z)dv!YX#T_?BClwmL7dt`9Z2W>ds4Pw#Mo|7FWhI)shv};CBZWI8bh)Jvh&^geo_^x zix-|EvhgH3$rgMAnbuX^7Q9l#<8PYFTW0#is1W1GrRIqv!B*M>0;ln;)%yFtOk^gR z5T-{osv+@a-Xl<99rHQwjeh<~B@s#A0%0{S7Dyoa2cx+AH^AdRlTh72ITphj+2TX( zm0tD#aY+IhbgQw(-k<6@H*6wLC(g$Y~H=M$0A!A5JVqa92DF*G?< zy99vFW9ysObRPLuQbbS!H3(U}3)8KbuSE9l-rK5*a zQngn8Z6a1IihTi|gHVlMnrbETb{<==`;E>c-zrro7L0nw?=OXoaGT>*r|s$0l}g#pl_Mut_qj@8FE^D{4%lHi+V9(& z#yP&(t&Z%SsN`6n)@V-b2&>mN8}5B;_GU}<#z!5_JFiUMS@TOV-ZGro{OBZtcR9MS8B7+;Q;Ld=V;-2j{ za!V=K+v?Q)X|4yb8yvmPZK7MEDF3Z6Tg|QW4%*|n@!T36mtERe=hg^L;x1oO=UR(k zdjuX{^qJz)oNw8qS-+eu-{?hLR(Da|EpP*wo41?iZ}<1v8=HqK`FeeXyVDQ5`^L*| z?QSo;vbi;^M|)k)#coX8UfRcz+=nV*j!O&13u`KzoV}(};kJl9*%_{>E`|Bq>$>H4 zG}m}xWh(1#ZPrI`GV|791IRsfK}6Z;dN_Oo%6|5<)-{zBa*S2u)Pc=(Wva}&Qxb4~v2Ws^VP1lWb^}UzY*XdIE zy#TetT^!%Nx-!~4f7gB*^4i*l=8a2cyn4M>-xJ+770w2;mxun+9o$WSf9c%HUe`T; zzcU^>`@L~*`SMGH<+D51HVu|y}|LOPcr3ym|JD-ynRy=N)Ws!Vg zczD?}#xr}H8P^%JZ!cZ6X6dp@SXk}mYX_Zu-udn=ZY8?j{v&UX>)%>*UuqvJ+85qZ ziM9vjT)4+MQrS|iWcF~o%AV-nEu+yvXP0+i+lI`zKOH*RXz#uCu>6WjY0IANet8=w zkXJ%?)XTKE>ETdtiD&P*+q=YDez2Cmwz;=f|5i}Qo$GCxsZC`3x76XD4F|ky>({Pv zmmaL0>ji%Ostsq9@)d4t9T~g5bp9>ex@p55&gus}*ZnECQt~c5;_Y&;tk{RWMlHIe z5{?JCS{T};y>7;~w>CFq!ph$L+~&7uzq6&*cy`4J&)D5`izD3ly|rmKwoP(|{GO

I&YddaYwsqbAqA?FH z?n^E^#RIP&RaCjLg7oJ400}P7M(kn(K`BjWWPKgMU;W;<&*NSKQdhG< zczv;k!p}~GPR81%q-5&AZRevP?n31UhxIG?{0{=uDA;gKlp9ZK)hLw?3vRsryJt6m zX6`9ox&*_fc?%baIQgZ*UY3ghlrwxNs7?THph2417;lOR8cJ;R4CW3QfIg88xQv2}`}* zEcYAppcu01;)mACSCU~r;L3${S>KB+`l0gXh}0E3=TgF|paKl^G^x4zAe62zpYIxm zQ@yx&33!crQBj2vgr>Q=WbgBq=Nd~&QK{U_Rn$2Ho=#Fqxb+Inm{z$R!{Hkq4fG=f zBT^`oxm2i{gJk8^B?$|GA0|v>h`rUTpiFhq8Ns+S_KZDsM%BwUY9ril;c@lw%J)6P z$~R34%=qi=9eyz3yZojYW6#F90niAQN~IXCGa&g;ppyfoim(?u8YFzZxaup<K zSDKldSGk~#8xkz1DxxyF!I)4d#Ij<3l^sIHl&i~=O=1HrPHUiBSKS)Vc1+2JkB4bkm!cH*!#kZUdQ)NZQfoo`?H5m+RA{}K{ zw`rLA!}W$+&F=u}-*u-kE2(2u6w}4p25Uh1rl2-ZeHr76>ukD@iX^pwx^cW>K<<0z zUCV7gx=hXSN{u62R$lf!=gRH8>!=K+Q?O|ftm%~sl$T&sdu2w=51ksBDJRUVcep`JFWjb`3*0Gpd(90hQ_Xu} zP6&)>R{~0vJo&4LYdJ4_q>?GqDKz}-dgn;-{2P|uTbu|B>%Qdqf9ieF3w_*r@=^Flepf6Ti9U_is!1!z^2w*tBe7^9u(F$S9>nnm(nYTy^1j45}Bq;G?&m< z+=pM59j$YH@0gp}=$7os&DX4O{LR;tmez{VHiuhG{jpkHU&FeTNPxH|=vSEwf5p-K zxjwAxm&uvs)>Jn@0^tZ(Y>kZa9sfP{_ph2MjFk=)xSiL|UU;R;O|^xe@&2Z;-n zHTLKYL2%G7O)fo9xN(!aLRNG{X>+23KOdD za)Vj!lMRB^H($WTwcO?Fjjgs1+hd=yGmL+XUg=$(8}*9^3sv)q`*k}(2oSi6sl+|I zTvcl#N_k`|@JG25dVgV3H>U#K4_>`LIzAmA1MrQBTG8Il>#f^;>AghlIy-+si& zI91B=E+|<3Okr~A62|21lIQ5sr*4RUm@9rM8Vt-OP7R7Kjq<@&u-xct%IDTz72Ke0 zETk3wGlgI3Y1C{6exWxLj=sy$1?Sq$ZsFV~JGrUG?Yq>bZ|Sgt9oKx6dI!2cm>jxT zI2eZkfO4*Z{f8bhWYQv?$o-?ENV=@iweH`{+0CY1upuv zxt-Y8mC3%+6mu%eq*pTKQ&3s7Zu|$^P3A;o8rZk^CV>yjOS(l*2uhj!sZ4%XP>wr4 zQ{6=Mh~n~N+J=6_E?q5!!kUSAokc*tb|4{*hdZn)Q5}k}dbB14xiXlHpDc47H3YWM zZ-I*CwI**gkwNJlu#?_nulNh@w36&rH`x`zLyjhMha;0CqFkz@F}%*rwljsp5p}al z=ay7ueQYeQiMeX>HW-4$ha>JhM&wIg)^gXNb~bKSgf)5FI#GIR1jVy4qS=G!vHL&E zy}@Wk6qo;2VwTv!n`(A`i8WP%01=f&i&jI(x39(z?$5=nGYEr{i&^M{uy0LOve2#l zVlw24@m!VC{6IO%*{GbgTKj)|>$DSR9Sga|E3>awE@bC{qznL}w%Y~qI{=qM(w8o~+uz>2$$!(t^De#Y zq52PQtUa%I*+W}?aMSkJZwueO`>M);rAr@r$M4;Dz+U>$i|^*^+`W&kx!dPE()TyG zxjVX!hwaUq{U6-;qy$nq=$V^| zQ3UMra3 zx>=;MoUK++^}g%lcVTYSgy2**;Im{@cch#C&&GqrKYFH!AlwZ>-oUUnF&5;^U zqGRS|xX^BWvk8T$039!P<1wftvbYxhk3WToEQhyEQFQqfC6=YY$Nu1@VC*1o4L>&) zaamy_%sD|R@A|Y00*IYKx;R9g2N_DZ;O#d^7_~YBkt9|P5&)QLx`B&KeB`yy0*qy0 zRpO6vS*q{(Lf_NWXWPP*$)pgH8))s&GjGZm^w^7xVe*nj_V|>y4Icch{mZkfXT+wt zR0bmi7zbS_23S59neX_s4Id<^oX8+bb%S|qeR`rn7pDKdEJi;+YX^2vA`jM-h=TPc z=JPV>@n?BaWatVng3JG)VVi2S6Z5)}edM_#dMz#?Y%^U964v7v^(2Hy|J%=jiLoR;%X1Zk z*8Db%YOGmsw;ucgqf8!tD$iUqJ7Mk(Ryr{1tpaZYY{TOVY5~3M!pm+imM4RAHFMrI za)BKWPMgS75ot$Mbg+7GLE1s6a%1*L2VecB_cOFm2|N-69%VOv7a#y^|GRu^8~eG?(YKTg7A*s)-P)^H#gX zn8{U11S2Pwb@NL*hYR|?x7BwhI!E`R%<#YhlU>;&Y78af280D-P)H*q7cmjyn693A z_s>X*y>ce+n#M!T(kRN=)wUtvdO`Qbb}OK2w;RjoL_JF_oSXY2d#gCX*_GGNH1vAF<8692^E(Q&Fv*zx1B5QHii%t|Fg z1tu>bMSg@Sn}{;CoX032Mu;jC*h`Wvj((b@TE#MmekEPd@kyRXutW%3WHLRcZqG%l@Q| z+f5H8O`JXp7kzuCfERi$N;ErDc+OkA*}|I1SFW2WTpk2lmTq$WRngd=)l)>(;Z<{JsVNC)s@nW z%Pqo12=3L~3u=7h!A58kB^a+|?3K?y)A$Qlx{iepv+r)y6*de%KRk#)2(I3MGa1?dq(&~GDCpYShjD8)<(37Lb zo$nr=lvTR$%4>pZ)`HA99=O=X@iNu2tljmI`E{E#idguVwk*@1CdxCSGUHY=Yd;T8 z9yKjklTik(HW`Ii?%>*9$cG3pI#UhLJ%eg@RlE zrZHjYS7ofBy4to(bBTQ06pIhJ!x6W}A!d{I4_)a^`DlXpwb41WifRR9HJIU4%X)M# zC2w?{YE9!8lo0xxH1LwS`e1<}n6aD}yQ)+x(M*YA;&SCq1^_aCB~E4zs)=w1pdx<0 zy2^H?4y;3#pi_xLt+C%^qDcc87u7}`f73eaq6jM&wGD0PI^ly)GI-tL8B#H?i=pMS)z^5mStCPvRIVy1S24`wlA&G997h-CXk=h@u;OvWaVIeAdEUjN#=Z1ja3FRwU+~d)N7q&E_HS7I72{{#VB>G@cHfMLiKF?L z`;_uD|MfLE9odU)T+OlU3mnEX&Bytf!*P^DlO_XwiqZYdtwCcLpyBJg9pK~6qrO>C zVcd`9&uk{6d9I2>dO_{gCo~qky6N?ek^CkXQ>>Qt%D31amQ<i9x>*+I$)cPQ)0cMvR4Ee@3nCw?LHGg!)8wE_(U%q+C()<$J zYdHQAjd^>qVQ5qceJnlq?~$LVGC72Hb)?MLHBw^qF(w$;%VKh4?D-wUbRfMatx~oY&d8in!nHP7QKOg)Y{? z;P)t;JdLf^J3nqUF`}9I%9^P_!8!$a76VMrLt_B3+5>0_^ht+%zQ{nBp&=mRDxVU( z>uyJD$WhtEe}fpDbxPq^?}rQIi2zQi$Gsd`j8`EEz*nKsAVDTG+Tb-=@gRZ^b zKWXbP3&1zsayy5|016z7v<(IR+Oe(ET|5E0BFCDW2QfP3K%F}N#hw2v7f)dwh^MgL z;=aR7W&QN(uPn}CF(bzu)=oc%9B#x;*T1fk>psv7Cm4#qtDRgO+xP@CFR%f3zW5np zkytettWr@6j<1~j(PBtSSWUq|^33DBk3QhczfVb^e#>HEZELsp_ zJ^B&UV=uHBr5ABRPmkU{cPHBkWuBL~^{Ol9#hk)V7_E$AA4({;zVW%C05U*^AOc-v zhE1|A;8T4VHe$_FMW~a%di1Z~(0M-fZ`$(o#Y~;bP(uETzoC)O;hOJ#E&ikb_gh23 z+5j20unnuVtC^J_#`GRi7`C(x2`zf)S6w;JydI|Q{v0-3%(2~v3p`=C&l&7L^&+cB zKU`@~36$a~f#q*ER{Kxwif072?Rxj_ot0l<#hE4qSb+A4&;G=P<9to<_4Ok^cn`A) zW~r8D6-x1fGjI71;uUC5LLjvbW*r^IdR&{@hR33>46XmRiVyrguKkb#Jl!}|%c5en z$Iz|91F!2WmN<3%PFxQ(aw@f+D7umD%#&LmIsfUFTdp*xvolSGquc+Kpl@KAkrtt8 z?o{Kv{MZ^;HLK>ctupUvlRN*4Y+HtuC4Z$KcxOc~9+`S|vv%_XORAN^ zwhb56t>=~83$F!{;)QPRiqesawc^sKxaZbQtce|2S(rU&MK*Yl@EigEp`COE@QZd&D&I00=DDgccE8Y0S&QF)XZRNNd|&7IQS)M)+G4Qwoo44w zzj~Zd{E18C0Pw>$W(E{>Vy}jc*rJtnLi9hKne6oK#7|$$PT9OL+*4(kCx7&TU(h)7 z$;_|xb+-XP%H03`#5sSyU>6D74@mB&&{`x~s#I7_*3K?zW)T zlh$>!9^d`U#XUp4El~aT3@zZNlZ<%ylkIf~bMvM#8>+qGVjdW0&TpKsa&Asc_lLpFI&U`HjXAN0YByt-$gSvG7Ka<0 z7@U5oAK`$5lMG!NbWQu~|GB$*qd&|(9_s=+ANoD@JHrXbXOoFH z@oVe(zup*WcJVx=hkWLdEl;cdP$yZ2MTmlR^LI{Kr7s}bqN%=sB*%()Qk&}Vd~*5K zkf(6seHV+9ESfV)on$!{Zyoph>e2Vzl9D(`iJKqQwKL6&*sg88eQ9GD24`L-9hUk} z%q#SG@JeQBTieE(Y8`xi^3};acP&}#!9RK0$pA>r^IzFe=~?TotNuN;_9qZIRA9Yw zhis|z0H5j;hz+Z^Uo_KCxG{z;mP0Z*sYjpDl@qR^GEmFm@ffD{NgANzGt#g6*5XR2#KNvr zBYk+Hc3SJzlTpX1c}_DstT$5s$SV?C7gJF`W@K-8{tIdT)-m%Z6O?mZKjgfB9?sOQ zzz@5pNuqp8&!;tF!?Nn0I!lXBi5KQ%9U^CHxT zt)GV0+E1MwP*12C{e%L4;%xNdqkFQ8YhHe6YhH%6MJ=}NTHpO|!&R+wI?faS(X}|A z0wdpY)?aeUR(hMp92C`hU-Ck_6Q}*097DEw=DAasg z<>7}ep@(g&b^)L2!+#cHom0!_;a^YuzU~)(=zINSavnRhKJcT*PBcG7kc{Y!aaq5cMO=Tp7TR*%;Tf!Q>Hgq95FjShG?f6iYRqTJYAWr&oZPA z+9&uu%vu(&JLhS`;#f0tKeRdR#q&K3TSz{J)j&_3LHOzuH#PhOZxVarFfU$l(K8kY z%#Jl+c7nRNfAZ5?v-ZdP1m8R*`fqEPUG5^qPLRb;-x;XI&0+u9O*t&nXmQo)iMAx^ z7gwE%X!H$3o6>&DD3m93()kGm{>05_w-#5O&N)u-v|V+|r04w5`lUY^-h}ppcQHjf zq;M+MX8YQd9wvvLJ%pj^Hn>wktcOwiY0*AkK$2rboX!**T6g-?Ss`|e);|8%!xqb- z8R)}WfE1Gg_CI|wd-9E;-@>qE`RI$@e*AoPtdzsnPs3{M#WD0#-!AqmIXoj>3;O$q-iE0DX*zGJ7}byd zD?EED!BC=_Ga&4TH)YJ#o~HuPtcT*55qR2I%S)aLpncB>ie#*oSr^h@xi61Ct{0}KPSv*!!q8?i70P6a>C{J&2X9` zR?QSXy>#&ehkWMHD~Hw9a53eZhPBB7b{ZDrh%<-XH$0)k-A`WNi)&qeXlq@D&Cz}J z_ldqJT!*@aauKl;ZQ`d4#TJLsPmDEA=YIagYBC`8Y03S@v%T(|vxhTKE6<_z z*PMhrfD9+}YVrIALoVcFXewoKu}k{7X(zDHa`A{YT{^jV+2k=*+39)2nsoky_Y7%Y zCS%ps&%O7Ult@poV5tk;NApxKa!(ZXWUwoSKeikuC~(4$YhQ94x2PN^)TG5DJ~^e( z`q+m~DgT_zPX@-AgYe1xNRt0#^3Tb$NU=HOIF27r8HzDj`6RnkP_4Hp9mm1LbCL|3 zVo%;d?k5!U#S!$y5%gh;+8jAPl^=_UohbDdDYi(lGzvUr%)_+GpH$o;#ZHuLP^|hx zy+`mw5$v0Ov90@(rq7EZQw+X&;#PA%d4VrpP;#sbO8T(pNkk8sqfY{ZK3SeJh~|;2kt&&dO-7>(5uDc77e+?(!*JSWU&H$<%gddt52W2R`e5x`QmYlzjxmO z`-e7w{zM`HU>gebzk1TE!9qyrvPaV(y z30*DngaUu!PF-BQNAP&;^kMnL*3ggf8tMqh@$?PHm1bxih)Vm<>c8dlR0dY}9{cz& z=$>P%ra`^xy%?fH3jZbOe@t5ZXEDaH5L>j-k42#c?@!mJJiV_jT0q8=jTolBShUgO zR)D34GuZ*SMH~Ip*T6h^H;XRX=ucG}eg4>X;qkBKPFBY+$ahLge?Dfd-+teM0**7n zUy!bK$NWM51xb!S;^a*Wb9Uz3g0AWuAJ}sY+NVy=O~)?{2&6j@`68q0q1kiWy6JN! znbn8~+K+e8I?goz^U*gfnIARice~7mNn+GK`vq%$D=%y+lez!wP!)s>kaFhXpWve7 zm?#TNJb{?9+@#h^-hTpF1|}_MTCX@VFh%;yASGIa`|OXUyf}#{)w8uOB&YrlA+$C< z-i30T+kHH_hf?d0x1U_jxw+z<=dE9UZ72FTIK=Oy?el%}&kku%J@8LRNgfNa)+_e+ zLHQ)2jb3XD=&_qKjaw6imNOr45_ zsawB4VR_2nsfsbx%zO@cEYm9pgein?z<5}~WUr7H3blhuFHh%)Y>d>~^le@6;m+$* v|33LnbYa;iBvK2r&y86`Y%V>H{cAqOrjMPam!g{KJ?p9U$LBmgwDA7}H;4;L diff --git a/Arcade_MiST/Atari BW Raster Hardware/SprintOne_MiST/rtl/gearshift.sv b/Arcade_MiST/Atari BW Raster Hardware/SprintOne_MiST/rtl/gearshift.sv deleted file mode 100644 index b40a7195..00000000 --- a/Arcade_MiST/Atari BW Raster Hardware/SprintOne_MiST/rtl/gearshift.sv +++ /dev/null @@ -1,97 +0,0 @@ -//============================================================================ -// gearshift -// -// Turn gearup and geardown buttons into state that can flip the correct switches -// for sprint -// -// -// Copyright (c) 2019 Alan Steremberg - alanswx -// -// -//============================================================================ - -module gearshift -( - input CLK, - - input gearup, - input geardown, - output [1:0]gear_num, - output gear1, - output gear2, - output gear3 -); - -reg [2:0] gear=3'b0; - -always @(posedge CLK) begin - reg old_gear_up; - reg old_gear_down; - - if (gearup==1) - begin - if (old_gear_up==0) - begin - old_gear_up=1; - if (gear<4) - begin - gear=gear+1; - end - end - end - else - begin - old_gear_up=0; - end - if (geardown==1) - begin - if (old_gear_down==0) - begin - old_gear_down=1; - if (gear>0) - begin - gear=gear-1; - end - end - end - else - begin - old_gear_up=0; - end - - - casex(gear) - 3'b000: - begin - gear1=0; - gear2=1; - gear3=1; - gear_num=2'b01; - end - 3'b001: - begin - gear1=1; - gear2=0; - gear3=1; - gear_num=2'b10; - end - 3'b010: - begin - gear1=1; - gear2=1; - gear3=0; - gear_num=2'b11; - end - 3'b011: - begin - gear1=1; - gear2=1; - gear3=1; - gear_num=2'b00; - end - endcase - -end - - -endmodule \ No newline at end of file diff --git a/Arcade_MiST/Atari BW Raster Hardware/SprintOne_MiST/rtl/gearshift.vhd b/Arcade_MiST/Atari BW Raster Hardware/SprintOne_MiST/rtl/gearshift.vhd new file mode 100644 index 00000000..9cbf72f3 --- /dev/null +++ b/Arcade_MiST/Atari BW Raster Hardware/SprintOne_MiST/rtl/gearshift.vhd @@ -0,0 +1,89 @@ +-- Gear Shift +-- (c) 2019 alanswx + + +library IEEE; +use IEEE.STD_LOGIC_1164.all; +use IEEE.STD_LOGIC_ARITH.all; +use IEEE.STD_LOGIC_UNSIGNED.all; + +entity gearshift is +port( + Clk : in std_logic; + reset : in std_logic; + gearup : in std_logic; + geardown : in std_logic; + gearout : out std_logic_vector(2 downto 0); + gear1 : out std_logic; + gear2 : out std_logic; + gear3 : out std_logic + + ); +end gearshift; + +architecture rtl of gearshift is + +signal gear : std_logic_vector(2 downto 0):= (others =>'0'); +signal old_gear_up : std_logic:='0'; +signal old_gear_down : std_logic:='0'; + + +begin + +gearout<=gear; + +process (clk, gear) +begin + + if rising_edge(clk) then + + + if (reset='1') then + gear<="000"; + elsif (gearup='1') then + if (old_gear_up='0') then + old_gear_up<='1'; + if (gear < 3) then + gear<= gear +1; + end if; + end if; + elsif (geardown='1') then + if (old_gear_down='0') then + old_gear_down<='1'; + if (gear>0) then + gear<=gear-1; + end if; + end if; + else + old_gear_up<='0'; + old_gear_down<='0'; + end if; + + end if; + + case gear is + when "000" => gear1 <= '0' ; + when "001" => gear1 <= '1' ; + when "010" => gear1 <= '1' ; + when "011" => gear1 <= '1' ; + when others => gear1 <= '1' ; + end case; + case gear is + when "000" => gear2 <= '1' ; + when "001" => gear2 <= '0' ; + when "010" => gear2 <= '1' ; + when "011" => gear2 <= '1' ; + when others => gear2 <= '1' ; + end case; + case gear is + when "000" => gear3 <= '1' ; + when "001" => gear3 <= '1' ; + when "010" => gear3 <= '0' ; + when "011" => gear3 <= '1' ; + when others => gear3 <= '1' ; + end case; + +end process; + + +end rtl; \ No newline at end of file diff --git a/Arcade_MiST/Atari BW Raster Hardware/SprintOne_MiST/rtl/joy2quad.sv b/Arcade_MiST/Atari BW Raster Hardware/SprintOne_MiST/rtl/joy2quad.sv index dae1fa5f..d2eaeabb 100644 --- a/Arcade_MiST/Atari BW Raster Hardware/SprintOne_MiST/rtl/joy2quad.sv +++ b/Arcade_MiST/Atari BW Raster Hardware/SprintOne_MiST/rtl/joy2quad.sv @@ -19,10 +19,10 @@ module joy2quad input CLK, input [31:0] clkdiv, - input right, - input left, - - output reg [1:0] steer + input c_right, + input c_left, + output reg steerA, + output reg steerB ); @@ -40,12 +40,12 @@ always @(posedge CLK) begin casex(state) 4'b0000: begin - steer=2'b00; - if (left==1) + {steerB,steerA} =2'b00; + if (c_left==1) begin state=4'b0001; end - if (right==1) + if (c_right==1) begin state=4'b0101; end @@ -53,42 +53,42 @@ always @(posedge CLK) begin end 4'b0001: begin - steer=2'b00; + {steerB,steerA}=2'b00; state=4'b0010; end 4'b0010: begin - steer=2'b01; + {steerB,steerA}=2'b01; state=3'b0011; end 4'b0011: begin - steer=2'b11; + {steerB,steerA}=2'b11; state=4'b0100; end 4'b0100: begin - steer=2'b10; + {steerB,steerA}=2'b10; state=4'b000; end 4'b0101: begin - steer=2'b00; + {steerB,steerA}=2'b00; state=4'b0110; end 4'b0110: begin - steer=2'b10; + {steerB,steerA}=2'b10; state=4'b0111; end 4'b0111: begin - steer=2'b11; + {steerB,steerA}=2'b11; state=4'b1000; end 4'b1000: begin - steer=2'b01; + {steerB,steerA}=2'b01; state=4'b0000; end @@ -97,4 +97,4 @@ always @(posedge CLK) begin end end -endmodule \ No newline at end of file +endmodule \ No newline at end of file diff --git a/Arcade_MiST/Atari BW Raster Hardware/SprintOne_MiST/rtl/osd.sv b/Arcade_MiST/Atari BW Raster Hardware/SprintOne_MiST/rtl/osd.sv index c62c10af..b9181763 100644 --- a/Arcade_MiST/Atari BW Raster Hardware/SprintOne_MiST/rtl/osd.sv +++ b/Arcade_MiST/Atari BW Raster Hardware/SprintOne_MiST/rtl/osd.sv @@ -11,13 +11,15 @@ module osd ( input SPI_SS3, input SPI_DI, + input [1:0] rotate, //[0] - rotate [1] - left or right + // VGA signals coming from core input [5:0] R_in, input [5:0] G_in, input [5:0] B_in, input HSync, input VSync, - + // VGA signals going to video connector output [5:0] R_out, output [5:0] G_out, @@ -59,7 +61,7 @@ always@(posedge SPI_SCK, posedge SPI_SS3) begin if(cnt == 7) begin cmd <= {sbuf[6:0], SPI_DI}; - + // lower three command bits are line address bcnt <= {sbuf[1:0], SPI_DI, 8'h00}; @@ -91,7 +93,7 @@ reg [9:0] vs_low, vs_high; wire vs_pol = vs_high < vs_low; wire [9:0] dsp_height = vs_pol ? vs_low : vs_high; -wire doublescan = (dsp_height>350); +wire doublescan = (dsp_height>350); reg ce_pix; always @(negedge clk_sys) begin @@ -124,13 +126,13 @@ always @(posedge clk_sys) begin hsD2 <= hsD; // falling edge of HSync - if(!hsD && hsD2) begin + if(!hsD && hsD2) begin h_cnt <= 0; hs_high <= h_cnt; end // rising edge of HSync - else if(hsD && !hsD2) begin + else if(hsD && !hsD2) begin h_cnt <= 0; hs_low <= h_cnt; v_cnt <= v_cnt + 1'd1; @@ -142,13 +144,13 @@ always @(posedge clk_sys) begin vsD2 <= vsD; // falling edge of VSync - if(!vsD && vsD2) begin + if(!vsD && vsD2) begin v_cnt <= 0; vs_high <= v_cnt; end // rising edge of VSync - else if(vsD && !vsD2) begin + else if(vsD && !vsD2) begin v_cnt <= 0; vs_low <= v_cnt; end @@ -160,17 +162,30 @@ wire [9:0] h_osd_start = ((dsp_width - OSD_WIDTH)>> 1) + OSD_X_OFFSET; wire [9:0] h_osd_end = h_osd_start + OSD_WIDTH; wire [9:0] v_osd_start = ((dsp_height- (OSD_HEIGHT<> 1) + OSD_Y_OFFSET; wire [9:0] v_osd_end = v_osd_start + (OSD_HEIGHT<= h_osd_start) && (h_cnt < h_osd_end) && (VSync != vs_pol) && (v_cnt >= v_osd_start) && (v_cnt < v_osd_end); -reg [7:0] osd_byte; -always @(posedge clk_sys) if(ce_pix) osd_byte <= osd_buffer[{doublescan ? osd_vcnt[7:5] : osd_vcnt[6:4], osd_hcnt[7:0]}]; +reg [10:0] osd_buffer_addr; +wire [7:0] osd_byte = osd_buffer[osd_buffer_addr]; +reg osd_pixel; -wire osd_pixel = osd_byte[doublescan ? osd_vcnt[4:2] : osd_vcnt[3:1]]; +always @(posedge clk_sys) begin + if(ce_pix) begin + osd_buffer_addr <= rotate[0] ? {rotate[1] ? osd_hcnt_next2[7:5] : ~osd_hcnt_next2[7:5], + rotate[1] ? (doublescan ? ~osd_vcnt[7:0] : ~{osd_vcnt[6:0], 1'b0}) : + (doublescan ? osd_vcnt[7:0] : {osd_vcnt[6:0], 1'b0})} : + {doublescan ? osd_vcnt[7:5] : osd_vcnt[6:4], osd_hcnt_next2[7:0]}; + + osd_pixel <= rotate[0] ? osd_byte[rotate[1] ? osd_hcnt_next[4:2] : ~osd_hcnt_next[4:2]] : + osd_byte[doublescan ? osd_vcnt[4:2] : osd_vcnt[3:1]]; + end +end assign R_out = !osd_de ? R_in : {osd_pixel, osd_pixel, OSD_COLOR[2], R_in[5:3]}; assign G_out = !osd_de ? G_in : {osd_pixel, osd_pixel, OSD_COLOR[1], G_in[5:3]}; diff --git a/Arcade_MiST/Atari BW Raster Hardware/SprintOne_MiST/rtl/playfield.vhd b/Arcade_MiST/Atari BW Raster Hardware/SprintOne_MiST/rtl/playfield.vhd index b621fc6b..29da07cf 100644 --- a/Arcade_MiST/Atari BW Raster Hardware/SprintOne_MiST/rtl/playfield.vhd +++ b/Arcade_MiST/Atari BW Raster Hardware/SprintOne_MiST/rtl/playfield.vhd @@ -21,6 +21,7 @@ use IEEE.STD_LOGIC_UNSIGNED.all; entity playfield is port( Clk6 : in std_logic; + Gear_Shift_1 : in std_logic_vector(2 downto 0); Display : in std_logic_vector(7 downto 0); HCount : in std_logic_vector(8 downto 0); VCount : in std_logic_vector(7 downto 0); @@ -65,7 +66,7 @@ signal P2_13 : std_logic; signal P3_6 : std_logic; signal A6_6 : std_logic; signal A6_3 : std_logic; - +signal Display_7 : std_logic; begin -- Video synchronization signals @@ -88,9 +89,26 @@ P2_13 <= (HSync nor VSync); P3_6 <= (HBlank or VBlank); - - -char_addr <= display(5 downto 0) & V4 & V2 & V1; +process(Hcount,Vcount, V4, V2, V1, Display, Gear_Shift_1) +begin + -- this is the left side of the screen for the gear shift + if (HCount(7 downto 3) = "00000" and Vcount(7 downto 3)="11011") then + Display_7 <= '1'; -- 1 is white, 0 is black + case Gear_Shift_1 is + when "000" => char_addr <= "110001" & V4 & V2 & V1; + when "001" => char_addr <= "110010" & V4 & V2 & V1; + when "010" => char_addr <= "110011" & V4 & V2 & V1; + when "011" => char_addr <= "110100" & V4 & V2 & V1; + when others => char_addr <= "001110" & V4 & V2 & V1; + end case; + -- debug all chars + -- char_addr <= num & V4 & V2 & V1; + else + -- default behaviour + char_addr <= display(5 downto 0) & V4 & V2 & V1; + Display_7 <= display(7); + end if; +end process; -- Background character ROMs R4: entity work.sprom @@ -137,7 +155,7 @@ R2: process(clk6, R7_12, display, H256, P2_13, P3_6) begin if rising_edge(clk6) then if R7_12 = '0' then - R2_reg <= (H256 & display(7) & P3_6 & P2_13); + R2_reg <= (H256 & Display_7 & P3_6 & P2_13); end if; end if; end process; diff --git a/Arcade_MiST/Atari BW Raster Hardware/SprintOne_MiST/rtl/sprint1.vhd b/Arcade_MiST/Atari BW Raster Hardware/SprintOne_MiST/rtl/sprint1.vhd index 7644984e..ffa746b2 100644 --- a/Arcade_MiST/Atari BW Raster Hardware/SprintOne_MiST/rtl/sprint1.vhd +++ b/Arcade_MiST/Atari BW Raster Hardware/SprintOne_MiST/rtl/sprint1.vhd @@ -42,12 +42,11 @@ port( Coin2_I : in std_logic; Start_I : in std_logic; -- Start button Gas_I : in std_logic; -- Gas pedal - Gear1_I : in std_logic; -- Gear shifter, 4th gear = no other gear selected - Gear2_I : in std_logic; - Gear3_I : in std_logic; + c_gearup : in std_logic; + c_geardown : in std_logic; + c_left : in std_logic; + c_right : in std_logic; Test_I : in std_logic; -- Self-test switch - SteerA_I : in std_logic; -- Steering wheel inputs, these are quadrature encoders - SteerB_I : in std_logic; StartLamp_O : out std_logic -- Start button lamp ); end sprint1; @@ -144,6 +143,24 @@ signal SW1 : std_logic_vector(7 downto 0); signal Inputs : std_logic_vector(1 downto 0); signal Collisions1 : std_logic_vector(1 downto 0); signal Collisions2 : std_logic_vector(1 downto 0); +signal Gearnum : std_logic_vector(2 downto 0); +signal Gear1 : std_logic; +signal Gear2 : std_logic; +signal Gear3 : std_logic; +signal SteerA : std_logic; +signal SteerB : std_logic; + +COMPONENT joy2quad + PORT + ( + CLK : IN STD_LOGIC; + clkdiv : IN STD_LOGIC_VECTOR(31 DOWNTO 0); + c_right : IN STD_LOGIC; + c_left : IN STD_LOGIC; + SteerA : OUT STD_LOGIC; + SteerB : OUT STD_LOGIC + ); +END COMPONENT; begin -- Configuration DIP switches, these can be brought out to external switches if desired @@ -176,6 +193,7 @@ port map( Background: entity work.playfield port map( clk6 => clk_6, + Gear_Shift_1 => Gearnum, display => display, HCount => HCount, VCount => VCount, @@ -263,18 +281,42 @@ port map( Coin2_n => Coin2_I, Start => not Start_I, -- Active high in real hardware, inverting these makes more sense with the FPGA Gas => not Gas_I, - Gear1 => not Gear1_I, - Gear2 => not Gear2_I, - Gear3 => not Gear3_I, + Gear1 => not Gear1, + Gear2 => not Gear2, + Gear3 => not Gear3, Self_Test => not Test_I, - Steering1A_n => SteerA_I, - Steering1B_n => SteerB_I, + Steering1A_n => SteerA, + Steering1B_n => SteerB, SteerRst1_n => SteerRst1_n, Adr => Adr, Inputs => Inputs - ); - + ); + +RotaryEncoder: joy2quad +port map( + CLK => clk_6, + clkdiv => x"000057E4", + c_right => c_right, + c_left => c_left, + SteerA=> SteerA, + SteerB=> SteerB + ); + + +Gears: entity work.gearshift +port map( + CLK => clk_6, + reset => not Reset_n, + gearout => Gearnum, + gearup => c_gearup, + geardown => c_geardown, + gear1 => Gear1, + gear2 => Gear2, + gear3 => Gear3 + ); + + Sound: entity work.audio port map( Clk_6 => Clk_6, diff --git a/Arcade_MiST/Atari BW Raster Hardware/SprintOne_MiST/rtl/sprint1_mist.sv b/Arcade_MiST/Atari BW Raster Hardware/SprintOne_MiST/rtl/sprint1_mist.sv index 10af74f6..ae215cf0 100644 --- a/Arcade_MiST/Atari BW Raster Hardware/SprintOne_MiST/rtl/sprint1_mist.sv +++ b/Arcade_MiST/Atari BW Raster Hardware/SprintOne_MiST/rtl/sprint1_mist.sv @@ -23,7 +23,7 @@ localparam CONF_STR = { "O1,Test Mode,Off,On;", "O34,Scanlines,Off,25%,50%,75%;", "T6,Reset;", - "V,v1.10.",`BUILD_DATE + "V,v1.20.",`BUILD_DATE }; assign LED = 1; @@ -65,12 +65,11 @@ sprint1 sprint1( .Coin2_I(1'b1), .Start_I(~btn_one_player), .Gas_I(~(btn_fire1 | joystick_0[4] | joystick_1[4])), - .Gear1_I(gear1), - .Gear2_I(gear2), - .Gear3_I(gear3), + .c_gearup(gearup), + .c_geardown(geardown), + .c_left(left), + .c_right(right), .Test_I(~status[1]), - .SteerA_I(steer[1]), - .SteerB_I(steer[0]), .StartLamp_O() ); @@ -137,7 +136,11 @@ reg btn_fire2 = 0; reg btn_fire3 = 0; reg btn_coin = 0; wire pressed = ps2_key[9]; -wire [7:0] code = ps2_key[7:0]; +wire [7:0] code = ps2_key[7:0]; +wire gearup = btn_fire3 | joystick_0[5] | joystick_1[5]; +wire geardown = btn_fire2 | joystick_0[6] | joystick_1[6]; +wire right = btn_left | joystick_0[1] | joystick_1[1]; +wire left = btn_right | joystick_0[0] | joystick_1[0]; always @(posedge clk_24) begin reg old_state; @@ -158,24 +161,4 @@ always @(posedge clk_24) begin end end -wire [1:0] steer; -joy2quad steer1( - .CLK(clk_24), - .clkdiv('d22500), - .right(btn_right | joystick_0[0] | joystick_1[0]), - .left(btn_left | joystick_0[1] | joystick_1[1]), - .steer(steer) - ); - -wire gear1,gear2,gear3; -gearshift gearshift1( - .CLK(clk_12), - .gearup(btn_fire3 | joystick_0[5] | joystick_1[5]), - .geardown(btn_fire2 | joystick_0[6] | joystick_1[6]), - .gear1(gear1), - .gear2(gear2), - .gear3(gear3) - ); - - endmodule diff --git a/Arcade_MiST/Atari BW Raster Hardware/SprintOne_MiST/rtl/sprint1_sound.vhd b/Arcade_MiST/Atari BW Raster Hardware/SprintOne_MiST/rtl/sprint1_sound.vhd index 268fe1fd..5e07dc73 100644 --- a/Arcade_MiST/Atari BW Raster Hardware/SprintOne_MiST/rtl/sprint1_sound.vhd +++ b/Arcade_MiST/Atari BW Raster Hardware/SprintOne_MiST/rtl/sprint1_sound.vhd @@ -139,7 +139,7 @@ begin end process; -Crash_sound: process(crash_n, display, noise) +Crash_sound: process(crash_n, display, noise, crash) begin if crash_n = '0' then crash <= display(3 downto 0); diff --git a/Arcade_MiST/Atari BW Raster Hardware/SprintOne_MiST/rtl/video_mixer.sv b/Arcade_MiST/Atari BW Raster Hardware/SprintOne_MiST/rtl/video_mixer.sv index bb46caaf..126ca276 100644 --- a/Arcade_MiST/Atari BW Raster Hardware/SprintOne_MiST/rtl/video_mixer.sv +++ b/Arcade_MiST/Atari BW Raster Hardware/SprintOne_MiST/rtl/video_mixer.sv @@ -60,7 +60,7 @@ module video_mixer // 0 = 16-240 range. 1 = 0-255 range. (only for YPbPr color space) input ypbpr_full, - + input [1:0] rotate, //[0] - rotate [1] - left or right // color input [DWIDTH:0] R, input [DWIDTH:0] G, @@ -182,6 +182,7 @@ osd #(OSD_X_OFFSET, OSD_Y_OFFSET, OSD_COLOR) osd .B_in(b_out), .HSync(hs), .VSync(vs), + .rotate(rotate), .R_out(red), .G_out(green), diff --git a/Arcade_MiST/Atari BW Raster Hardware/SprintOne_MiST/sprint1.qpf b/Arcade_MiST/Atari BW Raster Hardware/SprintOne_MiST/sprint1.qpf index 07be4a15..76062652 100644 --- a/Arcade_MiST/Atari BW Raster Hardware/SprintOne_MiST/sprint1.qpf +++ b/Arcade_MiST/Atari BW Raster Hardware/SprintOne_MiST/sprint1.qpf @@ -28,4 +28,3 @@ DATE = "19:51:47 November 12, 2017" # Revisions PROJECT_REVISION = "sprint1" -PROJECT_REVISION = "sprint2" diff --git a/Arcade_MiST/Atari BW Raster Hardware/SprintOne_MiST/sprint1.qsf b/Arcade_MiST/Atari BW Raster Hardware/SprintOne_MiST/sprint1.qsf index 60a22fb6..8d23045f 100644 --- a/Arcade_MiST/Atari BW Raster Hardware/SprintOne_MiST/sprint1.qsf +++ b/Arcade_MiST/Atari BW Raster Hardware/SprintOne_MiST/sprint1.qsf @@ -18,7 +18,7 @@ # # Quartus II 64-Bit # Version 13.1.4 Build 182 03/12/2014 SJ Web Edition -# Date created = 16:39:28 March 07, 2019 +# Date created = 10:21:26 April 28, 2019 # # -------------------------------------------------------------------------- # # @@ -45,18 +45,22 @@ set_global_assignment -name LAST_QUARTUS_VERSION 13.1 set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files set_global_assignment -name SYSTEMVERILOG_FILE rtl/sprint1_mist.sv set_global_assignment -name VHDL_FILE rtl/sprint1.vhd -set_global_assignment -name VERILOG_FILE rtl/pll.v set_global_assignment -name VHDL_FILE rtl/sprint1_sound.vhd set_global_assignment -name VHDL_FILE rtl/sync.vhd set_global_assignment -name VHDL_FILE rtl/collision.vhd -set_global_assignment -name VHDL_FILE rtl/screech.vhd set_global_assignment -name VHDL_FILE rtl/cpu_mem.vhd set_global_assignment -name VHDL_FILE rtl/playfield.vhd set_global_assignment -name VHDL_FILE rtl/EngineSound.vhd set_global_assignment -name VHDL_FILE rtl/Inputs.vhd set_global_assignment -name VHDL_FILE rtl/motion.vhd -set_global_assignment -name SYSTEMVERILOG_FILE rtl/gearshift.sv +set_global_assignment -name VHDL_FILE rtl/screech.vhd +set_global_assignment -name VHDL_FILE rtl/T65/T65_Pack.vhd +set_global_assignment -name VHDL_FILE rtl/T65/T65_MCode.vhd +set_global_assignment -name VHDL_FILE rtl/T65/T65_ALU.vhd +set_global_assignment -name VHDL_FILE rtl/T65/T65.vhd +set_global_assignment -name VHDL_FILE rtl/gearshift.vhd set_global_assignment -name SYSTEMVERILOG_FILE rtl/joy2quad.sv +set_global_assignment -name VERILOG_FILE rtl/pll.v set_global_assignment -name SYSTEMVERILOG_FILE rtl/video_mixer.sv set_global_assignment -name SYSTEMVERILOG_FILE rtl/scandoubler.sv set_global_assignment -name SYSTEMVERILOG_FILE rtl/osd.sv @@ -65,11 +69,6 @@ set_global_assignment -name SYSTEMVERILOG_FILE rtl/mist_io.sv set_global_assignment -name SYSTEMVERILOG_FILE rtl/hq2x.sv set_global_assignment -name VHDL_FILE rtl/dpram.vhd set_global_assignment -name SYSTEMVERILOG_FILE rtl/dac.sv -set_global_assignment -name SYSTEMVERILOG_FILE rtl/build_id.sv -set_global_assignment -name VHDL_FILE rtl/T65/T65_Pack.vhd -set_global_assignment -name VHDL_FILE rtl/T65/T65_MCode.vhd -set_global_assignment -name VHDL_FILE rtl/T65/T65_ALU.vhd -set_global_assignment -name VHDL_FILE rtl/T65/T65.vhd # Pin & Location Assignments # ========================== @@ -120,6 +119,7 @@ set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS OFF set_global_assignment -name DEVICE_FILTER_PIN_COUNT 144 set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 8 set_global_assignment -name TOP_LEVEL_ENTITY sprint1_mist +set_global_assignment -name DEVICE_FILTER_PACKAGE TQFP # Fitter Assignments # ================== @@ -167,10 +167,10 @@ set_global_assignment -name OUTPUT_IO_TIMING_FAR_END_VMEAS "HALF SIGNAL SWING" - set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top - set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top # end DESIGN_PARTITION(Top) # ------------------------- # end ENTITY(sprint1_mist) -# ------------------------ \ No newline at end of file +# ------------------------ +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file diff --git a/Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/rtl/build_id.sv b/Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/rtl/build_id.sv index 9b119796..d1fe1923 100644 --- a/Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/rtl/build_id.sv +++ b/Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/rtl/build_id.sv @@ -1,2 +1,2 @@ -`define BUILD_DATE "190321" -`define BUILD_TIME "004002" +`define BUILD_DATE "190421" +`define BUILD_TIME "114651" diff --git a/Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/rtl/roms/006400.m2 b/Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/rtl/roms/006400.m2 deleted file mode 100644 index f4fe05f46bfcd7b02c92ef8b463559c59261c78d..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 256 ecmZQz7*N2$1p<7ua{~t|09wY)%?-8`LI40ZHvw({ diff --git a/Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/rtl/roms/006400_m2.hex b/Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/rtl/roms/006400_m2.hex new file mode 100644 index 00000000..9dbfacea --- /dev/null +++ b/Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/rtl/roms/006400_m2.hex @@ -0,0 +1,17 @@ +:1000000000000000000000000000000000000000F0 +:1000100000000000000000000000000000000000E0 +:1000200000000000000000000000000000000000D0 +:1000300000000000000000000000000000000000C0 +:1000400000000000000000000000000000000000B0 +:1000500000000000000000000000000000000000A0 +:100060000000000000000000000000000000000090 +:100070000000000000000000000000000000000878 +:100080000A0A0A0A0A0E0000000000000000000030 +:100090000000000000000000000000000000000060 +:1000A0000000000000000000000000000000000050 +:1000B0000000000000000000000000000000000040 +:1000C0000000000000000000000000000000000030 +:1000D0000000000000000000000000000000000020 +:1000E0000808080808080808080808080808080A8E +:1000F0000A0A0B0B0B0A0A0A0A0A0A0A0A0A0A0A5D +:00000001FF diff --git a/Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/rtl/roms/006401.e2 b/Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/rtl/roms/006401.e2 deleted file mode 100644 index ec508e96..00000000 --- a/Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/rtl/roms/006401.e2 +++ /dev/null @@ -1 +0,0 @@ -AAÁÁ!!ááááààèèääììââêêææîî \ No newline at end of file diff --git a/Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/rtl/roms/006401_e2.hex b/Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/rtl/roms/006401_e2.hex new file mode 100644 index 00000000..975d72ea --- /dev/null +++ b/Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/rtl/roms/006401_e2.hex @@ -0,0 +1,3 @@ +:100000001111010141418181C1C12121E1E1E1E100 +:10001000E0E0E8E8E4E4ECECE2E2EAEAE6E6EEEE70 +:00000001FF diff --git a/Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/rtl/roms/033280.p4 b/Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/rtl/roms/033280.p4 deleted file mode 100644 index f897229833dc5c205c2efb4939e6a7775b73086a..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 512 zcmb7BQ5M4>2xHP$WLmlZZI^)dfBYmsKm?Jph}K$!M7Vq0qBeV<7kq$e#d`;SV3K)H zJzQY#REk_E>tWETtw}xns#EGvuLd;6Q-^o;L;t&MAm8qIT&}0)5JWiP(W819^bwYQ zCOkYOg60@Y=8EAQlT6hL7!v17)`a1d!!vKFX9Jt`wKb% diff --git a/Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/rtl/roms/033280_p4.hex b/Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/rtl/roms/033280_p4.hex new file mode 100644 index 00000000..743eadb7 --- /dev/null +++ b/Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/rtl/roms/033280_p4.hex @@ -0,0 +1,33 @@ +:1000000000000000000000000F0F0202020F0F00AE +:100010000F0F0909090F060003070C08080C04005C +:100020000F0F08080C0703000F0F09090908080043 +:100030000F0F01010101000003070C08090F0F0059 +:100040000F0F0101010F0F0008080F0F0808000033 +:10005000040C0808080F07000F0F03070E0C080018 +:100060000F0F0808080808000F0F0103010F0F0009 +:100070000F0F0103070F0F00070F0808080F0700F5 +:100080000F0F020202030100070F080A0E070B0000 +:100090000F0F02060F0D0900040D0909090F0600D4 +:1000A00000000F0F00000000070F0808080F0700EE +:1000B0000103070E070301000F0F0703070F0F00CF +:1000C0000C0E0703070E0C0000010F0F01000000CB +:1000D0000C0E0F0B090808000000000000000000D3 +:1000E0000000000000000000000000000000000010 +:1000F0000000000000000000000000000000000000 +:1001000000000000000000000300000000000000EC +:1001100003030000000000000303030000000000D0 +:1001200003030303000000000303030303000000B4 +:100130000303030303030000030303030303030098 +:100140000303030303030303000303030303030382 +:10015000000003030303030300000003030303037E +:10016000000000000303030300000000000303037A +:100170000000000000000303000000000000000376 +:1001800003070C080807030008080F0F0808000001 +:100190000C0E0F0B0B090800040C0909090F0600CF +:1001A000030302020F0F0200040C0808080F0700E7 +:1001B000070F0909090F060000000E0F01000000DB +:1001C0000609090B0B0E0600000909090D070300BB +:1001D000000000000000000003000F0F0F0F0F0FC2 +:1001E00003030F0F0F0F0F0F00000F0F0F0F0F0F55 +:1001F00000000F0F0F0F0F0F0F0F0F0F0F0F0F0F2D +:00000001FF diff --git a/Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/rtl/roms/033281.r4 b/Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/rtl/roms/033281.r4 deleted file mode 100644 index a2cf3499ace9bc0eada9965989d6e30517b332e4..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 512 zcmb7ATMEN43=^i95R7yG+fIIk4)!xsDl2{qC|jnYw%{%zZU`>=B){*1ii=d7=+1in zSj5V1M$RuSC;!(@7o2uZJH&hm z3@4FJ;TgQnL?$)bc4<1n!zsjBMwuxIp1ceXW#81Fhis>Qp<+bbH!Ueo1T^!MI&KlB RkAB@3ccEFdH)ZCReE`CD49oxk diff --git a/Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/rtl/roms/033281_r4.hex b/Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/rtl/roms/033281_r4.hex new file mode 100644 index 00000000..000d461b --- /dev/null +++ b/Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/rtl/roms/033281_r4.hex @@ -0,0 +1,33 @@ +:100000000000000000000000080C0602060C0800BA +:100010000E0E0202020E0C00080C0602020604007C +:100020000E0E0202060C08000E0E02020202000072 +:100030000E0E020202020200080C06020202020078 +:100040000E0E0000000E0E0002020E0E0202000054 +:1000500000000000000E0E000E0E00080C0602004C +:100060000E0E0000000000000E0E0C080C0E0E001C +:100070000E0E0C08000E0E000C0E0202020E0C00FA +:100080000E0E0202020E0C000C0E0202020E0C00FA +:100090000E0E0202020E0C000C0E020206040000FC +:1000A00002020E0E020200000E0E0000000E0E00F4 +:1000B0000E0E0000000E0E000E0E0008000E0E00C8 +:1000C000060E0C080C0E06000E0E00000E0E0000B0 +:1000D0000202020A0E0E06000000000000000000EE +:1000E0000000000000000000000000000000000010 +:1000F0000000000000000000000000000000000000 +:1001000000000000000000000F00000000000000E0 +:100110000F0F0000000000000F0F0F000000000094 +:100120000F0F0F0F000000000F0F0F0F0F00000048 +:100130000F0F0F0F0F0F00000F0F0F0F0F0F0F00FC +:100140000F0F0F0F0F0F0F0F000F0F0F0F0F0F0FCE +:1001500000000F0F0F0F0F0F0000000F0F0F0F0FFA +:10016000000000000F0F0F0F00000000000F0F0F26 +:100170000000000000000F0F000000000000000F52 +:10018000080C0202060C080000040E0E000000001D +:10019000040602020A0E0C000002020A0E06020009 +:1001A00000080C060E0E00000E0E0A0A0A0A0000D5 +:1001B000080C060202020000060602020A0E0600F1 +:1001C0000C0E0A02020C00000C0E0202020E0C00C1 +:1001D00000000000000000000F000F0F0F0F0F0FB6 +:1001E0000F0F0F0F0F0F0F0F00000F0F0F0F0F0F3D +:1001F00000000C0C0C0C0C0C0C0C0C0C0C0C0C0C57 +:00000001FF diff --git a/Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/rtl/roms/033282.k6 b/Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/rtl/roms/033282.k6 deleted file mode 100644 index f53f888d4fde28a3a9856f1cd7d3054bdb271143..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 32 NcmaFx-~j_Z002>d0-*o^ diff --git a/Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/rtl/roms/033282_k6.hex b/Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/rtl/roms/033282_k6.hex new file mode 100644 index 00000000..0e7a352d --- /dev/null +++ b/Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/rtl/roms/033282_k6.hex @@ -0,0 +1,3 @@ +:10000000E0E0E00000000000000000000000000050 +:1000100000000000000000000000000000000000E0 +:00000001FF diff --git a/Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/rtl/roms/033453.c1 b/Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/rtl/roms/033453.c1 deleted file mode 100644 index 15ababe835e31c79a3255ab7726a5d8b60c9d7d9..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 2048 zcmeHG&ube;7#+?2&}t=1YuAaTy0(VIin|-dlI=tut&OciFEuJHE#%T1bf{YbEu@!1 zPBn#bauJLUrg2X~cuK|~SRWR8sbeTIjG-{c`jkV-64T3)Q=x}+B;)=Q^}z1T_rBS0 zzJ2e_+qYNaih(Nz{;v!)+iShVzR9$BIxL;d13JDp4`eb#XEy*fLbP`SoI0=2$9LP+ zOuLbZbY3TQ_28b#HL{L^d#F-ln$%fVo*_{~Rt3#1p-&f?Az!Pi18$LV0#v5FU{y^9 z+zt7L12P^#^yV&u1C91o2)SNfvK+|aKo5RuYGw3rnPInx&vOa{n*=k{wrvAH=Xcck zs{|inXD;*{4W)h2=zqRUwf=);;)kBtW+K}BkFxQtKV7g&0g}P7~=N{9)-mNvfd2tsg`U8<=0FX3Hha>Bqv+_ z_bsnqvD|IX6Pjug4$L@3OjWDV{9&=bWD&c+Y`Mp`>|3^BdY*Ui>-imG$MgIH^x+D# zH`RzWbgD{9Ew*Ncyxabpg9AENb!h1}hYj6;(D# zzY^78OiWV4O*Fq*a5Z_K>!eOrxk=V~b31s)k9<~F8%>5qE2tg%9UNhW`EF4ZY0NUN zF7*zMv&sud>imU-{HTMKdRuD;^eC!FtMZp9(_%T9E-zY-%&M+V$SO*cu_bh=wI2v3y#+Ovl8aT>%bB31~bY^4LT)`gLkAo zTI=GNCQ(8eE3!gI-VD8J@xo^}Ni8h6i5NzPnzwfgN@86(|9a?%m|h#^Bs?+rFk=cR zHG~%w2;~Fi^Da2V;wa9E7xE*9@i`(mfG73QM|Eb#R#-^E-GZE8wd#@Xr1*Do!{x`2ZYR=Q*Ktc?Du>};U#mVVwiJ*{d@yK*5}HgH%?%t~{3Q39>`FAf@L AuK)l5 diff --git a/Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/rtl/roms/033453_c1.hex b/Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/rtl/roms/033453_c1.hex new file mode 100644 index 00000000..dfc82906 --- /dev/null +++ b/Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/rtl/roms/033453_c1.hex @@ -0,0 +1,129 @@ +:10000000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF00 +:10001000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0 +:10002000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE0 +:10003000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD0 +:10004000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0 +:10005000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFB0 +:10006000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA0 +:10007000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF90 +:10008000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF80 +:10009000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF70 +:1000A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF60 +:1000B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF50 +:1000C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF40 +:1000D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF30 +:1000E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF20 +:1000F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF10 +:10010000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF +:10011000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFEF +:10012000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFDF +:10013000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFCF +:10014000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFBF +:10015000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFAF +:10016000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF9F +:10017000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8F +:10018000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF7F +:10019000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF6F +:1001A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF5F +:1001B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF4F +:1001C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF3F +:1001D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF2F +:1001E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF1F +:1001F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0F +:10020000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE +:10021000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFEE +:10022000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFDE +:10023000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFCE +:10024000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFBE +:10025000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFAE +:10026000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF9E +:10027000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8E +:10028000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF7E +:10029000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF6E +:1002A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF5E +:1002B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF4E +:1002C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF3E +:1002D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF2E +:1002E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF1E +:1002F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0E +:10030000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFD +:10031000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFED +:10032000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFDD +:10033000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFCD +:10034000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFBD +:10035000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFAD +:10036000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF9D +:10037000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8D +:10038000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF7D +:10039000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF6D +:1003A000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF5D +:1003B000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF4D +:1003C000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF3D +:1003D000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF2D +:1003E000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF1D +:1003F000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0D +:100400004C8D3CA201A406A503F01BB525D92700FD +:100410009011992700D007B520D922009005B5206A +:10042000992200CA10E5204F348D300C8D400CE627 +:1004300004A9398539A9398538A206A903853E2042 +:10044000B035202F36A503D004A50ED0D920C9364B +:1004500020082F202432205231A505D0E8A503F032 +:10046000C5A9088511850020C9362C2E083006A59F +:1004700000293FD0F2A9008511E60220F234A5023E +:10048000C50390ADA9008502A9042C32081002A969 +:1004900002C504B09A4C032C206933A538291F38B3 +:1004A000E90F1002A9004A8538A5060A0A0A05388C +:1004B000A8A92D8539A93B8538B1381024B52A1053 +:1004C00003200B3AC940AD5B339003AD5C33853AF2 +:1004D000B52AC980A53A9003200B3A952AA9099517 +:1004E0002BA503F053B138297F8538186509C92039 +:1004F0009002A9208509F8A53818A40588F0076599 +:100500003888F0026538A4027920009920009027ED +:10051000A900792500992500C50AD01BA5021869F4 +:1005200001A80A0A2517D00F9805178517A9108565 +:10053000078508E60EE60ED8C60D600101030385A7 +:10054000858787000001038587000000000103857F +:10055000870000A900853EA21EA9398539A93985E1 +:100560003820B035A9568538A9398539A91FAA85FB +:100570003E4CB035A503F00AA502D06CA504C90114 +:10058000D066A500290FD060AD28082AAD2F082914 +:10059000802A2AC9039002A902C506F0098506A986 +:1005A0000085404C8231AD3208850AAD330829C040 +:1005B000260A260A05062A2A2AAABDE92D850AF056 +:1005C00027A944204937A50A4A4A4A4AF00320AAE3 +:1005D0003EA000913AA50A20AA3EA020913AA9B0D7 +:1005E000A040913AA060913A6000020406091216F8 +:1005F0002000020406081012150002030407091166 +:1006000014A537C902B005A2024C973660A512C9DD +:10061000249004C964900BA51B4A9006E605182A8D +:10062000851BA514C9249004C964900BA51D4A908C +:1006300006E605182A851D60A506C901F0C3B0CDE0 +:10064000A503D004A50BD025A000A52BC9089008B0 +:10065000A91CC53790028537A537C9079067C930EF +:10066000B00DC914900529014C6D2E2903D056A94F +:10067000048539853BA906853CA2248638863AE65E +:100680003AA539C9079006A538C960B036B13A9184 +:1006900038E638E63AA53A291FC91F90F0A50C297B +:1006A00004D0049138F00EA5382920D004A9BFD079 +:1006B00002A9BE9138A53818653CAA90BEE639E675 +:1006C0003BD0B8E60C60A50FD00B2C31087006307B +:1006D00004A904850EA5002907F008C904D0038DDC +:1006E000700C60A50FF0FB2C310850083008E60EA6 +:1006F000E60E1000E60EE60EC60F8D710CA50EC9B3 +:100700001E90DFA91E850E60A204B51910034CE3EC +:100710002FB518C9E8B010C91AB01DB52AC9409044 +:1007200017C9C0B013D00AB52AC9409004C9C090F7 +:100730000720013A952AE608B510D023B52A301FC4 +:10074000200B3A952AA9238536B5192901F002E62E +:1007500005B51929809519A503F004A9088516B5D2 +:1007600010C9F09015B5192901D002C605A98095C8 +:1007700019A506C902D00320382EB5192902D05C6C +:10078000206933A000B138C9BEF00FC9BFD04DA554 +:100790003838E9208538B002C639B5192901D03971 +:1007A000A506F008B51909029519D012A536300E24 +:1007B000A538291F38E53620AD39C904901EA53863 +:1007C000291F8536A503D004A50BD00DA000A900D4 +:1007D0009138A020913820982C20EB2F863F20764E +:1007E00032A63FCACA30034C0A2F60A506C901F0E1 +:1007F0000EB010A536C91ED006B52AC980B0034C6C +:00000001FF diff --git a/Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/rtl/roms/033454.d1 b/Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/rtl/roms/033454.d1 deleted file mode 100644 index 00f04eb892a7bb1c1b90076baabed7473efc8989..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 2048 zcmZ8iU2NOd6{aXs5^Y(g8%L(?#J&j{H>C4JBTIBe%Yu@^jjXC&*R()dU;r1?t<|Ss zTORi21-=>(KmY|qK(GM=r|k;9+6>TW`OpWa-OzZYo|qOz3#>)cVpZ&6z=#5Ek+wj3 zNH@Vy=;z*Z`SIM#?|k3kRo!&Q)mZSAtEj_X!uq+Fc3!YKS5}jr;A)PD1`V4pM^`0F zT0t?Rd_ywVQSB7Pc=r&&4!@n>#Ok#F^osttSF%p788=i@imiu>!5lSIUP4jeAEybA z_cMFRt&FRAt4`9@V2hS!hLLs82_TMz@rtV{wN;qVxIalbwG?D&#uFTQV=kq>6-Wb` zCY8`q&SZaw|4zbvZ2#dvVc`-r2&VHS&m3ZO5<5HSi_>OXpki>z9oJuUX9;$-a=nN~ zjiviaEr#5Dr$`Ouir&)c{1w>?tihPKQ^j?bFO8LkNag zlqW=5fK=F6gk&F(V@xF{*hMnIY;u4V$RlKw3FJ6AX>J-#%($juqlYuDkNXBxZrYpn z@0fJRKL_V2LhX)H(Tn*%Y4h&5oM;O`XO@h!)z-Kx6WLXW0({N78quO_DOb}4SJp)! zCNMj^Tk_)0*VXHDP*Ox=>K%W-D|>gWeXb@CM=GE>Pq)qi4|GzfWWw5los);pn&Bm{G~z)ECzMtNHGLj0^|LIG^c*&rD4tmBV>UV&_>>i zGY(+9xF8nS-+19vON$J|_eB_i3E1{b6`wfp($R}YFY3?8m*vZ1B9U}urIxBxDofJ@ z1Mzv-$8Z&;HY_EV?hniu@Ku&E=+;<<>Y0ouAYuT9GTv%jN;Y0ewkK>ZVuZKFwE_sX zhKOPD{dvZ%H;um(nnusxrP<&&bZY57Y}M`cC=NjwK>42k1)Wl1GcvE#9Gh!mxC8$m zfM%8D`JmuQ)&$;7k$JY>#3Ael2%QhJC^frFv1eL?H18#S@tRVb=w5q}&5V?Mo|NI~ zO5`lbk~k3wPXrR98Gn=xg6aqT!R>?$?2<(F>YT|kBumEz2FMul&Y)i(2dB$^s-dSF zrF0;J<9TbKF_(rC#v5nT$5vDN;jj%@xACq(GLR(>zd_g!kwfGNnIR>}dik~J#*OXF zb_OgFr(W92Z*$kyjIKWbeIb&YpJEA6k_{2JccdnEj|5pY2trj> zL}&u;5p`IEHUKlE279Y^DT=~%vx&pzb~vn9)N}U=cI-q-hlZ!hjgfTl--ev_?*dgh zh}he3#z>ox(|}B24uf*is`@G6;BGqX3zYM}j2;*~M$&Dro~)yLKv=TqyUok3rIqIW~)cXsMiXjex!PQg&Z{qjxUHlD}@=9LK|4G>UtO=;RbiRx(JpR*X7ZG=E@xpV9 Y=$YrAe)72&&z7r*dunm1T3vkpdnC{d1ONa4 diff --git a/Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/rtl/roms/033454_d1.hex b/Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/rtl/roms/033454_d1.hex new file mode 100644 index 00000000..ae94647e --- /dev/null +++ b/Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/rtl/roms/033454_d1.hex @@ -0,0 +1,129 @@ +:10000000823060B5192901F0F6B518290FC906909C +:100010005DC90CB059A000B5102907C904B52AB0B4 +:1000200005200B3AA00248B518290FC909900968A4 +:1000300020013A48980960A8206933A53838E92199 +:100040008538B002C639B138291FD04E683043C94F +:1000500040902F843A9829600901A8B138291FF0EF +:1000600031A53A29020920A8B138291FD02DA506AB +:10007000C902D00EB5102907C904900EB52AC9804F +:10008000B007B52A200B3A952A60B52AC98090F9A5 +:10009000B0F0B52A20013A952A6068B52A1869801F +:1000A000952A60A503D01BA5002940F016A50EC90E +:1000B00004B010AD310829C0F0090A2A2A094020ED +:1000C000493760A9C1204937A606B5271522F0187F +:1000D000A940204937A53A8538A53B8539A9B0857F +:1000E0003AA606E8E820F23560A204A505F062B55C +:1000F00019305AB52B8538B52A48863E20143A491E +:10010000FF18690120B539A63EA53A18753095301B +:10011000A53B7510C9F09006B42A3002A9009510CD +:10012000B52B853868863E20113A49FF18690120B1 +:10013000B539A63EA53A1875319531A53B7518C954 +:10014000E89002A9E8C919B002A9199518CACA10FD +:100150009E60A503F00EA5004A90094A9007A511DC +:100160002903851160A900A609F004C6090904A69F +:1001700008F004C6080902A607F004C607090185AD +:1001800011608D800CA9808519851B851DA90085AE +:100190001120532DA602F640A506F00BC901F0214F +:1001A000C902F0244C8D3CA968850DA9398539A96F +:1001B000738538A21EA91B853E20B035A2164CAC13 +:1001C00035A968850D4CA235A960850D20A235A9F9 +:1001D00001851B851DA9398539A9908538A218A9E3 +:1001E00017853E20B035A9D7852CA929852EA95879 +:1001F000851AA9A0851CA93C85128514A904852D02 +:10020000852F60B13A9138A900913AA020B13A9176 +:1002100038A900913AA000E638E63AA53A291FC964 +:100220001FD0E060A50DD042A506F03EC902D0283F +:10023000A505C901D034A202B5191006A204B5194A +:1002400030168519A9809519B5108510B51885182F +:10025000B52B852BB52A852AA204B5193008B52AF5 +:100260003004B5103005CACA10F060A602B540C906 +:1002700003B0F74C9431A503D020A50129E0D01597 +:10028000B510C9E09013B52A100F200B3A952AA596 +:1002900037C9309042A900850560B51930FBA50625 +:1002A000C901D00AB510C9B59004C9BF900AB510EC +:1002B000C9DD90E5C9E7B0E1A51E186904D518901D +:1002C000D8A51649FF186901853E18651ED518B0D6 +:1002D000C8B52AC98090C2E637D004A9808537E620 +:1002E00007A5374A4AC9049002A903A8B52BC90833 +:1002F000B005B96533952BA503D004A900F014A56A +:100300003E386A186901651E38F518853CA901B0A8 +:1003100002A900853EB52BC9089004A902D01DA5ED +:100320003729FCC90C9004A900F011853DA53C209B +:10033000AD39C903A900B002A902053D053EA8B91F +:100340005933952AB5192901F002E605B519298016 +:100350009519A98085364C382E1C642858285830A9 +:10036000501070107005060607B5101869084A4A43 +:100370004A491F8538A9048539A900853AB5181856 +:10038000690F090749FF0A263A0A263A186538858F +:1003900038A53A6539853960A902853A8538A904B6 +:1003A000853B8539A9BD8D62078D6707A21AA0001C +:1003B000A506C901D002A005843CA53A186920858C +:1003C0003A9002E63BA900A8913AA43C913ACAD0DF +:1003D000E9A516F079A51E49FF4A4A4AAACACAA544 +:1003E0003818692085389002E639CAD0F2A51E294E +:1003F0000749FF853B1869B0A0009138A43C9138AB +:10040000A53818692085389002E639A51618653B8D +:10041000F03CC909901A38E908853BA9A89138A091 +:10042000009138A5381869208538A53B9002E63937 +:100430001869A0AAA538C960900BA539C907D005CD +:100440008A18691AAA8AA0009138A43C913860A938 +:1004500080851985478548A9008540854185168591 +:10046000038505850285048501A50ED014A50BF032 +:1004700004C60BD00BC6061004A90285064C8231B7 +:1004800060A90E8516A5170A0A851720C93620749B +:100490002DA5002910D0088D300C8D400CF010A532 +:1004A0000EC902900A8D310CC90490038D410C2CA9 +:1004B00080083022A50EC90490D138E904850EA920 +:1004C000028503A902850BA9008520852185258544 +:1004D0002685404C823170B3A50EC90290ADC60E80 +:1004E000C60EA9018503A5172908F0D7A904851709 +:1004F000D0D1A503C902B00160A900850DA60CA545 +:10050000468646850CA61BA547851B8647A61DA5C6 +:1005100048851D864820E631A000843BA949853ADC +:10052000A9278538A9048539A207A900853CB13AD5 +:10053000853DB138C9BED00338B00118663CE638F5 +:10054000CA10EFA53C913AA207C638263DB00AA9C9 +:10055000009138A0209138D00CE60DA9BE9138A9A1 +:10056000BFA0209138A000CA10DFE63AA53818696C +:100570000885389002E639291FC91FD0ABA5381865 +:10058000692885389002E639A539C907909AA538B7 +:10059000C9609094A504C901D007C502D0034C825C +:1005A0003160A9398539A9738538A21AA913853E06 +:1005B000A904853B8A853A48A96020493768AACAB8 +:1005C0003004E43EB0EA60A0B0C502D00EAAA50394 +:1005D000F008A5002910D002A0008A843AAAD00A07 +:1005E000A9608538A9048539D008A9068539A9A04C +:1005F0008538A900A0209138A0009138B525F016C3 +:1006000029F0F0084A4A4A4A053A9138B525290F97 +:10061000053AA0209138B52029F04A4A4A4A053ABD +:10062000A0409138B520290F053AA060913860A507 +:1006300003F022A90E8516A9C120493720C936200A +:10064000742D2C2E08300EA50029108D110CF0EC05 +:100650008D100CD0E7A90085378D110CA9C4204955 +:1006600037A900A8913AA020913AA040913AA06001 +:10067000913AA500098029E1850020C936A50FD04F +:1006800004A500D0F5A504C901D004A900850CA2D9 +:1006900000860786088609E60520E239C920B002EF +:1006A000A920C9E09002A9E0951820E2392938C9AB +:1006B00020F0F71869A0952AA9809510A90295192C +:1006C000A904952BA980853660AD000CC9D8B0F976 +:1006D000AD000CC9D890F9E600D002E6018D800C7F +:1006E000A516186915853EA51FC53EB004A63E9007 +:1006F0001CC9E89004A2E7D014AA38E51EA820ADD2 +:1007000039C902900AD006CA981002E8E8861E206D +:10071000E930209833A503F006A504290F09B08D10 +:10072000A005A90020C735A901A603F004C503F060 +:100730000320C73520E23920C62E20A3308D510C6E +:10074000ADC00830034CB13C60A200A000863C86DE +:100750003D182A263C2A263D4AAA2940D026BDD14A +:1007600037853ABDD237853B8A0A0A8538AD3008CD +:1007700029C04A4A4A4A4A0538AABDA9378538BD20 +:10078000AA378539B138F020A63CF004A900F0065C +:10079000A63DF0020980A200813AA53A1869208599 +:1007A0003A9002E63BC810DC60DB37E837F93709DE +:1007B000381338243839384D386138723887389BC7 +:1007C00038AD38BE38D338E738FA380939183929FE +:1007D00039A104A104A104A1048404204849474884 +:1007E0002053434F52452000424553544520505515 +:1007F0004E4B545A41484C20004D45494C4C4555B0 +:00000001FF diff --git a/Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/rtl/roms/033455.e1 b/Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/rtl/roms/033455.e1 deleted file mode 100644 index d0db74312a0a37a27dedd591dab3e7e2da07fed1..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 2048 zcmeHGL1^1n7=CtS*~yefuGisGhBZdbWWqLkJ2Z zv~kEY!=T0~w1KoPRl9> z`9ThFqDQ7O1-YQ+dzI5lVm98R3N!H}==O>&wO+a<2gJS_PzQ1YH6Z(P12tg!YA9rB zevtHhTR;cgHqV~l&h^fq6A}x&duw3{n}c!vQjRWS1Q%DYjyql%{vbsJ$;7$kHdcgvl=>bs)ZPyGwlnp>g@Kd z+YVc2ye4;&?RYEZYS;q)M8H3FV~Q|0lBV}zlDyd+BP{=_^PG$TWABa`+|}fL_Hk>= z#m9&XPvHbkVtJvt5KC*~>^7(?d*R*LHVt2`&pgV~@WuMb2==uQtLz2*J}t{=C1xSE z-MI9Pf%;F{mrV02+959?`2>CbW8dT9Ks2D+SOE|-|9caf?i3hqN4IjohoR;RYi z?2uo3+eJi?j{rD|$Uy=C=pv%YI{=(T%|hhoz9=_7uH|xMHhiREyw6Su%T@^bl<)=ZNu@MTNjC2N4$?5 zt&Z?x#BSJ{#0i9r-R&6M}J5f6P_v-LF{9M#T GB>oKxF~Oz) diff --git a/Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/rtl/roms/033455_e1.hex b/Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/rtl/roms/033455_e1.hex new file mode 100644 index 00000000..09d17ca8 --- /dev/null +++ b/Arcade_MiST/Atari BW Raster Hardware/SuperBreakout_MiST/rtl/roms/033455_e1.hex @@ -0,0 +1,129 @@ +:10000000522053434F5245200020205245434F5227 +:100010004420003120434F494E203220504C41595A +:100020004552530031204D55454E5A4520322053FC +:100030005049454C4552202000203120504945432D +:10004000452032204A4F5545555253200031204615 +:10005000494348412032204A554741444F52455375 +:10006000003120434F494E20203120504C4159450A +:10007000520031204D55454E5A45202031205350D5 +:1000800049454C45522000203120504945434520E8 +:100090002031204A4F5545555220003120464943D2 +:1000A00048412031204A554741444F5200322043B5 +:1000B0004F494E53203120504C4159455200322077 +:1000C0004D55454E5A454E203120535049454C45DB +:1000D0005220002032205049454345532031204AC8 +:1000E0004F55455552200032204649434841532040 +:1000F00031204A554741444F520020202020424F92 +:100100004E555320464F52200020465245494553F4 +:1001100020535049454C20005041525449452047F6 +:100120005241545549544520005041525449444F7E +:100130002047524154495320003D202020202020B8 +:1001400020202020202020202020202020202020AF +:10015000202020203D003E7F7F7F7F7F7F7F7F7F2D +:100160007F7F7F7F7F7F7F7F7F7F7F7F7F7F7F7F9F +:100170007F3F003D3E3F3E3F3E3F3E3F3E3F3E3F96 +:100180003E3F3E3F3E3F3E3F3E3F3E3F3E3F3D00C7 +:100190003D3E3F3E3F3E3F202020203E3F3E3F3EF3 +:1001A0003F202020203E3F3E3F3E3F3D001005497E +:1001B000FF18690160853CA900853D853A853BA50E +:1001C0003C1004A9FF853D4638B003D00E6018A549 +:1001D0003A653C853AA53B653D853B063C263D4C52 +:1001E000C739061326151002E613A5132902F006D7 +:1001F000A51349018513A5150513D002E613A51310 +:100200006038E940200B3A1869406049FF186901DD +:100210006038E9404A4AAABD1B3A60000D192531F1 +:100220003C47515B636A71767A7E7F7F7F7E7A7608 +:10023000716A635B51473C3125190D00F3E7DBCF51 +:10024000C4B9AFA59D968F8A868281818182868A74 +:100250008F969DA5AFB9C4CFDBE7F3000000000087 +:10026000000000000000000000000000000000008E +:10027000000000000000000000000000000000007E +:10028000000000000000000000000000000000006E +:10029000000000000000000000000000000000005E +:1002A000000000000000000000000000000000004E +:1002B000000000000000000000000000000000003E +:1002C000000000000000000000000000000000002E +:1002D000000000000000000000000000000000001E +:1002E000000000000000000000000000000000000E +:1002F00000000000000000000000000000000000FE +:1003000000000000000000000000000000000000ED +:1003100000000000000000000000000000000000DD +:1003200000000000000000000000000000000000CD +:1003300000000000000000000000000000000000BD +:1003400000000000000000000000000000000000AD +:10035000000000000000000000000000000000009D +:10036000000000000000000000000000000000008D +:10037000000000000000000000000000000000007D +:10038000000000000000000000000000000000006D +:10039000000000000000000000000000000000005D +:1003A000000000000000000000000000000000004D +:1003B000000000000000000000000000000000003D +:1003C000000000000000000000000000000000002D +:1003D000000000000000000000000000000000001D +:1003E000000000000000000000000000000000000D +:1003F00000000000000000000000000000000000FD +:10040000488D000E58AD000C2920F00268408A4843 +:10041000D8BABD0501C92C9045C944B041AD4008CA +:10042000A2012A26432A2642B542D00CB544F01830 +:10043000D644D014E60FD01029FCC9F8D00AB54430 +:10044000F002E60FA9209544CA10DDADC00829408E +:10045000D008854285438544854568AA68404C8D0F +:100460003C48D8AD000C49FF38E948B006A90085E2 +:100470001FF0121006A9FF851FD00A851FAD0010BE +:1004800049FF2A261F8D500C8D600C6840D8A27F32 +:100490009AA9008D500C8D600C8D700CAA9500E807 +:1004A000D0FBA9FF851B851DADC0081004584C0367 +:1004B0002C78A2008E500C8E600C8A1869049D0066 +:1004C000041869019D00051869019D00061869015D +:1004D0009D00078D800CE8D0E18A1869045D000456 +:1004E000D0338A1869055D0005D02A8A1869065D2F +:1004F0000006D0218A1869075D0007D0188D800C8E +:10050000E8D0D68A9D00049D00059D00069D000749 +:10051000E8D0F1F032386AF023A2089002A20186F6 +:1005200011A200A000E8D0FD8D800CC8D0F7861184 +:10053000E8D0FD8D800CC8D0F718F0DA8D800C2C37 +:10054000C00810F84C8D3CA90085118D310C8D41EF +:100550000C8D100CA9E4853AA904853BA92C853F94 +:10056000A200A9048538A900853EA8A955513EC816 +:10057000D0FB8D800CE63FC638D0F2DDA43EF03CC7 +:100580005DA43E853C4A4A4A4A20AA3EC63A913A70 +:10059000A53C20AA3EA020913AA000E63AA9928D5F +:1005A0006404A98F8D8404A98D8DA4048A09B09157 +:1005B0003AA53A186960853A9002E63BE8E005D032 +:1005C000A1A53BC904D006A53AC9E4F010A98291BF +:1005D0003AA020A981913AA040A984913AA9008526 +:1005E0003CADC0088538AD2E088539AD8008853A08 +:1005F000AD28082AAD2F086A29C0853BAD40088583 +:100600003DAD000CC9FAB0F9AD000CC9FA90F9E69D +:10061000008D800C8D510CA51F20AA3E8DC005A514 +:100620001F4A4A4A4A20AA3E8DA005A611A53CD0E1 +:1006300011A500291FD0128A0A290FD002A901AAE8 +:10064000D007A5002901D051AAADC00845382940DE +:10065000F002A281AD2E0845392980F002A282ADB8 +:100660008008453A29C0F002A284AD28082AAD2F9F +:10067000086A29C0C53B853BF00D0A2A2AAAA910A1 +:100680004ACA10FC0980AAAD4008453D29C0F002C5 +:10069000A28886118A1002853C2CC00830034C01C8 +:1006A0003E4C8D3C92D8D234AA16290F09B0C9BA53 +:1006B000900338E9396000000000000000000000ED +:1006C000000000000000000000000000000000002A +:1006D000000000000000000000000000000000001A +:1006E000000000000000000000000000000000000A +:1006F00000000000000000000000000000000000FA +:1007000000000000000000000000000000000000E9 +:1007100000000000000000000000000000000000D9 +:1007200000000000000000000000000000000000C9 +:1007300000000000000000000000000000000000B9 +:1007400000000000000000000000000000000000A9 +:100750000000000000000000000000000000000099 +:100760000000000000000000000000000000000089 +:100770000000000000000000000000000000000079 +:100780000000000000000000000000000000000069 +:100790000000000000000000000000000000000059 +:1007A0000000000000000000000000000000000049 +:1007B0000000000000000000000000000000000039 +:1007C0000000000000000000000000000000000029 +:1007D0000000000000000000000000000000000019 +:1007E0000000000000000000000000000000000009 +:1007F00000000000000000000000613C8D3C003C57 +:00000001FF diff --git a/Arcade_MiST/Phoenix Hardware/Capitol.jpg b/Arcade_MiST/Phoenix Hardware/Capitol.jpg index 3943cc0b635ec6c1088bba03f0bb4a8606d25a2c..fe3ea8d9e53e3241142b77f5ed4b3b8afaea6050 100644 GIT binary patch literal 28543 zcmcG01z4L~wsz`36(}wh+}-Vz9-t(UK+py&#Tp>EYY#0@ybvHbMM4_1NN_oLAxQD! zMFJ#1iaYJknYnkKD>MJhy?18ugyh?6=l#}Rd$ISD{qghb&u@TxKy^)Zz@he&obmPViDheuEDk@rDW_o7c|1tgi9Y9TS`OB5x zuUvWzxJ-TN3iYL*9RN1KCBUU?e~9}Rx^($M+#6RYC@GGAU)Yq;*q7l16`$YHtV{uDY3OWu6)!*XjC800N893c?xSr~{UwoP60`>Bh{|No3 zFJFLPFeRujm>1YrFW|3Ux_ad=$TW|x(~3QLd|zCb!_t+GQ$n)!2G?&3s_|PtrvSGu zB)Cj{g&Lp&IM(@#!2jt((oBev3xBW#Khz0%<+4ny*`_H zgdhvyy!!XbW~}PToKCWzp;EuY-d54s?Vo@IWK_aHpmu``bjnjov&r5S0~3dYlY9{a z#6cAi+J>y3fZ~)Bw|UwFv*t|bgzoS-LO|PfBXx*>qyKVNhbKwLtv-pp_PIfZ2R&Q zUN0&sM6XvGkI2g(?37OnfXiT>G-}LXTF<%3-GR_DPY0MJO_mL>WPBxY7xNQfC@@-p z#Gppv+#T#}N8o9Lu`CUNs$-1@fvP2^3j>+1X#SJ?%IsBo{enAv^s|#E1{Qbs6&gr) zm)5wEL93b*`|>dJ8Y>fMLbQEnCwh`Ttv|aB!Dhe1COq7-H5%yV?ogK&;6iZl)EWsE zTlnZBhx0%{)N0SmtP2;KQ?17fkDOf#ofW1edC#PifVoJw1&_R3AGsSp=u5Ghb?RnX zJ?87uCudSZ+~Z|P?`S@a#^~L;G*lLo!0671?M#5|L#b%Q&BV5iwq=8Fsayf~p`5sco5O;`=@=o<(PD zP5lLyR-O;i@tfz`;jMd1l~#%Q-%gu5OUje$XNU3W4qQ@?@e!NnsX+8I$kU9aJ0|UN z8WE$_gh036*ieV99&P^k!)2oU3VBRWN@3bwKA^C(oAM)pRrm*PHgE*koTG|nFYH;= z?Z%=CEQE?A;5I~=eq{Yb%}A|N3@W$XPPH^0!v)h{nr?Q1p9;K~o`lWVn-nC%zwT+a ziTfZ<*xu+ihoSPO=Zua^0&34<0%LA=)H5_79lL&XRVF1GZNU#MzO=q^;HjHdp%p?X zMOR9rOO{X)lj-KkYqPXRuU4k$*o{uzTPH=TVj?~mh6<^VNTr!+eNln96@ko~^|$ZW z5>Z~ZXHFG$4Wo$6%qCa@d|y_Bdu|S7qG+}H4&k(nSCDF*?KB>wuJ%F&V+Nm3i>_5H zXtKzJD?D`ye!FIBFCE*2C*yroOTt5-2DwCo#N)R|D0leQX1`+*{L5R@G(;Wq>GKM8 zy#`i@sfafWmGAyX{&Vz#Z>OfRU(^!aA*0HvopqaRC>I3 zq$G2!KPrZ|(|Q8eUtTRE9cqREnlaqZC2%^L^Xb&qPRT&g5ZP#Gw4CEuBU^PXZe%}V z4;+1liW9R^^rMcQIG;H$DVj|?9|^7*l&Q*WvVR|}Z@u^vkmH!06FVQCG_$+h=~$@t+!q&1tx?bda-cE-s~ z%*i{LIMhk}H*2FK5ds*Lk#9=U_p$*+emB5UdD4;`6bbAgWye(a{(x&B+JR~ban zjnK^L`0>?5H60NoJ%OW*{0VTddphUO;5_A6XIN9yKl)1j`RTVW-p!k_%QN)SUSE$K zo_9(9AWBq`KMypIMe~lhdGe~cG{t8-TM1Jc)YRdSChT%9Wml_&6krq1uSkg*Xs`(0 zysN!Znw;+0vTr7Rg7hj6=2tpn94Qs_X505W*njwGn@@wHc~Xi?g%i?tk&v2R&cZ zJCrZLmJE-`2xa2hqxr^3kfQR4*<|vFB`MZLwJeq#YHFColz;v<9g9;c@&4N!UB?C3Z355yy$`b_GK@csmRg(igBWn)V>*6ZoB z%cUaub+uU~fLn5)D3>j+|8hw(fbTai-A=fMj zBM}E`F2Pt-e4XNofq5q%HNy9H;Bz#HO%9VWPabbj;Y6cWFzF85q3Wz^q+3=Z7~@no zWx>ZaLbg93#0ZnW3n{w$!3XBOQ=L5z$P+kh1Uxp^)RKF^Vu?FydM z@G0GfmJXx^7!=c<2ZLzTHC32N=AAWn$v8bXH|@&o^!RZ{zz|MLC!4MvSC?f10WtuB0-Vc(Hpn49V`S_oFn7?xYB){Xj zWO`2d*6l4#;MXY4DwvA%O|@J{Vix`PwM`0xP#CZ68rB?PQ%zfwiVE8*XHsxixPZ4&KcwPNDzYI; z4^zj3g>`w*X2Z;Cg{!kr*Pbq#KH_fRAvsRmcl=H~_FOyG>%Pe-Zr#ieX_F7m*?>F1ai(g>U76GUKvch(ID}Z}+A?{nTV#lSAXD_^ zvlHH49EUZ7>Z4gX%V*jUX37jxXvSV@SMo{2{Z4!E9oenSGR?(y=P%;{@(tUONw0b_ z8{Mq#eQpj4>m4Ko)Dy4ZyNtLWqj4so3{-nb`3#H;tTj40yk=k}#?|t0hy|a` zCc1F6HX_#7@zmMGrToZeC?cV0;zYNKz0o)}3_MbaM~-cz^zF);q4*G>Pv z9b<*Kxj_6L8yh0ZV~*p<4OAG5_LU*~dd07ML-4g}KLPHF<*95bM*+P*g!q5CTKI2% z$@Pg(hayLIhA|TwCgx$YEr&u|oh-8(?! zA?q9s@U&um4S^!V`lQiwwdNH62Q;OxHd$hB>Qx)eBtMc9tTH_cUPJ`ep~tJSuS#F_ zKG!*rI-f2u(J5Vp^YG%6w@eY*;)z1`X80A<35-W_@t`i{We-uF(0>9C*SzrLU1~7$ zhs^p$=3*%shaI;ML2mZa-t^<~;Yplx^tT9OnKw@b{0u(i&bfD6Wz9|LRgaNO+Sj0M zwo3d~ky@Sl(|b-W+Jn=Y+rp_uM@32|&OyBxOTNJzjXqHIZ3kOt{AP)-A;VB62o?_? zap1G=BPLp*O$zVTglb5pXWepIANi;Nky4W{L$xwCH6Irnw5Xf}zoE}xj`GbexZLeN zV@!T-p9XUQJDJ^_%!JK%(J9yfOS6XzitA&Q2DGd8zRu4B<)ZcFR?C~zOPcx{^4uN3 zRIGeUOf0Uk2x`$z^jc<6UI+rd`{3w>%;7t4lVr5Kq&xVnEYXzP?f?@R2b_-5jL9z= zYuEfXBx9Zl9@qxX@u`}>`|8ynBVZzHp-P=GpO3NLjLD z>JJ?XN||`d>*@_c>H=$JmOafTK!!DW1S4a&WU*{_v5)XQDFNZ3P_+T-52}Mj#)iX@ zZOJT8Z!I+i$vW;sG+&J1O{KE4@8It;vDu4y?4hC5nxN?AiNoy3eJO=&Pr*1tw=T}b zPlEz}_lx<}ldALzhVif3zMIKUNgCKY6lnVi-_k#5_;Kqe;KV=Nh=C-aY)US!$M6MX z2|pm*euH6y++J1-oheIgfUBMI~&nt?m>R!hPjC->RXk#AkUF4Y%g^UG(vQj{8XKDqEd8J;Nk z0EOk8uOrr%nq^m*WtI5YKvG*%Dh?v0J`IMuAgpRvmo$#^(^M=|{sw}e=e#~VTKcf4 zzj4RLTN$NF?((kM*4BttV;-0p=AE-wTN~?_6+7V3)-qzznD+Z-58M*7WyX)L}B413}GbIhmiVPaEygjb>(JnE&r@1vjM zGvP_(U^ch$dQ2xbNp>k@xKJlfKT?54>aRI?-+FG=zAiJyQ; zn5SBXv6O-@@=Rw&$&%S`bu7M&SVb&UC0|`mtk@ceULP02+xz{PS@)NqZp%XRDN`BI zSQnrL;}zvt^jM#U!pUXgyMdEeo0qTs9S`8Yuxa1_a#=IZ0}3Qx+q|CR4VvcBN1ID| z$h+k3N;a@2h|pSCsT8b_RP6U2NFIo3ANd7?_l=2rjV7Bl$CcG14gq#drrZwch9y!( z`+{{T0sb6J2v>f$^{93Tm+Sh$xMjLssk-B6)p2BfY+)&vJTRIWlO-B$ zzULZ)ZnQUm7RBm@{sb`27QGr;Sc=WN?eA`Qa`Cq@eVQUl(&oAF%2ae;^n{I-W%)Qy z1B>~IP8pUDt&i`-URemRla-gasR72E6zUKr*ZZ#If56ekYKnTK8R>YbCp zw%>t}6z#vWw1m0X%Q-Mf`A4OV70TndMhS-;cdFX_Fs7vz)Pxb~%|7&pfz0$yh`!(C zKo#HEQOyWDYG$<_TyEa^gJcY;7r_a&oA02s(6LIld_8@WSF%3}Xenx)i^{(}`ECz7 z@2f%feN87Jtwr3??2i^il*Ut`0IrLC*kvOZ=}e?y5=+N=amTEujVsJGGn1Qm9&7P( zy|7$gFEQIXHVI8UE%fuSb8t2&4$fcx{<5r&o{T-0()k^N}D4N;~E6QO$@6&PU==ezQ0R)@4ws+3flO8g^nP_q>~isJT=Z+q9mSBNM1H z*SMg(8mhq7nM*7@!0~4RHFs)TvGylpT}6^4{K+Fs8SU&UO2cY&Dz#kQRAPlAmMJC) zD*4@pIit1mwt#+leZm-r ztp0$nM)hQKBSyDt0P4H}`|2Re{n*z6?YZIW-LTHiRcAb&R02fSzX9E?`#3eDm-Q{l z7+)Z4LvHXdG%uw!flLOAVgn{}<4M@D zilQ+}s|{NbZ>7%83@qWvX(Ra-`d@cbO}t}0MIX;z>iilCZU2^107aA+7O zWrBKj-he%Zp>~?XUST~`fTO)W5DN#2SyOUZ| ziZ;?nQV8(;W-O3qutG*@iJqo;&DAy)Otjbipb_`$TtPz_%a~fZNS|I-D~q&a!V3w_ zIJ>woc?MBTiCRJViiFQ72CC0DRvq}S<7@-dYujExW*$#m%&1Q=OHG%)L+ZX` z0a~YPPv;2^Yp)fC4-XqKsmwLQgsQbW>Ez!dfe07-v}&vZKEfcoEXUc(8#-6z(7JSY zriFNnxg}RWW@jt@v_RXmN!xQZPsOO`{w ze0Bl(ISrjp?81nV zjC*AeH+5<rxxVmS#oJ(fHJxm864UTO+JJb6zh>0A7w`{Kl7)_VB6ONB9a)!oQWLaZtB3(BJ zWRG++7U;I(SB|XYB;1y!xUB~jX)1;c=_#TXl2QrME1z=SgUC;K|4=YxoW~P^`YUK3 zseGNWLp6RQ*=Va>_XCB}-HGqg=WNQ;>iLDoM9jO@@-{`5F~nZU1m%1WFP<bQt%NOh7agj(UU%EhX{OtqSeiKj+F4n6 zBl2xAsd+RC4xH@SD`q&|HOhnI$gjvS`uY2dCyLeSVn6N}7_or)lVJ8r&|}s*i!bfT zZvHA~RXhIUqqw~6>6q1>x)=8v8HH=TW8Uz#wKMe>DW#IsBV7sn=d zorV%u49V3@|svpG!+%Cc`i2;Iv_PfRfG(q8R|IS;N{pPHVW9&t@Jhe@_v z5)y!)hU?YT>gRsjMhUYk#Y}VrBK5q@rw#Hv8D$Ap+=4#=hjKNWKLJGTC^h-S@tK34 zfV~d#ICJZ1;U-J*&f)lLzYQS}mbY#7t!tsu zKLLDjVYCTR9qJIRm}b^AV^acL)V3;;m3A>4()151Pp&MF>IkSg>h%()ngdFvJ?|A` z8BZ$S$b)6Esn@;gG1tv12htT2C`KX)GH-6zn+XImOLFnn&(+$9L1#CApp#WVK8wn% zifDS?I9R`da~k_gGV;XWywG1FreaEsyZD547HzH!5v+gVu07 zt8ruHUD9lV{@JCZDAVJ>xD;n;G6pzAdT>|eZFKp>8{F^&Ir!z+u;>Z2EAV!aQI5Lu zl{t*6aK546)~kt+0WSMWdU;*djH#z6_UU9NW>2bdrPH1&Ya3YRzCfB$2@t5&^r*5atQ{{Wp&Iz!kkdWe3BDLsfmM_Gl>ngiA6!@&fDgtJU zVApS6ruqEnA6X;+dml=shnF5HKjZSgG7P!V6gt>Gql5hkScOXbxbWP1DBVnAj~4YF zyxqOi*{Epbx8Iacrws4nTitk3_}#aN#BhK3d<4RNBzFi*Y&Li+Z}HT3<5gF(@T$>W zlm4)#_e68PqX?xXjc3PxLF}@@NZqgoZ;F1^syrqu0dC4VtGiz`vNqFI^1G=!q{PVc z_xsxU{EJLY%jWc*Pf}8qvC_;A4IGcFB9Fopr=0Z6&sMvPBHw-=bY?pG{Z2}I9lcaMEF-UvAE8! z?K<^VeVDHlmGC8h*2c7ROvTDBXpUo8T^H(=_Dg_fIt|zd9nt2PS`i$Rx{)VAh9L=s zyp$0rZr!P&BJo%XPTTjC)gH=|5;h6)U)Lc5PYP(-mP-px#?kc6%4Gr%bU)hoKNfF# zf6k@yX3yt%HOvY!A$60bMLMP*0`BctyH@dHqiJZ&8ntdD7bKDM&l62 zCDIdZz{SLqki^3?Q)=AJmB(t-E*#jf+z7KlRgM*X$sgsH>aMf&b~hvyxu-jm@y7jJ zVPDM@t9m;hD^}Xg^&nXdZI(~}*bd_J|6(XW@{N2SZH}Ug)rXc~xv*(=X&CHWDfg=d zNb4YxIiX8VY_-*-n+6~L@~gO7PK(~`j^RE_$a+F+V*NHS|4gxNI+k^8U+yJV*($4( z>N%n+CLmBbUU7@~eLp(gc(J0crlAPI#qSO;v-O{MV35(#&D01z6w4mPj81L3{{-kc z$r2L4aIE`%SiX9-?O6WPJK}2{i#!+pUaDFS5X#SMwvkiB7 zUP+KmQpDtY#D8YhB(S6Hjd}M4G^xc?YV5fL-yU&m3U~6kK={sE<*cr0+Lik)rb8&U z^0t6I-UxeAMNyQIChm=wywmeCZ9p!NnfyCz^xCySIyJ zIw~jwKP&6Z{zsXgJ^a%Z=j*E>l$X&xM74k(c2^r0pJeSAlkK!h-kSCo)%hP#-}bR; z`dSqgwd?SU)T;aV$|`<<`A7J~e$Bp}Uc}NuiIgRoxTl?5R<~)MmyvFlZ5@Dg8*&(Y zfVaJ;dW!>!{pF8v;s3L0f&1V;_$+q8%u48H(Ao|JdmU+ zUa#F2?h?*!anxm=v}7m~SSc4wX|lw=_&l2ZdGbxu$5rlV_Ts5hCGdbR!BLlBd-`7U z#^>}8e{~>~Y`+OMYQ$Pn5eGDpa-VY`S68`~lr7#NaZjyjoWPG8zAGN z$p25Ei{mlBU=y;h%Cu5`!{w2wm5rqLO*w54WDee)zQ?}&VBL+uCnHK~>8c7rDbCL- z6$?ik>Ksfka#3Qj`6w*AbvAZqWCOVT;qOWEclnoHfR93klyX1((&I#$Ca`imyqv+s@#WGt!n1#$p}&p6kJ*2m zP;UV{Lv^!GJxqq(M>}whkSZ*o9}9~`KBjhpXZgIluPeuBPEX)9#qPK|TOLESA+(C$t48}30! zzhuv;=DR9!k(n-g1NqeWL{##e(V?if9tkOR4jLr}DB+T}#vD%*8ty&Wnfs1U{{H1N z;G)*_Pa(~V_2&;q`R~vrPMRAyx!MB}-g#LUJbM;B6Nv07c~USJ-B~`}hK%LPIQm+f zEF(jmSSxrc%mfzq@NUv9Z+KSLkt^DvL@?pqPOOmk;oESPaI)@ag&CH-xb)s$b?5b^ zKZT8d=jQ(aTOY#7F_pn3{V5eiCvH=7vEx6_V&?)e3Kzj-{lv$0+gr1(K++Vef-dh; zP$_^B)4z*(N$x6u^EDvh?{@r$I{+WP7cd)29Zoo9wArvQp4cJGd_VE`rZ4UAFJA~3 zgs?NEeIga?#Q2dY4Qyd6`yQ5(mIWsyY43B3x;M)5r@+M(bCJ4>bUFLAzxV}z<>p|< zdz8Qbevgvz@+H}SU}pac!vCjl5b*GC_cRF6>;9mHl~ktRj8OFr zj6kyIOAT@$JM~MM^cyIbLfBmtld`Xx-y^G<(mmOdQ+vs6{sJ}g`t{#N{O5Bn^2hwf zT-_mdbfMurV4ueU$;IOaBT)!VCXf>Q%Ao{W8^0B2R#^bjZNjK3Ef4hP6O&K9rbNrG z@!@CLgtRT(`_7c^YOakmtpu?~Dj4oRE!4L;k5brVZ>m!92kQO^_s4oNu$OVmp3$QY zq)!M97-lgjXoyYmT)ZmQtnWv1si3=8Pbx;-5_y=w-z+Bu+lj# za<{z9Q6_D0r04Jtf6(mRKVJ!d8p+2BI>|ReP zQ}V1cyU)A)Ci4jKY99pTQUEYL;3Kch3A&#DJ`|c)KJwT&xq77>c+y)L^Gb4#2{S5%;g-u6w;F^6 zsyu~j%bD7oz`2c8?xz@ft>uXM56B%NUKIJX@X>A^D-Co0$AD#8ucrOHEP>)A9y z*TT5dcXClLG_msjg&~ST`NvT&<*Ec61OZr(`rdbr^wD1aV1deRA_voLq;> zA{A42L5mp@Qpq#Es@8q;!MIwo)T&0NuoE4{b+GKHVjGEN&X;!>bMy(iFFV863 zoy*z4AY{<}t4C>(c9fS%2X04i)8rwI_pVj`xPJ)N&ERrdVFLh~&#**~j$I1`vQvDt9lewW~DKB*to?be%~RD7;g=ycl#lMq!;jb%NloY=pU z8OIq^rg!-Cs@9n%xXP~2KS{ej>Q4X2?9vA^0OkpWlW!I=xSV>mB#l`}UTE_FAQ>1Jf)@g9+| zFMlWX<1|oG-{99z2^yR;eu8`J5pAw*X0e${7q#-y%50S4@@S*l_$H1&{>USlL5SHz zFHRDKn)u`}%!BKN z_phcx+TLH%`IpVWzxkH&2>=@LU;gbbK%anne;jDw$30$5%qJ<+XbjEKIG%%>$20b+ zpl=-n+Xb@A2O2L;d-=!tj=D~4NAk2)L%H52$j<7OnSi&Ao<6nx zx|)J&3*wwKBeKLcHEr^ZXr7g^O1|2~%JsCDEeB33FK#2@@U*${`sSt_idnrVSCrMl z)TbDE9I_?e zZq{LSTPl!oTFEY_*^Z76?s=57SAR5}Xhws{V#kY98m$pMdR;;4rn>b-_LUBv(A$SV zZPsS=uq#>1eFw*Fe{#3`CxFhz9STzp*OQJTWFYsI-IM*$S>Kb5_;L2q1R0)rL3C|x zaLdf}@MuBOV_e9t^v;eo!113IYW=s-(Yyl~e*TpvV&#$86)vww@8u$X{r&S_iLo!q zJ^W`SiT;}me{M*8B2Y^w{&B=@!+_!#d90gUUIR+as~Pswu0h8hD;Rs?1jx9We)+F={J*uDP7UVf z+IFfI+mC>v(>i3An{f3mfrFOB<}z&+2Fw1qWLT2{I30pIlsZlI)UH@rA@nzcmq=@rUg-{Id^3x+ zozmHEDVR4eXX-ik@YoUf){)PiDBo_ho4c0__@-B_0;8M5boG}!zT8Kg6{$3frq_{b zj}>wvgJpGvFRC>Bum75`|419{>#Kjv%7b#H$}@_q(c-dy4iXuIIy$t@C;$mpFX|$c zzqcQ7Keqe!3unj$65^I;TkA|Ewum16z3Vnnpn9FU zq_KXVp=8$$VX_SZ`qmzQm%DsH$nPW!Yk0ekv#y(`EK*9~D@ioGpN^Py=dIJ}P#t)k|5_LvN;Mre^nZ%ote5S@>Z(^u7ga|b}=`F@q6svP3Igd zy9S{KaW&m6jm(zeJ7G)hEW@zHuO`c_7`naD-g?BIC$hEuv9{I9)scvQlUg@av3OSQ zYZFyMxLc>L>~z-#2&wxH93x2EzaXYF+3mzW{4TUP>l-k`K2o(8$7N8&A1tJNm&zOZ zwlX90`^Y*e7+i^efbYUp<*k|E!Xub$o*OM33JHIdoWCCST^n6QwzQ6To{MI9EV^Sp zHRS$W4pu*uFk=SKUQlZ)OZnkMwGQ*JW6c}K*-*aZk|ZO2P-QoAyG!zb2U7v%gNnsQ zcW+briIYk5c}XOgs$B9N`aqCn3(mP3yss^v0ObAr1qaUVf7|guOb9Lv0 zEfWFrs6VYc+v%1V3}r|ji0VPi;M;)YY^7nbF`}ofAGx9^MuCd-|*?MJ9+pG zJ#~~RzNbe=^U=tj!cRaMs*_q;&-GPqvr$5qu8YsBrWoh+6}yuDmUM04b@D;7P^9Lx!TpZ|W84 zfdQH8_Um0LBf|mZoy}h-W~^)LJ`fwTJcx45-D%ZXu;qiITk*kEAD{Lo7>hfNQwfwW zV+(b95YQE{vDO}JI|`V!(%p+Bth*z2Rs=%uEqLLZc$1dpY|a@I&wwvd2m7wyC+5bF zwS260lOF`o^{CVgt=a#Wv4d zmA72;zFj$L&{uxbc;9X)FL|I}KS>Q*7>(`eK4q>fD;djmTN`YpUw9XdvVFItn3eQO z_Ss&J^Y}oZhqT1}f3}xrI^-&>RjK=h@>-#AR*V!+|dj6Q&xoNcA)s7$X*d8i5b_F zXwh#76gWvnzRf*xolL!b=bSjz-?aPOBOe{ty0S}JIoRw7(&_1QUpNR44Pu6;t7yOd zg!!l+lH@JylKpbGBm0hI38A1?KQeUr@oZ%rQxJMS^mG{SyCz!pqCD!JL2Uj7BOBA?ad!K~9$*r`(WYD4nbhSvyTt6*)|F5iJ}gOD+T3_lQ!*Ot!4 zmam`GZ1&qXEhj7X)CIfWhP?smnZ;pNhf}&)7|$lcLU)2dw!^=Ed6QTfQmAi=2|1+B zxuc5^y6b*&tYYcE%T77fwR_B%^vX{g ze==-*%Ie-bluL=^>sfV!&@Lq31Bh|{PnJwB?}v$6RQYwk_P9ivEN7kL`@E-9eyyOd zf`*&>vwId*Z^cneBw7t;UlR);WTx-)FLd7T-oac`Bk7z^xS2S#a7wy4cdj-^XB?SL zo9=Dl2Wkz>!#J2WjHqIjG0qyL^K5~Q7g5fu9@(x~i)%@p-h3{4^26C)zAAN5-q@~Z zOLs#a3H6jd2Y#Q4eJ*>N0j~x@Bm_E?#^58}f( zG?AN#1@SGn)O;-5=C*`-RP67z0D=aDpFls+|^ej zpKQk!<}K=}C5_o%+KCJGHyEpRLvVD7cVzj$&plm^?dEW>67INo)H*=qzTZeOaZsTA zeXK|VgtAQUetrTxZ+NcwV*B#O?BDr(Aby-%sSbq3B(fT^1Qkf*jO46M4cvY0GM3TN zf-hooM*Ww*b}mLl#tDozR@QdkPBuHD+-ttAt(5+)CE$$PG9!s`3HzeFv37uiU2MZw zy-Dqsw2xgzWm&^GUnRItw*z}GDb?G$%}ktE^E7^T#|4h!R_M}9Dk#QLs@D^YY}k@8@7o_5J!c2a}k z?!$GfJI3BV)=QX5346zR*!fZO9i|j$-gAQHBR>?<-XS+`Paj;v~b0@_T*2lWJ$QA{*_!+HaTwO`JbF3ToXDj3 z3|5ASvD^$2=R(`B_K-D1SH_W$8iCbJB^4cF-w)IDSV;M7kR-G7iNHT%22uRBN55{C zxKe(My}#mPEno^&n`LPIQQL}aO_IR)Cx3~)AZMk#k?-ZG z8X}98*@X0LcXrMUIBFkM$vZp!#>L5=REl$#$9kE+TT7BGvX>?yt95+HK3#27`T=EO zdd{QUH9g_V@G6bfAME7j`0w4c;!C9TJp8x1JYCb$2ulu?dXL~RWCq91PeAKOzIrz} z+W>0RUnK{1py+J)N&9Lk}P?r;>a}jQ4mmGSJE1UVZ}#3me70 zdU5=6LHUvtc3CySeZ618^y4)Rft|I-=8r%Rlb`7SPu4g9fb$;Ued^WU^n4#(H=tN< zme*dbz6nfj)n5vU_tWO72KG__KEx;g!W!}U;hkTj-`{xb_8CC=xd%Xr|Dz!D@b%T@ zdw`4<*95@wg}V;8bkRUKjeZZv_|vP!4M0Ny0INUy)5}kD-{z#bYjz=PGI?{Znptux z5{o*IdBVe6Cp;vrjVv=mG>u^#q`%W(BpXhY7k$5ZBcA?#m&?HjS`?|zw~}_6w}O8s zZ&0X{-i?ye_zAF^Ru*%%pYjg<)KZZl{~aq_nrgEX7r|c#EXJ5LsV~njkBvuZD8>n| z?c)++)Uwr6mz$nJ?!NIGS&+)&<{FobnZRImqT-$wBw8KXy&O7IsT=bk`GxKq>%_`` z$YvU}TKx&2lFmdB8#bz@1ad_au)3%DJ6>g@L8lm-QxUZxVHi$7-j&mS8il_ZhgKv&`>}Ky!=q;e^w-cU@Ch_)*Rqod2{yE>? z!1!A$9`f+=F%t%uzo1eml#~3p&wuX2 z*3j?|>0(w#lrLt~(WLF9y&Xcqx5mJrNiu+A)%7Ppt7P4U0gTNe&)cMfF@;8ZGnzmH zBfW1uOb*6JI~x{b4YZ5O50sr^S`of4i8}3r*bk$=$X3+Ml!5kLy%IRg%qdgjMqGI) z3a`^ny^7-T_VZT!Jk_eDaBqmIHQ~`tH^V8H}ptody7s)ee3e%VVJ=0J<88V85-5yw)HWM z{rcOpUo>hTX#Gg?Dw%C8bix|wR7Ts3WtaDy!6y(hH{QVt&=~X(pR5cqsde@z0L&bk zRkjdAo{8y3`6Zq}eVlpv8(P$Br~SkAhMiI0Eu~Mo+a^jDE!2Nx;cf=@EZB^X)f8gq z_11m%eU%Y&X;Ohrb!B@EgJ~s{jV(PFh`{uhO=!Q<+{Lma>m07=1sB(!0ET^sK%e!6d0W^B*gm4u#>;!g(AmCxYL`N;yeAGnjG zjSy;c?YYRHp8zZKb2UWzEN#G8bN%s0l1O3Hfd=aJH2Ayg_jFjbGa5c@AP_jNt*bkvQ|h z@<|t*`v~3g#Jrf|WewR%H%WSU`HxD&|BC+jZyxvfH-_GiWZ7<6ou-;`C%X+k`6BB5 zeRy7{J6LpkbeB0rQ1rA?CfJFD;aaoAw6`KhVNL0%mYp;oot_BLjm!n#osudjwenkU zZvGGd&{@_?7k(%$`&vq@-EUui0<_XHvr-qYF{j0JBSGk$IqG-YBl@_O?FY}Xm>z>1 znU2#m0~`Z5tpr#Usa=?&7LJ5mJ+p|k;GCi3-$!x)(3ZXp)O_o zd}Rcmo{<_%H6Pm$)oD%5yJS#D!&h^1LvLcC90mpvS{2~VN9hH1b~@F#%xa8@c$0jV z_%)cn+E%vt{xEk8F%}#A4e-BB>RsfbXfBeD?D59SQ(m(w(m){&H2oATC8 z5F4VBgZV+vnZN@PGbld;U9DM+Iz~7c>D&~A0LINSqkZ;zgOT22Q%K{2XQwNl!*!IDazs20OIv=I zlEBTgU`zQb1bUG$yLjl~_y3O)s6K3S9rJN{bMr(j+XbwRMS^aI;I941w@jQdx>YEJ z5f&eWmylpq7~0$ZFH*7v(QwraGv!jICCnuFgmjUwN8t~6tEx&qnhjM$I|{bq@(y@H z>7~=uhFIbKd~SW#C`a!uBWCcS zo#_lOH-;S(=U$?>rLE2n(g&N>+0q917??a6Zk9HRbUl5biYeRQ1P>@SUROq!T&QuR zGTt!RdVXfR$4r0p|5ftcQB7v+`i!HFBM1sgFACBHlnz3ekpZL&7?3`SfF$%zATVbX zls?iTeJCM>kkCSJg9wotAP`CdNC_p7NQZ=WGv}W3Tj#Fd+`G>C{@Hu&wb!@4z2Cjx zZ}0bgo@eH3oXyP$a`rJz{mgkS_3AVJ%K`<~zgS$p@@Hh2?FVU&pZ#zDr!Iqq>3ebu zKY~iS32>0?xVqIY{mz>45|Rn;vP8bh0z|;t8@JzATgSA1G9k<`T5Q;7(2@zcArFW=6jDwT^_UI_(0| zRBMnQA+w-PF3bTHbJtFX?@SyV7HYfaeGg5Rd+KF_Tl z6}2`45kYf*M?q@Cc5HKDJ9v>O*uTsO^Pd|Z4>3(7eW+5$ z<}$*KMp`$3DftVyt_|QVUE8m###Q<&s~pXG4@^Z;8Xx!ETA5^oGI`rqq#`I_slu1~ z&m;q+fQmS4A4LkH|IN`2=TCMQ6@`9M@OuMTV1;sJzWG+-4aYARe)wN)d23l?lmF$= zij5e@+>AMuh}0;kKWCFBLMj#gut2bJzfxa{u!}BNx;mMu2DVq)uVMhO6CncYEqXn{ zEUrq=IosNhM1<4f0ORoVes0Rg73NtlTCgtZxl*>l z0&j=33AVwUCTprEZYtGh^Z+4!@1(3|F(F`j0u-WS%sX1YbT_cm5+AvY6NYv;AdLy> z=Ed)Ds2ZrJ`*voXtU75kdDo1jjzyQq4X}i+BBPEod&JUdrw@y0bekN@>mR+%lU+k+ zwQcKx!jSs3$w-=ZtE*Kg44CQBZrwE%1t8u#fJQt;_-%8#kbkb5&!lPimeMO6qg23? z>G>ko51R|)BAG_fSt~vZe-wzMYBt0KI(=T zj~5Z1ejuK+k&dU9C@=edQnt_u&oa3Ef4I57viqf1Z^;?@^u!sSy*(;a;qFWC;EF@r zdN~`@GWmNrBSk;dYj4siyJZszHtMjtb?so=wa`>IEnbG99i zZsTaG=;|1h=T#0RNX6)rB1YPIv}8p~6W(i&p^}MNk+~kF%jFIfh+qMFC_(@-yKz<+ zvxBb_ei^5kQTy3cw=YUe?O0rMSII~io)uhp@$k|$_CVQL)$uHDn9NGMQkhP+Ppi4< zv-*f|AWM))N`xE`6~uEQ-N`llc$*6Y^1G&;1)i)&$eMfT`VZ?}PA>78Y&#tMy5w9D z935qREOObw;(dj~`gZWRim_*EO+OKPa7G>X+3T;6%d2MKzRO*Uv?C6XUY$6%>-xeb zktFmdQSI1SF2`>k%M0xI?}H#_ubfNA`c)I7Adc7$vNKM^#dr7o`F_V z3HmI*<<%V3#xEX+_;o2H+%qVo>SO3Y1)p-D-?V3XA7vi%aL9Ydz6JikBWLy_a(WV} zr=MALxq(*<1A6owL=+ z5TKk^pKOEHK%`2$0&&4<$iCaVcTuU$4W|dB&d@Mq2(}r$V!oe8&a) z9jhN#xUJ7{BMvDWZDhv_cIpT73RgkgxM|_n-9FqTXJQBEVoRz+_(OQXYZ1QZ0*dCHbu+7B~w1qR(drHy}-L&xmPg*DD;$rJ@ECOmJ3g}2$?`T8VU9L!OzT$ z-sGt6iFnX=a;;ISL}An8{0}HsGAR1RQT9{nI*v^7b>wh8X@&;5UdDV{>Lf#B3ruAmHb38lt2H`T^fG%WQ^uF&Jtcq6Ovfbm=(mEQ@Yp>c4Ribj-} zy8OB!-}AB5qes`97;b~E%gGWx1VV`5?w#JuB)-L0_%IFARwQ0|gL;8Bu%AmQG}PdPGYzy!Q%FzD0x68hRAFFWshbgF|=*@aX<7 z9bX11ctNmFT@=X+`h>yGFN&irk7BjMwym@g+Z<6irjx=!^~>rXDYO;){}9%7@lVo8 z!5}0aa=GdHhg~j%0eh7e1&TaWdqqtvua%!aXe@~iD{F0KPe?G6Z7zx>J-YWS6k^v)W_0+IZ5e5eq4e+Gde6vxX@wCdS|clV=9NENvH#p}6#IUh9fe zcGKe+McAw;yQ%9eamW{-X{Y|s_Juq6$}kp5coSsRb~gpJ@b#^Bea|U7YQx^9I48#^ zOv@c@>@Z#uWR7XV4%Z{XCn`zPV-3MSmt0xU5mu-SZICyJb{d*lZkNIyhqXGW-I1!W z32@exSuD649*HE5qvocpP%?OBUxh3+9ni!!K|28d1-68#5#%Y^+D^-Gif~!w(MM3a zd{?>3f(Mz6^!N{f&_{f>K=`9dYERD+r$HX-Y3@2Tqw`+#gxb}dWb(%3S6Ouj#Hggq zf$lB0caYBm=_v}z)ac7}K|#fO8N1Y{s1u}0n{PQaw8d;L*CY_>q646LPBA2Y?97j= z14oS2SQsynnYG=Y99wdH;Cnt#*9>KYM7h+6)kA^Ad2`LZGT$l~x!YH=>{Sa8Gc>jV z&P}UaMdAU#b|aRIshg5pg~b5aiEer@Avc=w+_*!F*5!t5b^h9hmfKJcra`jqOy5|V zx5)MJDkHtnc70@JMG--ZCR-Fe#^SSJz&#?dvL_UYFZ>WiLpetooH~41wDCKx?JZ#x zaAZ;nvvvlfhyoLRXr>?c<|J3+v$wy=b#Zj#1F!#Z@qcDKGA@Cf#gs1jC(U_%)U_^r znc!qAU9d)KlO(KDU2d-(z+_GG9X@y+b7~64KDJP?%5!qxB!266Re9+<(5a;lO~sE6 zxfxsm%5$>uQnE<|cpi7*BtEksI)DEQ|6LJQkymI~vr7(oeV9l5dXQBDeU1}W8g7LI z3Hco)@pLD`0KGb`{K(aNCp8}Ul=ru=)c_%IsGw+_P%h;oboeV5??JmjFCwF~W*Wl< zqto1d0WmRahbI3d)iGwXg0623qwYMKo;uS|z2sADt?> z`a-9(3&gCaj{2}`Rskq?0n^bw_mbg_9$KAT;8CP)?w*sd+sp8nh?eJCPZkV3Gr+A? zKemus^3W*h4;@Fm6NI7Ot9S}W!$en|C{B+Hd5{c*HXveTR)+!uk|HIpVe2<$J)N7X zD>BMQ{N4o2)-R@%6s_GSl=oQV1q~c$2?a&FzJk>E7J2X5wo+6KXT|5DG_%%tPfA!< z8|wFt14@T}Z+{iJTDboH<2l=gVfmc1TAzF`)aIORSSp{XXnYix-2M(@EsbLD}{Gm=X{$+xQT_f zo(esh2`N}(n7`8SuGxH%FQ7gYYvaNKTJI#wffVZd4mjcK6+WSsdYtXbp$~}H{VNJC zBY3G=KYt#3V<%kzzrv7ZerSMP*3kuw@@(bI=LU8Sh)90FW!B{VCaU&@msi~EljGmM zdHTy=9oznwwm;D@7Y9ZPmLE{>JXpMiqhtwSX@@4Zd{<2()}h%bnXUOKfp+O*0rbQlNvGI@0~T7Kj}wJ)hc?QJk|GEWgnr&q{@WR$QjF<`5aN4p4HwSBX6|;w2X$+u1nzyuGhsYGh(y; z=%_AQCHG$2&Vc$XY_5$@sbWb9Pj@qSHFsYpghLk!icsr&A&)f~3KYr?^jpL_c5g$F zcLev;PNx8jUO8;DTVK(}*-noSID#D9Qei6FhKqQk2^rElzBmUrLeJI>nTXE#JKymK& zy7nSOV%aLqS1%6Aql+)KCwt|DrQcl;R=f3PD>b`;b9G$xm33`>9MHHgy%B4eJSPls=@LsD8FYs0yA@m9!2C;vWX@aH{(zVz8NT-co;hYVN*7>0Z5mq`G%g5jBVbvY3 zZjORJuiOMX>pzKYw;v9VFxBd-I9h1-J=nbGjs1SK5mO(nTeDjufs-C>v4IJ4FXq=s;ymr`~5t$i)OQp3&nvBk;csm1he_^ka7 z0^>q4MV*?#!@4%ETEkvi2s@v%8C`$Zte)=SJD>RUIX7c0N?^t~N?aFFT3p{=k{X8@ zJTUX$4Jmw)`DAzLZkrTDZ}Mel7|#|Qd9<@18&!)o^qDE1%duBfnmJNUTpmh01(1si z6{1<%U-;HLV&Z+r@OI$s3%~qh75-a=F$=G4`Mkh5{iiEhl+D~h8z+HN-jBqN{17>+ zbHIw!)kG63ywvpX_;a?z)^)^0*W0_>3lr-z<86a8&)BcP17Z)=&9(dM9Zd*jW5$&w z4s{k`A7}#_=DbOO3#}p5J57M>kwaY!Ot{;~(N@JbfP1HP3uF4E?5q~eo(?#NJKhred-=kg#VgqYo~<138@X~Uc?KgaU z>B+4hS+H~K$3k(b?fXN#u=n6F85`Va0sGofW>W@vV$tU~M=k(2#EQS&WyR;a5<xqD0<9CDwBx=I_uB)%I#g1rx5%sXK^4jgI<18lLh!b8sNcPw2f-q8 za9rvPfr|q6g#XEVyHL#k`HqVC-8UwZTo7v$CGwif#gU+G3G>2I_w@Ww8Z|`kVt`S^ z^wa(2u7bJoz_qo7WK`%t_~|oJD=n~pC5I*~EJu3O+5)#OeZhQDJH2O={M%_sgxAfw z*N$odD@BV%0Yi1{GfDR<(FM1wk%BXY`V-mNS2jU(mo888 zkXD&ne3ll@e)r=6ow-79t8fBlAf&TMirAr0R`?&i;$W8{ndA;Iv+j;_TpfwcsEt20 zIHIkDOW*R){NP;`zM*%?dM+$PSUT&>cZFvW^t`W+!YrP@fm0cfwFbYPN?56vNWfo7 z0;az6+-$V1bANP`MyCjxVpVVlI{VNV>j}`0^WBX!on`mByTpI~5{J=^-QDMGo@{igV>-zwh4l6N2`upG1n{$8Msl zShj^k)zd&Zu|#fDE@8f>@I;_k?_Q4b)vqc1f zcS@b~(Q1mHaq}G&PYHCG6llOAwo+Cu6Ouu6VVCa@UZHFAjfCUPUCHQ;lbwNy`jw__ z(`U#}cy+wP{3o5%hor&5=E-gM+ZPg8D~|gT^scJ%w4BzyNbS4$jJuY`oSORrZVkrq zu}aNs7k~LzN5=gN2RB=$Qaa+I+c)=W4^8WjP~|pnvxc*1^nwn45MjM0xYgIa|i zs6{Hqj-gfNujPJf8TSb>k*j~nl*kiQ%(bzZlyw~+^OknDNyJ+gtDCRK_%xy9#@93( zaxA4LzVMvB2eS_3BPUb!{r6|;amsZ1@G$1eyVR<;19mkTesaCVP!mg8rt%QxuFu?U zum0{p=U(}EU`A)Fnm~uc!zT3XGuUtgxCfDW`&YBEG3)Pd-(~woOMs0<^LqJB*Jow6 zFUy}lfBQPqbUgKkw?$s3)$!(fNFkSe+b50A3I}QqUdWP=k+qNL&Y{gnKcnd5s>dr) zYNs|w8zr+-q!}4=*qqaB%ff03T{X;`XIA5`F047b#$?2U zxgJrEPf9H?V$AH$j}nx>y*GZ^Z<$7-wf@Jy78X%y@Ams0)42>7KivnyUG>nUf>T77 ztGR+?9U}cMTgcruHz3*AC^aaI&uF0T)2DD>u&<#Km%LG8{Y3vVc~8S&%5Km-FDEu% z3S)`bXVh%n|;o1jW<4~?eiAm~_m9aWJy#S;P&+%HN+N(#N zI-m8$Hd=CxPpD~(t(zhyC2Pl~_I7tqb7x(313jw5rfWvmL&_iCiY1(^C_Mq*0>5=d z=+Sii>b2_^j0Rj>cmdXPX?f+g`Nmp$&C5_Fy-940mpgU3c=QH`g0BZ&xuwuXMw8Lz zUbwTgJ1EPdi`{3D99a003xQq#x@iAizZ$=Hn@1)yAnLA%I`y25A``Qm1h6wROG)=H zcO8Wlr+MRE`GRJ|U%2llZ%SBp&xFX~Z@O^?O)V3F0PWVs-&;zgciMzPsdFtr{2P_p z#_|s78!0@?<2ryzY@+Fqc=CWnh!|e_1R)yIw#yYp&>m6)KX8Y@1!tVKU3o0RS8GPw zWOsb`Hc*MLRL5Nchde)>M(U$0A70a@=iBkS*ON3wzoWPFnbl5sfi*^ovmilKJ#(F^ z&PmyNi#tP6Pcq!AoV3EI(4h-Dz4jVPH|oL8j?PuKco7jxu35pCuKlBg$ts`la7;~( zGG(QL+bNUKD{A6KHS`}!j0lp_s>lwzo%a8N-xsXdxXjAlib%?ow@VCmZFBup=w#o# zrgj@y+2#*Yoyka3A>J%QB6#sZYn3q_V;G%AbSJ<4Mk0n*Pm+dfR>c}XlD{M7-ZAJ+ z&^2txaGUOnI0k!U(|4+q0KU-vitdK*sohe614cX|@=g)r(Y9?zDL0S#_&O=#;gO<; zos=7d$#XUY?Bs#tq5dVR5fV_UxZ3A)Fvrwq0gs;ie+K-&8Zy{2$;wuG+#gcxW@5Ij zGI3u85(wvP2}zB+b<~2a9a4j=(uf{yb*G5oKX5nKeA-wti)H`j;|qWzNSQtZ<6lC5~*6TS*7&%Ya12~Pgw7y z+0%p27P8}rdpo5~hnn^W8@c+b+b0i2z_#~SDvb_2XbNZ~`AH~JgD?~IVHP-^3652} z**R04L}p4m%nv6N?R*e<@bUNef6f5A{0GNvM5j z1D+!t;>{Ml=uSPuV?En#AmPKNNOwUA|8y~|+r*;rjmb~OUy9Z1W*o!e; zTyh~?o8@TQNKb5QRK2Mtu)xxCy|0|*WiBuq8tt<=-~1~|*e090^CD-7p1LqJw?r=B zUnwwNMq?g#E&KgC8j(tQTX=P0Z|nr7QTPY)NMUIPw%pWqcFnbGylcrua<$pa(f8EN>lI6d{^(4`f_C^Uij~4LUuj45@eKnwmF0|h( zjlsrc#bo+w1s6{BtshRvSfMOLav#)YNjx6%x(Z;%$8 zhiih^#U!qEF#(^6YLaUFcayo6rvB{eQGZ~$;SBrwgPb?Q732uiHBqIp`CZb9sV53F zQ^TV?feQ1?(UpgK7b$WAVi@q=)a$>qfh+%dsQ+wb2@n67@&D)ZjeIj3m))-FPcR)G z%U1S{7XZR>)u*apW`bITz4}ABTfS2Hs*_)cm1(>0M?-TFD?P@FI6}LGud0tcb$wD1 z$DrGY*T~{St+fO(q_$N7Xmg=m&3Kl@(HdLn5H0 zO1cdjvOLY9i6oPW#R@}#-iWS=Ibwr@X$;)Q&ecOYjuHW6B^sOpcnaqm@^xa60*(U% zov}NNwflleWyrE!@)z0$i8n6%oQ)G^J&$qPFAxHPGS*Dy>dz#04&Gkoreozy7SUDr z&)LEx^R+c#J8%;@o28`8ej>b5ezcN#x1CF9-S1B)kdC68AEodM&O6FDCv31xtjRcM zTY(Acun3))>VIeS|LkKz{}Z2*8dq(7K~t> z`Wn}mP}Avh0v(TF>i1?g+gyB^|85gl0Zaz%rtRO~iD}6*OF{Tq&$JaO=?f)U=Vfoy z&DF%Co4xW1Y@M~9SKi!T{K+Ij$HOe+YlcBo;Qm|Ip?qcc=vK@nEFk9})yrp{r)KjD z#-}5H-0YyZz?GVJ;*Wy?zp)tGz<+-5zf*oA^Xn5H>h=9A*t4le$L7pY){SxVfwmtp zsqvic`F^2E%=n+%)^sD)GD?Vd9syjRyjHGOaI`#GsGJi1Wh!)2;ux^NAg|VR5`)a# z3PgNgCn&VK#I$Nf8k+hTI$u9oN-vn-XN(hhoK3$oY9AooV6K7}Git3N;o-NKVS`le3;&(7WX0{R1*|mIkUrV5q0xL|7z5VTlKmMJ0_(vbs z2EO>ayqCY7m82+_&Q3zv(IXV0$35s(jg<(Wm$_kO1n#?s*p*UqghhKOMnPr$EUhXu z`8~4W{>qA7Ywk)%uGt^vFXoEgcQoy8^jnVO@HuK+z(PL$9Fj)jjC-oz=A(!`x%8i; zQU%h*_9atNEtla8UHUoO-)y#6tDPmdM+-Z-TKF3M`mD@v3*H%gU$hjGPJKj=%9{82 RTB`j0%Ugdv8nN@~{{rDZnL7Xg literal 8113 zcmbt&2Urv7+V-HUEGtDodRs)Al&EwF;G%+nfOLTXN)oDmbN=&P-}(P{u50F=_kG^`xu1ETnR#YThflu(m-V!D zwE;Rh0H8bDfYUMHC2;u?BQxURu6cH7WP?b{$tH>!U-M$Yo zynWa5o|5uIPh(4a2iK>r8U{YW&zyoF&aRGhtZZ!D9NZvYUXY`fvXQeINkGtu(Q^1se zcy5E0QEsOCepKl`UgM%TOGV7a1#|Ne?t$v6+BVz3+}D?y3&RvMY#u-+V6iaUU_V@V z0{lFY)Q)S9b$X11tQwEFM$P;1%sFn2=g-KHJ1T2-wAuCV71o~u4&Q$b%C^G8L57V+ z^^I_bPr*a>KRnsAl<3C$*~<=8Em-D7K5>5LLGK~LKt)k>^|})&BOM)|d(dOPdC3J% zQ$z>jKJxHToy+W3$3f}H@B8*Y=(?Q(CQ16xFk+(f2KH%Dj$Z>vws>Q)UqeVMzMn!# zg@f+bq;4#4C~B}Y_3~1)$Ko`(NO-xVL@#X}j&!rtxLc3+2zD!eTw`x)$6*{v>37`P ztQS{?hurD|Hy^C?6rBS16Od%Ml5e8@&#|%L3NtgoqZG`^#wt*QL%Us4F3i#2|XK_-Wta>lRM?T+ka(RMXR+1o%CEF`q=1Jer zK3r}Zr2s&v5Myr)O$vA5ma@g`TP}IXHy9u38cnfoO(f~V40&IK%l94fYY4d5W-4}? z`$5}K%QMv@c&i3Ig0L9}am2vu2T$?MPXb`i_I|vnE>=tPieeJR-jCY6pri-Z%N%j{on#wc_#Z7`D zFbWP7lzw@L!mUn$m5+cRm4)s7x`$+cbflE1H7sy@(g;?~Da2AktH^zC1u=Q(>1|{b za@?&?YgpwmRIj;bY5nCHW&04h4v8DrwPg$yf!OGU^-h|;NDlS{e|ZFFoX*uyT-mdq zdXow^yt16jZ2B3QJwr>+f$h37e2S}pT}KP0D0lEKGF(GKho>oX8$3P_g7pTagop6g z^7el3tXIv6B3fOCU#|1ibqfs;gSu7kpWQgAJr%S%luh*+41`Uvzi?6Hruv#eVHHtMz44Mx5sxqXLLh}dxXUQtrK-`ZIYoLA)c@a8f#vjg17{w!4+AJ zVc2#9Pmh^7b5%@oCL~~&P*6gGM#OZj84Ah>Hkvnd;tCR|FDcNJiP=U5QHWN-Juj2w zG#~QSZIg_F`C zlZ;>@nw{ig5=FFk zOlu1|hW6sD)fSc#TiZi8%P z=eQkgP}V=#$k0ydLcR&nF0vnK>m=$9e!rz_DeFmeD-X-8RTWp>s&Vq~Am4S2QYOyS zi;y%TiucF^73rtII{9AvzPnmnj`wh*VU}RK%wEdGL6~6pbLOI+=YZ9irhK(xVZjE)5?lDp@L@63yI#h}A8akm_rxLi zrbRpINCPSSHB1(4(izp!&T<{$(6>r{x1fv`fVjLjOI%YaMl;Jzu z9;)NY#`Bw>R{NY+71|7Ui@@n5d`SvhE2&-#x|3(Bhs^tawN7X56!>8wcz@B*dWG;y z66d(mG;Fq+7`}D_0xz5bm*a_EtdPcvW8=u_%<7mHFAKE3Bh{*}&62Hy z)RBF!y788q7}=0?4=SCN=$n#qklf&kPPP$Fh1ek#NuQjW`KtU9*<2I|aRB4xKb)m! zqOfCrW2$3o9Xl1893hR}TSaGKC5GSsiT}5RM>(RgzUAl_kr|wNARKJLqc+{$npOf| za(<{I8qP6`$!!fDF>6n6h`m~amt?IkO+$|u8hWl}5b_^qY4x(Q*RGBR7`E3DiH8+# zwoY&JBx^Vp%jLXuVO!95PxE`8+Gl?W*>eYkAD&6MkjZ}($6zHL46FZ=MF);Q$Y8A_W{(^o1wLbiGUgvQ`9#!vW)|LI#&aJ1#bUo z{XYUWOX%xC))x6hvn?fMl#>j-LMGq6mnXGQNc;IwQ2@Q_Kk=Pg{jSQi zXApnY{&fQW!HHS&G0RajOVLyim_IWey&^C?+E?W4Ra5xX2Jcm~eO(YF(A>^~NXH{B z0s&z9(f_FF-wa-=1#Ath&fl-Gp&%X+Ix##Q0vVO))b#8`Us{5ig^XHk`(Vzs!q4gN zFtFnEvDL?0K?Jd&xJ>Gh0sv6%{~OkCx^WpkJ|RyhG9-qpbyFnpqJvp}P5RGvNs3fK zy)|^&NBXNDzp?)Rfpj@{=3{E*C{S*bjvefwe_Qk1{PUni zjk=#fpt6OQxs`;jTk9f%AZ3c%uMK_tvSpei+f$%;Yx+&aY?mFh4LRQvRxxy&E-&*x7pnL&cotDt ztbSwe1vGC`+wa+M10>1p!4lht$LUoS`V!XTA)1aM^Ig5$$x0eXzxL8&++In$KDWHu%}vfyT)eu+WTLOYHHY8bwIMicV0P!)e*R?La~56~mp~bS zvDHgg6GkU?DAsHcs8iNl!uDPZX&&G=hS2VSIB-|b=(SAoz1?|#kfqqfDsX`3TW5!a z9rb_#m2B7$IQQL2K`5_p7(V_dG9o7PO-Z6{5^M1=pu+Z_gz|UW-E8`=m#)`sTq+&( ze)f_JQ}4>x3K0wwiLP8WMXz+TRFEqK1vkWW&Zo|B2M=`lqfLf#a1t9{;&9HcY{R?R zlT(TLmgOqc8Cpe`2GuZlmSO@3Fn;_y{r~3ZZR-Ui7uA;6&=*3#&aNLB_-W5n+@?#u z{lA&~f3aaV_7>@1?zDGR>syZcd63qS&GKS4UV9f{Hhcc3xzdMX8-?#>h`XxxALZ2F zodU)-ujX_v36EUgKY%U=p4>bI7_1t~`_roqv$&}Vju@*Dep!AVDY@j327FaV3BOpC z#P-wQYg)EMP|0O+DjH^lv+u=s@wr#~(cRKXk1AVTp8-F-|I^>U06s1LwFP&txjHk) z{&DgZ)6mAJ2e~G`7C9i05lp42^$?@|)cX>@MUI*GbU&y*r6|9tEWqLNTm)%6#k`#o z9!On=s;s!Hv+@Xv-t^1&3O6pxPcxJX@BI*qa1v4CTE)i~c;~AMmvZjm+MCpE;If+1 zxJC=8vW)MY4$_wDpN)ekop5Gz7wN z8liF*5Kc<5`#DC5G}US!Q-dZq%$@r`by#bQh;voys_wTSg9ZSFBCiDKEO5exBne8$U6yWcmc-Y~~6 z2S@#dY@XBstf5-gZ|F8}N~^mqj^*!UG|xw+_Rl4j>|RyQw3&@L zY_wlpsyUxsxgn-{z3<)pPd0u0B-F}MxTonS&F9eMoT&-BLqGEIDNwgr8U&8LBEm#G z$kKhboG3CiVVi9U2YDmlj)`$i`min#`S>7HlLaOHppQQ+s%cEcbco=J!R8ASFu8ZD zKRv2Zox{P4IZ`I?Z%2=@$2hhegu$D$cd9%U(z|aW_c@W<@^O%YJci2MXi*2Bg~~}- zFm`qi)91K^d9v@OOhwlx0gfr+;N9NK0AupMr0Rcn1YR6Gaf+DpJQ@k_3e!B=KT*{_ zs04Vf{j0BG9_^zn+0P(h`rUpQG2|35j5Okux_K+jT)BstR^0D! zYLU&1RnV^uGmy;tHtwzvdsXRr7ac6Is9d#85)ChrY1gbrrGC_PKAW$w)z zl>Y0;@XA?nEkoG8NkqN+e6z!r245Ffs|H`^HYOwbP9*s3xih)=78;Ulun%rM4v2_2>ydY0zZ@8&B!tH)oh=^AQE>Hm)Q2OAi0 zAHiiCTyd!f{*#kO-H%=cRE`FhjRO~M|MOM)=A5q&g?-w!;qFQ3!HNm#r@$ivmAa@h z=rPwKh}ze=(XGkl#F)3=4cFEO!bVoLr>;!tD{pL&QceVbZm&$!mSLd^s`#c z(t`3&lsrx{a-EHi>jm%Zyyh05J=SAF9`oM*2-@{g!#qCgf*;R1aydKn#44>uKmubC;4#H*-}JTwNn77~tDy zPgT~iotRB(AJ=o>@vncS(6Q}V38HL^d(bw?EPZXPoA1}0y(Q`rvPyUV-h`0X&2-W9}-`a-s}@|!GfG~+G>SZ z+c$5C!mo!K-1*>|Sb^w7q)&<+coX7(A|+mNjK9P92pXeciC)k4H<{X-n)H|=4l%PX zrL2;or5}J}H|i`DZ&8XPiVaTcIKaZq<=7$@^WSFCqdNj8`CZ`K6~C3se2%{vQ~@=y zMfB^aiwjMQ$?!#MD}OB?9me3s$PGpdn?uFH$@8ldT@2-zU{2rKHjwpzF~g*%_m|~` z%DaYd0gl^$yL9Iu)^>*GX5vLo1MItFy-HV_5KPlbQ{C>c4-m9|h1@4j8iDH+0Ba6% zg`JiE8CyGNOK}`E?tLc?ID1oAH8&BvQ)l8$Q7?nD@t4Ly@ji^)rI7ngHL}so-mZD; znID3fmBT7W>%j90UEO;NI+G(YG#!0Ov%feR+-igij6WW_gvVs{G1v% z7_Ps+l?xW=5l!(AWJuO@H^Dm4#~tKFZ{U9G{h^6NpFxFG@oC8 zmR1@CYr8p-r$Ef)0SlA5FA0%5dH0Ffp}!Fk+4HZP6JN!QDm9LjNpjDm6CUW{9}XS*OL6XgF&*2!&V8s? z8J*v!&|3!3&B=b7uzz9B8@UC)rY1v;@@%%Hl4rC{>m+)T7I&_O%D3*%6b}9NxJV4S zNG9989NBLBFw;@h#k4nakww)z1@a~IIJ%<1qa+cD__~<4u)al8p!OqnlU2Y{UaBih zXL-6!Ca&yAWHFFIuj8Ea`-W;7i+jK}JIx7>GkcS(&e5^mCqj<_-wGrC@%Q(%c@*2E z%1y%=tq@5bDl!CO`>2WMry#@3>{+H&9j$A4d=(SvW{}Xx+Sx_{gw;FWR zO^&)DoHET=UpOfhy12CM{LDSbmHktuH0s3%Ic$&ZwL|KHtzUc8#wh^me%6X5ZZ-L@ z^w$wR>Zx`;j<2Su-20nkZ&n487(U|{2r`R@9c?=!7M1WmtNC-K`)xW2?@MKv&E-ru zCFDkFrgIk$QJ>JiE+du|{cCV|l%Fu0orqfN#mmDQb(;EVf5}@0}uJ8q6!4 z%iiFC-F57eFtb5eRsI2YYfKtZK1b(^_wSQYP1?9^gmwQ)-e{F%;`rpF=tF&X-yRmX z%~6I)J}zl?DB)0cqLqGp$u8BMVyz#JFfwvXJo)D*%c*GwAP0#<5qR zR67NtoG7!KR@1(5TdS$p3b@1z@p^B0TioTR@O3MSIX3{kw`XqXpciU~XVW{1?nLTp zFVu{=!YfJ|HZ)KMjsZ~l_QfT#vr4A-L6B(6gUn03E$J990vurK{ z>c|;8{7lK(A|o;k5!wfNgU#Ex_VUnn?~{vDN_Cu@m51+di~ z;=D6GN;1vR1!$tCY`3RUzqP%i2en~XhmuTrh0E^t^6W80=2TV}iN8?GuGhI9?ASdw zFFO`qe?i84W!CwuPVYQ5O z%YP1hy|smr@v>P+)EUX?IldO}t34BLV$X5O^~!-vTJ@uP)LHG)Pc0`5B|65g30N7; zWhtJeRL$=7bOgm{_Z364|K^*_jE+`Ew^Jnjm@82o>ZW1It1)$>Zlg<}5C5<&0#9`Z}ir z7a5=b1Nq-i&h0T_%^gt78NZ(SiJvEbGFC(`_0Ep&OOC04Y||Q_vDn8(jmu5Ud!DV| XneexQmX)E7`$k{f|8eQ)PDlO^kNR*^