diff --git a/Arcade_MiST/Crazy Climber Hardware/Silver Land_MiST/README.txt b/Arcade_MiST/Crazy Climber Hardware/Silver Land_MiST/README.txt index 09e6fc18..366ad289 100644 --- a/Arcade_MiST/Crazy Climber Hardware/Silver Land_MiST/README.txt +++ b/Arcade_MiST/Crazy Climber Hardware/Silver Land_MiST/README.txt @@ -2,7 +2,7 @@ Silver Land Port to Mist FPGA by Gehstock Colors are wrong, missing Schematics -using Patched Palette now!!! +using Patched Palettes now!!! SPACE / FIRE = Accelerate diff --git a/Arcade_MiST/Crazy Climber Hardware/Silver Land_MiST/Release/SilverLand.rbf b/Arcade_MiST/Crazy Climber Hardware/Silver Land_MiST/Release/SilverLand.rbf index e7c37d34..cff435c6 100644 Binary files a/Arcade_MiST/Crazy Climber Hardware/Silver Land_MiST/Release/SilverLand.rbf and b/Arcade_MiST/Crazy Climber Hardware/Silver Land_MiST/Release/SilverLand.rbf differ diff --git a/Arcade_MiST/Crazy Climber Hardware/Silver Land_MiST/rtl/build_id.sv b/Arcade_MiST/Crazy Climber Hardware/Silver Land_MiST/rtl/build_id.sv index 0887fa5a..2a51bb36 100644 --- a/Arcade_MiST/Crazy Climber Hardware/Silver Land_MiST/rtl/build_id.sv +++ b/Arcade_MiST/Crazy Climber Hardware/Silver Land_MiST/rtl/build_id.sv @@ -1,2 +1,2 @@ `define BUILD_DATE "190831" -`define BUILD_TIME "162534" +`define BUILD_TIME "201529" diff --git a/Arcade_MiST/Crazy Climber Hardware/Silver Land_MiST/rtl/crazy_climber.vhd b/Arcade_MiST/Crazy Climber Hardware/Silver Land_MiST/rtl/crazy_climber.vhd index c3868aa0..83c2b171 100644 --- a/Arcade_MiST/Crazy Climber Hardware/Silver Land_MiST/rtl/crazy_climber.vhd +++ b/Arcade_MiST/Crazy Climber Hardware/Silver Land_MiST/rtl/crazy_climber.vhd @@ -620,7 +620,7 @@ port map ( ); -- big sprite palette rom -big_sprite_palette : entity work.silverland_big_sprite_palette +big_sprite_palette : entity work.silverland_big_sprite_palette--PATCHED!!! port map ( addr => big_sprite_pixel_color_r, clk => clock_12, diff --git a/Arcade_MiST/Crazy Climber Hardware/Silver Land_MiST/rtl/rom/silverland_big_sprite_palette.vhd b/Arcade_MiST/Crazy Climber Hardware/Silver Land_MiST/rtl/rom/silverland_big_sprite_palette.vhd index 54be04ba..03fe0402 100644 --- a/Arcade_MiST/Crazy Climber Hardware/Silver Land_MiST/rtl/rom/silverland_big_sprite_palette.vhd +++ b/Arcade_MiST/Crazy Climber Hardware/Silver Land_MiST/rtl/rom/silverland_big_sprite_palette.vhd @@ -12,7 +12,7 @@ end entity; architecture prom of silverland_big_sprite_palette is type rom is array(0 to 31) of std_logic_vector(7 downto 0); signal rom_data: rom := ( - X"00",X"D2",X"F7",X"07",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", + X"00",X"F7",X"D2",X"07",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00", X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00",X"00"); begin process(clk) diff --git a/Arcade_MiST/Crazy Climber Hardware/Silver Land_MiST/rtl/rom/silverland_palette.vhd b/Arcade_MiST/Crazy Climber Hardware/Silver Land_MiST/rtl/rom/silverland_palette.vhd index fed347ae..f512e448 100644 --- a/Arcade_MiST/Crazy Climber Hardware/Silver Land_MiST/rtl/rom/silverland_palette.vhd +++ b/Arcade_MiST/Crazy Climber Hardware/Silver Land_MiST/rtl/rom/silverland_palette.vhd @@ -11,12 +11,11 @@ end entity; architecture prom of silverland_palette is type rom is array(0 to 63) of std_logic_vector(7 downto 0); - signal rom_data: rom := ( + signal rom_data: rom := ( X"F6",X"E0",X"07",X"07",X"00",X"F4",X"01",X"01",X"F6",X"C7",X"00",X"00",X"00",X"6F",X"00",X"6F", X"00",X"3F",X"00",X"3F",X"00",X"A7",X"00",X"A7",X"F6",X"E0",X"FF",X"E0",X"00",X"FF",X"00",X"FF", X"00",X"00",X"9C",X"7E",X"F6",X"00",X"9C",X"07",X"00",X"00",X"00",X"00",X"00",X"FF",X"BE",X"00", X"00",X"00",X"FF",X"07",X"00",X"87",X"87",X"00",X"F6",X"38",X"A7",X"1A",X"00",X"FA",X"FA",X"07"); - begin process(clk) begin