From ecdfbf8409c98ed70018af0301ca0a34a3e50d3b Mon Sep 17 00:00:00 2001 From: Gehstock Date: Fri, 13 Dec 2019 13:38:17 +0100 Subject: [PATCH] sybnc --- .../Xevious_MiST/Xevious_MiST.qsf | 2 +- .../Xevious_MiST/rtl/xevious.vhd | 3 +- .../Kozmik Kroozr_MiST/Kroozr.qsf | 1 + .../Kozmik Kroozr_MiST/Kroozr.srf | 8 + .../Kozmik Kroozr_MiST/rtl/Kroozr_MiST.sv | 21 +- .../Kozmik Kroozr_MiST/rtl/satans_hollow.vhd | 13 +- .../rtl/satans_hollow_sound_board.vhd | 2 + .../Kozmik Kroozr_MiST/rtl/spinner.vhd | 46 ++ .../Demolition Derby_MiST/DDerby.qsf | 6 + .../TurboCheapSqueak.cmp | 39 ++ .../Demolition Derby_MiST/rtl/dderby.vhd | 87 ++- .../rtl/rom/make_tcs_proms.bat | 5 + .../rtl/rom/make_vhdl_prom.exe | Bin 0 -> 119861 bytes .../rtl/rom/rom/dderby_bg_bits_1.vhd | 534 ------------------ .../rtl/rom/rom/dderby_bg_bits_2.vhd | 534 ------------------ .../rtl/rom/rom/midssio_82s123.vhd | 24 - .../rtl/rom/tcs_rom4.vhd | 534 ++++++++++++++++++ .../rtl/rom/tcs_rom5.vhd | 534 ++++++++++++++++++ .../Demolition Derby_MiST/rtl/rom/tcs_u4.bin | Bin 0 -> 8192 bytes .../Demolition Derby_MiST/rtl/rom/tcs_u5.bin | Bin 0 -> 8192 bytes .../CraterRaider_MiST/CRATER.ROM | Bin 0 -> 188416 bytes common/IO/pia6821.vhd | 8 +- 22 files changed, 1276 insertions(+), 1125 deletions(-) create mode 100644 Arcade_MiST/Midway MCR 2/Kozmik Kroozr_MiST/Kroozr.srf create mode 100644 Arcade_MiST/Midway MCR 2/Kozmik Kroozr_MiST/rtl/spinner.vhd create mode 100644 Arcade_MiST/Midway MCR 3/Demolition Derby_MiST/TurboCheapSqueak.cmp create mode 100644 Arcade_MiST/Midway MCR 3/Demolition Derby_MiST/rtl/rom/make_tcs_proms.bat create mode 100644 Arcade_MiST/Midway MCR 3/Demolition Derby_MiST/rtl/rom/make_vhdl_prom.exe delete mode 100644 Arcade_MiST/Midway MCR 3/Demolition Derby_MiST/rtl/rom/rom/dderby_bg_bits_1.vhd delete mode 100644 Arcade_MiST/Midway MCR 3/Demolition Derby_MiST/rtl/rom/rom/dderby_bg_bits_2.vhd delete mode 100644 Arcade_MiST/Midway MCR 3/Demolition Derby_MiST/rtl/rom/rom/midssio_82s123.vhd create mode 100644 Arcade_MiST/Midway MCR 3/Demolition Derby_MiST/rtl/rom/tcs_rom4.vhd create mode 100644 Arcade_MiST/Midway MCR 3/Demolition Derby_MiST/rtl/rom/tcs_rom5.vhd create mode 100644 Arcade_MiST/Midway MCR 3/Demolition Derby_MiST/rtl/rom/tcs_u4.bin create mode 100644 Arcade_MiST/Midway MCR 3/Demolition Derby_MiST/rtl/rom/tcs_u5.bin create mode 100644 Arcade_MiST/Midway MCR Scroll/CraterRaider_MiST/CRATER.ROM diff --git a/Arcade_MiST/Galaga Hardware/Xevious_MiST/Xevious_MiST.qsf b/Arcade_MiST/Galaga Hardware/Xevious_MiST/Xevious_MiST.qsf index 1dfd71bd..353fc5e1 100644 --- a/Arcade_MiST/Galaga Hardware/Xevious_MiST/Xevious_MiST.qsf +++ b/Arcade_MiST/Galaga Hardware/Xevious_MiST/Xevious_MiST.qsf @@ -221,7 +221,7 @@ set_global_assignment -name EDA_OUTPUT_DATA_FORMAT NONE -section_id eda_simulati # Incremental Compilation Assignments # =================================== -set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top +set_global_assignment -name PARTITION_NETLIST_TYPE POST_FIT -section_id Top set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top diff --git a/Arcade_MiST/Galaga Hardware/Xevious_MiST/rtl/xevious.vhd b/Arcade_MiST/Galaga Hardware/Xevious_MiST/rtl/xevious.vhd index 0e5b2281..5b73736b 100644 --- a/Arcade_MiST/Galaga Hardware/Xevious_MiST/rtl/xevious.vhd +++ b/Arcade_MiST/Galaga Hardware/Xevious_MiST/rtl/xevious.vhd @@ -433,7 +433,8 @@ clock_18n <= not clock_18; reset_n <= not reset; dip_switch_a <= "11111111"; -- | cabinet(1) | lives(2)| bonus life(3) | coinage A(2) | -dip_switch_b <= not freeze &"110001" & not bomb; -- |freeze(1)| difficulty(2)| input B(1) | coinage B (2) | Flags bonus life (1) | input A (1) | +--dip_switch_b <= not freeze &"110001" & not bomb; -- |freeze(1)| difficulty(2)| input B(1) | coinage B (2) | Flags bonus life (1) | input A (1) | +dip_switch_b <= not freeze &"11" & not bomb & "111" & not bomb; dip_switch_do <= dip_switch_a(to_integer(unsigned(ram_bus_addr(3 downto 0)))) & dip_switch_b(to_integer(unsigned(ram_bus_addr(3 downto 0)))); diff --git a/Arcade_MiST/Midway MCR 2/Kozmik Kroozr_MiST/Kroozr.qsf b/Arcade_MiST/Midway MCR 2/Kozmik Kroozr_MiST/Kroozr.qsf index 0556f899..3952b1f8 100644 --- a/Arcade_MiST/Midway MCR 2/Kozmik Kroozr_MiST/Kroozr.qsf +++ b/Arcade_MiST/Midway MCR 2/Kozmik Kroozr_MiST/Kroozr.qsf @@ -229,4 +229,5 @@ set_global_assignment -name SYSTEMVERILOG_FILE rtl/sdram.sv set_global_assignment -name VHDL_FILE rtl/pll_mist.vhd set_global_assignment -name QIP_FILE ../../../common/CPU/T80/T80.qip set_global_assignment -name QIP_FILE ../../../common/mist/mist.qip +set_global_assignment -name VHDL_FILE rtl/spinner.vhd set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file diff --git a/Arcade_MiST/Midway MCR 2/Kozmik Kroozr_MiST/Kroozr.srf b/Arcade_MiST/Midway MCR 2/Kozmik Kroozr_MiST/Kroozr.srf new file mode 100644 index 00000000..beeb99b8 --- /dev/null +++ b/Arcade_MiST/Midway MCR 2/Kozmik Kroozr_MiST/Kroozr.srf @@ -0,0 +1,8 @@ +{ "" "" "" "Node: satans_hollow:satans_hollow\|satans_hollow_sound_board:sound_board\|YM2149:ay_3_8910_2\|env_reset was determined to be a clock but was found without an associated clock assignment." { } { } 0 332060 "" 0 0 "Quartus II" 0 -1 0 ""} +{ "" "" "" "Node: satans_hollow:satans_hollow\|satans_hollow_sound_board:sound_board\|YM2149:ay_3_8910_1\|env_reset was determined to be a clock but was found without an associated clock assignment." { } { } 0 332060 "" 0 0 "Quartus II" 0 -1 0 ""} +{ "" "" "" "22 pins must meet Altera requirements for 3.3-, 3.0-, and 2.5-V interfaces. For more information, refer to AN 447: Interfacing Cyclone III Devices with 3.3/3.0/2.5-V LVTTL/LVCMOS I/O Systems." { } { } 0 169177 "" 0 0 "Quartus II" 0 -1 0 ""} +{ "" "" "" "Node: reset was determined to be a clock but was found without an associated clock assignment." { } { } 0 332060 "" 0 0 "Quartus II" 0 -1 0 ""} +{ "" "" "" "TimeQuest Timing Analyzer is analyzing 6 combinational loops as latches." { } { } 0 335093 "" 0 0 "Quartus II" 0 -1 0 ""} +{ "" "" "" "PCI-clamp diode is not supported in this mode. The following 1 pins must meet the Altera requirements for 3.3V, 3.0V, and 2.5V interfaces if they are connected to devices other than the supported configuration devices. In these cases, Altera recommends termination method as specified in the Application Note 447." { } { } 0 169203 "" 0 0 "Quartus II" 0 -1 0 ""} +{ "" "" "" "PLL \"pll_mist:pll\|altpll:altpll_component\|pll_mist_altpll:auto_generated\|pll1\" has parameters clk1_multiply_by and clk1_divide_by specified but port CLK\[1\] is not connected" { } { } 0 15899 "" 0 0 "Quartus II" 0 -1 0 ""} +{ "" "" "" "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" { } { } 0 15714 "" 0 0 "Quartus II" 0 -1 0 ""} diff --git a/Arcade_MiST/Midway MCR 2/Kozmik Kroozr_MiST/rtl/Kroozr_MiST.sv b/Arcade_MiST/Midway MCR 2/Kozmik Kroozr_MiST/rtl/Kroozr_MiST.sv index 569bd8ec..2f0065e8 100644 --- a/Arcade_MiST/Midway MCR 2/Kozmik Kroozr_MiST/rtl/Kroozr_MiST.sv +++ b/Arcade_MiST/Midway MCR 2/Kozmik Kroozr_MiST/rtl/Kroozr_MiST.sv @@ -1,5 +1,5 @@ //============================================================================ -// Arcade: Wacko by DarFPGA +// Arcade: Kozmik Krooz`r by DarFPGA // // This program is free software; you can redistribute it and/or modify it // under the terms of the GNU General Public License as published by the Free @@ -179,6 +179,18 @@ always @(posedge clk_sys) begin end end +wire [6:0] spin_angle; +spinner spinner ( + .clock_40(clk_sys), + .reset(reset), + .btn_acc(1), + .btn_left(m_left), + .btn_right(m_right), + .ctc_zc_to_2(vs), + .spin_angle(spin_angle) +); + + satans_hollow satans_hollow( .clock_40(clk_sys), .reset(reset), @@ -200,8 +212,9 @@ satans_hollow satans_hollow( //Controls .analog_x(x_pos[10:3]), .analog_y(y_pos[10:3]), + .spinner(spin_angle),//todo .fire1(m_fire), - .fire2(m_bomb), + .fire2(m_bomb),//shield .cocktail(0), // .coin_meters(1), .service(status[6]), @@ -283,8 +296,8 @@ dac_r( ); // Rotated Normal -wire m_up = rotate ? btn_right | joystick_0[0] | joystick_1[0] : btn_up | joystick_0[3] | joystick_1[3]; -wire m_down = rotate ? btn_left | joystick_0[1] | joystick_1[1] : btn_down | joystick_0[2] | joystick_1[2]; +//wire m_up = rotate ? btn_right | joystick_0[0] | joystick_1[0] : btn_up | joystick_0[3] | joystick_1[3]; +//wire m_down = rotate ? btn_left | joystick_0[1] | joystick_1[1] : btn_down | joystick_0[2] | joystick_1[2]; wire m_left = rotate ? btn_up | joystick_0[3] | joystick_1[3] : btn_left | joystick_0[1] | joystick_1[1]; wire m_right = rotate ? btn_down | joystick_0[2] | joystick_1[2] : btn_right | joystick_0[0] | joystick_1[0]; wire m_fire = btn_fire1 | joystick_0[4] | joystick_1[4]; diff --git a/Arcade_MiST/Midway MCR 2/Kozmik Kroozr_MiST/rtl/satans_hollow.vhd b/Arcade_MiST/Midway MCR 2/Kozmik Kroozr_MiST/rtl/satans_hollow.vhd index 9f9e6670..efa4ff5e 100644 --- a/Arcade_MiST/Midway MCR 2/Kozmik Kroozr_MiST/rtl/satans_hollow.vhd +++ b/Arcade_MiST/Midway MCR 2/Kozmik Kroozr_MiST/rtl/satans_hollow.vhd @@ -1,5 +1,5 @@ --------------------------------------------------------------------------------- --- Satans Hollow by Dar (darfpga@aol.fr) (09/11/2019) +-- Kozmik Krooz`r by Dar (darfpga@aol.fr) (09/11/2019) -- http://darfpga.blogspot.fr --------------------------------------------------------------------------------- -- gen_ram.vhd & io_ps2_keyboard @@ -156,10 +156,12 @@ port( coin2 : in std_logic; start1 : in std_logic; start2 : in std_logic; - fire1 : in std_logic; - fire2 : in std_logic; + fire1 : in std_logic; + fire2 : in std_logic; analog_x : in std_logic_vector(7 downto 0); analog_y : in std_logic_vector(7 downto 0); + dail : in std_logic_vector(7 downto 0); + spinner : in std_logic_vector(6 downto 0); cocktail : in std_logic; service : in std_logic; @@ -300,6 +302,7 @@ architecture struct of satans_hollow is signal input_2 : std_logic_vector(7 downto 0); signal input_3 : std_logic_vector(7 downto 0); signal input_4 : std_logic_vector(7 downto 0); +signal input_dail : std_logic_vector(7 downto 0); begin @@ -441,10 +444,11 @@ end process; -- players inputs -- -------------------- input_0 <= not service & "11" & not fire1 & not start2 & not start1 & not coin2 & not coin1; -input_1 <= not fire2 & "0000000";--spinner; +input_1 <= not fire2 & spinner; input_2 <= analog_x; input_3 <= '1' & cocktail & "111111" ; input_4 <= analog_y; +--input_dail <= dail; ------------------------------------------ @@ -866,6 +870,7 @@ port map( input_2 => input_2, input_3 => input_3, input_4 => input_4, + input_dail => input_dail, separate_audio => separate_audio, audio_out_l => audio_out_l, diff --git a/Arcade_MiST/Midway MCR 2/Kozmik Kroozr_MiST/rtl/satans_hollow_sound_board.vhd b/Arcade_MiST/Midway MCR 2/Kozmik Kroozr_MiST/rtl/satans_hollow_sound_board.vhd index ffa34eea..ef65a189 100644 --- a/Arcade_MiST/Midway MCR 2/Kozmik Kroozr_MiST/rtl/satans_hollow_sound_board.vhd +++ b/Arcade_MiST/Midway MCR 2/Kozmik Kroozr_MiST/rtl/satans_hollow_sound_board.vhd @@ -68,6 +68,7 @@ port( input_2 : in std_logic_vector(7 downto 0); input_3 : in std_logic_vector(7 downto 0); input_4 : in std_logic_vector(7 downto 0); + input_dail : in std_logic_vector(7 downto 0); separate_audio : in std_logic; audio_out_l : out std_logic_vector(15 downto 0); @@ -233,6 +234,7 @@ ssio_do <= input_0 when main_cpu_addr(2 downto 0) = "000" else -- Input 0 -- input_2 when main_cpu_addr(2 downto 0) = "010" else -- Input 2 input_3 when main_cpu_addr(2 downto 0) = "011" else -- Input 3 -- sw1 dip input_4 when main_cpu_addr(2 downto 0) = "100" else -- Input 4 + input_dail when main_cpu_addr(2 downto 0) = "110" else ssio_status when main_cpu_addr(2 downto 0) = "111" else -- ssio status x"FF"; diff --git a/Arcade_MiST/Midway MCR 2/Kozmik Kroozr_MiST/rtl/spinner.vhd b/Arcade_MiST/Midway MCR 2/Kozmik Kroozr_MiST/rtl/spinner.vhd new file mode 100644 index 00000000..2787abeb --- /dev/null +++ b/Arcade_MiST/Midway MCR 2/Kozmik Kroozr_MiST/rtl/spinner.vhd @@ -0,0 +1,46 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.std_logic_unsigned.all; +use ieee.numeric_std.all; + +entity spinner is +port( + clock_40 : in std_logic; + reset : in std_logic; + btn_left : in std_logic; + btn_right : in std_logic; + btn_acc : in std_logic; -- speed up button + ctc_zc_to_2 : in std_logic; + spin_angle : out std_logic_vector(6 downto 0) +); +end spinner; + +architecture rtl of spinner is + +signal ctc_zc_to_2_r : std_logic; +signal spin_count : std_logic_vector(9 downto 0); + +begin + +spin_angle <= spin_count(9 downto 3); + +process (clock_40, reset) +begin + if reset = '1' then + spin_count <= (others => '0'); + elsif rising_edge(clock_40) then + ctc_zc_to_2_r <= ctc_zc_to_2; + + if ctc_zc_to_2_r ='0' and ctc_zc_to_2 = '1' then + if btn_acc = '0' then -- space -- speed up + if btn_left = '1' then spin_count <= spin_count - 40; end if; -- left + if btn_right = '1' then spin_count <= spin_count + 40; end if; -- right + else + if btn_left = '1' then spin_count <= spin_count - 55; end if; + if btn_right = '1' then spin_count <= spin_count + 55; end if; + end if; + end if; + end if; +end process; + +end rtl; \ No newline at end of file diff --git a/Arcade_MiST/Midway MCR 3/Demolition Derby_MiST/DDerby.qsf b/Arcade_MiST/Midway MCR 3/Demolition Derby_MiST/DDerby.qsf index ef11b595..93dfb71d 100644 --- a/Arcade_MiST/Midway MCR 3/Demolition Derby_MiST/DDerby.qsf +++ b/Arcade_MiST/Midway MCR 3/Demolition Derby_MiST/DDerby.qsf @@ -239,4 +239,10 @@ set_global_assignment -name VHDL_FILE rtl/pll_mist.vhd set_global_assignment -name VHDL_FILE rtl/spinner.vhd set_global_assignment -name QIP_FILE ../../../common/CPU/T80/T80.qip set_global_assignment -name QIP_FILE ../../../common/mist/mist.qip +set_global_assignment -name VHDL_FILE rtl/rom/tcs_rom4.vhd +set_global_assignment -name VHDL_FILE rtl/rom/tcs_rom5.vhd +set_global_assignment -name VERILOG_FILE ../../../common/CPU/MC6809/mc6809is.v +set_global_assignment -name VHDL_FILE ../../../common/IO/pia6821.vhd +set_global_assignment -name SYSTEMVERILOG_FILE rtl/TurboCheapSqueak.sv +set_global_assignment -name VERILOG_FILE ../../../common/TTL/ls139.v set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file diff --git a/Arcade_MiST/Midway MCR 3/Demolition Derby_MiST/TurboCheapSqueak.cmp b/Arcade_MiST/Midway MCR 3/Demolition Derby_MiST/TurboCheapSqueak.cmp new file mode 100644 index 00000000..388f94da --- /dev/null +++ b/Arcade_MiST/Midway MCR 3/Demolition Derby_MiST/TurboCheapSqueak.cmp @@ -0,0 +1,39 @@ +-- Copyright (C) 1991-2013 Altera Corporation +-- Your use of Altera Corporation's design tools, logic functions +-- and other software and tools, and its AMPP partner logic +-- functions, and any output files from any of the foregoing +-- (including device programming or simulation files), and any +-- associated documentation or information are expressly subject +-- to the terms and conditions of the Altera Program License +-- Subscription Agreement, Altera MegaCore Function License +-- Agreement, or other applicable license agreement, including, +-- without limitation, that your use is for the sole purpose of +-- programming logic devices manufactured by Altera and sold by +-- Altera or its authorized distributors. Please refer to the +-- applicable agreement for further details. + + +-- Generated by Quartus II 64-Bit Version 13.1 (Build Build 162 10/23/2013) +-- Created on Tue Dec 10 16:53:53 2019 + +COMPONENT TurboCheapSqueak + PORT + ( + clock_snd : IN STD_LOGIC; + reset : IN STD_LOGIC; + main_cpu_addr : IN STD_LOGIC_VECTOR(7 DOWNTO 0); + ssio_iowe : IN STD_LOGIC; + ssio_di : IN STD_LOGIC_VECTOR(7 DOWNTO 0); + ssio_do : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); + input_0 : IN STD_LOGIC_VECTOR(7 DOWNTO 0); + input_1 : IN STD_LOGIC_VECTOR(7 DOWNTO 0); + input_2 : IN STD_LOGIC_VECTOR(7 DOWNTO 0); + input_3 : IN STD_LOGIC_VECTOR(7 DOWNTO 0); + input_4 : IN STD_LOGIC_VECTOR(7 DOWNTO 0); + st : IN STD_LOGIC; + md : IN STD_LOGIC_VECTOR(3 DOWNTO 0); + stat : IN STD_LOGIC_VECTOR(1 DOWNTO 0); + key : IN STD_LOGIC; + sound : OUT STD_LOGIC_VECTOR(9 DOWNTO 0) + ); +END COMPONENT; \ No newline at end of file diff --git a/Arcade_MiST/Midway MCR 3/Demolition Derby_MiST/rtl/dderby.vhd b/Arcade_MiST/Midway MCR 3/Demolition Derby_MiST/rtl/dderby.vhd index e6431ab6..4c03fdfb 100644 --- a/Arcade_MiST/Midway MCR 3/Demolition Derby_MiST/rtl/dderby.vhd +++ b/Arcade_MiST/Midway MCR 3/Demolition Derby_MiST/rtl/dderby.vhd @@ -326,6 +326,30 @@ architecture struct of dderby is -- signal max_sprite: std_logic_vector(7 downto 0); -- dbg -- signal max_sprite_r: std_logic_vector(7 downto 0); -- dbg -- signal max_sprite_rr: std_logic_vector(7 downto 0); -- dbg + + signal audio_out : std_logic_vector(9 downto 0); + +COMPONENT TurboCheapSqueak + PORT + ( + clock_snd : IN STD_LOGIC; + reset_n : IN STD_LOGIC; + main_cpu_addr : IN STD_LOGIC_VECTOR(7 DOWNTO 0); + ssio_iowe : IN STD_LOGIC; + ssio_di : IN STD_LOGIC_VECTOR(7 DOWNTO 0); + ssio_do : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); + input_0 : IN STD_LOGIC_VECTOR(7 DOWNTO 0); + input_1 : IN STD_LOGIC_VECTOR(7 DOWNTO 0); + input_2 : IN STD_LOGIC_VECTOR(7 DOWNTO 0); + input_3 : IN STD_LOGIC_VECTOR(7 DOWNTO 0); + input_4 : IN STD_LOGIC_VECTOR(7 DOWNTO 0); + -- st : IN STD_LOGIC; + -- md : IN STD_LOGIC_VECTOR(3 DOWNTO 0); + -- stat : IN STD_LOGIC_VECTOR(1 DOWNTO 0); + -- key : IN STD_LOGIC; + sound : OUT STD_LOGIC_VECTOR(9 DOWNTO 0) + ); +END COMPONENT; begin @@ -937,31 +961,56 @@ port map( ); -- Midway Super Sound board -sound_board : entity work.super_sound_board -port map( - clock_40 => clock_40, - reset => reset, +--sound_board : entity work.super_sound_board +--port map( +-- clock_40 => clock_40, +-- reset => reset, - main_cpu_addr => cpu_addr(7 downto 0), +-- main_cpu_addr => cpu_addr(7 downto 0), - ssio_iowe => ssio_iowe, - ssio_di => cpu_do, - ssio_do => ssio_do, +-- ssio_iowe => ssio_iowe, +-- ssio_di => cpu_do, +-- ssio_do => ssio_do, - input_0 => input_0, - input_1 => input_1, - input_2 => input_2, - input_3 => input_3, - input_4 => input_4, +-- input_0 => input_0, +-- input_1 => input_1, +-- input_2 => input_2, +-- input_3 => input_3, +-- input_4 => input_4, - separate_audio => separate_audio, - audio_out_l => audio_out_l, - audio_out_r => audio_out_r, +-- separate_audio => separate_audio, +-- audio_out_l => audio_out_l, +-- audio_out_r => audio_out_r, -- ROM sockets are unpopulated - cpu_rom_addr => open, - cpu_rom_do => x"FF", +-- cpu_rom_addr => open, +-- cpu_rom_do => x"FF", - dbg_cpu_addr => open --dbg_cpu_addr +-- dbg_cpu_addr => open --dbg_cpu_addr +--); + +sound_board : TurboCheapSqueak +port map( + clock_snd => clock_40,--todo + reset_n => reset_n, + + main_cpu_addr => cpu_addr(7 downto 0), + + ssio_iowe => ssio_iowe, + ssio_di => cpu_do, + ssio_do => ssio_do, + input_0 => input_0, + input_1 => input_1, + input_2 => input_2, + input_3 => input_3, + input_4 => input_4, +-- st => open, +-- md => open, +-- stat => open, +-- key => open, + sound => audio_out ); + audio_out_l <= "000000" & audio_out; + audio_out_r <= "000000" & audio_out; + end struct; \ No newline at end of file diff --git a/Arcade_MiST/Midway MCR 3/Demolition Derby_MiST/rtl/rom/make_tcs_proms.bat b/Arcade_MiST/Midway MCR 3/Demolition Derby_MiST/rtl/rom/make_tcs_proms.bat new file mode 100644 index 00000000..b1959746 --- /dev/null +++ b/Arcade_MiST/Midway MCR 3/Demolition Derby_MiST/rtl/rom/make_tcs_proms.bat @@ -0,0 +1,5 @@ + +make_vhdl_prom tcs_u4.bin tcs_rom4.vhd +make_vhdl_prom tcs_u5.bin tcs_rom5.vhd + + diff --git a/Arcade_MiST/Midway MCR 3/Demolition Derby_MiST/rtl/rom/make_vhdl_prom.exe b/Arcade_MiST/Midway MCR 3/Demolition Derby_MiST/rtl/rom/make_vhdl_prom.exe new file mode 100644 index 0000000000000000000000000000000000000000..1e5618bf9417eaeb90556e3021a78e9860a815e8 GIT binary patch literal 119861 zcmeFa34D~*^*4T>XXcqD3p0TP!#R;I3im94{WDd))P*nEKjw;3-)UlQLkgOB&vHgLsaCW=mlXa{` zB=P6lm;e@v{KDCr72jJL2<`Y#zVq?1{Yk!c9UVkEOl{aj(9wTgqPA~#laeRfnks`n z77K^+LSEnO;;I^=ZlJFn_)xxu_}KmmMPc9UShLJGMD(5b5Z`h_l7EHBcMg}ipW^%Q zq578KWBWt9ET2=bSW@2Gj-Vf(ui+zwOS1eb6#nIN<`qcz!BGg>(Kwk1-;yNjOY+TM zAn_-%5cGm?G{Pemiv%R!f|Dier}o$hzBGg*sL_*usPFPQC+ADToEq}F!Xz}UXelia|q(bTM{vTLqWVGH!yJ9BqINk@a{c}cH89T z74$V;w(Qz>1_#T}-fO%84jNW_C9i^ZTeK$`imi_u7XwZhPvd)AUo7+xP1u2D$R)J* z(LwwTya^SP$oenDhfto)GWazG31j=^D2#vL8ovPcVhLk79{;vBm#iXp*FN^sSHO7J8l7z;{oQO)Wz z*Hnitd%G7gC5Z{IwNko=(t(9~YH|a?ZTmyBhZ4)&ml5@l7bM@-g-H6)0H*ffOQHB-nQAvuAx-BS z1@9+}?*r*RqWQK?24ljw9q6_`-_|g&y~eLwRF5T$D^0f1h}iaiX9|_zN@FvLN*F(! zB_+nvf(3mKgFzHLIoLn&5&8g)vL_XpXI;<*ByLPcB?s<+h8svffnu{ZE+hJ*gINbJ z1%R4(k)-aQXrTUqhrv>k$l8rk1h+jOYe7zTLQKJvp@cY;w)Oc~{?;dBflzm!=6k^3 z^Yoff`le&x8LUS_@yF5WgQ3KNClGnb=>_{6lk+E$_WHmN zz*N#xtCiQkRTh79;1-#tc_ik-qGw6%oxb&G&J;tB&pU4zryMz=v zpF#a zgeDCQkZo_EQy#)36a%l0qu3Z?WyGHmLG!66>!Ac>_oUx}VBlw9+H0H+CK?b2_kk%C z|K(to_Yz=p2?0j`*Vkmjdop<$-?6+iU#jLgs40V{~BG z$CyaHa(3gJ;;%zI{SA~;{4KWl)uH(B!BZJ8_lM%Evj@_^(0#Grff1AlW``2~P>$^<-^f{*4q9 z4<3Nf10v=|(gRN@2}Qf8LmsBuk+9Xo$b!!R91p%mXwfcWu(;+BSC0``Ld>fNO5?vQ z+BJe0sK-dm>tiH?&(1K<&hRs0uqy6Bo1P$fgAlflB)oPE32wWDFDZui7u(8!Ig%{H z*IGS#SU@Kq&s$Lo-1i2r7ZQ>;i|>XFM1V4Na3F^EiU!!uLRM zzwd#4XvmAW5?s)!;OP#2tRZ86r<+R@>Iv@0I5{lG36;%i6c^Y-@_|zY9gp_AeG#=1 z_rQ<>B}f7G7$fZ^rnRmm>jK4a#4ce-50ol~emlbu33-ZPkDXyiE4vj#pPhjo!d4mn z04;(-4#|QxKo-N}is2!%9>lb1p&Zhk2KbSRO2kX8}T(D>;-f~N`=p|On* zc~JC$pJzd0B7Gk*+(!(F^gV#pLUlU`Wj!Uid2)RfioZhSyn*XwnzGzLD875(3Yn-3 zJaOZ4lF|u+lnufbA~X|w{AIL!{yDoLWH9Sgszw|z6O+%Yft4f^!c{U0;^ejRUg%6FJd>2CE9-9*54wr?B5Pd zw*)%+U_U6TLOUhb!JiXB7V&mP_&x~ceBt$Z_uGMiGtdg?;Dpg$Pj%nlISRcQu$E7% zd{|K*#Ny|@`LviR1|xOlz!gvirSU%5`sHAG0vdEVBFo}^56RVh&w?K}&|Yim+j513 zRr;^7<`2a`D4p@z4+8)T1`nM~{fmS>;C#aQiMJ%4`(dIoExuYfD)A(BeiG{5tA%E~ z91nWptDT|l$Fb-g48$gc;{O=P!upn0`+cEpgPkYJavVGrjo15d0Oc#inC(gb;W}EP ze<#NJ;owBX$3HyuTiPI6^HJ)HMWI9n=3qjPKYH1l;0j#!kK*E$0pHfEAxsYCjVpN| z7NGvVEB{Dz8jP_^)j*tMwlC)Y803qx`L^cM;KqE!{_&jip%LVGmNVikxd1h1#E}f} z$)^VyMo9NOq-H|@E))hcjneb@et)?WtJV!5tMOsykn(B##}4|g#QacWKlYj&_r7g) zNMw%vZB~{~gHM)rIil6LACj#a{I|%#@7p#($&jO~$dd6LQ^qIe&>I{gqu~96ek7FT zljHrTJl>xJ|G~wGO3shIgK2hLK5^6}_$78;+uoNI_HF$mQp$O7Ax46n2fqfTu~+fS z`Tb4sOYVaYBZ~F4ikIcT%!=QRc-CQ1$7|7t@2NhFofwMOKx-y$$n8QM-}PnGGAhNg6`zY8&CsA17AY&q1*VxV;d3Z97J z@%49t5F3iWS{gq*@MoX{hw;@b%{$5VD_U)}@LFghndeJo@h3V*Q-7$|DLOB?UPz=b zslzaO(s%VgkSlsR2WYV1t>pZL?pge+Xl7ZW&>u_`ddjrNfcq}*276KW9u1q4?4rfb zbiRn0JuneZ6igm$pnY3^2nKABD|&JpN*{g8Q6B$&nPb-DwWN)L{-V7>M57L`Aw^A=KnmC<$75 zU^ylM3NZ=^6RV+r)2~Iuszgb^GccXzhmueG&7vAI@pQjkTauXiT9`KGZ^cqWJ&^-w z?xJ(g+r8I#3{@~iDH1rpm zu_uJ#6Tr&kN|0dR!7~8r(S6C+@SW<9;bP7kNWe~a!*GRP|jQhb>)>Dq%=;~deMEQ=Agfn~ar9*eaB6M&LRpa^2sc4cn0n7d@Nu-k9 zW7||@RFWum2Jv9omJK9P?ZIl+w+&}ZXw%(kvfi7h4||q<@ao`T=})OK!ALET7=^T+ zBHsgjA?-snLiZ6^TT)_cH%;z7;_+?mr)KX-_ajsA^oRBai6RE(z|hcr1kb_Cfnj8I zzFIotmt_ubS-czrcXfZ!F1bA23^p!8^tyvPQHB!VgIduCeWDACsVSwG?W2N}&gd`K z_LaqhoK&YAmLch(-7@M?Dij3A4s5DLsXD)>a*)GlG_ifHBq4=jJr{en+PL>i!bn16tAheO<9TD1Q)=?1%0`rD9K^LcVRJ#}4M>E997veV%NFTW3*E6{CfU zJ&8)szzm2)>pTqdzy!dy)h_A3#>*C$pB;1m4a?OMB&z^okOE#>n zH}skDMTmd2pbt${m$)fH7?F&66-t5zR(z?oe%J$_o_9jAU*pikm z?%(8bgrJ$8il#yX<&`bo*ZEdK-`{qtnHVU5RrR?6H<1Zo$M;~R%lBX?69aY$vhMy942b7?vN21q3-x??cithNn(ye>ywKv0 zV$)S$hvM&&-fKlhSbhCgZ4PM7XxiJTAhcm%njk%asr)nwR7VAR2BQN7LPqIlP)Xvi zhGsk^`47HHT1KvZ1GM(vit$0!c^ey>^r@7#7Yqf@BlW0Iy-`7_d$Q6H_#Uj$U2*Kz zurajd_t%$@=3FyPB`o9PWE$gTM1-_`s6KT-Vdl0cV{_5|eccDq{566aAr2MvSRU+u z?=PPofc19U{+KV+eF$^^F*L_xodX5Whh&Z@>X7v$m$#GhJ^=MX%&-VME9TayFT=8bT#(IUpaI&%nXBB zD>ujj;VH8iMe}V_3m#H~i%+C|Lzv>@#&|>?454^D>-Ya|$o5^@Cs4hg(54m^RMc<+ z>;?(rTSVx=S^`UmP(15KLeZ(elY?~l{sRk4!vU)x_WkkQv6&cbBhg8Jbz!*^e|a$T z33Ni|`zYBp)J(Fu55^9w^)yCK&w{<+Jmi-8C%(IOSQ~(fRJ7`xC|mYiX{= zT%@21@tj=)oRsRJtyCpz^;8&2OqfHZ+%@-(H)h>>5}>_!3WE_=k=?NqLLdAp6rV7G zIJ);(%TCx&u&@}+%20XHsY0OJzt**o3O%Fb5Bom!ZW5vu-2uw!YH%qrR>hQQ0~v*q8{x#JJ$=h}vuX?HnPzPSLm5_%k4C zY*gc2favF^u_q73gM9$|6}WFOYl>{6^h-e)%vu0sFzd<)7R4k)j@As&1`az&0+xz# z<9k$u9tkc7cyKeCQjSn_{-f#z=aQV0AqQ12143GC9#%BZNYa932znE#bcFW!;ENcX zBSPE$3|)uEUMPN$M)5g*G7Dg>Vw6&np7htL4m{uGhSt(I3F8jL73`1yA`~ZCsiszf zVt6IOvNER3?~NsmzwpLL@Qg8sp*y@)*L0igal$bj7|6#zuK94==4O!;#Xrc zV5Y;e4b^?J^Djv9{@IkI21%0QwvsrM@C<(_Ug;nB64o!^d`5Df2+l(9)6CX8A@FavaAN~gyF?=`-FZ~7a| z+E5RPk6}GvERp=N=~B{OV>(bd!szKo@nco|O#^?0IzMy`WaWV}m~{zBcxqrjQLkrh z*4ukVWoQ}Q&!HKb9UT+8?4ZQDy&^PALNa#KA44;6#^)7l&l;-!=m~3*I*n4^B zUi18E*cg}O9{!E-7P{v*fL{6i8wXzL`$n|j<=?*2_sW~EP52ce-Z;?pRxsxK%`30` z<2UE#9)8#72j}kJy!^^vZRkbM{^k|@OUBDG4^CZ(di3@VPCb>wH5`sW9}xW$#z!-5 z#;+L0e+A=DaCj4k&vCes!$uBYHS1 z%JQCId==x5FmB5IOP$K+bq+TU3%||yaK078_*OAKdRTZW<7+rP|2W|#On*-V(M^tFBc5u@A`_ucXX>z=X7RZ zFB{R!hou?+EI*q}{6FFU-4+OUL}KBl){S+|O%37pI^5fg#3Iq~hPu{<=15eC>MgCY zy3K(lfvFvV#-`>7cvaZe8A}n-bpp3Mr^fU%?Cgk0cy>puA>7=yzNtQ3P_S_R?7HUWnZz@@wX-D>1r_l`T$++1BCWBe*cQsU z36k5}qOm|;ityGqUl>4vP>_HnjIxk_-nxc{=gy|x6}8qLyrV_VvB@f9@O zh^>o8>$c?O2as`KYQqd-vr2x9ypWN%qiKC>T{8&?Qwf(yQA?Hu@}(PQC?KKYDO}eird*-7o`r*t1exMIQdtxF%oTTZrc=y*0rvWn8_>F1gln* zRh&6PRMtgfO=zg*wvGF8{Z zQOCvF+5#Od7>?9+bdfpIj!0A|(h$g7y{g14#p>1zTQRUy@npHfEp=$}Xf$tzoI0ra z)Q-HV>t_b0uAdPIR3}tB+yvb)QkbQM74JjItpDMnA#rLP}dP?Z3{HD)UA)q>cFsUYHJmNz?!CLth289 zjLrzABPB-J8_@@t4C}VUA{d>q0Cl&LqFP-bomr>$j!0)i8>-&iR$oUlX{g8A>f4$F z=$j5AOl_F0O7rRI)-_>{HC+_3^S6i&u~F2EsDR=S&>Q&opTGZ$Er7MGh7aB8_9I-2 zj}ve$!b>x7{TOf;!h5l)%mlm>;Vf69X*93ekkm zmjSmU?7-(MfV&W0h0j+3_aeLjpI*Q_5Ppkrz&jD1Jx+*Q0M{an;j;s97sBibLfj2F zfG`Jk@|}S55iSnkJP&Xo!b|aahWHVF6`vOX_abbbEX2!z+Yxr)GeA5D-^Ax_z=si@ zorCMyfNK#pOhY?-5&Q^m!zTcE2g1Ynp8}Y|4tz=g zcOm=^K4pM+BK#Pi8sdSbx*DHaz`Y31IuUsSu0>dn&t|~w2=Bt@%Yb(x^uzG56)=S} z@#zJekMIaSHvkqhh4=`cZxIZOYzsd318zt74nBJTA4d4q*=QfYy$GknuKgPE|L5<2 zd<$r(q2|owa4MPPOG%#<8lbsay?(GRWfB+s66yBbYWC!`Bs@sJjEqa<|a#~k&JaL zgUWaZrzgIsjgMs7dDGk??G8`AyVliiR66_ge#ED{x1~GMf8@>g)VkYUeX^`(dE8Fn zp6kfhYqfS+wz_Z z7%p=-Zsx)Ak=1ekPU%fXwci5$bRG2kwMkm$t$6?G`Xp|L%5G3t1BYMcu$RMcbNEvZ zpW*NThwpOeyiv)S$l+`bzrf)-4x=1?mBTwYe1OA#4&UNX#|0$%OW|-Lhch`0ada7{8puUJiF~_+1Vk=I|*FU*qsFhaYn2xmigb$>Deo^Ek}sa0!Pc9F}pohQsqY zY~-+=!_6FC&f!%YUdQ2f4)5UbUJmc)@DUF8ariukuW|SX4i9tqA%|vr{bwAgmiYhs zICx2vM4DkfD8?=hJFwBYjz2M=VI2N~{A3FK=t;Y>tGa{h~;S)kGuVyipP&N|fpt_KltGrLB!^ zMItUKTRLKqmKyA^iaJ(clSGiXlVWO`>MtyA!|qFn5A@Pj)D6axi;k*gsiQ1XxA7>l zsBo0E)isnUd&rr%V&#xqu}bV~+oCPBPnE)9Z281d6>CO0S9G?@&09^~y5@)&Bx-S8 zJ6Rcu+t84BPt@fEt*ou>!p?S+8BHABB1AgH@Ro0N>!zkwwA0s|)sfihR%O#^2yU*A zw9`HgwM4Iodz{tHkx09E&{-3SwluZY#Uf^Bh)0|?%^hfhHFeFM5%IM1xI)B1CufSZ zWDI$t3YpPZf%xs_AFR-UHP^SbZj|v@B?Bj8R$Y60n2aw%tWy-2p3u&6H;&#H;kx>k zhUQkW1yD-|Y$TMeNQiK~g8nGNjr5i>mgAZfjYew0E1R%d)n6zU>R~SF>w37eRnorg zs9Oi)l(^r4K@W-$KXKGY==GgP97<-Nqp=V`cJ%vrCyNtv zoyvA3#0qCeEZU6BL@7b7^)2n9k|6xYuFSd7ECQ)7S_k}J_?#ZBS`{ptH+MF=oU+YC zp8sy2mX3|}(U@66D&_zDKfk~5n3b$$de^XX0*jgH{bP=u-s1ZY@Nd}yMLSi$m2h}& zm%sg;nV%qkIxI|z z-_#>1pUVGKfyv*?`X%#I`4^sS^6zB+J)g?|O{@&LJmU%_r+fkA7->}br>-&i3j>OO zCT-x4@u&FzagNE~KDkhGIgY_gB*ouzp2^?E{BM1F`(I%4w@)jSk`Epy10{dbmrefK z6BYk)*tt9hEdF-p|EbL7=r1LI;^n6N{F9XYr$4oQ=EK6E+F#64{L}TLWfMiok8L;k z+vh0$3y;nIAL?gpffsYF`LC^9wK`B7m_K{r?72dlJ$sJzAG-o|2sI1qqB;DDY>wax z$DDPYP0bDJyJ1d}aqjH=*##WAdC|h~!ufQFHA^zgn>z>VR~s`V!h)?j_MJ=>QayCd zRllJwDs+z<`-Am3Cy!vOEp?t&1W>1Yp3`y)Cn)E9Zb56!IS1cb-q^pH0Q zZ@9Q(RZVbhjp%pkUvXW8^0_JtLT%U`>v5My<^;-IMY)P89#`c&MM*Hl@2Z@yC|_kt zwySc1qVzB&;Hq4xC|5Hj&&69_ZiZ`Is)6!d<(rE;Ffw|XFyB=jSznAAUhBG1HsK;7 zlz?y@6P6Gm2*UMDIE4r+K)8VkrxIZ$2sbjJkOnkI2>n(@D}l}x`gTUw0-Y!H+Ze3{x=`p}V{`-1lZF0uM%!Igb(@9$4MsP+s_KE> zE>TBLm*%Q!0KS9quK-^M{F{vT0`F)S`W=klLh-=wWPAt3Hwpb)jNc8s0r*{v?*zU` z=-*~^7tqB*{|=*lKnqaqyBXg{IO=^5EiMBNheIKXZ;dPZn z)^)D8BA(=kyS*+lA1%k-g8B_LZ8FQJIBussZUydP$k?Yjc9)8^rY|RchNJt2MO*2g zWrlrTk$Pt7s^t|GWx^RD4U@Y3teT*3ezA<;Gf7D}qol4h?xJDNWr_dX98iYFWjqmEp>oDq$4-oTRQ!66W4zWwUU;Ft$sy za!o~P35Ek{snp_7QI*htOzKby68cYkD2>yTi|LO-R70-*#3_V?idL1B1%>`LC3H|G z^bz_=8fEK{*(%CRshypkE08QrlSjqefKd-(PZ`pY2jghR3GUvvp@d&6tX%fr=0Yl7jTs$kK)xk#jUxE{gUMk+20!!z5W z4S2Jj6^L-b@)+yvP}EMQ>aLo)^@_C7MIEc>I9*k^XcFBRX~4AF#6-g-Eu9tZ_3~-4 znUlMi+z5ja9*J96HR0JncF}6sWNF3-{UYX1vt-W|`j?nE!c~HMMingM%Phk$sYwwR zGk2yX0<-!OCXP0h@}-iT`On|n==^d^)lIFc_|@S5$|{pe`exku+%C3a40!`$Psc=3h)aEF8nTOW*1`6(UCM(DWa< zPKO?GgaA{cY=VneUJ%Immr{} zb}<3^5d_rbAtpc}f&dNpFcY8wLBP}DznB2c2LhfCKV?F%BtS?1j0rm=0b2SIChU|1 z($ha@N}r;jtbI)BR}>WXQKlSF6qNQcrW{rj6n8gMx*Sv((%5^L0>5#xGe}L##@FBp zPuG7Sm2=jL=2&&zM$GG-F6s^77yyd$LrEF!@}dK!gs+M z`H0CdLO70ES?P zd{@+6wRulD6U@7b(?hj(aE)}4F40O8*V5}G({g+p;nMP=mBBDvfQCcC zB3zskg?g_4x9Mns2=2<^KB&;A`qk2nNcHQQ@%*306rCu*r!(#(Jlc#jc}#Ihj=JcE z2Gnc@6Fnf(iH0nAcbg{XC#nR*ga(?IQjE}F^s2*Q!&EXMu7>pMDIgg%=oBSE1> z${fZsC5O=S86OS2xv@?bvw&&YptWsmZ4>%jri=vzcki(Fm#v}aj3<^zOB0?N^O+}? zNm!|4>CePzDj{a>LjSvHM?EJG6lB;M!4vFcf4+QTogy-*QHeoLOH5PQ$Ril{3dd1B zqc8HWN1r(=j}@~3DV-jQH~Vx&X<5+h)J*+)|7agNwY&~@V_PwHZ{Xqz-i=f=^06SQ zd?Ux<`G?asOq>YDHx4QEoBV2-?{x8Kt!b;?(z33tSsjV#H*>rvDO5&7<}DnR=Bmaa zT}5MsJnO1!Hud_goTuMajBR0EJ*EOCUQ<1iZs(|xW}Db+rr+jYimIEHE-9)h3YU~( zKUY&xbrxK^KI^}T>dmKM)@JNEE)M21iX-%2m^Cx=7$!*X_vb^7nN5Y*igV)Q-9CD z8+=aB&Bq@xSkWKW-5ERarX1#^95WuJ0dj;IGhVq!(sOvsq%g{3CN+a+q8c-4jPjUC zXOzdx2u69#_!!Mpqr;z}7S-8mbYyTWkB*Uy^5_`Fh2+tZ$taJGEJk^BjAoQa#|ez` z=*VW2N5>e>h)2g*MtO9MW0Xh7ct&}2OkhzwIwms8qhk_RkVi*=(MmNsCNsKLjgBdd z)~eBw!x?Q*qa&BmW;HsdX3(V9bJ|UElPm-T5GPlD$-qeU_N6{EoEAvP~RkMXQl zkK<}^cr<-k#yPOGLs#ML1G;b^^i#Pc!bP_tOf7m^25EWPrVQ7wg>tOvIoVcGhUB3a zWo$wYSUI6AW?_>YLa&r1Fk@=Us>8)aWo65YiciNTGV{kW?L+t;q0u#DAFZ-{G(;r? zxxWT=j7AT?-%~uT=fv1h>8k3QqE*Gga9B8<{i#{Fbl=&EivbP7*)O-AIka~*xj-Bb z7N>_qI4Wx@D#K;LHNi65vIvg`tQm#dX;3j7WuMAq7*in52%`*DHLEL2SFNZh5*ysx zT}MWvvFq0f_iem460YWUbE_lVUvn)-J!sw6++GstxG>h%F5F*dq94SXrWkh1?r$(D z+tq+qcHOtLumI4rgnI|0xfCm(Lhf%eDUUc5=?*5%BrbU)g`STc!hI)4RLRG=))nzqe3?XDj8cRTR(TZSERaMbhVjQ8x3La1J z>gr%sNpMB!s$hwjKm=tanyA1tsm_xKW`lSx!PtgL*;5HwZDP|1qm@`qX?ale$);ak zRDnUpJ7L|*~7^$ejHbd;TMFmU370bVXb(+{?@g!-FOB%^Dxt@@e;uT`A0?Mjk z2-_!NymeF!D?UsSR*_q+=bB(q?C~CE+7+~ zp6lMhARULDfV|1%x!%%oc)w2Gd~2e;gy)7Az&7b5tVeLzQH<9uCWLXRiSApeJ8lh< zV&OOj!YEf4vP=eD1Sq}db_bF>!Wh1=6?%WfE+aC#_9)TNa6&2-}{(oo#if|n&D*u3Lb-TH{Nr|va0Y53fM6jPwGV|1TR zo~-m5k`~+ywTcutxiIM2HH9QaV1UMUPf>F4irGe-W5{Uq%eNtF8nF&7!BJV?$XWlL zvaZ49Icm=vRj%s(9x#$4riW=)yna&{IZ-We1&*!+hH(xQmUo{P<%dzA@CMjEVJOh< z)iiC%cr;#PX=O-g-_^bV1P|HoK^TEP0#H)oncmRZ-i*tiu}HYR9rw(TPlwRDA>oIJ zol;j%2#v-mbsGsJYEIq8W}$75LN_vEUKf z*A=?<#Ae#hYTwYvj;x(^V)8ky-L8>YS-&ccBCYG*`m%Hluccrp4D0Q_x68 zGFUgXH;MEpky2mRB?C|=IVlrK)(ZN z7Y>iik0a(a#NhAb84&za7T5=Z_Pbe=gjhhYlnsJqK^p)n)1q?#%%)dS@~;DQGU|nw z%kBes>c~QZMTkR9XlqHt?-ADpwnZ-j5Q}LVUcxNjG+9%$Ua&44PS2l-S@12H#r#Tu zQ%4n24&rtWwG*`kt)TA*%fjK2`CAb)27@@i7r+GsYzJ^PfCXCsyaZrjB}?k!F#j&Z zOoHWG{y9CX&_qi#EXvAp@zy?KZk8ivCy$h0a9Dzrs{-gbQrgaY>7q}ckSta zEYtUMI`eRUADFMU(*K0gXTmNlmZEh;N*UprwiK{%4NO+Z73dUC3&-Su=4oZ*eheee zMp7h5(@bn%A{7DTDvJ5$!2luC=<&8Rof6*hk)$|1+GM<(xAa>`qD|HD3_+4&B++K7 zJeToBU~P`dDPu35mM0_4sbX0;ytye{C$vQ~foqz=FA~~fg=f$rah=6lPpnJMv{>uI zU|p*4rSnlu?bK^zr2l3-xRx%}Kq>sDq&Ph!YUx}mWRW5*rOQWJF;~Btk_Tx^gW~s7 z%nC!+Z|S!PSxK8CO`D4c0(5UFCGt9)U4=-6X$>mWa0RuO*Wod#xNHq&QK_hYlL}th z-PKA)u1TjZmk~88B0Cu&AK&uny;{YMH{@3Pr9@v@>ZL<8=f$ zYH26cXzdDB*FO=mff8%lX>=VzJ5>%gU@xO?+G!^CV`{rX6N4obEy>u?15P{1HsG|m zO8nCQjR7}gtQ}*J89q~dmkr0Kp!Q^%HnpE4U z@;Yu|>X4o(;?+exEgXdwp=qO`7k4PRR&;y2VrZlh4h9c1Ed4xsRy=|#=%O5b@A)h@ zo5H+k{ygtCnU^HZ@F^WdGcyvJ`(YFn_0Q*F?ofA;u)(1kT>M#Uun8Bk>g%En|H>MS zLZS39cJQ2+&;mbGl_(rmiO2Bx(Z%;ZYbEe=ULBq7|B5Pf@D0&(sS5u`7tC~Z!R)_% zq3nP65}6@yO$f&cWQj23oe@XV5j3}+=XTD$ ziv+5a#$A-s;JX~g+l1M!ZW!w7hJL*38ZOU2kie}S(}-uBlz9S%zsoZL-yUrqzP;ZA zyZ2oBB1ojLagvOG))5Q#Yk9`3Obxx3Di@=C#8S~%Rkul=Mi{d*_aQmXPE&)e4M#`M z;b>2)6<6BY5ZNq@e2(!aV~TM+xEu$t9k?*VvDvBWMCt5Ew2katc#k)e3XK!iRI-)X z&ytLJ9F;5hd~JATjQN>V_hZEhW2LO8p?3J%gufw|H0-4twzP&ZF?i>2u2u=U_6@X1 z`d{&-olDNT)A6D*Kq^@Jeo2i29MLXcn$pfE?h)<%<(lv271IPW>K-9_4vvp3Hh9I4TsvfS;I-ADTNyb-_exnGyo@%uoFo1 z36v53veAsO=4fQSs_2c{5fIy1rl}gRQG5!88>8CnMrtArCa*tGII|cP)3mW46EcUa z96cA4$&fz+u9`8ja+59cyo9?YcFjmU0w{f6eemUjYdmUlu;%R9kCEbl~M%ew-V<(**D@=ma6YoAI;((+E2 z&GJqtTiyw@Ebj_2E$_*wq?LV-?6zuLl}OSam$adlcSK}#+K2Jr}%L?jS92TKu|9*-%DR#-g|sMHfdq@H95 z^+X}5CmBLLQAp~ELZzMvQtC<4EcHYft0&1K)e}LMdLqP9PlQ-~>PZqvJt+#QCxVoEBFIutgh=&7fK5FSYN{uKho~nauzFIUQcnb%>WN@W zJrR;rPlVaj6QQi02(;9bLQM6Pj7qAaJ+j+|t0z-l@?elCQawq4)f2(TP){Pd2%>WL7$dLrDWo@}V4o`_~wPcALofUBwvIDz4-p4upFAykz)BX8gt38hqq zA?qgI2D~#Rv{A%{r7lE7T8D}lBSq{OHmM=vDiR@6kKxoFrBf_N4BDhWD>c_{E~kD9 zTo?4hz-L-cZH{e@$sdsa9N#?{KzCC$>rgs~=;EK(B_WNBhTEKN*^ zrHKh4O)NP`6Dt6kSOL()k_DPr5uk}Bfi$tAkR~QbX<~vbO-zW?#01zhF`=d=CU}S@ zCIV|>1u9KUu&Id&wlpyzNli?cO%oH!nwUUK6D!2j#K|bTCN_DJw8v#v4qYe`m(;`( zU` zG1_Ep4XEbyJ)5U*C#)7f#OO^?wui~YGd&G9FO^I>{1sXbv9u@2@X;%@Tq1slh&YYG zYf?g+N~vE51p}O>gjd74Jh{8k7RfnP%bA+GV>-@84kt5TL}}=E6GhroKw36splNAi zC>W!VbXkkB(1#-^Gm$orBF9e$LBneTDF}VG#9qhUd}83UN%1;%GIdCDOnp+b^Kn@C znI03kav{%vot7StU)D<)Sv`e8k7)AgA!tCP(nz9N)FPX3V;Sx05qs% zfd*9sXi!NY4XP-lK?zbClpsrk5+XGy0X7XvsHs5-9-={sz#3G6N`n$?YEXhL4N6E- zgA!)bpoFppCD78K3NbZkGAgMp_sDJ=zLquRCD*b*Pw*kG^h==G$_&R8q_GFap?H^ate;Rn+D3zyJ>a;E|loPMd=;4(`a^w z!*myGBVOxK*I_86ODpLc5sRJlF4jSC*Lf^%y38W95qB!)K4$iM?g1esDI9*S=s=wA zy>-w9qtq8vf?VFmdp?4eOtNpRuWyD|FN-~m*#pcj9v?|M@Oz(C2i8Ypri-=$Qw=&g@P-TdS?JOpLeyt)+VC$nMmjz8MvI}5xyJP&727E-k8az2Zl0p# zm?1;Q^Tv8Dub3S(+)U4+99x9tm_fxjy*Htw;h2GP!IkZ#1nXU(gXmEI%bHXe4eCZhL5Dn~0mW;tx4INs2^0^p?ToGk zI*%MPsMuPd(lLWVHvpB685G*?VaE&#-Rxn<41|(n1`j)CQ21AXE5{59?**gTi+LmyQ_}x(ldu%%IRdpvp0W!uJu5df&s+`w2(I z?`8aD!cp`47(YNbUMBf2;{$|C#|%o|Vc^O!gTjw!X%FKlj~p|2j&{uOwu-`TO1^<^ z`Cr(_(sy`0!yPjyv3Gkt$8gM`;&$5OEXN8@v&da4)|$SSV+NJDZ&6U?*tYud^`(xPnFvfz@b1A;lEgua;`?TW_m9JcQ z7;{O3syuM;ZETmQ>63vJRJwAa@DmN$4{@{bDXVk zQd0?!>Hi={;&%TBVNgfLyj4HM|G_NKl}@nxKUk)y4l#nd zjCg!BK->zaSc&_@2x_--6F;{9gRet`e+T(LxEIsaW4___V~NreFX{ilR?2+xe?Ym* z(vdR&0sjYz02KuS+V(*vKr4ZOmfFPxs38!bXC7h#^bQEp|ACSLMFWELf1n6ZFd#_( z2a3=u3DW<8BJ7X^>Hk0xc1nWsf1oIRih{ECnI%ya6!uZGD2js8KE{;8ih|JGma!GBqPj3cI#*zHG zwtNLnzgE&vMD^Z#HD2Q(pIz*)AW!ef*bZBYXBE6@3H|DfnGj6#qr9mDjtH*Hpp0qZ zH~baw>!nydC(Wbo51IFs^cy$=D==lK$HR*6GU+#RT&69~`URd2EPOZ~Yc(@1UD?O4 zXyJA};&0~o@x0c=H7R@YTQZa$3s})ZQs2taQ#`{jHtE}0FfKN+e}G}_q~DgY3(e&8 zP{TVtdjMx?^xnw}fLzl+M9NQjrPAdz9s%d4zf$Qk3=%imvRHUhcH)K96R>?`iv@wo zVnGmDEMy3a1%+g>kRdD<6q3b)LS?ZaNLef-&9Yb!#uf|7A}tmKSr!XIEQzu}}n9EF^&}7K%a^3xbrzf}jw#*YdSILZrol0Gq{vP}5>T z@DPgy5!hm(KxMHY*tA#>Y*{P_Nm?ujvso+%Ws3!Ymc>FLro|!|Ww%(EJW1N)vMYz$ ze2Gh1EF{1d3xbbfu^_VD=BwD)VnK*yv5=gm#exvK#e#5~#lnVK77L=;Ef(qFaC;bj zxWbLNt0&xZ=(<=o#ss{5m7pnCRKS)w=0ZPim$7e{qSgkrmDI+nRgM?~AL{stJgJnl zaf+yok+0rXG%CeSyHZ@@8?PeuF)PT$pSf~?L|(^e6BL7EjCJMFS{Yk!N=>A#BUW5k z_}WUTP1=JAH5S+9l-asE7z#&dJ!P5|aLG`k&9rF|&7M#Q3{MFdjEgdGLyVNUb}ns) zx8vQ4@j;kd)-^YE;FU62TA`gs3)%M(H;xp&c0N7fs*vgUlBSOKx)|O8jPfQE*AH%)hAu|F6VD>Fo?<@%YO4a-ioy}RekXt0P%Dtd4{)(_ zCKiGjR(050o=byp!VhzTIgzZbyvQ9#<^&O~Sn=*e(|@Ng;p z{+jgLqb>X%TDAypbWkDIw|4T2b|`+b5&Q6J9Bwyq?xtPkDkLgJNye*J^C(3F`4!Uo zh}t#Uto375>pZ-@VKvKc5_c~ssvY)F*{{sTm(xR!*b%f=2t$T^L{1WuA}yWab|rgO z1?XN!AJ=mPjnb=zv2IqZvoDgYg_jpXvJiobsm*sCK_h6|WE+CF30RtV5arTE7|-(0 zWXzW@5q!?RMolNaW=LxX(~9-)W47YcBh7g#TF&Vy!MCBg3J}mqi~BpW)AwxY42){2H`_7#nhd~At4{oF8Ay-WVUihkZ(P&L>Bxmx9x=zNO84#;ZA7T9iZcyb9M+@7uYPSM^% z{0)Fo=?hev9`sg(TAxJgnmWj@oBEv>y+Jdz#ifBlu)|_D1~1k^k)@;TIL9GrXM>b3 z%dU-}vR_MhT>)aLX!|5Km$5^@MnpTBad_^N1-~}n!@DC|1{FiUwov%3qQDC$Zq8f3 zIiojfU0jB*a)@hFjZ~CIp-rSJju?y5WN69a|5(%v&eee1gpr0J@{*G#xYR<_Q43JnQz{NQ~AA0MUTS{k!wh>m|n#e0$JNcGt~LyX$6M z9!K!805z9hU3zvfTqBGdvvgoeoa-(U2UjJg%jBURl)d5dU^yHWhL;yrmln%?XjQrN z=_&GE=ZqK)9hO3S7SlfFI(Ni;5}qScipYDWbYdbmHLmkG#$$^yfAq_B{s?-54@y6U zHluB=au4eYkC-NfWv7t!KO$VU%oa$oQr0mkH>HMtyvwzY@jPK1x9G0=5obeiDz)-T zR3VL;2ftnFbX}7{ug#7aZuWEaW>EP?*v)>fYbDKci8jofmFMcoxC^Q?MVkFwS7)3I z!PG9&?B}{JgEGcLFJ+k7&vm^N3$tI!P_v)w29EHjSf)GE?B}|X<1%e=HoKkcCXUZe z#@h{duA4c2e2O|^9%}Y;-IDQ5**yU(dPwS9IeJRUaI>FlI}6UmJEF%lkGgKl*o|g# zd#K@kmR-)BaxShe__3tmRV;zZE=LgAgDNZI8i&9ci8 z#&$W$BJFYnS#~)>EV~>bmR*hzvdc*hvdbv|b~y#WE+<)Fms14THSU%Dctj^va!@Gy-&$L zy@Za5q+ev@SZ0cs1hAEx;y1E?X>HY~XRr~8D%^C?^d2T@w)UNSNPb&$1AIWK-)q$F zF*E6i)T=8}@cgt}steCZxa-&;wEIXALb;1flA?XL9zwFhVfnL!Vf+$d8-9UO`=_S8 z1NvyRa}*domPU6(VI%wJy9Cnj5o-S&fT9*BG_(zAVxi^081{9T8M0w3#Bag?r{vkP zzZhOe9Jztzdfn}zp@cVm;Xn&NC8G?ZcyU5AmrQR?E|iH1 z;g#k?$QzGennXN--vnvGM2tC<`r22(rC$r5jUItj-y~WyWwq2rFT`q5nmJ|L%AWz% zexzw-;Nf=t(OW20V4cwJr)PHno%0jwoB-TsJ@5r8@Z|eZ+QVFovvUeTf-fNC{W}Pm z@(d!Mf;XipF9VncuRBxd)$Pjx(eo52vVA7#F zyh3%L>b)wfhk;7tRA~EUXW)l9+apc$@UueZOqEjiLWHn* zJ&|m>%IC;q8s&f&p1T$6NiDGBq6~W^eePtul}@AhUY0~FH?3bA2_e%0SaRg$qbFB` zE3XK^x+wt80PuYR8Ufss1E3SYGXQdP0OX!PUtWC8(sEUv3pvc|0`(m*PHQL831CZd zWd+1k>MfIR2V%8Xv}ygsor8(@{G9;Y6kEZ7w8cmuu``d)%Gx7&Q z3KTLCdu3{VRQvmJ)C_M>cdL@QJ)|Ed;?-re3Ypu%@&naiwaoJ0%tJh!fe|iF#i77w z+Gn=ozC(TN^W4p}Pg8X}>qHg)1qTo2Hq*SisCQ}iqtX6-Y{1w6nLiWbh`fiQ8FDw` z_~I-KNJH*M9MLz3Zn^@=Muc@A$xyoy$HuEbb$Kc%k>wqP%*tp0`^H1JZ#)dTVkB2D z&VuJCi{wVcIhUGA<*7JseoD%w-+D2A@-anP-a{xK+l?f64^eGwZdHc;*o&J=S%e|( zAvU+QF1P=5fRXF}sRL_rGWd{BYh$XPE+5dc9Pan%rvr>>Owow~d^+P!!pSGUk;fF5 z|xRK-Z)X^}A z88`XqeK8zjCig}59}F;V=6Fw16#rm=aSKP8`?O&Wt&LkbCx7Z}%4s{J$NZ51<2HX5 zs$f8`$aa&2T6|=m_^iB+ z_6UC1aA!OPHI4?AbHtNj&XMxE3)-UC`CS-^nmecl=wxL4*82NR_YU&Az!6F znjxDR=BB`ng@$EGQ&iqq-hwAf zMkOZOr@G!Zre)BCG?m`bGZ74_Sk$>ZpTY($p7AHl*0f`L<~NpQ+yD`%uj!fJIF*Ym z0(Sc-+&n{E}mMVqsLsvK^fi zwxWz5AYr=GxF%x>RH&db#YZG?&np(3q*D1SZoXY^s`P_TB#@PTA7T-*NV32Cb${I zC7lg;;Yk>0a}1ubbR1}$!+09TXENJ!nd&zmb4O=voF{8wj;CWVoS%6P3g+@q@iMHR zZJ-}_aP(gZ+DQI!2S-1xnNfBuN39=saP;3I6VtC#8KLrulGSBF;rJz`8>NMzFq>dP zh$J5@TDDe*M%296!(^U{iLPZ|3_Q#3gM93JSQU-VrO0uA!idjm$L!-Nsg2J7MV#>&VS-^bTDO4r5w+d0&-Ws(_FVOkg- zHpG3Q~bo{wpZ|R>Y|MS5p4h5-Rf#QT_*kVw}Vw zBA48=p(uP_yfPBwcEC91r3Y@2$s+Y~QTB0|o+rfLWs$(q3$Z@~K^3QgiZfNVO@!LU zHRCwB$m@GTYu9K*_OoD-R|rgq8Aw%v%WX<#lw_*G)In7|ssV$W>CV~ZvaF_v4i?o3 zQGF1V6Ilgo*5!90`9YAB5jGyVtE^lB9Bq>9X*sWRujrfbn;CL~i`bJIHdB|N+f z+Cn!d`!pI}Vn!jp;FFreGmw5V3>V~$zK=TW!C7YBk5S&Lb9Pe`PE~T@>mOaRX9=bo z+=g>m9;dRb0?JGsSpP(0Rcnfb_5|tWFCxk|Bw>YC{`bvSH-&ak`vxTTaQH0QDIqGq5XxbI(`lnM`-U*wN3*9Taw$rd>u&} zJ6PcCh#;q~x~N9CekSV;c`$5jFUbEq?KkEx*Vms|NQD*&j1XNzHrN7_`QEDy=b$6? zC8(M%zWiA$u)e;Y-s1f{%iqrBo_Ufg|3O*)&;A4F$rEsWr`Cst_!qxDYZ;S1%~o{W z`)v4qUyMoITeMCD9Vz4Rv~c5ihED_uR40N2(TSi8aUw_|oe0VhCxR5xi6DjQM35kL zA}DFri6CKoA}Cqpi6BANi69}?i69}?i69|#A}BfNL{I@Z5mW$91SJbj1Qh`%f|5Wd zf{H>Xf&{4(L4vFkK|z2oix$1Qn=G1PL}z1PQiI1PMu=2oh#H z5hRpP1PQcG1QlYQ2qvTKCxRwVlJ>al%Aq&)iA$acN`OxU2|mV&Ad#sDRgnfKDK?AR+b>LBee(f;QAT5hR-ZM9@PnR>IPARcaS0POJ@ZBDKD`ZCzb+nEb=y z`H-oZ^Y$*Dzn##Ttr)>6IKLT9033H0sws{87c{nq3L+=>5ERgMvH(9TfJY(dF|en$ zq72}eG9HEK$Oi%HIfsyYfT$~Ow7}LDXl7DVhd{%nQ;u)rGeY?4NROwz2}IL;ZG@yN zB*k)*5P_qZx&MGzucND5mHPO}L=_$wx>x~C^_kTF`i?N1chlqc^HiYu+-@JuROOzE zE9j;H|KG=AZ_|X%w6yi6OG1dCT9K0-cGKaz3D9Yk^AV0}9;$R5~9}XuHAA2Nb&5VCMsblJfzBoewDd zE5McW0fqMhSI!3%ehbA*=K~7gLGjZ0fWq$vuAC1jd?#?}d_bYQfJ)~B3he``oDV2` zAK|F?J+fwwoPNSl@p~D6nQ+wnKE@9aE}ajk^aF%T=K~5q3|u)MQ1}teD-WCDe84!` z`M|mq*&=YNDNT7c=L0JB4zDrX`GAVJ+iM)d`GAVsX^*p<2RzLc*rj5v>1#P3P>K77 zMO*2g<@9hqkizGCbekcC&-Y${x=u0A_tFvZX)Cc5>$&gDzlRdqPwkQfSp1x~N>mCa zbh||DP6krg$-rMBCzW7@pQP<3f)uPMGc`(^=Ft~xXX8yz15N~>UvN8giKZrma9vFE zZ>csYr=gR0muXiF;XQRI?`alqdbq5pYGsg?H^G(o(M^xONc%aGj+l)vU#HLuWv;$6 zI_nx#wnbVhqEO}`>Gb6?N2kr@f#FuA6?&U%Jd%)-HC-N%2-p!tYI(ujMMXmYqU+b7 zc#iGz;Cc@P@fbT({?=WL6^bzE(qm_bqINP>H*78s_ys(JE#nQCGn-tBXc*FoK}CDL z^h2|mle?H~xjazK>`~;Tzk-XHKh2Vj`S&Fzjxfm9opgDiWcVdDDdJ-0&a^~e%3i|6 z(Wb_|)TL5qD}v?nKvBmVN4q?r7Q-XOz>D;iq=nZQ@~(y0*L=>+Cf_EF^W%Gzg^L{U)KN6n%r z3QGGJQw}Q%io2UBT@EUYa(Td|;m#l(EE``Fqp%tM!T)OSUEu4gs{HYD?@80srVY1G zQYdf>1tOO8QbH-vmZV8bQ__+)X-UiDCQWW0Hjis^(+5@>X;D#Vc(T5GSh z_S*aGbIv~blzC4IEO|iQz;R&71Hu&k2tV3=J;Gs@Q&4dgT6uk)6aB9G6l6h706{N^ z=H*@j;kV?->?II%@L|{#S^`01^TvgjK+ssU_L`h)AYlCCdbdGH>~X}`SvXWxE_4c( z*H_4*_ks*JnmH0Igzu@1~?NJTX@2!4-uwlvXV#tW&Bh~mv0lEn||wf43& zws+8nts|I#;|mG7pt$Qo$N4a3<7cVclohN`EAi*po;L!Th_bJ>Rfbh&B@SJ-S2iH9TdawC-SzgX3ES?q*IOA z@qA#6YD{9ep`Ai{7F|)B^v4<;8j-Upws3KGi?$un@L*Xe&Y|Xp7jyaYFT{nPNXOM^ z@kCtv;e8>#v^|xga`p$IMPwf75{b-yfOhBWgVO?MFagPhP79DgCB{03;8VO#iV4i) zx~jw%NjjTO>?NghzP6I=FP#R>{O_+!;?bA^YhfeHrn%jg7v^Q+B=a3wsOgw$4{w6 zcO^y{@8+5Dt-IiJ#83@M^S=6Pg83n|yjO|MxMX!zE#?K+)UDfyr9;0Mc@4v~Sj?nq%FVlzz8R6lg_Yn|!fx>xTiV!MrtslO`AD3KLSB*HTs;k1+>yvT^yK?!m z^;bBFyt`)3!(4m5deJ5C(=*G76$Dpc%}7iZ(PyXX@HHviEosIZhIxO@1W^#+!_3IA zasw~#?wQYn5&D6Ayhqc7Z`xL4k;>j`dNC{SGc$j$_{Q>8e$n@z^7hSKt+4TYl@;)u zdv_O~8st-RVUk9CwKs2w5yiPRQ{&{kdsw@9`L$_$OIW`kbuS}JVDns-aEkK|DAn`T zC7AlEY~Gh=(j5pg1l59-`pV3GN?$J@>8r1YKHAG?{+7>7%D-wG9xH0CYokw1J9!V! z`~ugT*wm&v8`Eug;MmFgDxWBVruax{Hy-kL^1e3nW7;0@GC9So$)ztQhskq!_sw)L zxi;QAGYZOFgihYqm2dO1eeaC>c0P(?fl~FlDiT3*OKJyRV~fnL1zx`H!FW8Of5sg^ zPXvt?Az<-iJ=IqlvgVQyvCVl1(Qk&3{B!Rf;}f>hn1H z)J3>^p5QZA@qX%0a3*gdahQ%iIJs?Msi{7m-S@R{BJa{KMRLL4@cvSi{VYVxPi!hkL!}`R_iM*SrZvzT-r)t|`d+?e9)_?`In&PDogHlR*jV0b% zQidaZFBm*%%{wi*j3f_T0!g^rv{j2*Muz$Y;SiS^RY9mLE=6&YtKUn;ci+%r`BPXs zFuK9*JeDC@`}-*IbCT7DXQ=eDEKXzxMe)YVfR&YGZy+Upq%nBCcq>iizK{HK-C~S7 z$U_I1aRPxHx%um0S=0b<7C8t{6DTKgPcen}kxxu>vQSJ;uQ&LyO3#STIty$tBKU9_ zamzZ*kJX=Y9{NUn>XT_ElW9*CTkAJQBj=EnCb(@(gZG{hzs@prUB@*X2)^}tKD~>2 zLg$*wTB8vKd0NSZAn`!i;cMOIg>x#DU}%;18cx>{$+B;G4s ziF~gD8`d!;9CbIVKM>ev}Q!kokSu|8V7Q8OXsNF zlt`p%8lk7o!SQ@>pEfO0;Pak-a^PTwQ4X1!q#{>>z}LQL8nj;wVY5h>=6i}?!2%L< zD)WGjr1I=MslGaUS}_>Ro{ZXb$73CK*Llb52R*@=4mRBr&cEoH@?7 zB6=R&{PyiWw*>OYaEAEo5+}?7&w^Z}>UORn)_jW#kY3+qu;k^kiBzrgjKnCa_3jca zv*6rGiUyG|kBb3SHBBR_;Q6OTE||71vOd4QU?YrQQUK6#=9fAb;ADb!Q5<6?U#X2M z)8T@^A*5YMJcuRnAf}}O1p4rc#xa|P1qjipsKdoUsZ?SSkvPsJ!GeUp)HiRI-uTkG zIN|b1C1p`#PoTR;>LxuNWNr|@j;JyrcH7Kgv1HiD!dcf zNZ(|4%l(K%s6GCk08!5TltptYMyLNDdG52>HPtVZ6~wN0q0u`2-1@ ziIk~E6U+w8>a3-a=j-Z>4>xiz+{p2av$6+xP@A8qJMV&U=RHX}@5w=z6-uvslJv^4 zV6QwS*eg#pQqg(qUYWXWzgM1N+%Pk2&y&TTMSew8|1pbMawmPtaPe?zI04NLhX=KH z>T9{vqSnsgLD6zX;4G{YH)nP5%n2giNvH(v7Y=z0tHhq;#h&l11qNDF*m5Qk!XkXwFi>y1HZt*P{_*o-wNQsH&Bz9u-60fXQU2>xVLix~i_+qzc5X zlf0u>IjyS_8LK!-4 z0-A~i5;C1VGY1znNH{A{40LmK<~!Tei%OppI0Wx=b<`o)q`tZn$QcyD6r`0OCIO8U zJ(P*Y>0wZQI5Uiw%-{tRSZGXS!hd489@!(JT&hl%_$;JrqtJ+mM$tK|9H@z;$2>pK z)CI;klj~@(LH$r5s8v$MurnOn7@+XbBv@0KMNr8Jt-%Z=&=!Jo6-cjS#gmL=v;(Q! z6lr`@g9Ddo!GVhmQdC2cxdmii%q;|C%NYCQfUyxnMS(+9Ie2-3v#01$->EwM=u#w< zZmf%uoOU-7^ic9RM&RTpbap|@jxyIHo|U*$4lub(nMpc8?w|@}KsreeASUZd7nP< zGE#~;AwDqr%IXcYMp~E|Y+f%rc6?J-H-ALp=&^oXH6JUpqOG@VZe`<17 zO|xP`bv{k1jyyZtoZ8&p*fl@XnV#R*+cQ7MG;MoVQ%7HO%JD@22ul%)6C`PVy0>`? zZc{Wl4)oV6ilds_+Pk_kC2cT*qi=U;80h9yi-u{*sZ4LPKIqLfL7!!z!uZ}b*_ z(|sY58FGJhqgUciIpX$a+{p*s-o@_Zmb|F@(!>_@7Z3Ce0RHlXRe+Cf-9P`DrI+G( z|AYY?Keu(&U*X_yCS-8%{jCSDb_+^gd1>gEz}=w=yt;MYqlY$nOWn^!>b)yBx-Z>0 zu<7sIL%TBW6Hdue+_;bCm*l$zHvx*ceXDMEC%%4V)rU6p^=;UyPPXK?yFYeXytAtM zUU>Sq-g&>=>wbDyCi%nE5--uycH5&5xm&zd?uyqNuluAsai4dlH{acS%$@oC{~dI{ zrMJeeQ(F#!U;{2`$0H=s3jfa@aBITu#Aze39%Var=-zD^9ULc1GK9 zzoH4RMB}~-F?vI3^ws0n=mbSPqOhYEFJfqQ-cfW1S_3X*qDNI1q`{xU&Yl zd7Ipi?b`32*0OhKlUrEtZa>oZ*zWW0aC;BJSMJG?s0-`=0wto(ckp|ho;X4EHZ6Vh zZce{HD_JObC7 zt3p(T0ja{YNMz}=k)_cH6d!xYZ_jMc1lkTg_!z}2t$!(N{6)GGJhX#HG1}<{G%6nK zAi@!3XMg$nw>u|NUw&xiro`Z*y&E?@wQ19ar5msA!`~*iZ@?`$_{xtPILeED0Wg5|m8qJu@Lt_m2$cv7PKx%!bsn`rIXpuHG zw4h;%Nm3YSsI+uy83B&_&-kd0JOB0T9<5r^>6R^a4?Fc2^tmUMxL@A2&-;P<4^BOx zgVD2Q52fRt9u7`Uw!RQce>j$OD26=V=|jEMSGF#_va0!VciSrN^WE5yO>WnIcS`+l z?{7Vi_>A^pnE@#Chi9Z@0i>2`cI#B*LjQGY2LButUoJ}1->(bFmxyu zqt2^<{>MZle~tweqsK$60V&5)S-cFDMl78asebI5{^r$fS8rUhW!ly$e|3+0YP~lz zdg%=JdavF+$&2@4nECtP_qhcFeIM;p`KcZSvDhPikJD<7`K|z2AN`c9kMB;4OjjLk znaUC8;Pi3wK0%|3|AHJ5e1u6SiLF?%s->5Gqxy3V4|_Qh%qn(Xm{Uf^bkL+C?&U3R zdA)nN7vJQ);_Y#dIo_(nXpNt8XO*~rz00fjezw=U3G$4)XIH&9_kC`kH`PnL;oaf>ljH4kCmwvvo#EZ&PC8ia&UxM4 zyszAyP~vX8>0-BVF;YsUd&-e7?si-1-T3oM+*ro_#;#-Ttmm)X>(0z9aVKQlt=`ln zmtV5_Hn+u_x^%A_TfD@blyNtBi?3{TPt7d3%{_?Ap`N}f_d}6`QM$IhOSS61*|=cgFl5*O&k9nX6lWf7PSsuipCT`|2yOC(_;{ z?&QU8Z@oL^VB045hE*%wg8KR1^{XHKnOD>aG0Xn_qfc+#wEKG$3)@N-{%-3JS3h_y zlJXMJ(gk3CCV$(N9dFNnQ6=>)#|XE;oA&e%v8nUVt6#TwpF4Hc-pl&-s@%N^kvg^u zxWIBFoIPYI5L_-!U!aD#iO~(H*>BB5ik`+w$2tgJxaHmIB|7`x}SHJhV-?wff zC+xsa^bq}QxUMhdZXI|-xdzwrCp|yyjeBCzDD0)5(0uSOXFDgfq5x_eNPEMkMrtnd zDv`S&a^HX6w#kj}bDNKR>H6Kf+cqRNyOZnP%)TXM6_K^SJ>ocXi8?5N5kEJaU!=o;|=w-ZTzIWeHGHi4?p03byv&Ht><0i##XsK z`+s`bk_+ZnKeMf;cXgYa+3&_~x_0vd_mov``?0^@y2&l@rnDY;9wsK| zT&XzHJ7dwZadc`@iXg@y->lQ^?Td$lyfBqc& z&u^W-1ix3dp2#`H5CrQXJdS%B^FN#ngCsx>yktBvO;8STs#G~>zGv{e?C zMJozDXP!QE8u!lDnl z<%RK^^U4d0_lzqqOzh6TqVO;#7^4#l6Tm%=lTU!^$@0S3Q_BjyXIJ9>h1x{V1JldY z@fjKoJrkRtreBYv!<-+^E_1=B2z8Q~vH6DYI@D`b!5ZQYdoZ2D(=F{x2SxW#y>`2> zSj)u1A|Ox;?}=pv-E{PLVXXWKG85C29waX<(>4M8aDJm#lVqlf?oiM+Tzv@t3g~58 zC{IyqdcqH}+#o)e+)|WFV1zC+8ed>OsNU`<1;@uHqGpBOAe^R}@5#_+T|?sl0st)#dWT#$9D$ z&DFyMT@{?w!TjVyuE>dM3;Mez+8A*76$RTuM;im~UtSPh6F5YpDGwbEZx@RDN6?1k zGLGiMX{#)3xIyem=lkPj3O`BxIu6-`@bbyIml!{SJ<`z)!x&^$!LWWKf7TN_pgilt*r+JhCUhyl~O(@s))G z5j5LHz@QNpBbCIFN{Wz5V$UL-d}mcb^gAP*#`1m?hoql;aw3oO7xepJv|@68&F=g? z<8IEo)m=65@$x6ipIr8AIkN0iq4u4tJ&`^7$H7kLlmWs+D(YAJo$#_~XAR@Ye}tFS zG-{deb4OT2MnVH_A#IED&)Pp&Me0^)HbpC`~zp42U~6lIB@EQPs9 zar4_(qM4i5ih>ooUGsU0KPo3HT+#|ww4pt3Ak220kXgUK40wr*{)1$%o5@~# zC|-7tL(`9TO)M-121YA_(PD@a@2M3qTrmt6hvAA~xLEm9+IZkK5C6npmTr97@K^P$ zVf&$}sBO6TC;TmVr^>&eVLrprlieNxy$tx(bECk)ZfR1E{7UC#F|r06(-yLu>WR2t zqU45+AB zY}vDcev;yfzE`8~kSVEG*JaJ?N4sszOq@kukE zT_f{Bmtut#vi((r&I&A@GRS|#U*1=|+wfO$g>0s}*_8#+72Me=50ZVToV*6MpEp!i$FIi}5~)w zR|Vvo2ebx;S&3gW<)t~)Hv-I(#QGf8@$o+q(5bGzs45+JbOY+PboW4zCREGn<@g9j z5)0*K+VOTU)auFfrIV=k7XR@8Hcw+Zom3y&O(ykizs>m4WtYPX?S;Nx5mo{puwpI5 z5csSWKIEJBZxpe5*`Lu6an(3Fxi=Yp4vLs8Y+*Dax~ztm;EZPKAuMpo~Mb7Q6DB-+e7<_fDq z-D%#oF4frE-Q_48RV`Refpw&FHFWTUE_YO@Rhh9mbDu+B8Ek9pYVJt&IN_yeBv`F> zknM$$-kx5*hTgm$iGt3CEZB<$G}M|CX<11li-1-~qP39FwD57k^qM+eBCG-ri!?Uj zIWN3!-i~+T)5=ej;+(9{zqT9Bw3e-YNt_g^3>?u48fwA1z*L|fF^<_UbYM&WU`ZHFB>sAnV*GU)Fb7;5G{(FpvS!6gKy z*?8KBPT+cMrqoVD4-fYLPPcUD#@*LiQzV0Jl$ zG-Wh2KUc|YqJAd2iT6}#fp;5ROyE5RS0wPw1{W8Y*4L&D{E7wsn877{I8W1&HzQFw z;5}K}WjN2^iUl5LaB+cYJrmkcwn$*l;9>&D49*ky3yf3BXg20oH2wz9p+ud;;9-U* z@%44YsS)rDM&Wy810OC^Y$h=KQ+OXZC8&FStrCMqw1z|h5gJtBUs;ievrfQcj2h=` zcP==0P!2^eP-g1`)H~7RA$H@peRtZr9^!M~@M+SXz$<)X)rtl^TsK}xxfml%|CCXRs2E=lvCw23(o zGa6xjrGmnoJe|#(aHfQY#3QtV6m4MrpA1g)1EfZbaE@kd$mebg z&Xty%DD&aj(P>nvln(1trM=?v@x5e*iTa!(3*i zZ>!UGiLY0UfRbS%&IVi923^ejO5G(gCmQVsvx^7M84eoS$cnV`-gVLuD;N&2d)4|n zC`XxH;P3kJzbzr~_YBVJLZ#dhra`p7JtzlKFo&~`GW~M_-U9#H;1UA=#^5X+q}(e^ zqjZo1DVReCF&-CFb`W?bpQMgP;JCqg0+$$ELSVVaLU!fT)^09ikXfyBj4&it*Dpm3 zi41e(jFVvK0^XA{0xvMQn7|7Su1H{-9HLDPsN~=}jU#In34Fc5#RP6JI8WeDFitU) zg8@TWB=BB?iwXQmgYyKwE69*xeuu*?TjQsf&a?jJb@nw7M6q08^V~ta?6gi;nUXcB*wtc0;YUat%$tGpt3nk zXGF12QX`;|zqcW~SS=%@(IEQ>C_V@~03`%(a%AjoCR+_STZ?t)Dw0Uo|d2bY6 zvX@w@WJJ`tU{K%{J}xmRun0zL5isMET`(x{4U7YsfZqv_2`oC(s8HaTA2JsV3M>^N zI)N(#bOIaa*9a&V;8ZbzKjarp3<|v0$6YWeutaLELF@Tk9jwf#Hq=zW3LmxhYYa+e z0F{8x8miwh=&}x=67VD+wN`4h(MQ#6Wl*L?P*CbKV5pe8zZ0LI!WgQaz~W~lNb#@8 zB{G3UFvtWP$yh_I%)Pv)%qH-C1{V|feuFC#__GEV7x;4qS1j-s3@#z?HyMYNbJUT8 zZv_Yie%#=S1%ATd;sQTua76;k)gk3SfsYzGPvHCb;!P>zJB0z!_%Xj=V(*sSfh#veAv)=0?*^lPbtIa{he594`X0Vddi^26(xT;>-hv6f&pB+^GPsz)Lk8yw+{unp3d%tjBS}GlyA3WOaN6LC1%9u=#RaCNW@rPy zB7yr1E+(*Cp@Gg5_(i5u3TigyS6u!%Mj=oH6ul8B0`6c|pt^xU;XXn*J>v6TE1=XB z!)^f$@3l5>$#=fuqW4F9-t_{C^U-|?C}JZ{y@28YPzh)>sJAt!^F=f8ChyPkZBip( zgOA!EjQ+-;9%4`~Q83&Q&=_+=wzwfii2l?Q{|n=O$@_?Nt;i7}xjO8ZS%H7yJq3%v z#|$neu#8jm;|Wk~j~e#wmj{)$mlQf`LLWj#?+z+zwZ_c|9bOkcvF4P*jd6(GBo;XXqq z;En*y%1rZN-iu(@xPFN>?`GY};4;J|5SSR-0 zXi#F?-3BFg6r&*pNu7VfIbI5@> zDo0Mt;n!+$h8Puotrl&hj)e17W1gzyK^+z~IRSB~;n_ z<16(J7$;c5#ly>%Q!@JsjkDFNV1$swoSx~oN4>;cFL8E{bLLq^gEH{A(U)UIi^!3i zhq;nxKNtT1?&D z49*jH7UPrv5l!Dope;vp711wh3UxKjpudZA@i_ro2z<7| z#RQgnJFsIyV3`*t+X`G`%KGfqcnSPhrd&+m2&X`@vkw~_y`--; z8PX8HZ2d*%AoGW<1eD@A4D%>czu=d$0``e45<6gj%M^d~nON2pIX`BST=`0_A*Y*~ zdjc;sxP-tL8=Pg0GCo%xpb>bP!Nmk#VQ@tPH!@D8AUW6^AQZUC;9>$d8=NQbhl32| z;3I~xNZ^keTuk6w4Q|-lu45YbUO>?Y$x74^jydwzR%T#sELh<%z$7vhP_fOpPx2nP zn85NN8*oJe-)`vQ0^ezH#RBK^SO^|C>d3)(MuK6nz@EXy1)gAVMFLMWxR}5(gYyKQ z#W*Ec4o)$I#RAKOHI#`9Ec2RFV}VaIWn%)LZg7@I#me`wRp3zprOs&01W55|iL ze379`2)xkXVgi>LoG0)v3@(vfn^k=75btwb>B&=)%YBk{0t)9GMi)Um+Ef9IYu4KvD=w%V&)PaW{}vTs_+#nTj~K_(DznUC5i93J*jH3Ay> za<#103;c2+)yNI331CwhR62o%UnH@9hEN~0UL)aemZ`{bEp+qRKBqw4h za61!`x#jO=k3<4@!T%BJn20qXG$9zUL0*b{D zjy9v3Y(}p!;@@~r5i0QS3@#?Hq$AKJ1eQ4{;6|K}k|_)-E3iyBP+5Ux8UZ*@;9EH_ zQQ6_@aI&BL)(I#q(V}INL^$PWuK9^~PV;}(u z>QbNMIsupZs2Tx{D(gg*4xegWwkkPB|#~h|7PQ_dn*n+y(wOKK7e&7K1;x{1EeNXF2lt z!i#*ICC(9g$^HFIccSRe;|98j_iK4Cci804T=rc#x#OL!?}_HOm-YE9@3ZedX3HN< z??0DbCU6dL{5{NjnZ3#8FI|M>aLGZ^75usM+2#MMk4swGJ5msG5&r-5w&S!${Es7r zM9Wo3#ECoZFtPm+l!)P9(KuL{B~2^{laGJbY3ylLvoc-~(}V*x!=h>E=nnkH@$Z-F zNoDlPafap|oNvItmIc6}d5YQiH#A?z5w$Nv^E5EDX&PFDh*%f-7&`Y4_@_5|sgw>< z8oqu*VW?zD2Ua|3-sU{*W2h93*XbQxT?#a6U6p_GX>=*a`GvvY8&s&4+Mpz?`Wu{+ z#~d}jq!~*fX;A5s%km|gd*yOU*DF%YCCsj5yJ0jAXgAV^--(6*P)XX*KoQWa#P8HF zO^>0WhT=xf2%VRMKLH>w)4v;`U#ekvqmgwSsF7&oer5sa+{lY_;fF929G%XrCn=h0 zZogr&c}zpG=tim`k|H3yUCcCO6*rQ=FQ=#g*$SqaqG-zaC_n(PjgyIL2P%sLL5Y#-*di7+FQN9lJ_O zBKd+mpnYKziOy?4t#$5f+>%OeZENmGrhB?ONpotI#7yfBa+ZkxHYu_rCU?jGejw^X(eFYnv_lGl)s5JXzov2Bk(0MrjoiJ zouK3B#CedkvNvjR)XsE^`xXeOhT)C8Oz%NxFOxOxjamW4zHS9!UlFy`o%$7BcUb_- zj@}bh_cF-R(K~$I?><}V{v@b#*ZuwPpzhN^Gg{s6_UrC{rwtghZHEnY-Q$QugAYO< zB0N%-?st;O?aj$Xtgs8D%Bxs#3YZ&oU#T%v`co*C_Dk6@b{}ZM8PaZF#4W6p^h7mW z+Kt2jg*RzC)bo*b-3ax*;Z52O%?#zPggym#SBeUWREZ%0{Ar z>4hyxa3jU|4aW!b*+lg+vZR#WGfnxTk!T=m?xszfDnX-Vh3!Y2N{FVsyu55A8ddko za^K6U?o`@rTA#{I4L}X2Gf`7}Z#+k0{wX+p0ii=1wf6`8n7`Xr8r0OoQol+bcO< zwY^afn*e&G9+vXPwJ5FI&YPgN#Zm7`eWva`m)e4Wq281FOx=490h1NaJnsD(&T#MP ze+UpLf4dhv`njd#YJ<{tG!50AYKKCBP1+9GhQ<_bBphEvDs86$Flm~ThWnjcabCBb z9DH~bw;eQe){W%ocU1gTRZygx*a+*4Qz|yjJzrwuKClb7L8779sDd)OFW5;aYa_om z06UuB>mWh<{a2v2^&y`=f`9E(iX^h8*`!bT9#A~GP^*BNFCuDMx`rEIpGIvlis&%# zLqg+^Ky)dx>U1NPA@On?$J)yliSOk!PL1Z}0nlhKlaIoiWXL^0YcCf83P(7Vpw|7& z1>(W|!+P*Z--Fwzn6;4yNuSX?SPnkggHM9mHZ6JZ75r-tt^^HfZ#MDZkAc!2418ab z$)v>{P~IV5nDPQ0k1zUBXgFUGKeFN0g<`|Qz>rtM8?}PPU^3c>C?7Q1K2>1`IFh>m z5wNa%;JcCm>Z6dQ>yEK;j=E#nN_>kFEe=CCz8dAL0AsNyz>j=jw&SrFMS`}NDKC)p z?{@-0cFH*h;2cHcpV6XsA#P6Uv1lvUoh)MfJz2iPxBL+w9|Wjx>I0J)kZxp7IB75; zMfhRBqeb{tz6X;ifxoP+0jOE1l4< zz6~j}g*Ry_=Yvk$C;YKZp{BWDZJ*pNh3NhOnmCj(vptjHnFnx2MYv)$8<@i(%sIf6 z;os1ZKNE0hxWyUo(0uDDiktjMi%S53!nyMpduIsem8{4Rk%l&Daip_uadSiG=|K96 zhXGTpxe=lX_vUitTLTF+d~+jkQ;v-y;hu7dgr_k zM)<&)JHDE_;l^;Z4b6$n^wzvkMHlpIXRj#(=5Q17ISy#788atB3%_dP-fioO+ zw7j_fkUWz~t1LsMK$hF5Jm2z8{9Xn}Xulgh1kxk?icMrcYS((6P0s2))0ETE$TU@( zNS{$?%Bd|5M?*3wHr|8FHl4BQp>CoMZxkCzgr4pz<#p#U4P}Y%!RhSN@JSqrFE)ct z`+`4innig9{Hc90dOKS=+-@3=@|Tx)=k-TwAS4KWpwKpMywyq)Q(B zhVManQX;64z8;)BOIr7Z5J}pHH)`E+{EybUi=d2-goU8SU)H9s3m`$a+##IJ-Ewb0 zQ5`MjYqP>C*_*>!$Zpw7-I%=QHzzH&Huff91LgAdYmu@in1QB8Grz5X(Dg+Hidn zzIDpJP&E6AW*SF=!aN0x?=L?Rv~-FDx_>%PQOeKQBEfEL(k~A|L;OM3iz33`%NXEQ zV05&U`sW0YXrcQqI$Gx9RCo+Pv=l8LyH29zIrx(94Td+0mjCdhr2@9GH_C}+NLEOj z(E0s#M*uuUR6qVliYU70Qigvx5qu5K*wxo>VWAFVVZ$C5W;+bN+Ca+QuhHf5W+kLOd9_xNBJ?Y>qWkxd*ovu z)B2o8==I{TvySI_Wuf4Y#52X2j_2(-eR`0@k+#zh0w@ceVcr}Y#eCy?B<8J`pG67&B`yxyiK1TcMw4|`mYFj0>~^}UYu7lwp{$J};br`f6baD~%woo&PiVPh~K0j3OR6lXsUwayfWe-Ev*dJw)^_e-gJnxZLKVUsxYrAM|? zsD(Beq0#7D$6-8lo(?1qp92k5Pv092`-12w4o|;H^3Mji&GswB;R?it&Oem(?2Y2^ zjfBMEPhq=oy{H7mVHwb)#o^hQ2hure21?lCC=TE6$Kgs_8DJ<5%iu2^hX;TmE0|5< zuoU{}IK<7;PR#w8j>z{Zt)E9)bpZ6m7Jy?(vyaTf8RnD6rIMOTPG1UB1W zTLNb_DETLi!_i`OG=EWB9s-l$+Op{Lvc!*LN%zMRzAUGtorWNDFW!&Fid5vdg1IOI ze}yom9nc_zSvVDkjN!Y$W0O8)NvEfDLb6K9A0f=b*U(r`g!Ef2>7|x*%C=$YLnlZ& zjUhvvFQO$dLYUI&mh|^n(q9>q-*2&`&$Fb{>vti277kd_UmKJ3J1yz-d~ZlrX{9Co z8B6+`W0L-+C4CZ7MObe%a?j4rjo2|Ngmh_@ANx$EcPBWOHnwDN`N&ll2 zb@4GtKVV7UX-Usm(q~(BFCLThXD#XUPExq;`z`6$ThbF_l1}5pkgSW|vZTLZN&kW+ zebJbtzhp^&&XWGDCH*E#dfAwyzhz0M&l-fRQ98+LaYroaE5{`LIZHaN4;z+#$f|qV zl3p_==|?T;hb-w2Thd!B>GflhKFP{;rIzLCJ&cew7QSXlZy1yGSFF0fYSsOiRrgO@ z(%Z%){Z&i)8d_Sxfp8mh|nG^zCDke#DZ#-;#d6C4GY>y?;#7 zU$&&bWJ!O{lKv4(`YmIUe!C^T(vnVdx)5i^{0k3SecZs9q(5Rwztxhy$C93~>VD^# zq(5v)-(yMNX-O}(qz{cr`W{RATub_FOZrWgV9BM(j6<) z&bBfwEvgxgx*u559~qPMyREv{S#__r>i&{t`NLz9K43{-Y)LP*r0=(+9~qPMm}U9J zmgQ+?J8bzsT9!XLCh3Q*y5DWp{dTMFm6r4u#w6Xd>ORk^JFR3AuKUj{=`W8-`V&^& z@3-oHw^jFQOZqEgl77sRe$0~ok|q6ZS1ZP*c z?$;n%hO4{Gs{J?Ct8}r%YStZ0svg(tqDqPI;b|_OF6|;h&s&B!t6s-7cTsOgo#-qY zmeP!}@{V8@My*`;W_&C&Cv}!|S`juRtMoZb`Y}vzGmXsK%o&UHxt8>umUNoA4(YS- zW$~AP578Tw^kPf;9!vU8OZw}U^w^lBS6b2!S<)Z2q~B^;zGzI+J1pswO#adH*rj=q zY|C%5qAorr>1~$uJWKjpR^3-x(u>C=J#IC-Lg*ILr+Ea?N52Fxl zU$vy4Zqw?2liwJ~fZ72E~me{MF zdW36OqqBeYXY9jur>9YetGit5{WsRDbP{Z*>jmd#ZBmQrGJYQ68a6Gl5usMqwb^~Jz4ZM|H&ddfUsgx6Rns;PwkOq;7Dw>h~=TWGUx5L z1w*5lJ?v#oa|Bj zSEj{r%HmWi&U}6j8W{uVQhx$QuHQB06l7wV`@);89ou?8&Gk!*CFML2IPwx0O-I#c-uV1`09?F42~2y-(q^mHe7EbDG6h4=w0 zV3JLGb&FU$SoZ^J7Qs)2zQwK21fC*cp4j9Q}s0CT9*>P5)l(twBk22G`l9j+1JJa3W=R(qV0#g*S z*oO(@^8w)eH89PgQu~1k>vIU0H$pUYAG-{twe-$ZXH$9y;zvEV6K7xi18C&QG#wki z0%i!M$dnpG&$%`O#0g+J8#@qX0OLWco&lONzZOY)p=foT@;mX+d@clyj8Zh_a$sc4 zrZF@Mrp-vd9vGSP)HFMQ3AbFj3n62EP4lP4~&d1G|fK&b1Y=BUjXwgPU@N} zJz%`JUoXPU049yS(Qqy>^}vuw&3Pd(_lNkb1*SMeLvPH>lY6>U2AJFZQjMGOG6qWM zy906N{2|a7S;-{cdrl@n6^zw8KoiF72j*6vGo5<|7#Xi>>HiE&1J2Nq#=NEYlm%Kr z0Um^G2wCGadNeX*=L>+@;iXn8pvjFu~@6gCo|WvvHhdx&!vFbz0w?A#BGjDK{g zTY;H`Ok(_X7ceF^@P?X7tm$}A`qx1tb7)bgwj-4yf68pL=KOuokUx!2Uj}9X7&;$y zT6$9{%CrsfAm?9!=B-df{SlbMp?Z0k7@ilRnaxwhrWOlB9k z&F7n-sRn3dy#&m);HP!&ZEx*rL>4~~D)n>FJmS|2#Ll09q0Q7QHVNyuglJ9&MrMSf z4wUI?>P$N?#Dn@Q0!?Me&Q}8SYDmM)!0ZfRwgD6N*9U=_9g4BrfXReP(VNP&nSSRn zU>*+9{0JC%-VZ0Ry#h>Li1Y7&xieJLLJYy;pw-rB=}9#<Nx zp4YP00CS5fr9JI9*8roRUlk9w1M`UQW$<)%1M{$N!4op#8A}ZO^+1(6Z^n=Fa-q%4x7>XwP$NPtP0r8EH&U1k2_v=nH7XtH0TsRY^ z5}0R0Ijn4hR)Q9xB6PQW9 zEEILV2+Yn9=22kkaK`ZY0WgO`H2(}tS%~KU0kb$n^A<3)k+tX_nHUilkBc>AWnjwT zT2{-p9;`lu2={4l$~hgS=J~COF!O++&3L)e!mI}-p+stIfFt7Tuw({WSh|d|l1EL36-N(?;IK0Q1#-4aYos)4?(wy4d*P3kXY3yoE^~zy; zS4+3Rjhi?3q_)aYM>}2>;zBJw^jrcT&?taGx+Gwb+2Xx%wN__)*Ve|4_U0Oh>r7=* zJu4f#nmbZG4%Qb)Hl@=^T5=0rcwqm7%xLaamDIlznNZ zt+6-R)RgJ&ajtIfS{*(oi}i0)OOFQ%$?93OiER%%|7&KGBGTLGo zsqg4gmxRrvvpH1NNA)^-QmyJ#=Z<7YQ+L-^ZG&WUstM^hneN6w7FI*&6*|^7=4L4- zuU)<}iS@0zdy;KzY&k>Hk}1J%gAK6|R;py-1*N46om6LEQom41kbccR+1k~YOl@yU zr8Di_T^7Hd#`fNntfvJpuJ29ttj6kE%X_GfS~;x4)CV-bcL#J&irzEV8sbo8y(BJA zyL)!PVcTo5{0e0CI>~gZ{lY~HlRbT1nfA^U$|1_Igl3OJic?ic@k=gok{c+(uuNuE zCjw?!BZd;m742QkHOrY-*5R@pYbc6E!7EZ3rd!e7Q{LQ+g)bnSwKpd#x}irFr{&vI zO->RtHOm9Ct9sXTcTu$(H+Q6}x+?m5dQx2(Md0&Ib@g>7+dGvdI@&k)H1_OB(lb9s za%(D+?CjlYRzh?_M_9Vy;!@N-+1#CM?daazi1`QDhRPcZHIQD1Ow|q(-H>X=SuAhU z2i6o70w+htqgZFUBh`s&fg?M#wYR0Qy`!%u+X%D^-$4t1n4FmWnAl-_+~K>10QHFX@rowy@L? zG&VQ;48jGuX=Ty(AOg3htRl&}>RP&r-Q0+&wcxT<=aW>-dqVEI^=s;?RxeN1)Gl9N zc~x@V^6IN9lGRnUbr@$R$;%WXoVluyXUiF@ukn-6e={`4@0L_gSE}Q}1<6L}sAH5I zqrW|(m?bOgu38u7%_BA~NKXN3cwMGrLp!o%W5@cgZDXaq+@8$bYyya`?`}Y-91gz9+4H&y{ehBAXWq+4Yl;xL&2)!Z)}3z@IiZ1uTrQN z0iNt`Ar+gpP=w-jR_%c9&B>O&E~!?sv8l7UqYJ#lC1}B$9z+27th4bwNT0oZn|oCS zl3Pr7Xj$zrqMvw_Y4oU;eX+JLg+wQ8+Oyas8#{Yj zk#W%}8WMfQqMf3zr;IO1S=G_P!_-G6JJJ=Ma2xt;In&+Ij1->E1kyLhB&VjjHoCB^ zLOV@m7**5L-Gn>{TwO;m&`7a;DW?v39D$ih@o9*_!-LsXNLD0!)2XKRmiDHA$Prq} z@->yPEW))K*{CnQ0=}XyV0l+YSxVg0mTF9g{Hhz42{^V8jf4Cakc%aI0+q<1v*CEe zI3tB!|AMgRi_%a=5VmKewsV-oKsBsbw@#S^{bO=7I!JBqipF#X`I>Vz z2+%jEejc4gYZqmA74UF)JK6=>pb9X=N^dus52XY2?9I-wIYpa>EW-nJh^Q*B<129O zBA%CiCrK0mg6>(OqmFu4_FWnyNp^tBmts{iAYWD`lNEO6Otz-fz!D2ZqVqv~HRsC3 z)GStaH}`d<^x&1!H-){Hf|CKqhIw$0-KcC&@uX(XlIZD`MYlFJbs%A&1JxGgsAyu& zx$3KpmfQK{5TyCkc8FsMDo6FHNXDh5M0QgkvUOTjpBZ8;Q6Vb{XtC(20z*@k+HzzB zj#^3)W;B(<`aC13S?4fus5d}vXZ2M6tV#8t)4&x%6QUR6G8!5yefZ)|AMm{j$%B$1 zyK39Iw;@UT!NZ|L_jQxu(5Jdo`NJoxxrOb~8VIJ53K3Jz@VcML(d^~&j;n?GKnr|< z4ad}ir0>b_z!jDF-Alz1lnL9Gfc zB|_H)O-RkB%P6RwuBt-)QU?Zxsh(s@M`NoVdSN`ar8lz$*DDa4m3q|tM|KlztLj1s zqxDj5t<~4`dSq-I;Ezhe14A;SVmIsnP4}g?+RC0hA)~9B6{n`Z!oai{ql1u*`DT(X zsi)#c8uX!2SpDnp7Ycd^#Jtr+dw9@Gx*OA&;g_@n2{r#xLb@LRLPCX!x@|?Z=4(K{ H%=`ZUgl_Zfct(cEE5KK5jpavsj2ZLyHMQI~NX&NCy zD@4eh;yMYU4yv4Ng(x;C1p#TQx=m1>raDedL>&@Um17&@A^40?Ku!~-AvsLK^}U(d zz1@pVP*kb^aL0Q0w{PB?H}Ci6&D_wkss+DaMqpTb8eB{ZmS0~GJWx1x6av9=_+h{u zP=kLPfnaB0u(K%O3MgX-na2EwyNqeZVtg)TOYwQ85ys~bTZzwejCy>Y#~Sdt+GrYk zi7jLsP6MzzSs9zl=CdWNj;&$MyUwi+KAld5Zm*)gIt#02FpUg`A7C4FdN~D=h5~wt z{^YX_yr(&cLwL=@Yaw1s@mksTCi>IV){i|dHo|BcG?)*5Xofs^0t(;-2*RsS2yQ3> z6^g+FQ^5-*;2YUD@%Kv6r5}wB{d;`m*htSv@5s=^t?=#Tk@2KIS(2QcteL1ya&>Z3 z@{#0@ziEg79XS~I$Obkpb~qdP`-2T~^itbZf>U~fEC z`?hOcLV*M*Bgf$J_@{_#CGLTB@sDk|7b@dph$9K5lG+cUzAJ+G9j{LKGPJ}M8y<&6 zaW#XJewY>4Y`6sU_%(Q2g+%&V0@UPefzg@tXJ{{31MyRPp|5gAA`J;wEdX?#(xFey zNdV%#0It2Koc7l0By>CHXq)^Uglh+zBpsV?Z#Y7^;Fu8-{z-&L5n{uFPI z@-*~4UX@5cWy(K>zF;s;{-8Y-db_5aoW7jftL6CN$4^~oHZes1`AYMf8pjHD2hq3- z<6BE|!YahiL%cRE#q2K~O~jjPtq(e>pG>ozv7T6uqyUUm1P`E&HOb*t+2 z70c?EH>|B|)K@e#>h~?HuivPzSry)}Y@@F0O5EMkA8;4^!`UIn1S>Jzr@1btD@+n4R#;`Bydx&(sVbW#3+r8IFm-_vm@_W|F@350! zmc?)Jlf@w$zXZuA&R|c!VBg|buy64z*thr<>|6Xg`<3j|h`!a@_6O+EPM^l5C2y2$;2eqE_}0Bs&yg-shPrq3-SG>~?`7_@h?SrlrMKTxbcyE% z=a+|$bk&p+S1bCdv0C8`%Z#Ot$Sy?#rR0@bVdb-slD19Pr^#3e7JOR%3Y!$5;3D_R z>8ft}atJD?j`?gXfv0sWa_3My|IHOeRYSL$^t5GCvx>@sx&jk?;|Fha=K^Y%@ z?kp1yP&6`d&(NhYA`v!6YI+I z-%o3W8D}eVtlTh{4jL~?Md7jd6$QZJSFmsC+H)Lr1^Z@RN%jT5C^bu|98ng(WXiU! z5SA@|$+9iZW@&M9B)1iE@t1KnH!Nluc9eh2D`9!EZH4g4(zTBlw-r2}TP=QNZtzlS z^4oZm{EFPT+4*}Z_jO+W`79gAJCOHShTlPFoS8k&YKrr#rqmP_Eo+tuezBC&I%6yU zjwQO0*Uri2?+g*i8DfpHHineXXx1D71;TTS7GNXUWuKr zWHq*%mlfHkWt^~8Wy+n2>vB_L!@B!7e$&G2IpEpt({etcWe-PfhuGZ>AT?g=sqr4l z*^<{LbY1F4xKI!0{)_Ko)z{Udp3B;1S|c(0)a~j|Xk;`Hq)uI+mQMz|haJ*x=76bw z+PIL+2w$DXuY=8L6Gax6rp9D?^L?`X+HTb2o}`tGr2h1%)oj&hV1L1r{k<0dc%D2 zG#h)vj>Xe^h))6XiaN@I=p@xzQ_vAG4L+(4-biG69x>Q{7R!LTEeUMKW)I9SMn zvTU2lkOk2V3Y{sH5EGau5;mqtdc!$e{Lk`yrbuD}4^!>I1g6k=Ixz5C1hmB&^I23i z8U&^HfTN6cJ637rC@alq2TWdZLQ_2KCPXKx5KRJaoX;2>dq-Iib;SxGea?bnk86@5 zx2-0WIZ5oxA3SCPiyseGK2HFpE}5c@hMWtgWwo1UyA9r82$;`yDdw{iI)1ZZ)|I|f zy57uKgwy{ty~>0bXZkHM3B<;f?KB5vK68k3kZPT8E7zqtNEHd8*oM#`zAoF56nhEE zf|!$;+$aWw_GC~Faj8{-cXCr^1y)-63qL6A!UX0OSXt0dzv%EFxp7`GICE;*~zzqv@okFYpCve)!Sc-z-JNH-viZZ+r1|u z%4-p2_O;g{uFoRy){@U7%Ki8`em=A-wk9~!{rz@4!)=FYrO(CO-))ZN1dU zE0nK<@?+tUFIE?F@49+dKxwIYr8QQBqOCCGUfMU2ypKHNTVu8VhS?0S8CEl#W*E)zX=8IDF0(i! z=3ew1D8^WBCjW3Od3p(=orbq_Dxv~C57BSHFsjBSjpXYDC zr+Rx^c-NKlr_=Eh5jef1`pbyYw)jNE-G1fh#k|mzllqL@hW7JtytUF*K3-pb{d78w z|GQFtt*sgxS%7Ptt3i!I)W~aSkHhikp7T(C^~NeHv_+4!9&9bY9xngbu_4+1}cG@qB$vRe5P~L2g!h zN@5%l7lnid`TKghIXl=|oi;Nz(9_mbS5cHZE-fj3L_|p703R<8_ij#hHWp^4?F{r= zH*eawVcps_YgVtKqobvzrJ)Gy(b271y=KkYbsIKr+Psy1+jb^q7B+Uy-P}C9dmL+KLkpi=yKX&va?4hFhHcv!nV5I5u(IuB z=iuD6n~Qr7&tBereEazi925`~IwUM|_=u>OxP+wCQR!nc$7SW@6%>_}RaDi~PiSar zY3u0f>7O((G%_|ZH9KW~+QQPx+Q!z--oeqy*~Qh(-NVz%+s7B<=N}Ll6dV#77LG(j zMnz+BcmgpdHZGo&keHO5lA4yDk(rg9lbe@cP*_xarlj<2S$Rce)w$}L+PeCN^NklS zHeG7I+|qibt-YhOtGnmwwd=ioH*Vg#-GArqz~IpEz564h4<0@m8=rXmWb*0M^t0zL zW?s&|nwwt$UHAs{;Ro1>pbvli{PpYS57>2|KYfH<_h#w!;==sgtJ#+`FP=Y}o_ad@ zNOXs;nq4J6l?ErnsoEAU`iRCp#-MBRwrOB{?ZEffOGX8$%@EaoFgn$Ot4nEHorI zC@{d^5990O?d9p=?&j*^?BwWRZ)a;`ZDnb3+WeH6sfn?Xp}|RgJzX7bElrIR>T0Sg z%1Vj~@^Z4rWsXT7m6DVY7ZW{lSVZ`ckf6Z91N{5>_VMoJ*~87Xdlx4M`%X4imL1GY zjN7+?Z)gkrh}MIz2z*4eG`~=NLiHNe45}xnMp4~G)r+bF)g@GQsLD|lqRK>-h>Cy; zK^1_?6O|(>OH{_FbWo|Hl0_wf>JTbERJ&2JprS{$4%II(gnm&r=$0CmVpL{K?}Q4C{!fXdHnU8&66E!x@g|tnBO@pzQ1{FcQE(NJ=Ed#Sn1O@cEzsKVNT8 z5AfN8uinAl-VVs#-T~$ekTN{IeK7t3K_Q{xAXShv1u+JS2!{}SJ~+_N$J5Qp-rB;< zNMHMeio$Vei6g=S{QG#gcX8}wW7)BTnHeUuogBNkc=jC-IxK!vR_TO}p_!F~n{UuB znxC{k=)SM|w)*RuFKa)q`?UV!h7TLxZ+f@+?UpxNm*`(JEN)xaKF>JE^on_Q$4izO z))#EgcRpjE=9uDqx@&Ux6RyYH6MM#a#`ZqqeYo!d-{}4k{`&{+9UK-I5*!p7ICNL| zj!6IE+edDR-W0nb-Y3y3d0pz-(W}xu$GT;@j(5s-$hFJ2DO^!(RccYbtkSG{Nv%o! z;)x3yjhg4R8no+m>U3-MYV@m5o-?R2tTd`HE;lJNJ!@8as>J-v>0*l_%R;LH>wKF$ z+g!UG`)r3S$4sXT=X94e*HpI@_hgSG&qS{TZ<0^EZyYApFUFr3KnTPK;exRt(VL>t5)mF578M#Df(^z6;R6W)ME@ASSWKL6ybsAc!7I@-$s^f4#Vyq}%_ZGA!zt4- z%OTr7$1c}4&nDlxz^c%)$fEf48S|1;rDkVM%S_6RD~u`)s|?Patk$p5tJSU3sn>4M zI{(vbBy!b7q%@jyry5;`ew`9&F?n7-}qs}$Mv7qeO~)z&DYi6 zR(+@YLHiR7eUSgbFrb0?A4Zt}VTAb~MwtI$g!vyvnEzpf`5#7@|6zprA4Zt}VTAb~ zMwtI$g!vyvnEzpf`5#7@|6zprA4Zt}VTAb~MwtI$g!vyvnEzpf`5#7@|6zprA4Zt} zVTAb~MwtI$g!vyvnEzpf`5(sc$k6CuTp+d-zMz>D8L9_A1MYT&Rmz7!-+T=QpcOC0FdQGxd{D$bQ!~Mc{g$4zN z58U5By6@rMu{{%9Pj)@!nBMuEb!Nvb)7~dBev|@3*|AU)r|FIM4iwRZlD$W-9qT#XCD)UGX* zUC?M!Z&qzlzM|MJ-znQIb5;7fRG-96vD-)PhzuMW61;bCg#Q8GBi?bI$J~>fu0NXLCbUNnS=$EDj0w^LBHx zvobd^)N{fm{q1>e4K-y2IT>jUE2qEQPdzUwE_zrPxL0vy4f5~&d7hsSm=oYl_zsJc ze}{TM^*q(GaO~wH|IVN1nVF!M*~Y-MlY{&_)cdLD>FKwE&wTSHdPXMH`cUqtp5Fu< z&<2VJLdJfMA_q1KA^r0=5earFq^)Ln(s6rC&V<7`kq=uU+yGQdAS@hQ8zMuMCIjWzU^XbcB20v!MM`0MM3rTLlZ z$B#ya?%cfI-QIesvA(9VtfVL}J0mSQA&!WTjtmb4Q?!qlhntI&gPo0)h50E{V?zUd zJsmAg;6y%OQEo2aMR##>asWHJlZ}m)m6e4uH8X+EWZb?T z^yfAP1_ofywgPPd+6=S_Y9kcrFwkWbq26sRRcn^DdZkwVDZ1YRYbNsY37RXoiUVsn zs=oiJZ!7h6SzoC7OcCm!KSF(gdJpvu=q=D2prxfHV6PV!7Z(;5=I7_;=3c#eMRr*; zGcR5|2Mdnrsi~)6&GFcfLW*Ue0^=rxvGk?(vsrB z{M_u!^wi`;QY^LKFy0=nU~y?_Zfc~jqp7AOFC!%;BFN9n&B4k@zi|x>lGk{BXk@6j zr6Man8bPA)v7~rnRFJo;le1?KA^l8sLw!|I3O3NkI{=$e-F1I@Zf^R1PhDc2#F!1H63#u_=XB^$pc$(g{JH&Q7l0K~cnbQY=0SL89Zc zDlYeezk0nf7qQil6xc5yp={*f6M`V2ff#Qe|4EIRKZK zo{>tzh5Gw=V**1FB*e$wNLd2>RY?uIWpn)>+JSTMe^Rj7@bCY>jsIr<-}!&c|NlaM zhW`lr9{MfhYw(w#&w-x;KKg&~dyjeN`_|`;_mbCZ&qa>~_j$KD*Hov!SKl;{Xx9}-Mcz>wEMMg zYu?hhdE$n8pIWc#b(L$%SCx7cyA`_RJHY~={dk+qm1C{aEk`d)HA`NSXcE6Dc0si9 z$oaz!BK5*`hiZjt1gizk9jrP~$zQR*oUd%(S>DpUB|K;L6mu7G749zBmCu>Sk;|U5 zGn*}oHIpS{M>=yFQz~Q1_T+6z42kp!TS;5uH^*&?-59fhxSp^MzZSO!yE=MR6kQ~3 z1PwyUt!(P*ADw*p`u%4BgnoSc{QmXq)T6<^jtf}d-}RM zI@&rqy7~r2rskHmj;@}*0bqV7#3dxBXXfM;0MrFlTvU*ko0X9YCtorj48Zt!x>Fa& z#z)j?vLk0B=DL z0s$8!TvSRxCItZ$0tkEwKm~YW3>E%?7QjXTJ`fM)Fz9)L12EnIkUHAiSX%-X24!wx zX=CT;;^E^Dd{Rt8YF7T4^6L5v5Zre6^z?LhwzV`hRF@TIB@q#ScUx0k6&cZkJnYP1 z!UM>QcGcQV+gP~|iYw|`dLjvVl}(*DhaNnhdNDf(0pZdTI#GjZ`tML6l>|~jAj$!$ z7!aj^CxC3A^Vc4KS=0r5W37k z(e_g*=)bD{>L~bJ=AOT?=O5G)Vo$(5DJByjAP9k2*dPVk%O@ZrE~BhvWa)xI@TAn7 zqO$6Srq+(0-kbe*hwj~{z~k}p38-Q0Q(9J0Rb5-x07?vKg`uszy`!UZr2z15 zZ*Ob6a;3Gk1%UtNOA!6QQ4irih5rA>|Njg8|91g^QUQR80DcLu2%xG0R$oeriV6zy z@^W$jg&$ujSy?$bd9*$Q$}?KzsmH|3+|t_4!P(Wr8w2V;aQ?spQk#&N3_xvGc5WW1 zxvVU?fSOBPeSLjHL&NzM0*4LYEP(x>$u-qrv{zMvR+pE-vIppNSonaI4|3ik_dMm( zN2?!b`2)>=XaNMRfKW;xTD_I!C{T^Ev9)&qSlQi^Jbea%`IB6LqE?{Dvk1$7{AFE39|55NN5TwPsUoSmJVoE*t;9MW-HTU#3-YbdKfL_P^F zf_qSk?&t(+Z=m=Ft8e7;8@2w17T~DUH=Mfhcmjb4`Fd<@TwGjyd_0LnN=Qh6HJc=; zWQr)0^olKdo_r5zEo?7nFlcf-Xf$YbEadf|;RHNrI`9Xu@lnub0RI5Z1uz!i6@YgT z6bO6*FbbfafPrs<>{-0w>j3Wqz7Z&QiroGbdY*g_yc;|O9wj>-XnDx>iGaYsprGL3 zkPz@Ep@UJ>(KXdqS5-6M5|NS=6W~&i*VHuS(o$D4mIpyaRftPbM~I6HoaG|lc9Kh9 z`J}SGk+PDuyqJ`ru!)JFp%@nzSU_!|W!Oh+JT5qVXhLwDcbIR2x2%vx;6)+LUYTLe z;oTFQ;E-eFs7&UbajjvU39WJEVbuv`a8eW8qa-sdGb}qH0}hXkn8{=+jN1=8PS}s1 z9=1GJNMko~8eA|R36{yU8OIG1CUE0n!w9&5od^RLLPv6BGNZ=N4wp}y9nT*w1Q$vt z^1+3?krtWUD3y^tV+uxNHb$bWEzeD=82zxKNtk?)L8GR|P>YYFTg1;_omN=5TK3>q zx$=BzG!5-SDIaqNO$2Qw&E9qR)tNNmk52$T1GWj1^YAfYJVR~TA{|3*CC%1-YdxOYGGiS~hXO$MsD}Xb#L@X?4c>XVQ{#WGkiRbm_-_t<1w3ubC+9O^*ero4&=F%KL zYlzx;{OrWzXH6Ge`r~J_gXcYyqiwq5rz}@Icb0ip&-FYT<(gxEG|;)g#X3t|k;i4% zhsV2J0)DR_Kj(S@Kf%5w;L_Ni3%K&_&j+Oc2@KsGkUj_ueLEn%5*XSLxa?_(^IVx~ z)Oc>23peC73QG83Z+hhC-qzmMo%UWCL}i!dxa`>&>6sGs1#7GO$Zv2mxzRR6y5z4t zDO7jqwT`sR+7E=0!9`+(gK=-Q^J1XUX7H@Pe#rjql&51r42OboK=% zU7Dx0_XS+cl8CrSaR}m0YSc)9OK1OO!2V>w6>A?TOShf%N__!mrd;A2E${l+T|xB3 zXlIHX6hy6O$#h~Pra4DIHj9hdN66CPcCXa!_Z+K`_MJ5g3q7w@IE|2@NavL@Wp6EO z6l1*7Xzu%{NS9%kFZs2TY$k6tnjDrZ30l+iAf~&x(lWuD_H!gr2(vc3cT_TT?Eswjx67BrP zKhbmlbX8;G0_R|4<>pJZx=HrIvdG`TTCqfm^qJq|M52A>c1g;Az@YVHm3SrNC28sd1_6GHg{Pdp{c6g$xAPR|Ajlotm4H&W50cZECDSP( zX68=2BzvU?E*Y3}2pH+4|Gs^ycR90+Q`ilXCpC|Rz|!Zpr*JUh;{4Vx$^N}!yku=R zWPx%}o2N0b<<1$PFvIyd-o?%iP@nAdL2O7Hc}Z$llAe%0|uof`tjAG_@Ag^ZVaTf8lwM_%rn6>tjjM7tonw8v$1BIgj(dYHPD6P^bn zJYPk4?u|ra4@TwbT~X))(ELU526RpijnxrlMHhwc>*WCY9EmOB-6KKRx`5MYLp$gT zSc1oh*@2dG;3hpo6omaYZM7v&+7f6K;TgN%Ho6+ob++Ld&z-jpeeS%pDUc(*5Xf<| zo{7@s!2Y}_>QAl&9;@DIXFbkep+oww2>Y)BK~t0S*+BE-$C>@vfZMq#FzJGQQ@|x6 ziQ+$0;-$^RRKuATNzW-vZ`^OoQ|k!^ z9|Da>ycn^(7_v&dOPTi`!Mi}?ovS^JrhmY!W8M_g*`4-3pmg?f(EkDG?tcclH{kY4 z?1I<9E>V{(c&jzuYOQBq6e?Q0%p018Twf?8>OR1G=?|Uq$&(pZ{_DB477Rbnwhu(m}go^;?)62Y4eXQqXOn`)7 z7aYNzkkYd&#`9s!xkg)@S6UhEIUnoEjO!8HcsF^Pj62=+(FR|H?-$XVqALn!iNzHa zjd>#-f`zeRqfX4)U1$`oN-znk6_4diW2b3=RjMiJn$kB~C4^C>E#8 zsj4b3V^!raUdyUV%jOib?@24ZxwMKY`hfLfh?ZW!Kz`>X)N_s3YNe9$Y>dG_tLdye za2SK&m8qu72hO>f$W}4E@S$*2$P&&9ePpD0rA3!eFG?kLO6bGjXpO0PTfE?w7}B-j z;LdJ}lzh4{M(`Pzw z9c1xQJw|QjRr&ZSfEYl3kfrB4h{?4<1}vArk()?=nZCQ5^g<##?K!oMbZ7ur5JhU2tVV!~8s~Wj%cY!a8<}>6k3)*%{S6%UuIw z&c5^1^y*_luLSve#}?~N!7%FGD~PgX;P;C_0=S_cjrSfc$u?I&QJ*7O@6ihe=8bBe zoE4)a1Skapd7~P|b~MTR;|4Glv5a}A3N>%r2Jd{#8Yf=Xix<56bvFIO-o5&HoC>Ps zCl8Z!8{<&?IjN2b~ zFz!fPMR}-!IEq9z9=|;NCg7KyMXzkUL{Vha6Eqo7d#J{WdemK8mpjx55KaHkLZ+7~ z#-br?PI@_+@9|<)CJz z*8SmXQ*hPTw+5v4_@NEwT_*d>=czNYzl+glY@5mc>UnNkQO=+SEotEQBd8y(kN0LY zoTs*7xUkdy%6ZTBc*3arh%o|o#3O&ldYIm^jsCTMCU;9p$CdW+!#jEE_LQ=pmr~&9sHg;GRecQ3qbgtta(@%UKnyP&*rj@?)CdMj@XBHP$iABYg=n_$q#g$boTSV+s zv8c45q^!KMs;tH{}Y&J?9D*@%@GiDSQ&n_)1VY%Y0g2HK~ zWyK&+HD@++h=tP%%F2poDs;z-vnz^`!t%tDiqax5s3c-+c6nuKRcU#dSU9Jmvb;i^ zf+dCWxkPY!`FxQCm?6%YEf%0|6_w8``))5SP6ZAZTsmS##gXPD4SfyOhiZa-+j+H) zglbIxy5ih+z7Zn?YRZvo>d9ABPrl4}?L04ulNyv48T(3=yD}BUjJk&|gc_acvbR># zcxj_q)VqyZi%^avH-`FG&ZD4tx$ie*C87eVLxX3588t7*(n8|7F@fH8-W@a_HIGah zSbM1TkoVAuL!Lv25B>SjoL;?SdqRv-HDp&uT)`_S!&<{X-JsQA#N zL%A&-ixR%)4j?Rma|hvmGBKw0C@#(9zMB5aYX)5bKLi zjPpr}M&E?Qc;ECylW$?7*|#z=!M8p!(YHA<$+s;r*|#@wfX|&c(D!+w#dkI_TsaDq z!biOjvFsD733gHBW8*k6R3(av3#z7}O7Kz=3o8oHSBR(-RCsELdOC+FRu)zi7ng~Z zGfRt#+4vBFO0l@C1hohC1HR%}DEn#URcr#_r)w2R;mokCLw3du9`1SE1y{`R#g;~RZcCgVA&A5YFhEEVsTdS%<`i7EE`f+ z&Z(GNIu~_mYC+l5f{J364bf*7RD%9Lq#zF!#kU}1ESto?mMO$nh)*e~tYq0Fe_=Tc zj(O9{%V(o4LH4=D(@F~=5myq|)M zOS`2nd3N8xguWD8-{6Ek>6X5sxAcwN+&AXVzOhUDvTORr-_qy2rEf}7U&+M2X|wxc zlKNs3`b^P%QAz4Q$(O`C@_*eDJ?|y|)5dt{Vp4a~yUE(xPgZ_%-zW3$@QqC_^pzwR z`{pK>`0h?F^*xw8!&jF)%lFIVa^LgGH~C&quJr9r{x$l#XVKSf>EG8Cpy+0oS5%28 zYF>j2r_HG<7R79?<4UFm?P_pld07d1MX{t{Rxv|`te~z&2~ER-C(8Qmm#(6EROHY4^N1 zKytxXN&Vi z14sE*49xVc892uG%YkEkFAmK1y)kf{?+*iWeftN#>vIoetbZA0p$UoOvM_KJGB^l0 zlmHwACMW?o2xOxL6CAk|%vFPMDVV1O$59{$Yvg6<65++*2yZTV9o$OyYNL-H zZdO*7IJ>HnaSw8kjR+-1@gd3{j#7Mx8W)aIe2B^kM=3r;5zVXAIlu8?hKdhRoKHWN zq2dYQa*d<7Lg9a^UOw7O4JqisxJ}vLa4<$-l*jlUG-Ul34ecSjz3DJ2I$yf zYiy=aARFD1VoKS=zHwn+PS}?l_QAYXtYg*k@k&!uQC)W0-#CBOCCEdCH6}9 z4CuObjM)|ABPF9eIZd23s|B?ciKLRzQ5mW^P& zt=?9(ZxNUlok@#A&fAKfMcQ*dO+eS;ZQ(QAUhBcPQlTt2CVnyR4f^R|#xya1;ckA6 zm%F-{Z@3#7bCJ8I7~NpNX>>6$+>MMGz}@^95w0Ng#Y`Lg)>)?2#XLXww}bnvK0c@` z<4raj)<0-x!+n*-Fi0@fQWq*l__9??i;eWzLtdMY7Bdh7E4UaVei5_zDvHsyz-}1j zQ!S$m9}H^D*~b< zMF^KL{#c^C(v@_J7IF9oLObo-(HO97I+>#$QPu})gHc(!oP*~ehFmN} zTQUhkW3yAt7>c3D0Tj|$bCtA63Tc4{6*;$`59+dX6TuK(gMqK*3tS-wFAT=i1RcLJ z39T`*NjCkUNj70n>f7b1jbd!gBwOT4Y#O*ki#GLxtZHkbsnx;EKq%9Br|Qv$4qlmh zN5`JDyL<=J)^{9Dd$i-f)0X(YOxpne6Y&4QC#3(b!;-!Ozqjz)iQg{#-p23u_~rOs zN&ks&YI==tM*73Ph3Sv@s?%5bewx18_k21{Dljz^%V*P!55^XmwV@V3fl8XrG0YE& zN->u7OGdw^L~;PKiz{JF!KCLlGWsB@{FuTPppvR%h3Znx{3lU-q@7b)ETY2qpKLN} z8`O(^XMV~EHkT^|&Y1~&eI{DvOm<=oZDdcJuTC4&WAxQ%jXm+cu4!E3wi3g_z+q$&>s5PfoA4>$hD5(T zM);t^T(AK3Oc>=ePnO7&=`&YyPfv&WUhb*!nOBkrGvwhicK9H}DA{m{a>*BUk#oLB zlkC|)G|L32k+SC&E1*Wo2YGgQs@@4nkC@yPc>kQ}#am|QO*Fi z^R(kpZ~<(Jpcby|R|QccuS^YByl@plk=hohn8opjC9|B{C0QM! zlmJhL)e$Qt!ZXh5&`3$}Ohl5W#0D}4Oz*{Y>8yNB6(S6h?W1K+h0Cq@&-nquYn<9J zem?>gZIm3A2+7h2-_V@_vuBuR%{=pI{_f%LnBf$Y&fhuwJ&nH?(Az8dFJfx6o38-U z*yhkyc-|ZaHeQE@ahBIG$>26ALzDN2djFZqK$>Bh9kJP0GAfDS$ z_YVy>$~h8E6Rr0%a5SBAmy|2(Mg^g@A{dv`7`kpOKZVv`XrY6l$zdcw|1ZujpM%vx zS|?%H(!uf|mR-cMIkTpqm6lIsVZ2J96qOe8l_TD7^MxS31~3)t^K4oC6~f)X)>1iV&0)db_z)TJV(24J~i!9ZE)sVXR&p~PPu z$N&}^x5R=X42bAtutr3n*Nk@xx$hb6{_})>75{&)^TG%m3mKvI+b6gu%V++Id$7NE zfqNW2bKFRR$@7_ql85SnYm>o*O|WXK)pXf?%^@iPVZa6>bW#0&$8 zhKBD})+VZ=9qunQZILwin3~|rC!da9kxl#lq|%|z8948R85b(Rr2x(+nfrWLCHlCy z#EmmhjkZbG#y1)C(uFRZp}-crK_flat$4Bj96DyA$40b8OM0GO=JvdGqvyd=t_S|rz=iX6n70rjVNuC8R!vED|&*d9EKg#yJKHlTC zdv;9tXB5Zeszld0Rl14!o)!6?v-zH<@;#*mo^1uhY33Bq6H`5ZEOd9ysFiQ7XYqKI7JEz#YWs4!Irw;C_wus*^8TuHKBbJyy zHDKw@2zVtFfzYhZ8FebrdX;EBC%Pdp^ydN4O9s868vPE+NjtOQZNY#AO!Q5Q6w z6e$A;Bvh@9LGd zc6+p$&PV(>%XGfWGd$Cf=aoiv!{hvA7fxFtdJBaNNnT0l?v*^-I1cEBnn%cv^h`RW zm=*7cQalEU(TNQ=&J8vmnN(s=k)hx|7#W^Pllck466cdR6H{;)T7NPiM0c2j+$zR_ zy@dK*X-&xVl zHF8^Bk6>RTXOt2kA_3_SLn1OtgxA%dS=S3$kZ*IW;hwDI-rFI$3tbpf&MU zm60P4&6C~c3tiHoZm;x`+$+5%Q}apPD;j8-oUc_hiV>cUscq>Wh?B6QF6w$6Oh`<$ zDo24%P|G?}Vt%e$y2tO8Hi5*iMwOpS?HOc#sZYi)`5bx^(1!EVyFr+jQ)0`H+>se|g3?&fiX>*8lm z22g)u#v;xi^J4y#71>+M_T_2*{8_k1`Ml9>{-Z4Y76&kob5+)&H%-K2nRd8!Qgtvj zPcMDE)RGe%0MF5-mds!RJfAGJ3=PJ?^Vw2MN-z?hzb&1ndI}>?<7h1Yl37uqR~K02Q_ou!{k^RDpe!!=41}Nfq{_ z>>8-Tz602M0J~g)-3Hj<&QAgRsVojAc|XO0dlmLwz}^ek2RUp&IY5kNbyh}SfOCY^ z{>@ntV<$>y<>nxrho--B^bJU=xti1yN-Aj{sr)UJ-uPk4{FK^q=UEx+yJ^8X(cp?) zTW(1THi?ld$4*3(x4=CaNxpOLlSvDuJ#xwlH=RgsB8#FI1#8~xm&#;Ao)I4|kp2hR z%Vc{wj??qe--@b}ez=u)Tr*chVecTi5ry1_Na+ccC?6f1omhW-GY2QHJLRC>^%I;!eHi+2ZskO( z{ebp7ny)|NY#=fS|ANA9b(lk+^o$(tjcyG0uIN}s^8OB8O&afAdFMmDE4m}4@uGv$ zN-W%XP4AF3XVz#||0z$`wCayXdF=`ruQWDDr)2XUnRM~-Yq|O(*2GYSA$@!|3Of02 z6!h}lDCh=J?%XEhK#ZH=t_|Iqa}}%!KF|x67&NjrIn~osj;2q$X%W75C(f z&r0FnIv9+D$%gWn3tY+e|CWs=gv@`Dr7uwJek&UjN!6}6!b=5SDhw?&l16j~aapNE zCE1Y-Q-`%mzv}WlU)FNim;?d`5+QZ0>6ls{w#w3rAV=oLe?+RJA1N{rdX=66CXUjr z;q2@@bYhQXXDae~GY6IS=h z#@-gPE2OXGT&2E2Auq|B#f;7wi_{t4kJK68kNAx5e3v?X9D2UXqKm>CwNH9kLAg^! z`LT-fV~%n$jB=5qTq6=OBWXeNvZnz%Q2yQAo()Bx%h(fz@8=hdJ}MZQf%zKtVq z3A5Y6*=^}Y2Pr+Jpv+ZKZdXxm=P1vIQJ&{0&v*CZa?(1jP4*36NqW(42sY`2h^q2dGBH9emw27%|!y%p|VC%FJp_lrhmz(_B)bc z=rN_!aIW;z;Y+l*R-{-#x+T;U@ukS~Zs&i4LeeDkPPQ1&lQ&`#>)CcAmZ&VLFtO-hv}?%l=N+u+3%69aEEs=OTE8q9 zbyV80ET}yy9a-u&=xP&Se_nED+#qM-+ly6un$Q^r^)|yqe3D@q3trgxMc|iRmZ9kN zgJND>FyEDqv2wD#Rkj#ms0E|ZH$|U}w0|ZmA8@#xWAJgJQ{GjAT;Vl?UMciSrB^1s z(&;72yX>FKZs%)qiSxGyqjLz==FD`{8e`T3MtP}_}BE>La$Bq zdJ)N6W#`j!iE}fBpQYDx^m>Y3zd>>XGC?N7dSqcErJONF~D4JI6Di(jC+&-kLdXz_-qEqmZ$91Uh1VhC_B-Ku)ln0S@Um zd0x25v+pLNZ`0v}yh7#6fT4&$D9#ucT8h;e^Uy9VRz6w89B~LYo-qGR;*&+pNMnL= zpV1UiiwcYR4w?on?xb_h>9UyYd8*PAGlx(AvD_ibl4Y4nZrCzQDkmo{vzR%#!OJX> zL=GVADy^BM!AbU+D9JG(OXh&I3&vd&7LXAWOtVGgp>2+l6$NotSV3_(D9%<2iTK1C zGAa{m2(3)4kqu>Hjf~O6Iu_GmPsU`z&4LO)BTwDcM(A3N1x`*F+9}7X&f7M#Gg+P( zbfLXD%k9ZBRE4jA*UQ*pY?q~08OGRaA6V?C6pzW?67qbhcw+6RL!L9po%j#A6EWcu zWibLJC&`u#N>&We&%unzP@O><%zX*)iQEU}4I>Y&BcbSBauWm&ttGi>;TEw4a>is? z)H*J>gVAv6+(CU4a;&tIc)9Tl!8X{Lfc8Q&mWYz(66XMb*I9&(!MZFB){qCtCC+wv zV_q~(*-Biob(Yw%6F<HoJ4b4u3N(Xtvb`R;`y5E=Q*#)jSt&&CnMW%*ryg&T%9aUd%%3}0~Uj{;Q{j- z4>XTNqlgMm=1;Ulh3E1-Q=*lYOux|BBAsR>SN6*?PVVUKS7gfwC;XAN)KHmCNoLpXnp_EC1%U|8+2E!1)dTGTdnY2KI&S z0(5I&MpnB5>ljwk1us@V*(I1g#WP*(9h)v8(R3xQ>ue#8WdBs-GheK z`V91nrk62Tg~4x*80oEz>%nyjab^<^54!on6cz@()w+|2jr3N>@?|VKGTk%*6*-dT(_UFr8n?CzA#aq^kZ$IpyHFB2MIFERn&)j^m#MB`pDJ2y7Kv1SG=7 zT!`gK<7Wyu15nVK1shQiIA+j)^rTKf4qDS{^E7F7s07%65(ax8Qc0X3%41ZES|CbbMF7vZNb2Q;iU zc!d)9X9O&0q6B=h2_V|^I1Ky28+E{R!0miA5Rs+%Ci8Gf=fXD*;7$@ZKKnm@Dk$XP zaJC?$_gZvGnsd8l5I()WB(>b`xdGdc_!L}Nz z)&7aW=)8EW$&gEi-zqZvR@1A;Z$Iz%R84Ob_2}qv6NFVdg0HIW-}VA2iix#nIXry%@@VCLZ=U;5^TLIcP z%F>!kjy`DvG5Tc}(gTXF58sZj(4@ha_?-$ZUTLD@JEtzRg8z@{zK1(68FaYs!6je= z*QLP=o9@WUC|TLsh4~0;(mq6nVXO@6%2qLLR@TbcJCl|Q*hgM{{IOO19tNpIyK2{x zMfl|g#i=PfZy?`CJ6d4y~45CrAL&oWXmtZ?2nl9sT!6wDW@14Ni5w1vdntUL3 zO@g_`Z`a_1A%=2(QqVq3rh7gHOh>s%j{Bj+XS=ANq`%#la>D#{7b;cfu47njtY0YU z?oTm4*(KM__{*JQhxzh-CV%8S8MhLN&I*Q!t=bTd_P>-C`LgC3ib( zI8;r4sFwavp;@fewAC|wI<~H9T*d%yz}l$BB_=pn2mB}B9-v>}eo?xq@Cd4p~6 zNw#?GFKJwnC9Xk@)wu2DGP*6=7`L29A>K+i#JE_a=gf43Zo3-db2B3g8uIif_2n*o ziJCZqKvy;%DNs>IC^TW*D3_PeL~gLmuSFs6AUPzG7)KJ~bAoTM-v5mH*NyON7chC4 zh&}+T)wmb}yq*jY%n@wde==e2oGObYV}H5 zBEaXMeRiYlN(gT<_sgh~MyGQ(RTv^^pZ+q<%GzfcEIc4Y_{^p<+Up9*G zN4i(azaKOk#et}eK>vd^4D zku4acQw(}@pWk!S94R@J4=8+?Y@9}V%IAWh7c?(s&ddJBLm$sFM>FRY#V0UlFZZq5 zV{^0~kTwP!LJdv?tPI+-A|0AwN|wfryO+MM5n8bvb!Y3)a#ad{b+gAIj7W)^i_TRUV4L_^2vJyuG)$b06;fq9k?}1})g>!K3i+@fkvJi~&xv9jg z^e{7Q&4CYN=U3QBTzvZ1!6NDcwA3o9BYL1ZA^DJ@b+l?&sv9)OY(RtdF(Xk1cgG}H0 za5q6@PA!eU_!ME8AD2M&;yM(L6m7=cCy@v*r+tHTgP|554&@D`4UItRq=Z8$<;EDA z?|kFW!C9PMT5&&#oyvvkn5^wdF{_lc=H-4ti(cK0>zmBDgoEjtOK`}Bor#*%HNBkH zJZN#rd81rThnZ%sz(AggwUk)p3{fq%6onPk*Cz7IK2Ega99QRz^D-u`!l$$@N{70d z2R9E{J*Q1xHZ(`Rp8`ChO*@Y+G^#7dp@gvuag$MfmSLf*ZhQG7__VU5O&B(PoE0hY+pq$ol{Wk^1?M9k!(LGoKip9hN_Z9t z7m%>EnfCbZcNck?34LM9v8<@?8`3^hPRALu~L(oS(yD-Xxi9 zKpanSut(f{Jwy8WGQ=0s&{`x*S13?SfvGqL#3D10&^hC=0G%fcM&v~*^~aL33W1o; zDW2q;s6JUDa5_qlz<>>%l`zo0@5GduMP(GrnVFq4HUv-&74RoIE9>N;-*;>Z-HEcE z)7m@{^|Rk^b=%~Vx)!%|;H>!xe%%|tA&o8vS?e>;TOj;EIhSTImoE?=A^<-4Nq2M{ zK8AoBW*{AF|61+u8!(hiaUT{$mI_PdfIBF{USZXV)KY?HvpPojR!{6Xwan6D5RAfr zEXx3c25+N5i+7|!kGF<@+g!`uw&4roTDGp*g8sp4eHfh%D$G2h5}{J5K>-WproW_-L#MfHFdt>VNcW;OiUs}69xBBTu3F*;6uWc{3=dF% z?j+eb))G&6wx~&%3SfI%_M-=)WoXsR&*$|Ymp9OGa*Ai-fc{cd)#3l0@~^uv)?p%W(;Q`tqwQIP;a`!q zyupbZO#cc{IXtLg!q2WA5p?gwAf#vjqc_&Y$PD8u;DzvAS$`JNg5Ya68|Gk;R;(NK zTw?!x*Quj(m|KWF^x@{C95-k91%Seg4zr)1n#{U-$gw}MXGw`?zLylb)_*lPQD=v2 z;flX!yGpP^hs9JVxXVxLb05Dx$2cB?gvKaA+e!+S?gEEV_s-$?qR)JgvMJzL=1KCP zGgm(?nud=SLf?7P0eHI7ME!68qtVHWitY1(j;iL-6)7+>K=njxIW__&mPlK=()w!O z6@|fAJCZcrE77BAr2#k`h2t!aK0au`Y{OKPj(hOx;68lyRCNDC);N+qa|#YtA8-d+ zyQvx3B5|#p#-=f9G8zIa=)OE$?v``8<(wP$+F@&}(e@xVrB*x07zWTWGu8 z>ZDue=Gv;P7}T@M8f`PI23$53omB`KF@(c6fqZF3ZEc*W!_6tNLD3c&EXW%gyfrJ$ zYHAnk0xmSDZIgoyS;pG7cwj{ETY|oJn=c0>(>&omjO057r?4|2QMpJT|IuZ@>n&ssIp-8timY;-}f+K3u7Yv1F*1>33Uv!Kw%LRW-}q530X`K znP5Wq(1DMBI%n(*NE#LeFYb|}xeRKS#owLrHZ--|`jGWOD_w;82k47#kLw4p`ziqL zOWjKVK>hBF{hS}wjJHQ58prW@NGzX>Jrvl5BFUvDt=P}F3hs}PMYXbEEe&l9m@Pd9 zL+bv#NHGcX!XAq;Lyc4RBhlD|6CIs}_;{)RX=8jD^yUKkCg|5U8|tW~Tz2jY1Y`bI zH{Y}FHqV#0y8Cl6|2cr$>Xh||=8@LDbR{1S&TgtOPoM8uInO+AK2iGd&BnXE(z5ds zL3^=q1@kRa)WeQ4b$eS@2Jgl_sI5q;#WI%`kv;eVyBD`ez_=E~k1Y5g8!&nC_I&~K zVj^gMX#T-9^AO|&u@0ssQs<@j10?F=yhum3)sbaAx!8~egEH!5v<`LW;&Ll}>k8}g z{XoXf04hq9&_w5ni)J1C={)sAALSws-0gxdY;bdmSX#--%B%403IDRFs(d!XH6i%8 zl*3gre5*#E!fd#e8nw-5{tfrw8m(R2Gu~(ZfIO}OsRucy1s`l2UZlhMe+uyJrLowB z!9kh;E>2r<7|Qlsn(xWF<$$R>uFEqYU>5_P%3CQOa|OIVzs1nRi^PpPZ-cs{e9fpQ zkb-@jk}rgLX?+{Bv}4nRVB}{HWACcAzB-tn7ZJSmGgp4K+jUE|ibWr=;FeSR?#|V6 zfH=2)AxHz{{Ui(%lbwGGOr#A&40{&?0APm0t!_vRo-k3t7guSj*}x_UW{Mb$y~rWT z56w5Wgk%$HM6GLBT{Q-2M?UUF#mCK|B+Yk|G~pzzn$-XEJI`wVJz)DR@Ej$hYC!?g zJssxHZY8VajSg3~A*y;JeTU-6f*>i5Z0TM_qO=}EbmL*AVqtnh6|2Q+Jz)A;nu?oK zj~s?suixm7T;QvKlc>UX4KWx?Fy?}3|E8meKq7{x0s zzs-OX*nVm@Nw|ghB78z#v@McOg+u5wIbxAn%@J$ z`}2m2CM)Rf&l^lazXwku;_XP?AFvnnAjUqW$Bi3rICOjyqOJS$BAPhtQ36F0K#MqN zF>-?boR0_?D|8H5VG*-&j~`3M5W(0cTpRegP|vorCgFYIDa1^~fDpkFF~0P{SBTW) zFjO6fUdPt4&k+9xD`hva&)F9I?!<2&TZ=CoJ{Jx!voM8IEoH~x&Sx7L!t9`sEYu6@ zfVYM16Ar*XpB-dP_=~{b0p?^CY?06>JjA|W77|XFBzywD1V~&e3A$g9RKj7zH7V(E zDUM`i!uu=(gVzN1E;|{`%PIZZ@9dPjJ+j%4mfyYlzMtGi6;r+LBU`-^#IB~@ z-Y?D0Qkn7%W?8xVF=mQlx}>m_>IZ&!-|~A?08ZB@i6Y&=68esx@yo>2Pdn+ee_S32 zz^fl);v>(Z`NT=FhZH6i#j^^~pm41fF5jYCczF8vz8HP-M{6Jaq0hFP-?Ql+_dUXr2}@Qi*}kM@Ny@!it%m>8Dh~o$ zjiC4$l2k866Ci&S1Wh=M6pB(owJ>BtU>t|gLZ}J>3SScge1w^nvkTKv(2%6S(z`zv z;c!@zlP5t06*k0&yCEblAz`Qy;0NEeq9~FOngYb%SF^^WIX{&KL?&N2bd`TNijsK% z{P+VY6~Pg!aOcSig7OX#p;E-}F= za`wwFpu%h^!$gRqUZu#9L;2%G6c~l6T9gV0;u}I#TrMY1Bq>y7aw6m>80E)7!bEtz z4zVJd3MkQ5$f^`V6^SAhWTjp!40*m4@}V440;fQ-C>RPGE@z0gq7hA~puzlVc@hD@ zaa_Qu^^Az1j5q#6_-a)KGcK|9_T1CDZ&7pd&0s2LBS$0`~#i>8Hxr$g$3tC zB5(@i!7t1_oIk=22^vlf=Lkp&KuCU$2fZtx%A2EeFTFx(L^m8sa#DmUEKg9B*iVxR zHn3Edq(I<>^3I8IGCWV=peDqIL=BM(_b>hP$3;-_cswT*$~~8j@pmW>As$?J3U)Z} zoEHIbAf=vh>9{_5PKk#?f{O(&iK^s}Q={?&5WxXb0ad)JP@ICQEmblOtF&7ph9IwK z@Nj&UmI8G(e=0qt98|y%4{}3(q7o7WiX})69V$PCA;p0a@d_zis=h1X~{=4n2vn-%?UpgtDXBkqc*k9Z~GV8rPNEwf@)co(Z@uVD6e8lAaSC=~9( z9PJh1pl})u)2b=d+@-14yrMa%IgLtV)fQ^+($;HV(H_*EMjiT_5UahyKGr_KRtZ*7E{!vN^g~{z74yFGGumw{J zi;Aa~Oe_5=B7%)%C2R?MoW0IIWM8oeVWdzZED;_TUdMj}@|6&w8L27JEYUo!d0q3N z<||EvcBHmMyF~lA_I2%t+OM<`x{b}xN=tt^H^h@-Q>tEM@sQ*eI zVHjyBF)T4WZg}1Bp~1vXX=Em9>aal}vyGZ8tW_P=psfk{n%Qil(4aYr?i&9!g|J*x z#~OrFnk+%Ys*kAIrP&3j*=)9^0d3pF>af1FQ6mFF!OmxO99u@(YyniUFm_546B82` z7Z)EN9~&E+kdT1So(A&AY&It)B_$^(Uqk}RTi*pLzFV#d%>qel)OG1fkAbZ(9#CwrWIZ0V`FXO^6K_*C7gt*2f; z^Y)qMQ=gn_J=1k2rgd=3n3n98DXnu_?`>Jx^7GcMEe)-|Z~370i`I^ozSih36Wgq9 zH@40C^7b$9{IaI)i7z*{z18-1+lODCZ2Pk9a$D@_!KcO3_OFV+ns@rX)2qK)fBKoP zwx8bn)y0dKE?vHS{(-2~N5RNW-i zO;%kh5Im6sLvEBBj#k|m)s0o%IMp?(ZoKN6LM|r|Incxjz~uzsasqHU0l1t1TuuNk zCqOO{AeRV`O9aRz0^||_a)|)BM1Wi(z+DjFE(mZJ1h@+V+yw#df&h0xfV&`|xKXMb zt-3L)8>_l;s%uo;c-1w9+$e>PDOz=7R5w<2<5btEy78)O3b_L12aiT|wW_OAUA^iW zR5v2zqGN@H7KJ96!iKSImXF2e#cU-Olee=&tOd!EP$b+ZY!u!V&Io2rK1|P7HJ@WB zE!D2q9)OWqpj)qV>rDFj`d9Vm^!bKOhBJl<5gQ}gBZ?wlg6Vlj)S;+R(Ho;LMlX&z z7LydYEpaB}6GmP9+IVJKYtosYFq;y#Bn(S@J25}$SkmI;i^&@Yj2d`o;2jp0 z@={7sYJ2L&K@$d_8N6voe%iUTSJUT<6dIAZ~pzS{@~mL`Aat~JF|SkgBu@e|54FTUaDp*?|Aso zBcoPtti8Bq@!DhS^4Gup=&;APY%u-wiJ$3yvG$j2ZFjuRw!idd(eK)KY}`5F?K8jMv^#(AxyD!Do&P7(pWXY{Hx<0k z4jnkW{=-tYsrmDdU;WF9qxpX|e{$x;yPt0S{Jt-WywcxUOUsvs&TMbncy?vS;;(P| zCST6(8s<;wFZ?dQ%tw|Pu% z`}TGUM`EL6+l38dayM+onbMl`U7Z5BjQdQ4)Jc>@Ei64n`$1`lgM5|JQ! z;FrSbDPdhK@pDotvmjkh{KnnL!vqidLq_Kif(2AF9U7#M*o>g#0?!YZpSDgM4kdpi zUnqkl5Ab>JiK5Ei+`y$lIMc!TLt09vbgrL7jIzPbobf=H-{^Kml|1oL}Y` z4vq3~aQzJ%362X5JVMRSnA|70{wApON1)M;8Ik$gn+Qk54@}QS?RSjHeUP_b zG)y}okNA?eUk4I)wE^(8P^143T7|!2U~C@4vu?4l z37ajXQnq26lKaglG37z0p+2FQaF}OHY5o+K$s3mALa05kBV0Aq)YV7vT@CZ-ZEAf$ zU>kT*Dq_f?ik7)eji501Q_=;5LJxuja`m|;bWMOrP)~IAaa>UPo=}W~YatYZt3ZeH z;NPtzaV0@(fjw$EY3TwQ?cRQ<%)j+@Cnx`F9=kh$8oG@jQJ{G3*)ST@8|d8ua(JjL zCTvDZ1BE6%%Jt1(4S;ry??<|UIVL}zxq*`_Vw8KBPTwYT;v&1BoQZM#vU&^__Ggr@4) zLw(7G2FfGwMrU$L4z~F>3f|~FNFhF3Io`GgB1{i)B0<29hqp~w`-GanBb08a0m+o@ zP*DM40&5_NuYGuU^R{qpwM%TXMl^r`sX}l?hJ^w*t{x?8F?K}iJZWlHE?G)sFK+bkcpnZF0 z`l~cSP!dN}v4}?4%Tala$s7}o=58nnnFtB6dkuXV2}L=gFo zIxmFmFNGkKk{Q;cLI?@lCT>={S~Uv&4;vBYb~BW=?W*(&+;`im8oMnM zM|$x5Mh#OpwgdigYS=;D)LzEWH$%lFWVl37q9AHj0I6c&|No$djsYABL3lU9yEAv} zX6OWVjLF@xXZH^0xYyp=v*YC*U%vGvwfnd1YV&+c=?g-Q_1|~d_k;3wPDzSq``(w7 zR=<4=Yv2Ct+xQPhwr6fY(}(d9X~HXa^d71u$dM3bPC*vb#sMP_Mh(W2P-K|h3AjLs5gzUV3bLOLXVU} z`VG;CLWmy~K$t)1a{#mg{ADUeD^CYYOaQOyi#OOzecS+5UkVV>gD*_)Dm+&ZmzVg4 z@@p_Ar;X?r=J2pq)$|6?;{=s(!!}*)09f5Aq56RQ3`y9q0a@C{8>o^W;G--Fh4>*q z6oD{as(zqa3SLn4J0g>JS`Nnu=*Qtm#jHYkwo!hpy!P;jQ2s;wm2f8NtIC1OmnshR zlf*Gp9(!;_53vmC<3`p%1i3y4mG=q=6TklY0R9k#G=VGdXb!8-s%VGJ

ssKP-CSCngopOc&mfLh-m{A)llFO zHzMn=lh4c9U4Q*s8tA+JT-U&L4P4j2bq!qCz;z8=*T8iRT-U&L4P4j2bq!qCz;z8= z*T8iRT-U&L4P4j2bq!qCz;z8=*TDbJG|-J*knFzt&bgL327@^Yx3KJ6M^~-%*%G~F z8fheJ#XTTP+9`!@RZcN|>3($C(P8d@lbv$@xPFq&VE=Lbxy$Z}_8-;bdR^{6JVnAM z7&VOtzhZq7+vSLtG`k$KlgZ5`VtI49c*9P6O}!^u?`hXNYwCl{Hl%rps27;Yq7_)3 zSzwFqv$Lb)CwEqeSH@bpaN86+O4#$nNYCvC%eUlTC|>leH(2}z=E*l0`sg)>UT@-c z4S73j@_fT^iHWKAfEzjO?wspM6g+z(F7JfMo^^sJh9LwsIDb^%XuD)NE8UW75Y1v8 z?t!kO3tGnFN+R4l;=igN2X07l2>2=YOydS(Xh)Bqfi!f=pp|}5uUwQR*kkMMV*Mn0 zdcBivY^$>`t#{3_uTWIwnr5%AcRQEXmmuwp<~3q=GyZqG=DHd{c}@w=<@LB)(&%|n znW1BxD=uoT{vAE?%tOs9W>PBFBJ#wd&TyP1v#EwXpHN8Qy`=Kqp z;qFRy#IY5}X>Locw>0jbwETvM)}}0r6nzD7{mnUNwJS@u zleo0KpB?FUX3m`X^UU)+GiPRY&dkT~c;-GrN7$rSc0H$Oh~EpF^FMB2!%MmI&vO9t zJKx>a#djiZHk$nAB8Xb*wCuX;?i(8C#JRibzux~$+APZ5b@#P%uIJ%DFU;J~xO~|z z|KZjC!`GU7x||Jjqt3Ej%}-zX!t(Sk;(YUK+9T`!_U1R&J$u*PbFN=D`utt}b%WFXo)40l<-}EU7ZDR@?mZv8b}UMye_{uxrMupYK%Zx8ynA*n zZ`s8G!B?$B4DV1PjoQZk^YzQ(kFle@b{EPuyqRG0mi^le z%tQ4jbQgK%uj~0Kea^yXIdi~S;1KWU2)km(;ip!t_{itG>u&n5^B2BUPT-4;jc+H% zAn*Ghx$3g9`|C+&0i1jyPm!r+^<&!_ASbfGDT$W9U0|Lly>_ZaQt(8c@H2$IV%a~i zr(Jp%`SJ97Z^@MwOfE4meDUp6N6JdJWC@2GKG$7$$Gg4^C1c5FSJZz5P5Sy1J3iZe zImwEOw|~&rhOXo{6^}iFw}(`nD4jU+^e;X2!ot5ATmF2*WB(d#j`aU7Yku)&+OR`3 zXr-|`>&I?ypw%3^De|pDeu%L<>&9-6?D)g0+(vC%`S~aRs^NQ+vG1=~yzrZ2TO5T6 z12ocLEMEA%Y0>82Pr2W4gJWYpePy>|e&eyREe&HIA~R>~P7~&L^NWYvCJOe2>l()H zZ(R6QzR9XHeKEEavKE}UqLF=%KU6oiB{KGQGj@~nk>9^+(PJN9F>l!q8tg1?wUHT z6Kf(|zwr^;-4c!SpEh#X-)EjV+t}*dzyU>#Y&>7-mBXtslB7tNeb4`(<;M zn*(FZ>khEj_91Nc{QXfA`yt-G{8SUV_H#RMvuiAENYY)~$B7YrtDnB|FMp=#a&+kn zcQ$c-VaXe_&%D#PC(_9;veWEccR%s$BKD+Tlq-GlhQ`9pcPx1$PrrF~`HA$|@xFfQ=CdDaKf5J<|09QTPn5piw(#4|QbRMxD=PZUXrr3E zLyJCtRa0(ko4Ka$(Bf4MFa3Fw^*%7=eL&uS-&ErJNHfB>)9-W+I>zlxuY242b$8sj z+59K#wp=oD>J<-}SYwZ*+aD>!q&mcg@t-N*RyH|c_<;PYQR_RuOrUCXLPtFBsAUfp(4 zi%V=EK9h~qH#GA7tt>}95sYuK?U#%bZJsOZm;c9y?)jyh^(tSS`H2M`3zN?2uqhO?_6=YbA??{rcK`^^7r z*6}^%Gi98fH)ht5*qh#bE=g0N{t}}sE{jloJ`7ink_SV49d7Hl9{a|=^ z+s1cpy8RC4U7LUUXMXnG&YeGZ*U!J_zlYD>`#$bI5Z-P1h4E+weC1ppnZxIC%Ni%&bNt6Un|`I~ z*P2G(I{H>Vbl>qSJAQ4)@x2FjJn^X~KXvp|Pkrk6(D{xPk!R)C#>HCM|a3R-hXu9$+tdr`_Z=^ zzx|1~j^F;o!0`vh2c8;w@_{EGIQqa-T*s~b!~;h^`P2hXJn+=+@!dyvKe_w(?k8^_ zf9n&sAHDr4VutSHr1ZqV_`p%(J@vrx2jmV@=We&XA2>Q7JH+vUrv|<@@YMmEI~4fT z%jf-Vs-X9_?QZL9`|Y+r;#z9^8?OJ-c7|(P?6O#2+cmMZTt5(dSL|2Yo{9aPb$Zfz@*MCXexZv6aKd{&O1#-vi^)>c-mA!szerw`~^WT(M&vo0v-(PtD z!fgxZBz1ic*I!C}K5^}WorzJdpS141_WIlQ`Um#%xY}N~E&OAfa%_>({{YudEcn`jzgV!>UVpW7Pv>7Ocw*7SqAxERS@0wL zKWDGL&pYSeH~&5JpW`FQ?=1e>;ybxMw|JLz>-xjXGD|v^M3=1O`o5(t%kEqHzNHT? z*L5}SeCH#i{Hyl*zNH7P|NpVqFWGBPr$YXey}r#}-(|1=o$Ch{thJ@n^?ge}Wc|Oq zRN=?%^_%wkJ$rS?>m~Pt?RU1-Uy{i3>xvpvd64zVXzrppU_#edYY=2k$ z&$)ge{#CBO9DkAPC*zIt?`%I1U(EG=OaIZ*_HBDzVDnvWudm~p?{rs)o*&t#QG6a< z{5$aB4;R0{^$UwTFOyq7`OXj8kUq8VwBhgL`mQDa&yr6qdH<5naed#?J1xh4-CqC5 zUjNQs>umT{Tt8yN|C$ZI-QDXx;C{?rf9A5>We>P_+w0F<_C@Q~^;9h5E_02mYs_A^ zyMJn9>H5rXyv~@(W;0pB<#tnlA*kV3X6;RvZR6IOT^-$X@`464R z=7{-Z@K9{5hmCc0lWcU;;8Vf=rp;|D&4R`C9ab9Q{iTj;9`;*B@ZAt*SNKw|3)Sc8rz!;N`+VaZpEshB zwk0U@{Zm!}e02z81+7I8W|4Vgpw`{Cvs{ z^yrpdZH>;7I@8uR#hjKoY`1P&=38#WpqV;W-$W^qesvA9m4809B}%n*zCDMMpaSz& z&>S>5b+i=>L8&+mPNcy(8*%CzogY(&X$O6`f1NEPUFJHc5rGoR56Mngeo|6>5ymb) zE5+J!ZL{RD!;T2MEJ2-XXm=uNi5|Q9bqT6&OOq4FvP6<{{Q_0`Ff~&@$ENn!)xV&q zjrH{ZCL>T#KMDN~$LdmjiMqXwB$iqY3hSV=U~yRsoCRGK?o5UI$_n?Y3ilguA9?-X zCW1!jMN__m-qU`TP^%U=X6D6wEv02@TX3zPigmxS!u{q7_u2~gx(fF#xXZQLZwKG8 zz)UtebW5B*YlE}Qw9WH#pF{hpOU$T~quU9_S`~ z(CwU^?51h@jcCOBhp{L1F5=*dMw`ZFrJM#)X^ZlM>^5*s-VBzfo`D7QcfFp z-inBV{9sPKfr-xx+rdDb~Unxm?6rV)io=xQ}6GTA(D(R)`$d|ueQ4fbxD zVlP@GMfukb)Y55-INw$=nmAb*DSa2Y&1(%vq4ZG0%aWWLT!)jcLPedo=q{_{e)BYM z(Vh10$m`cH4AQi3z@Mo|b8cmt?Cw4pIf1Os>wh4Sc0uDKZI5?aQRLA1uGg zM3inJ&^*(dDlBA9t2x)y{HccKRDJX1k>;PSYyN`Me4EpJ)--?KG=I`Gf50?vFwJkW zSKRpE&r`w>lJLYLE-B=iuE{l(lbuhpmoDWce##Gv;5T2ol*`nL)JsS0RTb1i`6Wyl zu8L;M2e^F+Qw}whmrY%pIZ$sFs5<<5+AOf0Hos-JowkVI1v$HjX1K0xa-OeU&5PL= zcBOL{iuIcG&|*`k-X{v`kY2r6apCmdwpcHSdgr}|1!C_?jI-T z)&28iYu!t>PS_BO>za8uA1pER>K2&Rx>jnunxTLSy$`7ddbtM_Q9?6X7gTmAY~}v% zE4mT7e@n%^!j~J`Abfk!Df7Fr0Y~&=nvAM;Mg1#{ljF2Bw&26gTlN~6?p7PZ=7P4Y z-@Apa>>a1?%;nbJeYTyA6&+{ooXS8yzQs9BB7KdQJ7d^e>)M?^$G)p7kh#Tq3D1S~ zmpgxf{lA;qo!`J-GZm<={@3yNok^!2Gz?GjD~f5SFaPAnR1Z5HPY^U1^dVk z%4F2l|2`gHBr<6D(;)KXWPu^dEx<1W{SasOkK3IL_JPXCPft3{BoKYB9Pv754G|@} zZ~W+Thbd_1XHT~~_hBC+qOVDFj6Y}#?|#vC1|Pfn&}Fp1O={PE$V-xL`}wbq(^feK zXm`FhxfO+JkIY9y9=rPMGp9B~tjo)>{N7N@%9i@2_7$SO(Of!hy5kjJG2jVaqwAMI z`&NS}}L zm;~a1Wvo2TQcEj5>YaK9+&{97N|45n>4z!A#2boBjXBMHZ{SRKm7Tvh<=oY!PC#w^ z@6QL+#{ZfTsEz+?T zG{@A&|F!Y|f1L3@OPpKI-XCG<<1^26f8h(=W2;-9+B4ewS`@-w|m@KIr>y3=vTR+y@ zFm`X_Gu^3$uX*RYWhKUPbNM^~qH-+@=PVCgV<*mj$ei8cyihv(!U?xt1S;dR_p=(B zf;HT8_WlODG`jH@R*c;_Z|wF~e`WTnRSV3W%S|+S=U8tOdEU!%&vJotNJ7nj7@6I%TFjYH zS2@YEFDx)i8j{~U%Suvs&Qj}K{aH?)wd;)ApT6a)hO_tA#oNzvTiq@Xcd|hJE9vCh^9OFuzrkOG{dO(qozmU06R$Pz_w&*xH^Fxa&nA^I zv{H;pYGLVFSdCOw1Shu&M&wqkxOYh;pq)9{rnaST`Pd{Uar8CzHT8Y}$8-AT_Ouc>hWp3%)-1mXLkM{k~zIA<@`nL4t`hKnNhMnKtxxVkG`##wB%Y6^@)%8Veyl__g~Y$cITO$-|4IGPxQaGzo$Re=k_h=>wwOMeT(~E z(|1|l65`$5|E~VK`*-yJM*my;-`W4Z{$J|<)&3iIp51v%|K|P=^nbMffA+Wc#cjNA zpG}tIwVC1m&-8!3|4aSj{h#VT*#Eiyr#YPHr*@iM5B2|E|DW|A??2H$zb|3q{lgCz z1@V@W-;4cqJL5Yq-?@6{xB7qF-?nqv&eYDg?u_husXwxF{?03QUbpklLdNnd62~aa z(Rz5(ZPs!e@LgsbhbSCk2`G=4%C$zn1y`Ezew$NQKPR^A*|xjdCR%s3E^PgSd2gFH z(elZbYg_(y?yt;!!`y#p{$I^+ZT^1rQ1t!L%cCbaSmEdATruZl)1jtZ(@!{a5P$sD7Y+Tm6RmRDHbuyOFO%{tqW$eLV7uk&Tfz zMK0$ovG3KLs2i>OEQdN2>+Y}HTKCg+>+9ZFcU9fOx@g@Q=U<$^cb?_MsXuW>oc+## z^Ks{+&UR;u^Rv!v&Mlm{b)9pybA|I7XTHf}%(poc>jY;9f7yJ=lsH1-^X9YW z56$nHgXVv8R@XlBpcycqG@mdZ=VOGAnU9*B`LNk;e$jk@lWyK?-ou$*KWjFd+s!*U zr+9;TyZLXNe|)p~FPw&TBPV`cZ{Eb_%5OA1W;J`OUc-SEZ!lML2GuGSadeq0sVT2D zSD4Gq3e#zpBa5YGiMhyd*1WDOSY`MmQalYH4fA`P~$+212qoRIPf2q1C?FVe@C}^%i1`fPs;c_aSHNF zfh;J3Jpn?u?3s`<#^oC}A)X*Qh!f8B$OdHjh%Uu(8ykCwUuBMP7ZRY%31s$G_=V48 zADjiAYfO&FJ>)WkJtVsVIk|fY1E7fz8{^m@4zge)^y*#^?OBy44OZipO$rvB*dPbe zz@u!PU=*waTjXYi)H<*QjFKN-7RuY0-d*?VN~k-bOuQ|jvADM)kyv~r z=*nk7f@j(8qS*s6#dOK+iI1%`2lh-Tv^nn;_dWR7jJE3lKqY^N%aZ7~a9uszxE z<}~mSvbT<o#;g;1?bEocd`|% zoTtmZ@?K#OzSR-m9g=|UP@P6 zT)^xdLqO9oVBC!!)x|TbdoyNZZ`!P;HpV;BX3$NWBJe__C}p)dz^yV>qD4T_tqhfc zGxi4cq?pwhypdFYp9y*v421CS5E-$1& zdI|{M>x`SOPS>;YMz%$9Fson;U1IKac!HYBnaw*>+A5+J!eZIT0N;~sR4H0GN!YWCdccN z{+ljpumw4H0w)U%iFB^T?Eu?Bd#(I*W*Z^kM3qcI|>5m?@g`nj2cZ6iX7SR2h00sP2fsNJ@$z5Z{$ zY|_v5aLV|QYa%e-6_9ZhNY+AhY|JzySX-UVV)Lb6*7a?)(6O3GvO!K!Y{8p6jhF9^ zjv{*@2vb#3|He&kFSysI3vN0Gyn-7CF2MaaIr&j+ZaAa)9FR?EI!;gdd84J>UTODD z1PN4hhWuZFS2J;t|2YtgB~QWE1)uHmuYlS}%a0`e3E+p0s3~yW*hs>4M>sGVBuC=u z%t+evMv@~1$@fvZAde#JG+1M0&XKvKRs^Vu$;HVy1Go=4?x|PCGmBiexd!ym@ymfb za=CW;Jk)>Q0ZBJQ(@t#OFjW5ZpwP*sCR|g>b+=g8c5(Sn&Dv> ztd}hfalzxgulK*L_sBjP+!tnS2R7z%=`{ZVQrO8{Z`#Al>!H&J8@v=eK>VJY@J_p% zu@NX3P0-MD8yFfQZ(aHQ{;>Lff|WhQz!SmpC8!UU_b>nA5Tz0g759~?k&D#@TIzBa zEs1+GfD#`LGRq>1-c;ITcs-01d#TIY%m^=m$H18YBZDX$Z<~=d0KXCH@uSq|HKa5G zRIVaGY9>7da`BB`E|&uy7?=^gIo`(+Lf=P9n}K>`#Q>AoN#CylKi3^HtCL;))(FV0 zg%?UA+Ew4#Y1%pmOd~Jkde8!*V2azgZCRt(GP30-{ur+(KRlH4hCSN%dvji?2)Tj_ zX!D^R^m^W4W;ZeyMnS27{3+`cd^scU-32qcn>P{Kwyxw;fg0G+NT`4zLCXIU8kH+V zn1$>l$OCw3yWl;6oxv8YGTFWzcl5*Zw&fF2sJT!7y6Fuf%one?c!NYU4GOLU{xTRfaV2WXOL z8?5bN`?^pt!;JTa5&G_1>78z+^I756D8=?xC4iZYw!jJNx!Uw(fW6q$5k8Zxu=j!O zjM9+#YIwI1gmxmhhfo9I6^6j}#gWE_$+jOj(~D-h-=_&1Vh3(LKL|AD-ws^R0W|Jc z4bSJI*4A@0E}+BOWGxNkeP9xCY%r_+ooUZW2f_I*EVkrqT}zdSMahg!H2)g&%53Lofwc>G7=G4v>9$4qzRX#}mJGs%aj-WPiOw^N3^r-c2t5N+rW>aS5grB8<@~bl2PrBEsaqjw z3bqe0%?{`c>BTMzL&iLUT^=bW8UMw(r+h-G0{3K%*~&X^EA}wyt9(V-L9bt(`gJ(Jb^5eb`Ai4Y_~H57%y}VgV93LJ4PSysOAE| zF(`X4Z=F#)Ol9n6XCcGRDcJ@lLau0{%WR43ZsKK<V#&YSsNizt{Ua0jD zNfLzfMT4+ia|8Bm0zYg!qNG9iPVL|w*b$U&J2r(PBeNXLU=H9XSxU}Yu2Csh>qd@i zKpHC47u-uu$v7#dXiG`4haAds=9zUzEkUeU2*>|}jQ@)o(lKx8x-AT_e6*(+|EC%E z$6wa?UzN(f-^200?#uOEZs8o$N!x#0&ctrZt#)tAr5@g#OGj6u1gnSA?rJX`TU})Q zUmWz32sBIOO?1A<_}?uBR{xS zFL+B42PU9MvRB>TMyZ5+w@Kv(ZM`xP#&W}}NjQd4d*F3@;z#=zm9@%7d z#bohAUnuTWgUd*q$_5^ugvnGi(5SJ$dR@57s4lPSw%d~jxvbkmLqwBn!4P7M>4$V2 zmZv~G<%_^gF+UKua{;!9(=Mk^Pk9_W#sG0t<3ejJxz)K}@J@Ze?LcF=Y=w=Cr6Wzu zgKxir$G7pAs^y{sWl&~#WEd|o16NUg{H+RxHn(g@1gwm$yqDqbGJEOZCm&@#2N_Gl z)T2;~TO>8j5sf6<%t*#%{FkKP&%7owcD-)OndY5H{bm}nvoo4yjx9;Qk9jnIuIqS61A(D*#v5e(-_Bs~ z3Xn~Dbn&q@{s)__XmvsPEbJVA0VklJi|R4uhiXPGxbu6TP;mhRhiz9Zg)P7vs|TT- z4g5k|{v83fV}nT2M+&`26^Tw57o7>mNvanZuA%I${U{v`RDSOovzcCpy19kzib;x^ zZT6Ck;W8e5d@x8GAkbse$X$K?YjU}JJK-bn0AuTO$NvfZ0ONnXqg+xIZCOz6|5JYb z?uWlW?^iVLW{v$jEm}182Q8&e(^z8M59$Lus{Vk0Uch}HDy0=VS~_JCxy*2a@jn>d z%=mvZvIYeU<^ebQFdLJ@j8ujhF^yuEur<}nR8?S0#>#1w65R^ki?$sr;l}SMIii5} zWh5em^BB$N2$DbisH*p2I}rMZcARj6;#4BfeJ1D!;O_uioAI|}Y)gs;nN;s8tO*iQ z07U8!LMVsc^@9A10pgBpGLgK6*OOsR#WN*=ww3vl3JlYy8a8o@Q4{ZlNpO)Og0lQ6 zlO6Ykc8Yu4_Br&&3A>RNh~G4<9qMu@^Ki^(@1a<|E&cXu$9=?=lq~tyjx(;0r%ER7 z27sJ6M(s-gVXA%D&P=)27 zuPyeJ&4#keB;bWuCYB_CShmSMWwoYZ6I(s=irLV>Qw1zD8>df$Xp<2=3LsMe5|@mg z>zTMK$ejeQ-Yg}FP0&Ub8=!LoNoOHp6SQt{lV$_W;|7}x?_qL{L0v4$&XI+faj}a$ zD?*X>fw6OVFi3=ncxzMwQ5=@U_nqlV%C=m3rt*r7Dkpdm5|)ak*p7hP+IYp(R&md` z#bN_?0%U>wv7HSY{9v{y#FtTgt`*4Q-hju37!iTWnjlmH|3r*k>Bwo5QVm;3>+n4` zv=RH1QfnX+HVC6nK~#B=ic;Ma@Ubjk9)%`GPz5KqSOYd05X&+yT989I;_s`KUrt+o zLOEp2o+^G`@U5Ucu@O$$T+B~?N`g$<8c4W6e9^AF3(*Z53M#v^X;Z=51bLgFqyYvs zh(j9+v4#fPCv8t37?DhO%tkLr_P*11T==T@2l@Xyk3SChV~?rvM~v|Y%NOXFWDdq& z-uMIEx9u}8GP};>5{^Gw>EKx~{%EBO7-jqsW&9Ck{1JVSH-N|Z!|w$0A`IUWvNc9y zLWB3?%N&2uOO69~oaBUwN-+M|&%FiXkNwlfAAUcDz6wGXenLh%m4f?mu+c>5gQR~e zXC6^s_Np0i@3dYonuJ_Dk$fc8CxgPR@ag>!;5>72QW&(Br zxNUI~8z6f(z{XbZ%g-UvXQN)qje3^>#w^1RF@C9l8KZ6~U0?otuk2-JkL5YgvKn7I zo`x$UJpg_EC;Z%e!R;U|+fbAw*I$J@^s)<-(GpWn0-jAaFW zx<}9Km=@V*&XND@@|lf4CmCl#2xU)#<-pbh%FN`)6bR#w-#l-l!Ur|6Tz*OaeDbO0 z&p7;e$}O3{;%YZV1DTg;5FM0!=KS#IL6E2HpSWoi)s;pXGzi&<^JSUfMO^Mqo=*&VSw9TI{1jL25Q;0j9HTT8r;?7) zJw2T;|FFzqzOohPm71^v8m2K@{-n>2%C>2I+2uSRZDIZw;GG?Nsq6w_ZFN0gx-aLM z@;+bw5A!_8*A56zRoshy;pLj?eAfMi=ujS_~Q{vqFqUe^Efr6byuUueVw zAuNa77i*^TI!r_Mg^X2%3kABsbLA8Ax-#$cd%kqSXCWOIla9hvmR+=8OuR6!>9*pA!yQi%C$JG;jtc`Qx1j(S%o(pTdRyvL^s^*)%Kj zlmAQz!$N9RI+bbanP?UiUQk-CfjvD9g%9HdG*ou)R3jv1q-Wei0Vs!+{yyef8OFM( zfJ-1B9A8>QLx*DSA$}f42?$wqAGbK^?&C*HFUk_)iKKgYT)(G{TO2YI z?l=+>PEMS3_wSzo``rmJJ~83!AD^IH`U}jsG1MC~PDMejm{qQr-N9HMe{XoziFCfSwYLjM_( z3#0nM4-p+4;_lF493ls|;+-2e^qrntm}F=xoRU|#DibD9_6$Rv6S5ql%Aw%rkX4z6 z(oq@I=AuU;LupjTvG;f!Lb8VsA3mgiC_%JAV5~}` z?@*bevqrjtn~8V-CxsYx0Y6`bRAFLCyoLZ1RTjP2LMrVeNg5#I!(p9388lt&FlE*f znnbOUnx7`72Nlv(svK)Cx_PrP@5_p`B0pso`FS&G5s~tC6DJK7X}7?vVu6(~8H;W= zlg_w<>2z|?vsjPKl7uwBRj1bn(7kA=M3lNK;O9o%WIDpiADUdxVIC{W3>-gx^Zi{> z-{4WjPjY{f!s}OWo^z={8h4x`iGRLvkSf@9oPyNm9g!Y5Y7+p6E@>j z?Su?*?C-`Yzrj&)^eCp1(Fg*5j>3b*Tox{+7CKBS0+?Hk@x4(TB)wkv2#gPu1rn_e zti_gFX1(AO>+1n-H-a8yNUA4dVjbjO3;>ghrn`FrmMvj%BZw?vxw{3E{mg-q2H&Rn z=w8oP9jxFTJZO5n^#H2ht$Q?bvROo^9;X5n~`x-it$v^BC z^807e19I#^{t(E@oM?g78`I=3&ePY3mL3NvM#-43^27BQKV-88$~*+3poId=d!Dez z6}|#0nEXTNUZ@OX-FWK7CFr@VeY9Euq`bDvOaA-Fe;@hpA+J5;Ej*;~&l3;7bJCW7 z2*O=#{)C*>wD3fLlvzPmL6D&OsQ{{DXwNxDexgmhxPZA(-ms{g6p}l_9b{S>&_OZ? zc~F_pMddGjrGo4CzwTiD;f7x86kkY3W}-~hN47tjh6N1? zd5Gti`DwG7!I{VULnp?4l?i_fkbS}Rhu!>M!n-0|f2iC$K%Tf*Ydkg~W2OG5`5-7~ zIkJsX0R>3q?=vw@<>9TN{J9ij=E#`iuR)v_v-&=U9m~ed0b012)*rHnAfaF3`8`>K z2=RbbzQAYfD0QfX^@n%iw}q@5j~pRgz`g%!z^*oKZkutNAgYH&s6>f%6NHAFA5F{{ ze|)3~u{5=;Kh&pkybueH%L)L;A!j5F^qYhKi;HJYo%W&ymY(5PVD&LyK(5n6a)(4Q z;ld`6zirbSOu9jR0=4zX47Wpj-VlkJc@VgapKkt=XDYp2La4r`_A0On9+p*^Dum=8 z12XfBdAIEFUT$j+aFy(vb%*1Ob?AoM9guN=^{2S)`co*g^5 zE>4wD<1~%ij=*4k>7_A1{@`vBGW06A^Ltszk!TZW8do@tVJ^vDvSctnGn z+t2}A5&F0C6lGEB`w<+Xnz)oLhV7aIT7iPoUtZACwoyU;w%C;Uh%GB&)&2?i z82jBR?x2mpv(k_f%Xqi>MB$|2!A@(S6z#+F(ijm1MKblIf1{{VQIa5rU%}Mhh&STB;5b2PygZ`@ijGXh zIAJZ#;jnw!+@?Q(fG=^L&P)6#1_j zok9NJNB-ZJ{0qn*aqzmLw6D@!3i&f%rFnwc%~~F*#gZ+^E%R1j$A?f+HBW&2Gsr)K z{4+eWAZ!Zxr;vXN`GZIb`KKnp?DCH~g(OSrl0_g}GS{4dl|8m(Pa~V;Y~5rO`A1)k z{BK15!CyCa`i?@+ATsubyq;)}o)vq{9WHXmjbA8tUQ9g#!|6*R|L|R``ixxM6m^>R z2lXNs+{`gfoHQ<2GI4?l_M$lfnA&XRa~ROkni>S@bd+&^G#KxrY`tY@-w`{&o6>(0 zzNqp3lAa&rk^W`MyZUf#1{kq_g2M#??3zCIZ5(+u`_2U+yA z9>tgLUjqFX*%#uMSa;$15v6noy_<-~3H%`g_Li014qp|ZN6U>hQ4;-B68AqlQZn9^B`4MLM zs^&+?NhWQt%#Va_y2awy`?DtuXvJINauWhubzkz8P$2d#GUAnEPlm ze+muno zRte#<{&wc?|E9Be>i$)%zb$9&>y@wKjK9kM$BvEi4alqOXiZW2s$9}3^yxqI`diy( zgl$Kqt#%l&zBKyf=NNuIYoaM;r7r{OYdtg#V+yPI8Yr3S^`#fH{??DJ6oluQ@n!uj z>&gcW>u(vy2eA7|O<#ZOPp5hrWEm(OhLZ=?Fo(^8SdUQTLIGb1RkeOGM^o?}%T zhSzq^<;;O|*GFH7KSSiIFUdNvsR#5mE7#vLTT72O4%F4MT(8c$c30y-jRQ3f)HqP% zK#c=64%9eM<3NoAH4fA`P~$+212qoRI8ft2jRQ3f)HqP%K#c=64%9eM<3NoAH4fA` zP~$+212qoRI8ft2jRQ3f)HqP%K#c=64%9eMO(Z1)EIR^Z$lq_p&<-u-|NQug~;C@OeoFI?~VseXLJ+`0k+rIBCv- zsj~6s+erKUc}I@&(J{NJrU*qnXuU zV}&h0-CMh0@(I9w7iU9Q+=tCK``S~bvy-!4IOt*Bt>nH1O85d??gw!Xm;5!*qQHK- z8xrB$BYbWJIB{hIVDA~b;Z!2Yr{8=;sc)t2_tH+0k6VG=)axKoKW!3`PqRS^3(xty zQF~b@;A0lJ=^}0pDM^=bk8)rW2bqCA@Z5_Jf=o3DQ+J_Ed+?eL#8;Mmr8|y4Y_X6V zc3FiCZ7HW1AA%*}Z1}?-;C!`JMhUm@B`_Kyn>NF^hh@Wbjub-mfzJI*aGp)}wkgi) zq>ZEN;r`ulztT;%(hDnpWm{NGK@dvT@njK<9h!2=FNOqBC$0JC$T-1DB7)fi_vn zc0c^ zGf^*#P9}ic%Q=8K><)7`dVe=D%jW>{J%`6xfIOUn&H}t!AXUkCx3HD^0nBua;>YtT zqc0M5E)_?wmuK3$hJm*CpTcNqv@ps!@T0?} z@`+Ge#%CsPBwg1Z`dk~(;v==w+fyshB&~EovpdBX_$K&ib?Ny&nq8H*x zk(PIWFVj19G9`Ouc~K6pLKis95S=KbuOTcY4G@!EZ92G(0}?AAJhUCR{FhTd+Iz5x zH9#PazBC6>h!Fx?5MVC>k_1Q%WK7pV>H;6=b|Q2(B(Z!G^DU@n17JDrRU(nj6|)=` z<#yysZWb)hm5S}T5*}drt)p)HtzOZ+m1DO!O+C?wO=5d&f;#7I%cK%#vmj}ebU={X zW~C3X1l>nLmB}42=1OXxklV3=bIB3_c~{^L+eG7Db?#p~HMJDMSI|}95 zO-C5gx1wMWK%2+rQ;CFY&-*Lev2Xu{e}PfW}3uw||o972p9E|u7KVYlBe5Jl&j zRIbO;;XbFm!t@HA0>{B|pDws@5S;l(wtQpngY2yt9i0rFRrcH)BsF>}w(ac29m-#}J(-JVe6nua*a75zC6N5nxfs~a zJ~}iIn*m>Kv#HXclT8jrX%P6ZpL00|0rno?@$N72ez==vZ9NC?mU#Q#O*6L@`zURJ ztyq*z2khGO|Drcx9|g4e1$tk$4G7zRsPj!a$JfI?Qq*~lDNl1exsU=$FU9A>G@q~q zB!TBW2##gF$r87L87&Ok^Inxb;GKV3?|n;--wRbwl-qJ$plV!<(Z}~{vywnG)7H-l zg;9OZ2DbD-ab|$pgVjR><;GfOZE_86m1-o6I}{+0zjUAqXcI4>GSu2w z@Gevfqti%3bOnB4A3zeAbqq+}q+sb8#vV3#i&4g=K0Jm^#q|0B$edTMnkykGWNEsR zlxH);D(oV9@G$V}z0Umfowrsz^!}v zhhasyAStRgt9H)@MiioB5F5x|JBcV|V0uO&L9^MvNj#yGMA(waLbX>lmbdshuyhPV$L;`8H%~W4fFETPspA12 zfo3b?BsMrrINk?#G*ZGYWdULC0b2!{U7Z0PB8oc%2|-f^(A_x~gZK^7rybOg8zjML z-|lpijBP-1Gb63lrgLy3He-HZb%4npZ#twC0#pf6_~aWhH!j?=?OdGtneszqU~`6g zelP&zJ(EZNNzg&0Cd8w&->vLP(drO!%i@zCTVR4syz@7rpsSh9h=V~;bjfRWBRv=l z5Z3M4d z`=?}%+sYn$eG!nn+IvA9w%MHy?C^d)_csArV*({<^N5`zc8(Bi>20SD?qK)oy(V%Hifx&pIEh#^N2D>K$}4Tw zHqU})T9CIb)pl%`ccXg^;8~z&F?fF-bAV@(>zTlwE@Rzm&P@BS?(OmGIIWDKDfQ*d z^Rld=Ksz8}`RMM3T9@&^n__6^78%pg$&NGrcNzbu82_hd8vozQ`2Ru1|4jAn0X|d1 z@xSiNZ(Yj|WvA9x2(!jHW%9fluk7^z+rjoGUd-iEMaBV9U~)s6_erz&md3#u-ZsIR z&z)=o3;g*2e;&Xe|1<1nT+C3U!13Qu62gD`=#6BRZYukkT*xP~+3G~ZW&hpPDRcrr zgnK1GS8Rt9VxDJU=4u|$>H)f7%ZxT_9!TT8a+&3R9@rr`_Vwo7?{-b^OiHM{{~=GgG}}fb1Vd2h{KHA(y;HN)T&XLFvIDz~2J-6U`!J?t^qP zcoZ5^&${e0P2G-zHQ+OVwqJgWupa@75(&lvS@z#`N#2KTXh}J+#r&vunuDkDvtxo| zTRDjNn0?MepNi`8y17C6DIi~5>gI|%D-jl}PP6|m(+_FbodV1OkZoLIfHJ9SirjoN zjKHUiZiG?q=+SlF)~)NqN0tZGxzBpXj;6K?rRmlz2<;Bvzlkxx_ABtdjmKSn9|)Gy zP*^mzA2c6ZUgWU$I33jilkDuI9SO^iU8NV?`t@A0*V&lmeV38#2yYrY1F4kJsv?vy z>N1by9ma}TkOaKbqC6+Qpq8i8>u&4nOuJpV!K6Q~ikfiz&$J5jYeJ!$cUY2l87X%V zm6q5+{)v@TE+E@2y4quouD)y5cP7oc&LU&}ggMrkHMe3P#eb_;G&Bl<9;}B#^}M!% zW8hYB6sRZ0yI*`jF$0s!^)Ss-Hu+p{&cll#D{}z3jMvMHpXmUvg8`q$|Fi-0R=iQ{ zs_{Qw!Tw^9K}EL^U&u|WI0}PM1c2XE_8}QF*>KddGm9O{U+mSrY(e@U^nmTO8v^`H zk*g5s1C@qo=!|^_@E#aMf`dF4d^18_9-*FFAah&SAAwuMs))IUbUG1kfFm&R$Pj?T7IOOt$N$pXp(I5VjiiuB8CqPc4-R$$y~rZt z!~izG9PAnBG#)5{TL-RSyuaK|upWb;TaoY4;dSQN=oaSwMri`22gtn82$?N@Nvp4# zg8=+S$yh(_6%e~Y<{T&yAUVuibQEru7-^KI-T`E%>4;5%ZD*g!$)59GGLS;g6FK8$ zZUtL`++w&ei`j&~SSrAavYg>ZILB*kJ(~`6pefJT9yF0f$6hc5XtC8-lyvlVhTt-W zaI>=`K-A@o)#qQsNHh&RMx)e9JHLMrTk}1d>j?=WL7HuVa(KBT7K7L!dT@t8^wjy1 zk6yZ^@Y&bFIF5LcUzuF&6ncOyJ+SwrE;~cpz+0ywqu)I`VSXpVKoykOaAMC zdZ2Xm*?k)~rIhT?D}PRJIrT%1ztkx%G5){9{2Lsw#~)&q%_&Y|=lLmxk!QhwLUwjm zSN6ZLX?vOJi%hj&g61}|0XAR@uh^Wbh>5CNo!o$?NPDv6rW5NoU~kAeW`mA|-z12f zz$lV`rn_h(R(YOHPeRDQ@|oO9BxH2~w@hgJcNcrLbde-itjCQ?@1vWelcAaxgq&6q;5+JU7j$WeX` zF_T4h8?Y_lQ-dFi*dj~G5y3*)i0lNAkxfLM*-&NhDjQ20mj-BUgLdeYZK4e@6MsO~ zFc?3=Se`hPg(MyEhcY@b5)_YgW}Jrhl7fJcDn|O{EUO$MP4tUy(Wxv{umXuk3(7I% zw76|KPOe!PT1n zIXpHj`P#@R0w?Rjm-x)I+PkZJv=316B=i+HzMX+*mId{?HEXwk^>)h(>_c0hP za1xmJ+{wh3T`wMYy)__xz01$nZq$1gJjeLuZ^5(dNy?ZuTBNeubUo3a)gJ0sLxtH$ zFHXZ^&8g5uvNQEL&&hfd#TUK(Wa{b6OHR8aIv5w|r%VWO?TMTpoM2B|VcccYcWez= z3sYlSdQ#FniY^33a+p~@v+$=C{s@#^il_1i`j2DEjG^iRW%r)5L~}#GToeSD>UY8h zGcrv+=kka0_e{W_3HUQ%;zQmzKi8cMvOLIW?NNTf!^_(StnR~`g8FjYmvfy~{*Vu| z+3Zz6F@gL!v{XR$a5_Lp z$9aNZ^rM$k9)=byN-zvjax=(SVyf2mVi-wD(LwT$G3NIxP9hbr=y^BeUMxc#+ z+n^z;{4WI$LOCdZLA1=KoN9!WufhnS8|SMsO6xr3SGH9oh50JZsaKWrROGNsQ$Dl1 z#COpk`#f~dp1>?ml$PkYl!h0D{XRqX+{Za29sBV@u*B*xe)|A^mEkCqb0`4k$UAd^ z{GiJ@6oR-MjB4@tTx`HOsm?yxJmV$ha4@#xfycRjoUr@kr?A`?_WOCx<$Q1^75ziq zdCrWIpuVB)AHF(XsLQFJ<2a<)OziiMrf+R6`sdG2V4uXFXL=rn^JlDh#>`bKI81mi zKtXXxXI$ywZVlp}(GttTqJ*@=? z$d}*F=EA1AZgJwUTilNg-z+#%sW<^z6(&S0?g?-*fQMZq96ywEhJ5iPn81&;Z9K&f z(}T}N{cM&DAb-spmeclE4^S$V7Md)QJpW1HC!OC+WeRCPb<0NcXOgxcUKME%i;u%1 zs-r;7Gy4iL^e$%hF`V5;KnX=_!1fjQnSIei00prq#0t^<9MI{xh5bo)-yydMT<=hk zlZA>=Z(lLG&jZmSlY>PDsbB((7o+H@1*-{9CV3Vc=f_X{o&8WmcneV%Mc_V8A~?ZU z0LM};>Q7DsrA0A(iR0EfP=W=9B63<02gMssiq}E)*5i;lJok`2Eq?B~r`+3^`nlp# zn~)aeZD`^wVLoxwvzpm=dMFjk%v^vl0oi4v&=+A;cEt-ZYZRq-h|sWd?qMH9^8ftk zVN%nQxFC0xva%23R~4h2n4XexKq-_Q7VKX+jPKAq5IUka5sGbM;l1>Qf2mIr?;(N+ zOj4O%kP5&vEkSg=v;{wuqxEHk^{dh*LfI%YGGe{0ucclL8@JY)4Dy*vhMzn$ye8vZ znk3yghQahZW8=w!yu z((2D#xqJ}gc{e}^WTf+~GwpRf7ALTP;v{R7PO9pYgW5BjGFkNiNhgqy7KG`MPa<6h z8&*Cu8-iErfqpmEy>uc)eC@=J*O+KV57LG2 zvtI3=pth_B%ABkMJ&2+Q`qZ(I_6eAhzg_|SjvWR2_<=fF;=ZKF&tS7$j5SbZ?0IAU zjsRl;^g|=7ukGtY@pX2xR+b3_wXKnDa{{-*%q8?(G8Z^G(~LpgJo3@cW5bV=9vH)| zpX!A@C5{M(ps@hYV?Pw;&+~^!L+J{yCV!$=nHKKI>Vkkgoe|zbY3yi2=Y-MkY(Hws<3{!oowekh=VBC~pfPR=&9&1FKuim3I6e&p*N<2=h+L!J8_QT^oe z+0QVL;K$vuv6x+dc!1tvESBKKmNg0W6#1Q3g9xp-pe9;qEXCV~^Dediuwcu-_1Ilg z>kp;qgr9D5O=QT~?|HT~;JX9;P7@RA3T7U4ubXD0n{V^9{?M|{?Pfs2U#wf%$(Uh| z{pJvGD3IY>79rZA^@oPv|Ll42_UvycxClc=l0R*1B(~agchi<5e|c#AsoK^!8KP;3 zn1u^JBDt4Mx4-sy86Lme%;f;`hb$T~ zdH~3O8yT=t@=BOiDv9g6cQFjqWg7<@2tD)N$mV=Et>OA^*pvT7x2VkPY9nv=;0Yoan%{IdNV=!Od zP=}9iM5)5rVp%UmwDn(i4DNX1HOK6uXe?&t(I&)@v(?xJykUB16pEVV>p;OTsWQ;J zElU0Hvp1&kh@86D15j-o)qQzwL;kY6l_k}ReMEfyL;iv6{Q#z*r6x|yiISS~ClYEX zJuE1FEJR(&c|TXuJ12K#_=*fQP!&TI(FZDz6Y4!vf1@!s^5{I{g!=lV5pKNE_UK+V z0m@vZt{Nv$JnveTdk*q652*E4Ar#mb`dUsA7p^ttT70ifr|BPxh8xwj63GGR@0I5Q zW^*fS5$J8FFvX(2`*7Fo;gU%Dv;yV^GO?So$K+haY9BFe%d%;_wJk1Yj@wM{-Nk3b6E8%jJQfU zrpsSqK_wg>ok#u}BZlL|S3-j_C!=%apTTo>`STDZ;VgK6M47SjuO6ostPV(?Ga!ne z2V9_WViNfyZR=;hPSZ`L{23SOgHYEzTp@p}F_wI25xKtn3sZ82J}Iy-fBA=Ff+TJi z$PPgNCXm1C;q3A+JpBE%dH6-iAKr<1ug+Ww`A>-VuY`=3D}OcX7HS)jzrN($KhBq( z)c+aeUzV}t47g`Dl}|o+>w6$68$PlliIumHem4bGGsR1nZ!{i3vvgUc5Es#V1l;dV*~dgcF?o zds1M$&)76YBb1`|k%BQkqS`&lYG0KGR>_l_na2AQwC5cCZYJU^zhS=ID^85l)UgUC z!@P*T(6HnELHIf7d6sYDU5G-GYz5_BmH8`Cz;G;IZvSTEmu(}@J3sPDvcDL9mEV7H zazHmNW|$wj(ELnN^B-kQq>`;*Vj>lr@KVK-zKl=0$iL{>`H_=Uf|D?sDQ%3aHBi(J z+CT0p`oLuoTxfoVw$ILwEE&&xOD6JBV1z+0KOXn;UrT$;k058|75w9tkxIymi}rP} zvOib;lYu!!%K!?eqNT?{;S}F`ocijhImMjEDaK)^9s*IofdACI=LvgU;VXbYlOI#i zy-*ou$~|cclfn%0N4(+q`#;I|f7DG)boPKeEu-EtR^aNB4f-|S);s#2(p`B4h{^|yxgw}$n%hV{3M>G6M--?~|U8)f~iksCkTbN9!v z=dLd$ao#iMtiLr{e`__)QeyQPDr8IdHDS;CTfQS5WIRs|u*k=z*55)TU*2gtH6!Y) z&Fu1-W&JHZkYJ6nrGzrs(Y;cx#1wywc`kTXoilf3*~mRjJ{RQA5JN3;^!ec(q|tqp zujue%{Vm-t5o7B-w%j#S<3NoAH4fA`P~$+212qoRI8ft2jRQ3f)HqP%K#c=64%9eM z<3NoAH4fA`P~$+212qoRI8ft2jRQ3f)HqP%K#c=64%9eM<3NoAH4fA`P~$+212qoR zI8fuj{{ zqY&Tb;EF-{^8rzj)w>rqe2`|pQH^2CEffM^onu&xY}fp$Ti}Jh*|p!z`pc2E zcKLkp*LG75!tqgq-H*A_mRs@lTx+0p_KiOMUI*+M5YycLI%z*_HRISr-YohpR$wD{ zo&U~3yY~D>4%vxu>Q0QW&0_k}OyJuGlLCXl+intGk^QUTM~3sDgtU<1IF%0UI4Z<* zC`dBZraSjayoVH8((j4*T z+j0w~0AGURquEX~ngH0t*u%0VTLJDzXXCykqmS{i0yBs$49x;ca+?YJIW+Xb5PuJeNE#ILD9{|0DMqlDc=;>DuqP>Dg_cbNJJqC;)BMl z7zodYf>-diRE*zI^4vQ{!La9YrdxK8Ii28acQ=Hu@9WWjKBzXwz=ME~Ye@l|4(zaI zQP<6SBykKp2z1tC)ow04pE9CBU!mGBZn4=$X9n;US}|q@2IJK4g2e!~j+#E_j7OdM zi0nZjI1V1smyK;B>_-t*R9JeDuRg^XIRhT^k;84Aif~|7x^tkINe@K%298ZL$T8go?geZ%lFm7a)T(Lz~C>?N=T0g~+lY@jq?x;TEUvvYuQ3xp$nC9>Icj^n;_ zF70_ydnmabAe-~r`Emvi&s*NXSA6=o4-~U^bN=5}j^P@f^mx0`?!nsNfGrpw>VWG1 zIyMj0z0FQX@Cdr6{!f`4Wyw>pTrYqdxkuTJi&Uws& zL}2UO$D}>`t7L^tGlb~N;2gk^Jl__HBH}zI&;#j0FIa>w?D$Re;V%I9YXI%LtxYc6 zms}%jC>GLSUoh7j;_VP*6XjV3hz~-==Q!z1n#~80ShXP$vxhoCE6l5++r(*h*}N;T z*>R263E%kG4EA~tnZ3C8(iG`TK;ox)Nh`!dxcv{c_z(%6r|-MZ#Cv-Q%;{3# z#pDntU*hIaNInzx>%0!&<8Vlm&C-_%ZAzB~Rp0-WeA!cKTjvmk)roaC-DGxe-D-(N zS*lCw-=%wds0|zeq3#4Pa+lYU`<(xZStILc9E+*r(%{{{S-d5*kiJ?oigO>llYwms{u==TY<-B-wZ>43^Elv^IpMDTZA z+5==k3LAlrR^$Xk+UvCWG#EjcBi@i1;c(AS@9i}Q_hw9SFMA}AQWESPggD{}N?o$I zo8C$GeCvj4*e#Z{M^h(Q0o39MJs_83uZUz~kTYMeaZKWxrP+@xueM5r&JxC^VX0tZ#8>0V8TkoF%cpAA6nGy~1C}%B;Y=%@;-i=hcxcUy-Bt)ky9}=DU$I zDO-&rb%Im_UhO8QqaakgkO-fG8L!fTkhN=YhqxFWKx)z%?eFR!8i9&!YprVTbWCMb zDMQIO%CQe4h+72nqRT=UEtq?A3=9ldea%pmU-)mxnv&e4)b8%F zSr#=6*4w5rs~5vSAARf$#vHRo&}Ls84M!orSQZ(B?(=;jBRjjgN@_`C`);X_zWqc- zMn*jGJWs~2ij3g!zg>za*m-~`-_8ak+xM^uZksV069<1nms<2DkS>E7=m*$Q%%a*i zK;iO-`=gX+Vcw;rlhQ>`Ni%H$!djpqEb#nTcZ*P=33x#b189E&mI!Zv3k8%guf6LP zkbe{_?3T$>+=&;U=+`LzL$tWBu+IPD9@ia(iBg6OKy(hMY$(E@v7TyPjlxA`YoQCs zW#LvxMeS1#FX=KVh|-8P*tN4^RQ}xiTlgDP4du_E+Y0)0p5I{M>5SgCithJs$xf8gXg&I`wG;>85e zpkEjIH0-&%57-f-#1s6_angUlxA7kb3Go7y!6A^Cjk!csYVi}s_M$%qAH)O0D)ct@ zZ^wy?O2p`1_^;@^k41tz;A67UcrgD1!2d+o0-D$v17Bl{hjC~V9w2+5Yv6&-HT<5h z;tto>0eYV&OZo-&{;Li!JCH3@5jdmx=4xbSP`xHJ8k9@1umPJ3MDM@E2Gsu#(5cKz z=)D2@RLhDR-32_yHbO3H0TS*G)YzW6k>+QZ132?`3mQWK$CL#Yo=0T^85jUP6zcqO z6lGZB6)H2u9}5yZLtpwtA7BRp14#OC9bmSaxOMc-pb*4N7R0pe?5D;IjP_NF7;TpG z0Mh+B5Zxg=z5g`O3;>XW@MGAh0*#<5c#xHJ6Tp+*egVRk+xR6xu#zrfCv#X8-OqfE zXF80+6UI6@*mdVQYWjTs-w3BY!B02Q?OuA9uIUb4)6hL(W`cD2Z-5kz26KiEcO2IN zb98f?R4khq9D6)~yXZDS?=ibGw4gJa=vla%kP;lnAXP240N^%2vym9Cp#fEYKW6;z z?W}eIdJMNg9E|IkkQ{k6tPNNuZV!;5flSNIkR|Id1IHu=L%UYW&@PQTaoYC-ECyK^ z=y~>9?lb7hFE%EP|9Q{YOrI3}Ae%-Uzu*S=|#71@euj|s;P%~w!2{HB3tj^9` z_3n3DSvme^%PJfHV~#5v_?xi5O9#v`7od57V*F1oK6_0=dUm`8$_h)6t1>^tK*>qz zLDVfE{eOHH8@TD>x#Yy$!rY0@a_dAZeLG^9V&%@Uff)4f-sP1CF*YIlH;|3$f-+0_ z8G^INt@~c;e)I*qTK@+BQFln!x6nJ5^$RRz9@u!VMWPKjBs}Fl!G<3CM^|-W1&#Lz zHwK!}f$n)rv<o+LK`h@t6S#`L3Y?=)+Fu$qQq^0Jv@4(y;qqgp=%Pi3fLTg z%>^h~8w2j_>_E}_A?#$Ut2^1XYXo~o{L$RUUM~@do!kQO*4=+!h=>ih&c{umHo zxDV|EILdF5i*#(LGd8Td`&{%f09tCB6Sx#v;u?H(Xy=o>+0OFi7UTcE`wsgXAMOLr zkT?77x{oQ($Moet_H#R$h>(sCs4Co>Hr~(YJMJpu{STm@72|)qX8f<0&zJKWx@OF0 za{y|2-vv4k9%NSU7sZC3()Adl=G}$vDsus#y}G>2*k9v+4uJ3W@?C5rVCQC`dxFT) z%+F`d%WiW3$VII9x0IV%g5KL8p$~xW z?H=>NP!e~SNJnG-e7VcE_|>t${Nu*|YM)eJedtkx*5G*#*u0PxygL(7=761M2jD0k3#j4iYTu zdOb)n4Fhg0z(v5}cU&?qunYyu#8=;nUnp_nLn+)jKn?#a5S_czaGg8oAJ|;rB?*7t z?4V<|;On>WqG>MZJ$1Njeu&?Jp|TDC0`i) z8XaI1Mb3eaDDgVLq)N&f*K4v#9S&7ARllx@YJhlm4)UPzi*iiM(|_cQ@5erDj+vl; zMIYZ>Bph(8mHoE1o^iiOth(EFM6mEzaBybrmfEg*1ve^wNmf#)- zPeDhoF0%`RR+~uG7_Ri(X-Sd-64Sx-b^MP*m?&X#H=wgzgZknPo@9glS@z7= zY4uPy`xx-J3aI${gI})h$>F~>GUb&go)R;sl#1LWGt1F#j7vbzgvkE!@^6NZv*El` z91^aPa(n}Z&drjo=RJn^I_1d-&xm6=LTV(eI2I3iL@EelH&^o-$`Cb80z0)M_8Pg_ zT&z9FpTlmJ$5g&7h8mO(tk>8()U@sIFBXqs=!-!fi;-Kb1KkS62?{5uEFxj5pGsp; z{K<~?=dU;Z*nqFl0B+vGPuua#@dx*5IR4_}4^HBXb^iCh!1x1l)G_|Z8Gq=8#%ltK z@bE20-s)a>(eVdEAx#zNcUYek;}871dxx>ZzN9;j@kbfY$M?2yq#c@U4`0Qd%V>yx zY3s5}-?-`0>->HfGnUh@PJe#4K^Eg|>VMEnVl5dzZl?_L_@UDTbeF*&kl*@CeJK4? zj$16xTu1TJeWCwH*Z@O=!Ef^4K8o`OXng@?a_!MS6Mh+d!f)DN0LuLHFZ|e+45W!) zz(e^PD$GF;)zd$zsy%YO>}GfA>1G**7N7Z197jc#i%?oq5f z{zJ^liZGsyzpXJXnoyb)T`1gS{Y;RLFF$Ot(X%hVWH8n}B9yWZelyPgmH!5qq@Sbm z*+V{ilwoM-_SlrQ3sy81A7m?%RsLZQzp0SCiRU3d|2@dFQhu(U<<4@7Jtx@@JWFZampU} z5f94uNx?@5e_5)$h2uEotMUu-ze@Ro+)3tzoys2U?q&ISndkJ(ISEUdzp9&LWXDL? zY~V2wD``k3Uxk~bQ)N}&(}glD0>qClE9`Lf0!}g=dAQ6gB=Z;#fjP!!jg_8JrlMbg zQ!>v=O4;Y=Jqm^gAEErOlKr#t4CzWV%1%)HBeEgvWt`GEZdy->8}dHxGcUu_SN#kf zJR8d-(BuK26bX}f2?wAi_W%c2C!8Rz`x^6DJeWwTc%XO{=-KVlF4+P~m)V>o+u|AF z9`_1t5zc%zt0Ri^$cNd)MCm;k5)a%{9Ig$X2l0`UuJDRZ)2eYyq4_=FESU@YdkI}- z{Dcibp85MB9?X=5AD$&1arM05i0gP>*b~+YaBq)g4QmA`loeX}4S}vRU}^6aY4EHI zDAE@Xo{xN__+f@kvuv6P7bEw;>D&X^*CHWeSh&Sx+!oPD1Z*W+?lk&whNu>0QEfkq z7>Go1f1h*1PH)8^PfjC>ZLkIMtqh&QR>Zn8FpRR~EO7e*Y)c&dJULq0`YC3xM5Dz(JDjXv5)eo}JRGch8 zmca5Z1KxwGj92vmKa4y;klReq-Xmge;OI{xM*CoI2>8i)&wfFPc_~30Zx5mSq;B7F zLDv9b*gH9T>_M^Vc&z}ojo1<U|F3>A;Ct z*1d5~;iI4)K2!>SU;N93RQ=V#)8TrQ>gn)|(hx$9AuiV;h|(a@)7uXy(*q)hM?{s3 zXyM97xYn)bIz2EZjldoB0Z{QJ*dns-j&Yhwm2L2*G_V_+w}xUbHG=9Z+-7phErS*y zOsHl2Mxl0qn4q2v@;VD=>npS`E38if1CXw`S{pFaR)Pddr_6cWeh$zICjBZXOO@Zw z{GDlX?r+K(v>CuDe)cc5FedO=do<(0k;$yxY&JL(&LfBBgNBO=IH-qs$p9Y!3h>HL zo+}h%g=r%}Zz^?s-{$!8e2q%|8@lFBlrlRPcYm7Yji36Gt355(+`zS;n$G-`8!Ja) zkQmhL<)6UE2yJuC5}Oo0+uvs{T0{`{*0Gee13U1Vh7(qg!U{nD zDWOcRFRCd6N{1HH4=v`kP*+*KKe`7!!&?Y%@l7JLc^e+YCk$?GR#_#a|7W@b(edQ&w2%vaXS4ES@CnrbHsiB z4#0)hA2x|N^G2QJ^PKhl301&G-9?{su(}e0zt`g$ErEVnB@XKkYn{5Q*IBe$gj0=T z%ei~{&@TY96S;YqpCYh`s9b+2gXgO>I~ShBs;<_3%{5*#hUsV0%nEH*#!_SGw;EO^ z(wK4VdX4knTUs7Y!Rt-fp*bOMMg;ER&O?s=KEtKhct|$#@3^e^{VyVpX+!0DzC*mt z4KmnpDUEuQ?@;Qq(trKLB3G>$7d4|ULmZW!Mt_4vV5d$|?X&*WL8X6H-<03?m}LV( z20jPK^LsPyM|f+xJnBfK{5@y`|0%?C0<#fLp}>t6fpsz|^v{)jqacc^O?@jo6RphE zBlNG_y-F3s+dy-+SbtitbH00%wWb@~dA)92st6f5yk4WN1ImnOZleRw&<+=M*+phL zDDrL6gjH}gWXol;kUXu@*~mD`ZIaF#eZW_2!rtxDqBVyWE1=K#4iXWZiFb=TD8c(+jm zZ`|@8U4N^I3M%^72TIN2zG@Y55HjKpS2zMAB4&66IlIG>lo@;f>jYR4YD5T7F>1yw zx4o;?P4_BVa2fA3!*P_X5OTsV{V69gbLJ#}K6r?%2v9r=g;X5x{){TJ*KXMdS1jj% zAEJWSt*%sDN{7^3tEmfIlgm1(p=IJfeE5*$(L0anafRu|Dq*UUEKv8rSL9TUBI@cm z;hor>q!;>5g7#(5vgc)>mo;%GPl9(c_YSCx6O_&iJ3YipX__d<30zC}?#dPC-g;|% z|4$gVn4q*uU zEz)WY+|{Ig)%Oo?(m%Xj^bh%Zs&}OrC-8j>jha4-C1=ZA47{9^B+0j`f7O#@P=lp0 z`j-ui87C^87j}ARqW)#$?dJ3JZ+5_X&*p*t(a8z}M1cOILjRG%fX0OZaqv^j9Q`vG zKo~HD{+X~-7yYpQi*cgYzgfTZ@11zavo=L4F~C0#Gkj^p#~W><_!*S76F^$%pYZ|W zSLRjyGd>_&OpozFp?_0c$o^$1{JyIG&ki+D@G=Z2^HuV3tF@h)_+Bxs-G~eBjf$EjQ59(_d#peI{OXId}oIG_W+yg3rU{#sZRTROLfT6OsNy% zVRfIcs)O!F^*L_5KV&j!$asI)+jGM%>z@`tV{I>QuoS27k>>613ykyE067|17ZkGh z{ot1}y1=Zb$B-A}{A0|I98UIlSI3dpapy;#H$QWDeq=wd4Fh~d*GIVfz}I?MLE)=j zM>+E&+%Syj|9SH>yrlzy)n0?)FdgiJKG3{gnlnFwj#CT)Gh~IH&6D9DfVQb0j@tInTI$RfqT2q9ag;TWanJ|KcSD#(GdqU?S|#@cp0JSFkhD@ckb=Ombi0`dhNs_&eYs>u(>j{&rH% zf6?&C>pTAfY;2InIGcK*^|yXr$;^r5Dl}r2gw~h!rS7+nn3)Ewzh$Ea#`OP8INz3r z?@A-uHm$!EW$>h;A6;Kc*Rf*L)LiJ4HKjZw?~4AkL1E9OO%vXrAISP!$NF2QgGblj za_`$3)BATowQ^9T~hhS!Ob-|>$Ab3 z8ioO9U$MD$gL5AWYS(=H93zXlw>b}1w97MK?xT6v0bP&+t@+mhY&r|#DzGy_GW_5@ zz|#EkU$GonM-Y}^f$}m)D`??|GYgYn(0$RkfYyjBj2y|{Z^3XCs*?w`B}3J(IEF)V zm&9xZ_9FD}Juahj=^y>M_&RIWI~?)GTJ}x$qrW@H_kKL2b?mLUHaCc)P9E>X`BH%u z=ml_pCvFRu|0!-acH?#n^mk)UHz{z4jj!+mvD)|67&ZIzcq~9yMz9($v%G$p<@L*h zsI!c2f$5mC_rV=>&pBW$Z})hD)a^_ooom#YcRO=H6si6bU@LaFi^Nxv{wn@e{1N^r zY>p8$U|&TWKjZ9DVaoso7+Mr4*u?-H4k*;%W}!>2*=oUXo9{h-_I|j|+^2k${TW#v ze3nvtgTyljuGBw9@JH|~u=(+0a^&!i++N;KI89rlPKw1IROWFmSUG(ZPn zl=fX_pemJtgmhNLKr$4*#W|8d=LN0D9J*v@zF+8aykD2&{kk0O*S&qW!x?9s0i4GR zV5K+*@Vt8;n}5F_&H>~sKxDzzJO`SPe%ILy;r#;IE#)@QE;qK@3il5_MFv`t~d|6E^mUpP)=Q!@E zgP+6uD6i^!L4M2ay(hi~*0p6>)qg~oIo<3wL95XFGIV(n{AbYN?7zg$f9`S~RIm89 z>j#&1-8Vr8Bns2{3#xX|a|PB6sVNE&YsOxY_XL6-!{<1gzrrj05peF~Kj`eogrmU* zyp3<8m7CzM*@7GVgkmoI!R1Swp2^N@K@Os{efGW6Yf#RTnpt7fG?44W%fk*8ny#sJ z{RvW3!FJw+XoI~-xRJAc4;5fJ62zTfszSB;{5C?JRMCD&gRY5xYA{`MC8w;=eu z+i)vO@mv@1ofRg|Cz~F8EcJ+f0EfVIV)wQv_rsZR)jdpuU{&aReO-S|(7U|*S3$TY z-%*z?HC_5W_E+iN;sniA=m9tx&UWEa*8>^w1(+d&f(y!~;y8Q`BY64*ZRelyzQ0FP z^gd@kixt)R2<7E@Sh{uFs3zzt0Y2D9>20 zRQd)orUoF2htvz^mpw-$X{VGT*5XVsPL0db`I<}Lj63O@Pv+7$vzWs;V-5(3+t+Jx zew|}~uk&p$lFj=_INtr{e7qXZ^X^~ltmYRvZu?Rv-?=o$Mp${YOG}%7y3S@?upoQ} zKBetn1v;VaP#XZ3;v=ow-Uw;>uxn^RlZSjb=})Pq+bsbEJT}D^t9&H=gK$IANjp9(BENtpGfeoS-Jv+W`7U&(d{% z4P9R&LCI|t=v$czL@6^>=G?Mt$f%PINUVB|E`w+3`Z@Rbe%$&BF&bs>GVdpQ^&hI> zog|}C3sP0gVCw#Cd=^g!w&eNB5{DKtvHr{*;@c4F!XCW>}`60V#f= z!JdWjD*G6LIr@JcU~~tHvV%>8_n#36!%vJ4TrKP(7@~NnxCZ_f5ap>-|9@mp4)j?o zXbd&|64oj(0}W97z_T;$O*c!eJF~z^WeXj+Z*Wc8X%EWVts43HPWDw**1Jq56r4AFuKe(B+Fc517JMO38!BRW-n03FQ}gPID_<0zMH$R z@uHqY1FHJj*ujfcrSUHCllOR++uSt-Ka{==xfc|_w%u!p5+=&q+K2X$?m+Q!k5#f; zrN;Rg6~OAdKI<}j;*7W^F?hNUS^_bGUOz^c26Xw}768^7 zryQfl!Q~vU?XR(Bh4%}LF!HjOl4yX67cjs-2_}X<`+MAP(4nN$!64N=iI)*7e&`M~ z;K%X6eNbQ(dOQ9IoOe+Na2_%fAdEl(*QFMujX$CEBOqLFr#9*nP+A_4IR;s7{yKgV6U+wCKdq4Ch;a8&0bLu4IHeUfV1lBu$zPK$92*BT z7F?}0aJ6I;+eWv%$F7a;+vxqiz_rGTzXa<4sV?YVCUyFRif3QEo|?J!!~OHZ^877HrBoGMs>;!eb+x8vTWM%>+D$7RO<-`QcT_nwEw z0e$R&y1InyB6Zi$&Na00o}oc;5uWgb@~X_?Exbn7*O2;q1tfjz0|cswp0|uTjfoe^YA1(p35>;>`#_nBM&(IoH8DaQVX=p)Xq{?U*Qlo=8# z_S4RV_bhBcEOTZAHpy+X5AFbROB1NxThENm+saSou1`G8L|eZJLn!veVc%u8vZYIq zyF0{>nPW?sPs;$dg0XmfS1FQX{HB`)@x?c3zVEoXi#OxW`I|K;&HK%3$;JFr+5)=n zbDweU`@2kQe#-ctIe@t{v}O_Gf1C4{!1K?*yWmq0t{MMpLu97sD1;#P%`wNr_@6QA za`$0(0d$4-L&k#-7s4DsJAbG#U^)IPM9F*)$qGuo$j<8e$gV?OprHo04}dHuxS;=n zYV6LIkA;PL+FGKXCoE0?_k}s^rNc9t3+w=eT_DZ{G}%SIOP~i5!sqEvUj*lA3)D7v zH7CDs#XZ*J-TBq%hZ48F(}Pm#!mC($L;B zupiU=>QWJvXsE8c*iWC|DS)0BC6(~lD;yqEx!q)agG1*L3I}v!r z4kP7lY61E?s;@mwporM?{Szq9Nbhz{mT`;gBJPDY+5t>xx-R8khK&ovSrLe{LjST0 zJ)YwsD?>Ccx>DhtzE!~9?PYQldZ106FOZ1Pt-#s1jxx>x`TzxOL0eYOm-@#(>~}#V z-Jw34!xlR87qJ6x3(~)E6$QR`=_-CEV1q5-&$BaD07inehk}*F+94#Af)=2OH_*(x z&JL0VM&Y>wY=jXg3Qb7mCLqW_bSJ!D&{4nQjoN`Gea;DZw2Q9XxjMhCaeag9|H!pA z3e>af@^kMW^^n|1f=W9&8myRKwR>Diu;ALNghfihEKp5tm%!QRt^)|OA%gA$I?}eO zHKDKIX6q!b+@Ue37m#@HS`Ipa-W>5H-#Xmro*wf~PZ@uT3BN(Oc7nRfAaF0zBYhwd zCk2%TbRC=?4hUh-r=&g$UyE=efz6WBta8WzVX}wEpia29pjWu|^OoIMLCm8I;sM!- zoS3V^0+0L&&3wxkXoFGj;zc_Y`NA=Z&aT#DkPn z&o3^zIM|w)OtL1k ztix=5cF~k7IaqY%u6W#MHqA7g5th|v(FJQV>We;I6l4$ZP*%_E8B?NKh~m-*`oBf8 z1rvoODGm6P5s2P^6M$6B!5lJ)4YQ>&@F+QmXF)nwZo!ZDnC_LvAN&se>f?`*hS2Xp zx->HWpsi`#qTZ%dMe}224&#p=!su~NkSv6iChgC_w?S#X$he*aUU2+zmT|{f#veoI z|Dn^TU;V0J>|x^%g7cj5N6z@e{KX$S3cj;g^cd2fes>hY_=5w2h@xdoI{!hZ05yQ9 zet%`CTq0+hloP26_+-b7KZ-0h{y3P2kZlNmA%{vEfO)F)jZL~WcW2_x-EZGmzWEo* zf3$ODHuX0P^oHX!`F5Ok25J7`N9ZQX?{7vi^J{`1Q^PlXRCX8End@iS>{tlrzvubS zALaS?=pKHN=D&R-&gU-2dFzunZ-6hqP&kN7xJU7SFojsZH_yKV587LIvq3KSV@y)^ zEO6;4=H4>DkWih(A73P46(MLyiJvWw#J@D>0Ahjr9tt^olYkq;-WS)UCV zESMZ5i=kWTt+`(JCda7oyW`3-zrXj&te8NuasA8N|8U45r9%!i9YTg|fo=MS9Lq&2 zuP{<(U-IMrJv-#|OXERy=*avmX$CtKWz%bOWqw@Q?;)12nbL7H`^w_MbXor*fd6-$ zb--aSG@$Bms}uF4fSA`yQ|V`M^=uc?3RG!4PY8-@o&16-{u2<=!2428&xibv%Y*m` z&*=GAae@Emr6>7H_D>2Z{#VgZg?vSyRTkwfg!p)0*@^#<-m}UgzC!-%#WT<1^?8ix z*^qCb&r9#|2oKMT|2!T-*^iUX6A}}uGLRhfYyjS4xsY$Q+{aBL3k{-lETQPl&J%Liu(5EW4eQUeJN=D_`+6DIMhzWE;vI zuJz2M=eRY|g))Z-fd}z1);)#?G7b6CU;fIR@|>7&k;_x^SDw#{_aaQG2*=4=@ty#c zeSHMSu^Ap2>q7n^jZvLc9|}AufAOriRbGx$j%s@HD~*s=$UD%{xG!_9J{Ds^>)$;yGN1*uYnI;zoJtLF>4^yw;sZt z4h7UdH{_ZyzwXu35YNBN#5tR}v-?H7%s*S+QyKzs48(K79`OlVQ~F%*0r}U~3Phv> zY;vqZ&k{$xh=;(3=Pd{T9ojcF_n@Z>Y(q1Co7m#_G{%2%pPxa9C$Iw8Z71lR_&M>n zI4Sb<={Sx6zrb+^+>6&ho@|jNKVa#EnzP&SS;l_CkbL&tS;N|JEgtUg$NPq1%t#|1 zp50H@I3V7+HR%aq@ps6deV`xDGaXmRu#~AtfzhDbCuE;!f<2^YeJ&^oC4ecw4Mbu0 z3DPaQ=24?tP#yWJI1(YMaUtw9e#M)F2i&^{Ed2`IFZ_t#3A&FOkEEl#P^HYr;^?`6 zkY>16ym4uWH-(3I;kl4z$phI3XENXdahY81)nQHG2D(J`fSVA`M)uGv#cAR0bW}%P zvAajadqjLd#O;2>tGSPw_VsFFsQhBK7v~QcsNGvz1ENvBwue~`hiG%nekJ6PP$*y! z7e#~-cWrIxLA)g2%ai@RJkExkS&&)lqXFKdQ$3m@4#VK)RgYZnLtF^)+|FZ6V{@ za;^N)yqBDAq)q0k2*?Ipv=!i?Ly0pknjGTUCT1JofWHI6+CmdBU%HUb0MP-+n|w%C zz(GCu$nSOm7J+kqwRl>trS)ajBQ0w!5{)D-$*I<%ZLYr${YJ_)6H8IN&nba`uS4Kk zasztLY6Z8R>#Ufz(j8xFA2;w(p&5Pw9@AS3wLDu$bk2L;WGz^x`M#XP3FEaDm;aPA z9eezKt@??#o}^1G;}_awN8W`iGKX01K2*Aw4OH#mHYtL-V*1o;tiz!ZNFK`7FiB65H>MCfxsGO!h0ai6s{hE^WmZow_M3&`WBL+vIs-4VyK1CBhl zx|h7Xv05blpl3JWW{(@)0tio&r)k53uLC%!Qy3Vi0-FKip~_FiFCI~xZjf<{9D)c|95wi9b~XVS<*;`%XDNpFW1L-M^NXw1=2oW#~4kUoN?GB z^T&tnpp7JX1J>%QVCj{N#vOxMB|c!B)w<)EC$rCP&ZLAJxS`WNcAly9GZwSAz4kEAcYg>m=B zgqkm28eRTbyQsM2lS6{_i0k?o0zp6QYUNilKd#W1b z^yRCn4%hg+vi^|O0TI;}?>PFY^IHw;4{86jU_k4W7CUto7-+2$WvJE9@cw&X*Zkrj zKkE}Rxp;eVD$hrFaAM0P-?q?eJcvCo|>N;%)~zkf3*u^+92)yXK$5BXf+{;b!3Z9{R7xD7&1 zjf9?B^1uHo{8YTy@^XV8DRC;jU=e*dkz*5`Hg<6VSq6)~z8 zWgu*$K|rVGHnegCJ5UqXVaS9DoZ+2A=h+$Q+w|}9uSGfMhEkJB*Vk6hxRckU8@lvF zmYzo3%q_|Q_)Ly`(Lc=A!3l)>I_poH1lQF7%2!wJ^2;14mQ-u1SJE)fvZnQO1S)&d zau0KN=4*uGRk_AwZ)uJBvthzfR!E}xMx8|%DeDSJrJmNCX|zb)GRRK34SE6mbeC!0xFlQCKQpbbcXLZp9t$>k>*NmBX~n&1|Y>JGc6#Zz3}%Yfnv ztl)4ndbo}*Ta+@gg%rA@h|~ezYeMI(hl+V9u4aU5B#IKw*m^QNy2u0+V5%nQAs#I^ zQyq}myI@I#KTSNL9L6D!)Y09yNJjeCyI-iB%oSUXdccb^vZUb^trJc@%$?c@67Ly{ zi|HA))C=D$6J9&bA}CY3ekbw2!@J|h2?R@72|dchojjSjcitg3Z;$347$^8jS`{@P z-17IOTzD|Q!u#`co~xbYS-C-o1Nh#kc?VWdHWxx@$<{q-x@;d>ux9DbEeu6*rTD zf$$a$0EmEl7HB9?`^;4u2UZQdlP{9_Vx@n0C2#)_E&b5ty)aI=%Jmh;>R9_2R{6iA zgX@a^*6A$U1_lSWY zfYoQ~KSBQn^q-*r1pOzBPh}H?yRU;m1$Xdk%&7Z=I`lyQl(-lljOss%*Z*T7Xh_T4%j$nfM^Nvc@vxku z<9vYr2k3u*{_ik&E_Ljd6Z9XW_q-Bj`j63njQ$xKA_}Vm#j?vprf~&68y`z_d*0e;tUT_)+~oefsqA)7__!nS*$IFOME?-^(9w zZ{K-*14P^TW0!yV*!?bh%mhE!@$^glkMKW&<}9~<1a1^m{$GQwXX9y3zF+DG-%&Sa z{C)iI|Nh;tzqKj34!sFX?_m5L#^E;pUS|yM6&}9-8x?Wo`qkIp(({&G66DGgf$t4G?<0r81sxaq6gMi<&mM%Lf5R~qqc z{cUz+EH+U;lh)rJTAxg93nxVnL~@aQf{Y6JIOJn8gZVKI29CG>cAR`D&q|Md5xOJm zZ%GqItZkP(<)6B#1f~+0N?