diff --git a/Arcade_MiST/Crazy Climber Hardware/River Patrol_MiST/README.txt b/Arcade_MiST/Crazy Climber Hardware/River Patrol_MiST/README.txt index e0d6c97a..7c5628d2 100644 --- a/Arcade_MiST/Crazy Climber Hardware/River Patrol_MiST/README.txt +++ b/Arcade_MiST/Crazy Climber Hardware/River Patrol_MiST/README.txt @@ -2,6 +2,10 @@ River Patrol Port to Mist FPGA by Gehstock +Colors are wrong, missing Schematics +using Patched Palettes now!!! + + SPACE / FIRE = Accelerate ARROW KEYS / JOYSTICK = CONTROL diff --git a/Arcade_MiST/Crazy Climber Hardware/River Patrol_MiST/Release/RiverPatrol.rbf b/Arcade_MiST/Crazy Climber Hardware/River Patrol_MiST/Release/RiverPatrol.rbf index 5e6a519e..c0326e5a 100644 Binary files a/Arcade_MiST/Crazy Climber Hardware/River Patrol_MiST/Release/RiverPatrol.rbf and b/Arcade_MiST/Crazy Climber Hardware/River Patrol_MiST/Release/RiverPatrol.rbf differ diff --git a/Arcade_MiST/Crazy Climber Hardware/River Patrol_MiST/rtl/cclimber_palette.vhd b/Arcade_MiST/Crazy Climber Hardware/River Patrol_MiST/rtl/cclimber_palette.vhd index 58966443..99095c49 100644 --- a/Arcade_MiST/Crazy Climber Hardware/River Patrol_MiST/rtl/cclimber_palette.vhd +++ b/Arcade_MiST/Crazy Climber Hardware/River Patrol_MiST/rtl/cclimber_palette.vhd @@ -12,10 +12,10 @@ end entity; architecture prom of cclimber_palette is type rom is array(0 to 63) of std_logic_vector(7 downto 0); signal rom_data: rom := ( - X"80",X"F7",X"F7",X"F6",X"00",X"F4",X"01",X"01",X"00",X"31",X"07",X"00",X"00",X"7F",X"66",X"00", - X"00",X"FA",X"F6",X"00",X"00",X"B8",X"00",X"3F",X"00",X"FC",X"B7",X"FA",X"00",X"4E",X"AF",X"00", - X"00",X"00",X"9C",X"7E",X"00",X"00",X"9C",X"07",X"00",X"00",X"00",X"00",X"00",X"FF",X"BE",X"00", - X"00",X"FA",X"76",X"00",X"00",X"87",X"87",X"00",X"00",X"B7",X"6F",X"00",X"00",X"FA",X"FA",X"07"); + X"80",X"F7",X"F7",X"F6",X"00",X"F4",X"01",X"01",X"80",X"31",X"07",X"00",X"00",X"7F",X"66",X"00", + X"00",X"FA",X"F6",X"00",X"00",X"B8",X"00",X"3F",X"80",X"FC",X"B7",X"FA",X"00",X"4E",X"AF",X"00", + X"80",X"00",X"9C",X"7E",X"80",X"00",X"9C",X"07",X"00",X"00",X"00",X"00",X"00",X"FF",X"BE",X"00", + X"00",X"FA",X"76",X"00",X"00",X"87",X"87",X"00",X"80",X"B7",X"6F",X"00",X"00",X"FA",X"FA",X"07"); begin process(clk) begin diff --git a/Arcade_MiST/Crazy Climber Hardware/River Patrol_MiST/rtl/crazy_climber.vhd b/Arcade_MiST/Crazy Climber Hardware/River Patrol_MiST/rtl/crazy_climber.vhd index f3941ac8..34efa910 100644 --- a/Arcade_MiST/Crazy Climber Hardware/River Patrol_MiST/rtl/crazy_climber.vhd +++ b/Arcade_MiST/Crazy Climber Hardware/River Patrol_MiST/rtl/crazy_climber.vhd @@ -662,7 +662,7 @@ port map ( ); -- sprite palette rom -palette : entity work.cclimber_palette +palette : entity work.cclimber_palette--Patched port map ( addr => pixel_color_r, clk => clock_12,